Sample records for hard mask material

  1. Effects of hard mask etch on final topography of advanced phase shift masks

    NASA Astrophysics Data System (ADS)

    Hortenbach, Olga; Rolff, Haiko; Lajn, Alexander; Baessler, Martin

    2017-07-01

    Continuous shrinking of the semiconductor device dimensions demands steady improvements of the lithographic resolution on wafer level. These requirements challenge the photomask industry to further improve the mask quality in all relevant printing characteristics. In this paper topography of the Phase Shift Masks (PSM) was investigated. Effects of hard mask etch on phase shift uniformity and mask absorber profile were studied. Design of experiments method (DoE) was used for the process optimization, whereas gas composition, bias power of the hard mask main etch and bias power of the over-etch were varied. In addition, influence of the over-etch time was examined at the end of the experiment. Absorber depth uniformity, sidewall angle (SWA), reactive ion etch lag (RIE lag) and through pitch (TP) dependence were analyzed. Measurements were performed by means of Atomic-force microscopy (AFM) using critical dimension (CD) mode with a boot-shaped tip. Scanning electron microscope (SEM) cross-section images were prepared to verify the profile quality. Finally CD analysis was performed to confirm the optimal etch conditions. Significant dependence of the absorber SWA on hard mask (HM) etch conditions was observed revealing an improvement potential for the mask absorber profile. It was found that hard mask etch can leave a depth footprint in the absorber layer. Thus, the etch depth uniformity of hard mask etch is crucial for achieving a uniform phase shift over the active mask area. The optimized hard mask etch process results in significantly improved mask topography without deterioration of tight CD specifications.

  2. Nanofabrication on unconventional substrates using transferred hard masks

    DOE PAGES

    Li, Luozhou; Bayn, Igal; Lu, Ming; ...

    2015-01-15

    Here, a major challenge in nanofabrication is to pattern unconventional substrates that cannot be processed for a variety of reasons, such as incompatibility with spin coating, electron beam lithography, optical lithography, or wet chemical steps. Here, we present a versatile nanofabrication method based on re-usable silicon membrane hard masks, patterned using standard lithography and mature silicon processing technology. These masks, transferred precisely onto targeted regions, can be in the millimetre scale. They allow for fabrication on a wide range of substrates, including rough, soft, and non-conductive materials, enabling feature linewidths down to 10 nm. Plasma etching, lift-off, and ion implantationmore » are realized without the need for scanning electron/ion beam processing, UV exposure, or wet etching on target substrates.« less

  3. Use of a hard mask for formation of gate and dielectric via nanofilament field emission devices

    DOEpatents

    Morse, Jeffrey D.; Contolini, Robert J.

    2001-01-01

    A process for fabricating a nanofilament field emission device in which a via in a dielectric layer is self-aligned to gate metal via structure located on top of the dielectric layer. By the use of a hard mask layer located on top of the gate metal layer, inert to the etch chemistry for the gate metal layer, and in which a via is formed by the pattern from etched nuclear tracks in a trackable material, a via is formed by the hard mask will eliminate any erosion of the gate metal layer during the dielectric via etch. Also, the hard mask layer will protect the gate metal layer while the gate structure is etched back from the edge of the dielectric via, if such is desired. This method provides more tolerance for the electroplating of a nanofilament in the dielectric via and sharpening of the nanofilament.

  4. Metal oxide multilayer hard mask system for 3D nanofabrication

    NASA Astrophysics Data System (ADS)

    Han, Zhongmei; Salmi, Emma; Vehkamäki, Marko; Leskelä, Markku; Ritala, Mikko

    2018-02-01

    We demonstrate the preparation and exploitation of multilayer metal oxide hard masks for lithography and 3D nanofabrication. Atomic layer deposition (ALD) and focused ion beam (FIB) technologies are applied for mask deposition and mask patterning, respectively. A combination of ALD and FIB was used and a patterning procedure was developed to avoid the ion beam defects commonly met when using FIB alone for microfabrication. ALD grown Al2O3/Ta2O5/Al2O3 thin film stacks were FIB milled with 30 keV gallium ions and chemically etched in 5% tetramethylammonium hydroxide at 50 °C. With metal evaporation, multilayers consisting of amorphous oxides Al2O3 and Ta2O5 can be tailored for use in 2D lift-off processing, in preparation of embedded sub-100 nm metal lines and for multilevel electrical contacts. Good pattern transfer was achieved by lift-off process from the 2D hard mask for micro- and nano-scaled fabrication. As a demonstration of the applicability of this method to 3D structures, self-supporting 3D Ta2O5 masks were made from a film stack on gold particles. Finally, thin film resistors were fabricated by utilizing controlled stiction of suspended Ta2O5 structures.

  5. X-ray lithography masking

    NASA Technical Reports Server (NTRS)

    Smith, Henry I. (Inventor); Lim, Michael (Inventor); Carter, James (Inventor); Schattenburg, Mark (Inventor)

    1998-01-01

    X-ray masking apparatus includes a frame having a supporting rim surrounding an x-ray transparent region, a thin membrane of hard inorganic x-ray transparent material attached at its periphery to the supporting rim covering the x-ray transparent region and a layer of x-ray opaque material on the thin membrane inside the x-ray transparent region arranged in a pattern to selectively transmit x-ray energy entering the x-ray transparent region through the membrane to a predetermined image plane separated from the layer by the thin membrane. A method of making the masking apparatus includes depositing back and front layers of hard inorganic x-ray transparent material on front and back surfaces of a substrate, depositing back and front layers of reinforcing material on the back and front layers, respectively, of the hard inorganic x-ray transparent material, removing the material including at least a portion of the substrate and the back layers of an inside region adjacent to the front layer of hard inorganic x-ray transparent material, removing a portion of the front layer of reinforcing material opposite the inside region to expose the surface of the front layer of hard inorganic x-ray transparent material separated from the inside region by the latter front layer, and depositing a layer of x-ray opaque material on the surface of the latter front layer adjacent to the inside region.

  6. Designs and Materials for Better Coronagraph Occulting Masks

    NASA Technical Reports Server (NTRS)

    Balasubramanian, Kunjithapatham

    2010-01-01

    New designs, and materials appropriate for such designs, are under investigation in an effort to develop coronagraph occulting masks having broad-band spectral characteristics superior to those currently employed. These designs and materials are applicable to all coronagraphs, both ground-based and spaceborne. This effort also offers potential benefits for the development of other optical masks and filters that are required (1) for precisely tailored spatial transmission profiles, (2) to be characterized by optical-density neutrality and phase neutrality (that is, to be characterized by constant optical density and constant phase over broad wavelength ranges), and/or (3) not to exhibit optical- density-dependent phase shifts. The need for this effort arises for the following reasons: Coronagraph occulting masks are required to impose, on beams of light transmitted through them, extremely precise control of amplitude and phase according to carefully designed transmission profiles. In the original application that gave rise to this effort, the concern has been to develop broad-band occulting masks for NASA s Terrestrial Planet Finder coronagraph. Until now, experimental samples of these masks have been made from high-energy-beam-sensitive (HEBS) glass, which becomes locally dark where irradiated with a high-energy electron beam, the amount of darkening depending on the electron-beam energy and dose. Precise mask profiles have been written on HEBS glass blanks by use of electron beams, and the masks have performed satisfactorily in monochromatic light. However, the optical-density and phase profiles of the HEBS masks vary significantly with wavelength; consequently, the HEBS masks perform unsatisfactorily in broad-band light. The key properties of materials to be used in coronagraph occulting masks are their extinction coefficients, their indices of refraction, and the variations of these parameters with wavelength. The effort thus far has included theoretical

  7. Effects of immobilization mask material on surface dose

    PubMed Central

    Hadley, Scott W.; Kelly, Robin; Lam, Kwok

    2005-01-01

    This work investigates the increase in surface dose caused by thermoplastic masks used for patient positioning and immobilization. A thermoplastic mask is custom fit by stretching a heated mask over the patient at the time of treatment simulation. This mask is then used at treatment to increase the reproducibility of the patient position. The skin sparing effect of mega‐voltage X‐ray beams can be reduced when the patient's skin surface is under the mask material. The sheet of thermoplastic mask has holes to reduce this effect and is available from one manufacturer with two different sizes of holes, one larger than the other. This work investigates the increase in surface dose caused by the mask material and quantifies the difference between the two samples of masks available. The change in the dose buildup was measured using an Attix parallel plate chamber by measuring tissue maximum ratios (TMRs) using solid water. Measurements were made with and without the mask material on the surface of the solid water for 6‐MV and 15‐MV X‐ray beams. The effective thickness of equivalent water was estimated from the TMR curves, and the increase in surface dose was estimated. The buildup effect was measured to be equivalent to 2.2 mm to 0.6 mm for masks that have been stretched by different amounts. The surface dose was estimated to change from 16% and 12% for 6 MV and 15 MV, respectively, to 27% to 61% for 6 MV and 18% to 40% for 15 MV with the mask samples. PACS number: 87.53.Dq PMID:15770192

  8. Effect of facial material softness and applied force on face mask dead volume, face mask seal, and inhaled corticosteroid delivery through an idealized infant replica.

    PubMed

    Carrigy, Nicholas B; O'Reilly, Connor; Schmitt, James; Noga, Michelle; Finlay, Warren H

    2014-08-01

    During the aerosol delivery device design and optimization process, in vitro lung dose (LD) measurements are often performed using soft face models, which may provide a more clinically relevant representation of face mask dead volume (MDV) and face mask seal (FMS) than hard face models. However, a comparison of MDV, FMS, and LD for hard and soft face models is lacking. Metal, silicone, and polyurethane represented hard, soft, and very soft facial materials, respectively. MDV was measured using a water displacement technique. FMS was measured using a valved holding chamber (VHC) flow rate technique. The LD of beclomethasone dipropionate (BDP) delivered via a 100-μg Qvar® pressurized metered dose inhaler with AeroChamber Plus® Flow-Vu® VHC and Small Mask, defined as that which passes through the nasal airways of the idealized infant geometry, was measured using a bias tidal flow system with a filter. MDV, FMS, and LD were measured at 1.5 lb and 3.5 lb of applied force. A mathematical model was used to predict LD based on experimental measurements of MDV and FMS. Experimental BDP LD measurements for ABS, silicone, and polyurethane at 1.5 lb were 0.9 (0.6) μg, 2.4 (1.9) μg, and 19.3 (0.9) μg, respectively. At 3.5 lb, the respective LD was 10.0 (1.5) μg, 13.8 (1.4) μg, and 14.2 (0.9) μg. Parametric analysis with the mathematical model showed that differences in FMS between face models had a greater impact on LD than differences in MDV. The use of soft face models resulted in higher LD than hard face models, with a greater difference at 1.5 lb than at 3.5 lb. A lack of a FMS led to decreased dose consistency; therefore, a sealant should be used when measuring LD with a hard ABS or soft silicone face model at 1.5 lb of applied force or less.

  9. Optimization of plasma etching of SiO2 as hard mask for HgCdTe dry etching

    NASA Astrophysics Data System (ADS)

    Chen, Yiyu; Ye, Zhenhua; Sun, Changhong; Zhang, Shan; Xin, Wen; Hu, Xiaoning; Ding, Ruijun; He, Li

    2016-10-01

    HgCdTe is one of the dominating materials for infrared detection. To pattern this material, our group has proven the feasibility of SiO2 as a hard mask in dry etching process. In recent years, the SiO2 mask patterned by plasma with an auto-stopping layer of ZnS sandwiched between HgCdTe and SiO2 has been developed by our group. In this article, we will report the optimization of SiO2 etching on HgCdTe. The etching of SiO2 is very mature nowadays. Multiple etching recipes with deferent gas mixtures can be used. We utilized a recipe containing Ar and CHF3. With strictly controlled photolithography, the high aspect-ratio profile of SiO2 was firstly achieved on GaAs substrate. However, the same recipe could not work well on MCT because of the low thermal conductivity of HgCdTe and CdTe, resulting in overheated and deteriorated photoresist. By decreasing the temperature, the photoresist maintained its good profile. A starting table temperature around -5°C worked well enough. And a steep profile was achieved as checked by the SEM. Further decreasing of temperature introduced profile with beveled corner. The process window of the temperature is around 10°C. Reproducibility and uniformity were also confirmed for this recipe.

  10. High aspect ratio nano-fabrication of photonic crystal structures on glass wafers using chrome as hard mask.

    PubMed

    Hossain, Md Nazmul; Justice, John; Lovera, Pierre; McCarthy, Brendan; O'Riordan, Alan; Corbett, Brian

    2014-09-05

    Wafer-scale nano-fabrication of silicon nitride (Si x N y ) photonic crystal (PhC) structures on glass (quartz) substrates is demonstrated using a thin (30 nm) chromium (Cr) layer as the hard mask for transferring the electron beam lithography (EBL) defined resist patterns. The use of the thin Cr layer not only solves the charging effect during the EBL on the insulating substrate, but also facilitates high aspect ratio PhCs by acting as a hard mask while deep etching into the Si x N y . A very high aspect ratio of 10:1 on a 60 nm wide grating structure has been achieved while preserving the quality of the flat top of the narrow lines. The presented nano-fabrication method provides PhC structures necessary for a high quality optical response. Finally, we fabricated a refractive index based PhC sensor which shows a sensitivity of 185 nm per RIU.

  11. Advanced refractory-metal and process technology for the fabrication of x-ray masks

    NASA Astrophysics Data System (ADS)

    Brooks, Cameron J.; Racette, Kenneth C.; Lercel, Michael J.; Powers, Lynn A.; Benoit, Douglas E.

    1999-06-01

    This paper provides an in-depth report of the advanced materials and process technology being developed for x-ray mask manufacturing at IBM. Masks using diamond membranes as replacement for silicon carbide are currently being fabricated. Alternate tantalum-based absorbers, such as tantalum boron, which offer improved etch resolution and critical dimension control, as well as higher x-ray absorption, are also being investigated. In addition to the absorber studies, the development of conductive chromium- based hard-mask films to replace the current silicon oxynitride layer is being explored. The progress of this advanced-materials work, which includes significant enhancements to x-ray mask image-placement performance, will be outlined.

  12. Suboxide/subnitride formation on Ta masks during magnetic material etching by reactive plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Hu; Muraki, Yu; Karahashi, Kazuhiro

    2015-07-15

    Etching characteristics of tantalum (Ta) masks used in magnetoresistive random-access memory etching processes by carbon monoxide and ammonium (CO/NH{sub 3}) or methanol (CH{sub 3}OH) plasmas have been examined by mass-selected ion beam experiments with in-situ surface analyses. It has been suggested in earlier studies that etching of magnetic materials, i.e., Fe, Ni, Co, and their alloys, by such plasmas is mostly due to physical sputtering and etch selectivity of the process arises from etch resistance (i.e., low-sputtering yield) of the hard mask materials such as Ta. In this study, it is shown that, during Ta etching by energetic CO{sup +}more » or N{sup +} ions, suboxides or subnitrides are formed on the Ta surface, which reduces the apparent sputtering yield of Ta. It is also shown that the sputtering yield of Ta by energetic CO{sup +} or N{sup +} ions has a strong dependence on the angle of ion incidence, which suggests a correlation between the sputtering yield and the oxidation states of Ta in the suboxide or subnitride; the higher the oxidation state of Ta, the lower is the sputtering yield. These data account for the observed etch selectivity by CO/NH{sub 3} and CH{sub 3}OH plasmas.« less

  13. Contrast ratio and masking ability of three ceramic veneering materials.

    PubMed

    Shono, N N; Al Nahedh, H N A

    2012-01-01

    Porcelain veneer materials are translucent and are therefore affected by their thickness as well as the color of the underlying substructure, which limits their masking ability and compromises the esthetic result in heavily stained teeth. The purpose of this study was to compare the contrast ratio (CR) and masking ability of three different veneering ceramics with two thicknesses by measuring the color differences over white and black backgrounds. Correlations between CR and masking ability of these veneering ceramics were evaluated. A total of 30 disc-shaped specimens (12 mm diameter × 1.0 mm or 1.5 mm) were fabricated in shade A2 from three types of all-ceramic systems: IPS e.max Press (IPSe; Ivoclar Vivadent, Schaan, Liechtensein), Vita VM7 (VM7; VITA Zahnfabrik, Bad Säckingen, Germany), and Nobel Rondo Press Alumina: Solo (NRPA; Nobel Biocare, Zürich-Flughafen, Switzerland). The CR, defined as the ratio of illuminance (Y) of the test material when placed on the black background (Yb) to the illuminance of the same material when placed over a white background (Yw), was determined (CR=Yb/Yw). The color (CIE L*a*b*) and Y of each specimen were measured over standard white and black tiles using a spectrophotometer (ColorEye 7000 A, Model C6, GretagMacbeth, New Windsor, NY, USA). Masking abilities of the specimens were determined by measuring the color difference (ΔE) over white and black backgrounds. Both CR and ΔE data were analyzed using two-way analysis of variance (ANOVA). One-way ANOVA was used to compare the mean values of CR across the three materials followed by the Duncan multiple comparison test. The correlations between CR and ΔE were determined by comparing R(2) values obtained from a linear regression analysis. A Student t-test for independent samples was used to compare the mean contrast ratio and ΔE values for the two thicknesses. CR values of NRPA were significantly less than those of IPSe and VM7, and the CR of IPSe was higher than that of VM

  14. Group electronegativity for prediction of materials hardness.

    PubMed

    Li, Keyan; Yang, Peng; Niu, Lingxiao; Xue, Dongfeng

    2012-06-28

    We have developed a method to predict the hardness of materials containing ultrastrong anionic polyhedra, dense atomic clusters, and layers stacked through van der Waals bonds on the basis of group electronegativity. By considering these polyhedra, clusters, and layers as groups that behave as rigid unities like superatoms bonding to other atoms or groups, the hardness values of materials such as oxysalts, T-carbon, and graphite were quantitatively calculated, and the results are consistent with the available experiments. We found that the hardness of materials containing these artificial groups is determined by the bonds between the groups and other atoms or groups, rather than by the weakest bonds. This work sheds light on the nature of materials hardness and the design of novel inorganic crystal materials.

  15. Spin-on metal oxide materials for N7 and beyond patterning applications

    NASA Astrophysics Data System (ADS)

    Mannaert, G.; Altamirano-Sanchez, E.; Hopf, T.; Sebaai, F.; Lorant, C.; Petermann, C.; Hong, S.-E.; Mullen, S.; Wolfer, E.; Mckenzie, D.; Yao, H.; Rahman, D.; Cho, J.-Y.; Padmanaban, M.; Piumi, D.

    2017-04-01

    There is a growing interest in new spin on metal oxide hard mask materials for advanced patterning solutions both in BEOL and FEOL processing. Understanding how these materials respond to plasma conditions may create a competitive advantage. In this study patterning development was done for two challenging FEOL applications where the traditional Si based films were replaced by EMD spin on metal oxides, which acted as highly selective hard masks. The biggest advantage of metal oxide hard masks for advanced patterning lays in the process window improvement at lower or similar cost compared to other existing solutions.

  16. Large Coded Aperture Mask for Spaceflight Hard X-ray Images

    NASA Technical Reports Server (NTRS)

    Vigneau, Danielle N.; Robinson, David W.

    2002-01-01

    The 2.6 square meter coded aperture mask is a vital part of the Burst Alert Telescope on the Swift mission. A random, but known pattern of more than 50,000 lead tiles, each 5 mm square, was bonded to a large honeycomb panel which projects a shadow on the detector array during a gamma ray burst. A two-year development process was necessary to explore ideas, apply techniques, and finalize procedures to meet the strict requirements for the coded aperture mask. Challenges included finding a honeycomb substrate with minimal gamma ray attenuation, selecting an adhesive with adequate bond strength to hold the tiles in place but soft enough to allow the tiles to expand and contract without distorting the panel under large temperature gradients, and eliminating excess adhesive from all untiled areas. The largest challenge was to find an efficient way to bond the > 50,000 lead tiles to the panel with positional tolerances measured in microns. In order to generate the desired bondline, adhesive was applied and allowed to cure to each tile. The pre-cured tiles were located in a tool to maintain positional accuracy, wet adhesive was applied to the panel, and it was lowered to the tile surface with synchronized actuators. Using this procedure, the entire tile pattern was transferred to the large honeycomb panel in a single bond. The pressure for the bond was achieved by enclosing the entire system in a vacuum bag. Thermal vacuum and acoustic tests validated this approach. This paper discusses the methods, materials, and techniques used to fabricate this very large and unique coded aperture mask for the Swift mission.

  17. Modeling of intense pulsed ion beam heated masked targets for extreme materials characterization

    NASA Astrophysics Data System (ADS)

    Barnard, John J.; Schenkel, Thomas

    2017-11-01

    Intense, pulsed ion beams locally heat materials and deliver dense electronic excitations that can induce material modifications and phase transitions. Material properties can potentially be stabilized by rapid quenching. Pulsed ion beams with pulse lengths of order ns have recently become available for materials processing. Here, we optimize mask geometries for local modification of materials by intense ion pulses. The goal is to rapidly excite targets volumetrically to the point where a phase transition or local lattice reconstruction is induced followed by rapid cooling that stabilizes desired material's properties fast enough before the target is altered or damaged by, e.g., hydrodynamic expansion. By using a mask, the longitudinal dimension can be large compared to the transverse dimension, allowing the possibility of rapid transverse cooling. We performed HYDRA simulations that calculate peak temperatures for a series of excitation conditions and cooling rates of silicon targets with micro-structured masks and compare these to a simple analytical model. The model gives scaling laws that can guide the design of targets over a wide range of pulsed ion beam parameters.

  18. Mechanical-plowing-based high-speed patterning on hard material via advanced-control and ultrasonic probe vibration.

    PubMed

    Wang, Zhihua; Tan, Jun; Zou, Qingze; Jiang, Wei

    2013-11-01

    In this paper, we present a high-speed direct pattern fabrication on hard materials (e.g., a tungsten-coated quartz substrate) via mechanical plowing. Compared to other probe-based nanolithography techniques based on chemical- and/or physical-reactions (e.g., the Dip-pen technique), mechanical plowing is meritorious for its low cost, ease of process control, and capability of working with a wide variety of materials beyond conductive and/or soft materials. However, direct patterning on hard material faces two daunting challenges. First, the patterning throughput is ultimately hindered by the "writing" (plowing) speed, which, in turn, is limited by the adverse effects that can be excited/induced during high-speed, and/or large-range plowing, including the vibrational dynamics of the actuation system (the piezoelectric actuator, the cantilever, and the mechanical fixture connecting the cantilever to the actuator), the dynamic cross-axis coupling between different axes of motion, and the hysteresis and the drift effects related to the piezoelectric actuators. Secondly, it is very challenging to directly pattern on ultra-hard materials via plowing. Even with a diamond probe, the line depth of the pattern via continuous plowing on ultra-hard materials such as tungsten, is still rather small (<0.5 nm), particularly when the "writing" speed becomes high. To overcome these two challenges, we propose to utilize a novel iterative learning control technique to achieve precision tracking of the desired pattern during high-speed, large-range plowing, and introduce ultrasonic vibration of the probe in the normal (vertical) direction during the plowing process to enable direct patterning on ultra hard materials. The proposed approach was implemented to directly fabricate patterns on a mask with tungsten coating and quartz substrate. The experimental results demonstrated that a large-size pattern of four grooves (20 μm in length with 300 nm spacing between lines) can be

  19. Theoretical model of hardness anisotropy in brittle materials

    NASA Astrophysics Data System (ADS)

    Gao, Faming

    2012-07-01

    Anisotropy is prominent in the hardness test of single crystals. However, the anisotropic nature is not demonstrated quantitatively in previous hardness model. In this work, it is found that the electron transition energy per unit volume in the glide region and the orientation of glide region play critical roles in determining hardness value and hardness anisotropy for a single crystal material. We express the mathematical definition of hardness anisotropy through simple algebraic relations. The calculated Knoop hardnesses of the single crystals are in good agreement with observations. This theory, extended to polycrystalline materials by including hall-petch effect and quantum size effect, predicts that the polycrystalline diamond with low angle grain boundaries can be harder than single-crystal bulk diamond. Combining first-principles technique and the formula of hardness anisotropy the hardness of monoclinic M-carbon, orthorhombic W-carbon, Z-carbon, and T-carbon are predicted.

  20. Modeling of intense pulsed ion beam heated masked targets for extreme materials characterization

    DOE PAGES

    Barnard, John J.; Schenkel, Thomas

    2017-11-15

    Intense, pulsed ion beams locally heat materials and deliver dense electronic excitations that can induce material modifications and phase transitions. Material properties can potentially be stabilized by rapid quenching. Pulsed ion beams with pulse lengths of order ns have recently become available for materials processing. Here, we optimize mask geometries for local modification of materials by intense ion pulses. The goal is to rapidly excite targets volumetrically to the point where a phase transition or local lattice reconstruction is induced followed by rapid cooling that stabilizes desired material's properties fast enough before the target is altered or damaged by, e.g.,more » hydrodynamic expansion. By using a mask, the longitudinal dimension can be large compared to the transverse dimension, allowing the possibility of rapid transverse cooling. We performed HYDRA simulations that calculate peak temperatures for a series of excitation conditions and cooling rates of silicon targets with micro-structured masks and compare these to a simple analytical model. In conclusion, the model gives scaling laws that can guide the design of targets over a wide range of pulsed ion beam parameters.« less

  1. Fabrication of triangular nanobeam waveguide networks in bulk diamond using single-crystal silicon hard masks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bayn, I.; Mouradian, S.; Li, L.

    2014-11-24

    A scalable approach for integrated photonic networks in single-crystal diamond using triangular etching of bulk samples is presented. We describe designs of high quality factor (Q = 2.51 × 10{sup 6}) photonic crystal cavities with low mode volume (V{sub m} = 1.062 × (λ/n){sup 3}), which are connected via waveguides supported by suspension structures with predicted transmission loss of only 0.05 dB. We demonstrate the fabrication of these structures using transferred single-crystal silicon hard masks and angular dry etching, yielding photonic crystal cavities in the visible spectrum with measured quality factors in excess of Q = 3 × 10{sup 3}.

  2. Preparation of orally disintegrating tablets with taste-masking function: masking effect in granules prepared with correctives using the dry granulation method and evaluation of tablets prepared using the taste-masked granules.

    PubMed

    Kawano, Yayoi; Ito, Akihiko; Sasatsu, Masanaho; Machida, Yoshiharu

    2010-01-01

    We investigated several methods of taste masking in the preparation of orally disintegrating tablets (ODTs), using furosemide (FU) as a model drug. Four types of FU preparations were prepared: granules with maltitol (MA), granules with yogurt powder (YO), a physical mixture of FU and MA, and a physical mixture of FU and YO. All taste-masking granules were prepared using the dry granulation method. The taste of each type of preparation was evaluated. All four preparations markedly improved the taste of the FU tablets, but the mixing ratios of the correctives did not affect the masking effect. No difference in masking effect was found between MA and YO in the physical mixtures, but the masking effect in the granules with YO was superior to that of the granules with MA. Taste-masked FU tablets were prepared using the direct compression method; crystalline cellulose (Avicel PH-302) and mannitol were added as excipients at the mixing ratio of 1/1. All four types of tablets displayed sufficient hardness, but MA-containing tablets were harder than YO-containing tablets. The hardness of the tablets prepared from YO granules increased as the YO content increased. The most rapidly disintegrating tablets were those of YO granules prepared at a mixing ratio of FU/YO=1/1, which disintegrated within 20 s, followed by the tablets of MA granules prepared at a mixing ratio of FU/MA=1/1. The disintegration times of the tablets made from physical mixtures, in contrast, were longer than 200 s. Disintegration time lengthened as the mixing ratio of YO or MA increased. The hardness and disintegration time of these tablets could be controlled by varying the compression pressure. We found that YO is more useful than MA in masking unpleasant tastes and confirmed that orally disintegrating tablets with taste-masking function can be prepared using granules of YO prepared using the dry granulation method as a new corrective.

  3. Ultrafast laser direct hard-mask writing for high efficiency c-Si texture designs

    NASA Astrophysics Data System (ADS)

    Kumar, Kitty; Lee, Kenneth K. C.; Nogami, Jun; Herman, Peter R.; Kherani, Nazir P.

    2013-03-01

    This study reports a high-resolution hard-mask laser writing technique to facilitate the selective etching of crystalline silicon (c-Si) into an inverted-pyramidal texture with feature size and periodicity on the order of the wavelength which, thus, provides for both anti-reflection and effective light-trapping of infrared and visible light. The process also enables engineered positional placement of the inverted-pyramid thereby providing another parameter for optimal design of an optically efficient pattern. The proposed technique, a non-cleanroom process, is scalable for large area micro-fabrication of high-efficiency thin c-Si photovoltaics. Optical wave simulations suggest the fabricated textured surface with 1.3 μm inverted-pyramids and a single anti-reflective coating increases the relative energy conversion efficiency by 11% compared to the PERL-cell texture with 9 μm inverted pyramids on a 400 μm thick wafer. This efficiency gain is anticipated to improve further for thinner wafers due to enhanced diffractive light trapping effects.

  4. Spin-on metal oxide materials with high etch selectivity and wet strippability

    NASA Astrophysics Data System (ADS)

    Yao, Huirong; Mullen, Salem; Wolfer, Elizabeth; McKenzie, Douglas; Rahman, Dalil; Cho, JoonYeon; Padmanaban, Munirathna; Petermann, Claire; Hong, SungEun; Her, YoungJun

    2016-03-01

    Metal oxide or metal nitride films are used as hard mask materials in semiconductor industry for patterning purposes due to their excellent etch resistances against the plasma etches. Chemical vapor deposition (CVD) or atomic layer deposition (ALD) techniques are usually used to deposit the metal containing materials on substrates or underlying films, which uses specialized equipment and can lead to high cost-of-ownership and low throughput. We have reported novel spin-on coatings that provide simple and cost effective method to generate metal oxide films possessing good etch selectivity and can be removed by chemical agents. In this paper, new spin-on Al oxide and Zr oxide hard mask formulations are reported. The new metal oxide formulations provide higher metal content compared to previously reported material of specific metal oxides under similar processing conditions. These metal oxide films demonstrate ultra-high etch selectivity and good pattern transfer capability. The cured films can be removed by various chemical agents such as developer, solvents or wet etchants/strippers commonly used in the fab environment. With high metal MHM material as an underlayer, the pattern transfer process is simplified by reducing the number of layers in the stack and the size of the nano structure is minimized by replacement of a thicker film ACL. Therefore, these novel AZ® spinon metal oxide hard mask materials can potentially be used to replace any CVD or ALD metal, metal oxide, metal nitride or spin-on silicon-containing hard mask films in 193 nm or EUV process.

  5. Clean induced feature CD shift of EUV mask

    NASA Astrophysics Data System (ADS)

    Nesládek, Pavel; Schedel, Thorsten; Bender, Markus

    2016-05-01

    considering, that the tools for CD measurement at the EUV mask are identical as for optical mask. There is one aspect influencing the CD shift, which demands attention. The mask composition of the EUV mask is significantly different from the optical mask. More precisely there are 2 materials influencing the estimated CD in case of EUV mask, whereas there is one material only in case of optical masks, in first approximation. For optical masks, the CD changes can be attributed to modification of the absorber/ARC layer, as the quartz substrate can be hardly modified by the wet process. For EUV Masks chemical modification of the Ru capping layer - thinning, oxidization etc. are rather more probable and we need to take into account, how this effects can influence the CD measurement process. CD changes measured can be interpreted as either change in the feature size, or modification of the chemical nature of both absorber/ARC layer stack and the Ru capping layer. In our work we try to separate the effect of absorber and Ru/capping layer on the CD shift observed and propose independent way of estimation both parameters.

  6. Correlation of impression removal force with elastomeric impression material rigidity and hardness.

    PubMed

    Walker, Mary P; Alderman, Nick; Petrie, Cynthia S; Melander, Jennifer; McGuire, Jacob

    2013-07-01

    Difficult impression removal has been linked to high rigidity and hardness of elastomeric impression materials. In response to this concern, manufacturers have reformulated their materials to reduce rigidity and hardness to decrease removal difficulty; however, the relationship between impression removal and rigidity or hardness has not been evaluated. The purpose of this study was to determine if there is a positive correlation between impression removal difficulty and rigidity or hardness of current elastomeric impression materials. Light- and medium-body polyether (PE), vinylpolysiloxane (VPS), and hybrid vinyl polyether siloxane (VPES) impression materials were tested (n = 5 for each material/consistency/test method). Rigidity (elastic modulus) was measured via tensile testing of dumbbell-shaped specimens (Die C, ASTM D412). Shore A hardness was measured using disc specimens according to ASTM D2240-05 test specifications. Impressions were also made of a custom stainless steel model using a custom metal tray that could be attached to a universal tester to measure associated removal force. Within each impression material consistency, one-factor ANOVA and Tukey's post hoc analyses (α = 0.05) were used to compare rigidity, hardness, and removal force of the three types of impression materials. A Pearson's correlation (α = 0.05) was used to evaluate the association between impression removal force and rigidity or hardness. With medium-body materials, VPS exhibited significantly higher (p ≤ 0.05) rigidity and hardness than VPES or PE, while PE impressions required significantly higher (p ≤ 0.05) removal force than VPS or VPES impressions. With light-body materials, VPS again demonstrated significantly higher (p ≤ 0.05) hardness than VPES or PE, while the rigidity of the light-body materials did not significantly differ between materials (p > 0.05); however, just as with the medium-body materials, light-body PE impressions required significantly higher (p

  7. Effect of Three Different Core Materials on Masking Ability of a Zirconia Ceramic.

    PubMed

    Tabatabaian, Farhad; Masoomi, Faeze; Namdari, Mahshid; Mahshid, Minoo

    2016-09-01

    Masking ability of a restorative material plays a role in hiding colored substructures; however, the masking ability of zirconia ceramic (ZRC) has not yet been clearly understood in zirconia-based restorations. This study evaluated the effect of three different core materials on masking ability of a ZRC. Ten zirconia disc samples, 0.5mm in thickness and 10mm in diameter, were fabricated. A white (W) substrate (control) and three substrates of nickel-chromium alloy (NCA), non-precious gold alloy (NPGA), and ZRC were prepared. The zirconia discs were placed on the four types of substrates for spectrophotometry. The L*, a*, and b* values of the specimens were measured by a spectrophotometer and color change (ΔE) values were calculated to determine color differences between the test and control groups and were then compared with the perceptual threshold. Randomized block ANOVA and Bonferroni test analyzed the data. A significance level of 0.05 was considered. The mean and standard deviation values of ΔE for NCA, NPGA, and ZRC groups were 10.26±2.43, 9.45±1.74, and 6.70±1.91 units, respectively. Significant differences were found in the ΔE values between ZRC and the other two experimental groups (NCA and NPGA; P<0.0001 and P=0.001, respectively). The ΔE values for the groups were more than the predetermined perceptual threshold. Within the limitations of this study, it was concluded that the tested ZRC could not well mask the examined core materials.

  8. Nanoimprint wafer and mask tool progress and status for high volume semiconductor manufacturing

    NASA Astrophysics Data System (ADS)

    Matsuoka, Yoichi; Seki, Junichi; Nakayama, Takahiro; Nakagawa, Kazuki; Azuma, Hisanobu; Yamamoto, Kiyohito; Sato, Chiaki; Sakai, Fumio; Takabayashi, Yukio; Aghili, Ali; Mizuno, Makoto; Choi, Jin; Jones, Chris E.

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash* Imprint Lithography (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. On the mask side, a new replication tool, the FPA-1100 NR2 is introduced. Mask replication is required for nanoimprint lithography (NIL), and criteria that are crucial to the success of a replication platform include both particle control, resolution and image placement accuracy. In this paper we discuss the progress made in both feature resolution and in meeting the image placement specification for replica masks.

  9. Performance of an Achromatic Focal Plane Mask for Exoplanet Imaging Coronagraphy

    NASA Technical Reports Server (NTRS)

    Newman, Kevin; Belikov, Ruslan; Pluzhnik, Eugene; Balasubramanian, Kunjithapatham; Wilson, Dan

    2014-01-01

    Coronagraph technology combined with wavefront control is close to achieving the contrast and inner working angle requirements in the lab necessary to observe the faint signal of an Earth-like exoplanet in monochromatic light. An important remaining technological challenge is to achieve high contrast in broadband light. Coronagraph bandwidth is largely limited by chromaticity of the focal plane mask, which is responsible for blocking the stellar PSF. The size of a stellar PSF scales linearly with wavelength; ideally, the size of the focal plane mask would also scale with wavelength. A conventional hard-edge focal plane mask has a fixed size, normally sized for the longest wavelength in the observational band to avoid starlight leakage. The conventional mask is oversized for shorter wavelengths and blocks useful discovery space. Recently we presented a solution to the size chromaticity challenge with a focal plane mask designed to scale its effective size with wavelength. In this paper, we analyze performance of the achromatic size-scaling focal plane mask within a Phase Induced Amplitude Apodization (PIAA) coronagraph. We present results from wavefront control around the achromatic focal plane mask, and demonstrate the size-scaling effect of the mask with wavelength. The edge of the dark zone, and therefore the inner working angle of the coronagraph, scale with wavelength. The achromatic mask enables operation in a wider band of wavelengths compared with a conventional hard-edge occulter.

  10. Masks: The Artist in Me

    ERIC Educational Resources Information Center

    Skophammer, Karen

    2009-01-01

    Whether masks are made from cardboard, papier-mache, metal, wood, leather, fabric, clay or any combination of these materials, they bring out the artist in people. Young children like to wear masks when they play to pretend they were another person or animal. Masks let them fantasize and be creative. The author's students made masks representing…

  11. Patterning of light-extraction nanostructures on sapphire substrates using nanoimprint and ICP etching with different masking materials.

    PubMed

    Chen, Hao; Zhang, Qi; Chou, Stephen Y

    2015-02-27

    Sapphire nanopatterning is the key solution to GaN light emitting diode (LED) light extraction. One challenge is to etch deep nanostructures with a vertical sidewall in sapphire. Here, we report a study of the effects of two masking materials (SiO2 and Cr) and different etching recipes (the reaction gas ratio, the reaction pressure and the inductive power) in a chlorine-based (BCl3 and Cl2) inductively coupled plasma (ICP) etching of deep nanopillars in sapphire, and the etching process optimization. The masking materials were patterned by nanoimprinting. We have achieved high aspect ratio sapphire nanopillar arrays with a much steeper sidewall than the previous etching methods. We discover that the SiO2 mask has much slower erosion rate than the Cr mask under the same etching condition, leading to the deep cylinder-shaped nanopillars (122 nm diameter, 200 nm pitch, 170 nm high, flat top, and a vertical sidewall of 80° angle), rather than the pyramid-shaped shallow pillars (200 nm based diameter, 52 nm height, and 42° sidewall) resulted by using Cr mask. The processes developed are scalable to large volume LED manufacturing.

  12. Using synchrotron light to accelerate EUV resist and mask materials learning

    NASA Astrophysics Data System (ADS)

    Naulleau, Patrick; Anderson, Christopher N.; Baclea-an, Lorie-Mae; Denham, Paul; George, Simi; Goldberg, Kenneth A.; Jones, Gideon; McClinton, Brittany; Miyakawa, Ryan; Mochi, Iacopo; Montgomery, Warren; Rekawa, Seno; Wallow, Tom

    2011-03-01

    As commercialization of extreme ultraviolet lithography (EUVL) progresses, direct industry activities are being focused on near term concerns. The question of long term extendibility of EUVL, however, remains crucial given the magnitude of the investments yet required to make EUVL a reality. Extendibility questions are best addressed using advanced research tools such as the SEMATECH Berkeley microfield exposure tool (MET) and actinic inspection tool (AIT). Utilizing Lawrence Berkeley National Laboratory's Advanced Light Source facility as the light source, these tools benefit from the unique properties of synchrotron light enabling research at nodes generations ahead of what is possible with commercial tools. The MET for example uses extremely bright undulator radiation to enable a lossless fully programmable coherence illuminator. Using such a system, resolution enhancing illuminations achieving k1 factors of 0.25 can readily be attained. Given the MET numerical aperture of 0.3, this translates to an ultimate resolution capability of 12 nm. Using such methods, the SEMATECH Berkeley MET has demonstrated resolution in resist to 16-nm half pitch and below in an imageable spin-on hard mask. At a half pitch of 16 nm, this material achieves a line-edge roughness of 2 nm with a correlation length of 6 nm. These new results demonstrate that the observed stall in ultimate resolution progress in chemically amplified resists is a materials issue rather than a tool limitation. With a resolution limit of 20-22 nm, the CAR champion from 2008 remains as the highest performing CAR tested to date. To enable continued advanced learning in EUV resists, SEMATECH has initiated a plan to implement a 0.5 NA microfield tool at the Advanced Light Source synchrotron facility. This tool will be capable of printing down to 8-nm half pitch.

  13. Advances in molecular dynamics simulation of ultra-precision machining of hard and brittle materials

    NASA Astrophysics Data System (ADS)

    Guo, Xiaoguang; Li, Qiang; Liu, Tao; Kang, Renke; Jin, Zhuji; Guo, Dongming

    2017-03-01

    Hard and brittle materials, such as silicon, SiC, and optical glasses, are widely used in aerospace, military, integrated circuit, and other fields because of their excellent physical and chemical properties. However, these materials display poor machinability because of their hard and brittle properties. Damages such as surface micro-crack and subsurface damage often occur during machining of hard and brittle materials. Ultra-precision machining is widely used in processing hard and brittle materials to obtain nanoscale machining quality. However, the theoretical mechanism underlying this method remains unclear. This paper provides a review of present research on the molecular dynamics simulation of ultra-precision machining of hard and brittle materials. The future trends in this field are also discussed.

  14. The difficult business model for mask equipment makers and mask infrastructure development support from consortia and governments

    NASA Astrophysics Data System (ADS)

    Hector, Scott

    2005-11-01

    The extension of optical projection lithography through immersion to patterning features with half pitch <=65 nm is placing greater demands on the mask. Strong resolution enhancement techniques (RETs), such as embedded and alternating phase shift masks and complex model-based optical proximity correction, are required to compensate for diffraction and limited depth of focus (DOF). To fabricate these masks, many new or upgraded tools are required to write patterns, measure feature sizes and placement, inspect for defects, review defect printability and repair defects on these masks. Beyond the significant technical challenges, suppliers of mask fabrication equipment face the challenge of being profitable in the small market for mask equipment while encountering significant R&D expenses to bring new generations of mask fabrication equipment to market. The total available market for patterned masks is estimated to be $2.5B to $2.9B per year. The patterned mask market is about 20% of the market size for lithography equipment and materials. The total available market for mask-making equipment is estimated to be about $800M per year. The largest R&D affordability issue arises for the makers of equipment for fabricating masks where total available sales are typically less than ten units per year. SEMATECH has used discounted cash flow models to predict the affordable R&D while maintaining industry accepted internal rates of return. The results have been compared to estimates of the total R&D cost to bring a new generation of mask equipment to market for various types of tools. The analysis revealed that affordability of the required R&D is a significant problem for many suppliers of mask-making equipment. Consortia such as SEMATECH and Selete have played an important role in cost sharing selected mask equipment and material development projects. Governments in the United States, in Europe and in Japan have also helped equipment suppliers with support for R&D. This paper

  15. Uniformity Masks Design Method Based on the Shadow Matrix for Coating Materials with Different Condensation Characteristics

    PubMed Central

    2013-01-01

    An intuitionistic method is proposed to design shadow masks to achieve thickness profile control for evaporation coating processes. The proposed method is based on the concept of the shadow matrix, which is a matrix that contains coefficients that build quantitive relations between shape parameters of masks and shadow quantities of substrate directly. By using the shadow matrix, shape parameters of shadow masks could be derived simply by solving a matrix equation. Verification experiments were performed on a special case where coating materials have different condensation characteristics. By using the designed mask pair with complementary shapes, thickness uniformities of better than 98% are demonstrated for MgF2 (m = 1) and LaF3 (m = 0.5) simultaneously on a 280 mm diameter spherical substrate with the radius curvature of 200 mm. PMID:24227996

  16. Coatings on reflective mask substrates

    DOEpatents

    Tong, William Man-Wai; Taylor, John S.; Hector, Scott D.; Mangat, Pawitter J. S.; Stivers, Alan R.; Kofron, Patrick G.; Thompson, Matthew A.

    2002-01-01

    A process for creating a mask substrate involving depositing: 1) a coating on one or both sides of a low thermal expansion material EUVL mask substrate to improve defect inspection, surface finishing, and defect levels; and 2) a high dielectric coating, on the backside to facilitate electrostatic chucking and to correct for any bowing caused by the stress imbalance imparted by either other deposited coatings or the multilayer coating of the mask substrate. An film, such as TaSi, may be deposited on the front side and/or back of the low thermal expansion material before the material coating to balance the stress. The low thermal expansion material with a silicon overlayer and a silicon and/or other conductive underlayer enables improved defect inspection and stress balancing.

  17. Mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Selinidis, Kosta S.; Jones, Chris; Doyle, Gary F.; Brown, Laura; Imhof, Joseph; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2011-11-01

    The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105imprints. This suggests that tens of thousands of templates/masks will be required to satisfy the needs of a manufacturing environment. Electron-beam patterning is too slow to feasibly deliver these volumes, but instead can provide a high quality "master" mask which can be replicated many times with an imprint lithography tool. This strategy has the capability to produce the required supply of "working" templates/masks. In this paper, we review the development of the mask form factor, imprint replication tools and the semiconductor mask replication process. A PerfectaTM MR5000 mask replication tool has been developed specifically to pattern replica masks from an ebeam written master. Performance results, including image placement, critical dimension uniformity, and pattern transfer are covered in detail.

  18. Effects of coating materials on nanoindentation hardness of enamel and adjacent areas.

    PubMed

    Alsayed, Ehab Z; Hariri, Ilnaz; Nakashima, Syozi; Shimada, Yasushi; Bakhsh, Turki A; Tagami, Junji; Sadr, Alireza

    2016-06-01

    Materials that can be applied as thin coatings and actively release fluoride or other bioavailable ions for reinforcing dental hard tissue deserve further investigation. In this study we assessed the potential of resin coating materials in protection of underlying and adjacent enamel against demineralization challenge using nanoindentation. Enamel was coated using Giomer (PRG Barrier Coat, PBC), resin-modified glass-ionomer (Clinpro XT Varnish, CXT), two-step self-etch adhesive (Clearfil SE Protect, SEP) or no coating (control). After 5000 thermal cycles and one-week demineralization challenge, Martens hardness of enamel beneath the coating, uncoated area and intermediate areas was measured using a Berkovich tip under 2mN load up to 200μm depth. Integrated hardness and 10-μm surface zone hardness were compared among groups. Nanoindentation and scanning electron microscopy suggested that all materials effectively prevented demineralization in coated area. Uncoated areas presented different hardness trends; PBC showed a remarkable peak at the surface zone before reaching as low as the control, while CXT showed relatively high hardness values at all depths. Ion-release from coating materials affects different layers of enamel. Coatings with fluoride-releasing glass fillers contributed to reinforcement of adjacent enamel. Surface prereacted glass filler-containing PBC superficially protected neighboring enamel against demineralization, while resin-modified glass-ionomer with calcium (CXT) improved in-depth protection. Cross-sectional hardness mapping of enamel on a wide range of locations revealed minute differences in its structure. Copyright © 2016 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  19. Comparison of hardness of three temporary filling materials cured by two light-curing devices.

    PubMed

    Bodrumlu, E; Koçak, M M; Hazar Bodrumlu, E; Ozcan, S; Koçak, S

    2014-01-01

    Polymerization ability of light-curing devices can affect the light-cured material hardness. The purpose of the present study was to evaluate and compare the hardness of three temporary filling materials that had been light-cured by either a light emitting diode (LED) or a halogen light-curing unit. The temporary filling materials, First Fill, Voco Clip and Bioplic, were placed in wells in a Teflon plate. The 24 specimens of each material were divided into two groups (N.=12/group) for photo-activation by either of the two light-curing units. The LED or halogen device was applied for 40s to the top surface of each specimen. A Knoop hardness test was performed on the top and bottom surface of each specimen, with five measurements per specimen. The highest hardness values for both the LED and halogen treated groups were observed for First Fill and the lowest values were for Voco Clip in top and bottom surfaces. The hardness obtained for the three materials with the halogen unit were significantly higher than the values obtained with the LED unit in both surfaces (P<0.05). First Fill light-cured temporary material exhibited the highest hardness values on the top and bottom surfaces than Voco Clip and Bioplic temporary materials. The hardness of light-cured temporary filling materials can be affected by the type of light-curing unit.

  20. Ductile Binder Phase For Use With Almgb14 And Other Hard Ceramic Materials

    DOEpatents

    Cook, Bruce A.; Russell, Alan; Harringa, Joel

    2005-07-26

    This invention relates to a ductile binder phase for use with AlMgB14 and other hard materials. The ductile binder phase, a cobalt-manganese alloy, is used in appropriate quantities to tailor good hardness and reasonable fracture toughness for hard materials so they can be used suitably in industrial machining and grinding applications.

  1. The material co-construction of hard science fiction and physics

    NASA Astrophysics Data System (ADS)

    Hasse, Cathrine

    2015-12-01

    This article explores the relationship between hard science fiction and physics and a gendered culture of science. Empirical studies indicate that science fiction references might spur some students' interest in physics and help develop this interest throughout school, into a university education and even further later inspire the practice of doing science. There are many kinds of fiction within the science fiction genre. In the presented empirical exploration physics students seem particularly fond of what is called `hard science fiction': a particular type of science fiction dealing with technological developments (Hartwell and Cramer in The hard SF renaissance, Orb/TOR, New York, 2002). Especially hard science fiction as a motivating fantasy may, however, also come with a gender bias. The locally materialized techno-fantasies spurring dreams of the terraforming of planets like Mars and travels in time and space may not be shared by all physics students. Especially female students express a need for other concerns in science. The entanglement of physics with hard science fiction may thus help develop some students' interest in learning school physics and help create an interest for studying physics at university level. But research indicates that especially female students are not captured by the hard techno-fantasies to the same extent as some of their male colleagues. Other visions (e.g. inspired by soft science fiction) are not materialized as a resource in the local educational culture. It calls for an argument of how teaching science is also teaching cultural values, ethics and concerns, which may be gendered. Teaching materials, like the use of hard science fiction in education, may not just be (yet another) gender bias in science education but also carrier of particular visions for scientific endeavours.

  2. Masks For Deposition Of Aspherical Optical Surfaces

    NASA Technical Reports Server (NTRS)

    Rogers, John R.; Martin, John D.

    1992-01-01

    Masks of improved design developed for use in fabrication of aspherical, rotationally symmetrical surfaces of mirrors, lenses, and lens molds by evaporative deposition onto rotating substrates. In deposition chamber, source and mask aligned with axis of rotation of substrate. Mask shadows source of rotating substrate. Azimuthal opening (as function of radius) in mask proportional to desired thickness (as function of radius) to which material deposited on substrate. Combination of improved masks and modern coating chambers provides optical surfaces comparable or superior to those produced by conventional polishing, computer-controlled polishing, replication from polished molds, and diamond turning, at less cost in material, labor, and capital expense.

  3. a New Mask for Automatic Building Detection from High Density Point Cloud Data and Multispectral Imagery

    NASA Astrophysics Data System (ADS)

    Awrangjeb, M.; Siddiqui, F. U.

    2017-11-01

    In complex urban and residential areas, there are buildings which are not only connected with and/or close to one another but also partially occluded by their surrounding vegetation. Moreover, there may be buildings whose roofs are made of transparent materials. In transparent buildings, there are point returns from both the ground (or materials inside the buildings) and the rooftop. These issues confuse the previously proposed building masks which are generated from either ground points or non-ground points. The normalised digital surface model (nDSM) is generated from the non-ground points and usually it is hard to find individual buildings and trees using the nDSM. In contrast, the primary building mask is produced using the ground points, thereby it misses the transparent rooftops. This paper proposes a new building mask based on the non-ground points. The dominant directions of non-ground lines extracted from the multispectral imagery are estimated. A dummy grid with the target mask resolution is rotated at each dominant direction to obtain the corresponding height values from the non-ground points. Three sub-masks are then generated from the height grid by estimating the gradient function. Two of these sub-masks capture planar surfaces whose height remain constant in along and across the dominant direction, respectively. The third sub-mask contains only the flat surfaces where the height (ideally) remains constant in all directions. All the sub-masks generated in all estimated dominant directions are combined to produce the candidate building mask. Although the application of the gradient function helps in removal of most of the vegetation, the final building mask is obtained through removal of planar vegetation, if any, and tiny isolated false candidates. Experimental results on three Australian data sets show that the proposed method can successfully remove vegetation, thereby separate buildings from occluding vegetation and detect buildings with

  4. Nasal mask ventilation is better than face mask ventilation in edentulous patients

    PubMed Central

    Kapoor, Mukul Chandra; Rana, Sandeep; Singh, Arvind Kumar; Vishal, Vindhya; Sikdar, Indranil

    2016-01-01

    Background and Aims: Face mask ventilation of the edentulous patient is often difficult as ineffective seating of the standard mask to the face prevents attainment of an adequate air seal. The efficacy of nasal ventilation in edentulous patients has been cited in case reports but has never been investigated. Material and Methods: Consecutive edentulous adult patients scheduled for surgery under general anesthesia with endotracheal intubation, during a 17-month period, were prospectively evaluated. After induction of anesthesia and administration of neuromuscular blocker, lungs were ventilated with a standard anatomical face mask of appropriate size, using a volume controlled anesthesia ventilator with tidal volume set at 10 ml/kg. In case of inadequate ventilation, the mask position was adjusted to achieve best-fit. Inspired and expired tidal volumes were measured. Thereafter, the face mask was replaced by a nasal mask and after achieving best-fit, the inspired and expired tidal volumes were recorded. The difference in expired tidal volumes and airway pressures at best-fit with the use of the two masks and number of patients with inadequate ventilation with use of the masks were statistically analyzed. Results: A total of 79 edentulous patients were recruited for the study. The difference in expiratory tidal volumes with the use of the two masks at best-fit was statistically significant (P = 0.0017). Despite the best-fit mask placement, adequacy of ventilation could not be achieved in 24.1% patients during face mask ventilation, and 12.7% patients during nasal mask ventilation and the difference was statistically significant. Conclusion: Nasal mask ventilation is more efficient than standard face mask ventilation in edentulous patients. PMID:27625477

  5. Effect of SPM-based cleaning POR on EUV mask performance

    NASA Astrophysics Data System (ADS)

    Choi, Jaehyuck; Lee, Han-shin; Yoon, Jinsang; Shimomura, Takeya; Friz, Alex; Montgomery, Cecilia; Ma, Andy; Goodwin, Frank; Kang, Daehyuk; Chung, Paul; Shin, Inkyun; Cho, H.

    2011-11-01

    EUV masks include many different layers of various materials rarely used in optical masks, and each layer of material has a particular role in enhancing the performance of EUV lithography. Therefore, it is crucial to understand how the mask quality and patterning performance can change during mask fabrication, EUV exposure, maintenance cleaning, shipping, or storage. The fact that a pellicle is not used to protect the mask surface in EUV lithography suggests that EUV masks may have to undergo more cleaning cycles during their lifetime. More frequent cleaning, combined with the adoption of new materials for EUV masks, necessitates that mask manufacturers closely examine the performance change of EUV masks during cleaning process. We have investigated EUV mask quality and patterning performance during 30 cycles of Samsung's EUV mask SPM-based cleaning and 20 cycles of SEMATECH ADT exposure. We have observed that the quality and patterning performance of EUV masks does not significantly change during these processes except mask pattern CD change. To resolve this issue, we have developed an acid-free cleaning POR and substantially improved EUV mask film loss compared to the SPM-based cleaning POR.

  6. On the relationship between indentation hardness and modulus, and the damage resistance of biological materials.

    PubMed

    Labonte, David; Lenz, Anne-Kristin; Oyen, Michelle L

    2017-07-15

    The remarkable mechanical performance of biological materials is based on intricate structure-function relationships. Nanoindentation has become the primary tool for characterising biological materials, as it allows to relate structural changes to variations in mechanical properties on small scales. However, the respective theoretical background and associated interpretation of the parameters measured via indentation derives largely from research on 'traditional' engineering materials such as metals or ceramics. Here, we discuss the functional relevance of indentation hardness in biological materials by presenting a meta-analysis of its relationship with indentation modulus. Across seven orders of magnitude, indentation hardness was directly proportional to indentation modulus. Using a lumped parameter model to deconvolute indentation hardness into components arising from reversible and irreversible deformation, we establish criteria which allow to interpret differences in indentation hardness across or within biological materials. The ratio between hardness and modulus arises as a key parameter, which is related to the ratio between irreversible and reversible deformation during indentation, the material's yield strength, and the resistance to irreversible deformation, a material property which represents the energy required to create a unit volume of purely irreversible deformation. Indentation hardness generally increases upon material dehydration, however to a larger extent than expected from accompanying changes in indentation modulus, indicating that water acts as a 'plasticiser'. A detailed discussion of the role of indentation hardness, modulus and toughness in damage control during sharp or blunt indentation yields comprehensive guidelines for a performance-based ranking of biological materials, and suggests that quasi-plastic deformation is a frequent yet poorly understood damage mode, highlighting an important area of future research. Instrumented

  7. The effect of thermocycling on the fracture toughness and hardness of core buildup materials.

    PubMed

    Medina Tirado, J I; Nagy, W W; Dhuru, V B; Ziebert, A J

    2001-11-01

    Thermocycling has been shown to cause surface degradation of many dental materials, but its effect on the fracture toughness and hardness of direct core buildup materials is unknown. This study was designed to determine the effect of thermocycling on the fracture toughness and hardness of 5 core buildup materials. Fifteen specimens were prepared from each of the following materials: Fluorocore, VariGlass VLC, Valiant PhD, Vitremer, and Chelon-Silver. American Standard for Testing Materials guidelines for single-edge notch, bar-shaped specimens were used. Ten specimens of each material were thermocycled for 2000 cycles; the other 5 specimens were not thermocycled. All specimens were subjected to 3-point bending in a universal testing machine. The load at fracture was recorded, and the fracture toughness (K(IC)) was calculated. Barcol hardness values were also determined. Data were analyzed with 1-way analysis of variance and compared with the Tukey multiple range test (P<.05). Pearson's correlation coefficient was also calculated to measure the association between fracture toughness and hardness. Fluorocore had the highest thermocycled mean K(IC) and Valiant PhD the highest non-thermocycled K(IC). Chelon-Silver demonstrated the lowest mean K(IC) both before and after thermocycling. One-way analysis of variance demonstrated significant differences between conditions, and the Tukey test showed significant differences (P<.05) between materials for both conditions. Most specimens also showed significant hardness differences between conditions. Pearson's correlation coefficient indicated only a mild-to-moderate correlation between hardness and fracture toughness. Within the limitations of this study, the thermocycling process negatively affected the fracture toughness and hardness of the core buildup materials tested.

  8. Nonequilibrium thermodynamics in sheared hard-sphere materials.

    PubMed

    Lieou, Charles K C; Langer, J S

    2012-06-01

    We combine the shear-transformation-zone (STZ) theory of amorphous plasticity with Edwards' statistical theory of granular materials to describe shear flow in a disordered system of thermalized hard spheres. The equations of motion for this system are developed within a statistical thermodynamic framework analogous to that which has been used in the analysis of molecular glasses. For hard spheres, the system volume V replaces the internal energy U as a function of entropy S in conventional statistical mechanics. In place of the effective temperature, the compactivity X=∂V/∂S characterizes the internal state of disorder. We derive the STZ equations of motion for a granular material accordingly, and predict the strain rate as a function of the ratio of the shear stress to the pressure for different values of a dimensionless, temperature-like variable near a jamming transition. We use a simplified version of our theory to interpret numerical simulations by Haxton, Schmiedeberg, and Liu, and in this way are able to obtain useful insights about internal rate factors and relations between jamming and glass transitions.

  9. Cost-effective masks for deep x-ray lithography

    NASA Astrophysics Data System (ADS)

    Scheunemann, Heinz-Ulrich; Loechel, Bernd; Jian, Linke; Schondelmaier, Daniel; Desta, Yohannes M.; Goettert, Jost

    2003-04-01

    The production of X-ray masks is one of the key techniques for X-ray lithography and the LIGA process. Different ways for the fabrication of X-ray masks has been established. Very sophisticated, difficult and expensive procedures are required to produce high precision and high quality X-ray masks. In order to minimize the cost of an X-ray mask, the mask blank must be inexpensive and readily available. The steps involved in the fabrication process must also be minimal. In the past, thin membranes made of titanium, silicon carbide, silicon nitride (2-5μm) or thick beryllium substrates (500μm) have been used as mask blanks. Thin titanium and silicon compounds have very high transparency for X-rays; therefore, these materials are predestined for use as mask membrane material. However, the handling and fabrication of thin membranes is very difficult, thus expensive. Beryllium is highly transparent to X-rays, but the processing and use of beryllium is risky due to potential toxicity. During the past few years graphite based X-ray masks have been in use at various research centers, but the sidewall quality of the generated resist patterns is in the range of 200-300 nm Ra. We used polished graphite to improve the sidewall roughness, but polished graphite causes other problems in the fabrication of X-ray masks. This paper describes the advantages associated with the use of polished graphite as mask blank as well as the fabrication process for this low cost X-ray mask. Alternative membrane materials will also be discussed.

  10. EUVL mask patterning with blanks from commercial suppliers

    NASA Astrophysics Data System (ADS)

    Yan, Pei-Yang; Zhang, Guojing; Nagpal, Rajesh; Shu, Emily Y.; Li, Chaoyang; Qu, Ping; Chen, Frederick T.

    2004-12-01

    Extreme Ultraviolet Lithography (EUVL) reflective mask blank development includes low thermal expansion material fabrication, mask substrate finishing, reflective multi-layer (ML) and capping layer deposition, buffer (optional)/absorber stack deposition, EUV specific metrology, and ML defect inspection. In the past, we have obtained blanks deposited with various layer stacks from several vendors. Some of them are not commercial suppliers. As a result, the blank and patterned mask qualities are difficult to maintain and improve. In this paper we will present the evaluation results of the EUVL mask pattering processes with the complete EUVL mask blanks supplied by the commercial blank supplier. The EUVL mask blanks used in this study consist of either quartz or ULE substrates which is a type of low thermal expansion material (LTEM), 40 pairs of molybdenum/silicon (Mo/Si) ML layer, thin ruthenium (Ru) capping layer, tantalum boron nitride (TaBN) absorber, and chrome (Cr) backside coating. No buffer layer is used. Our study includes the EUVL mask blank characterization, patterned EUVL mask characterization, and the final patterned EUVL mask flatness evaluation.

  11. What's in a mask? Information masking with forward and backward visual masks.

    PubMed

    Davis, Chris; Kim, Jeesun

    2011-10-01

    Three experiments tested how the physical format and information content of forward and backward masks affected the extent of visual pattern masking. This involved using different types of forward and backward masks with target discrimination measured by percentage correct in the first experiment (with a fixed target duration) and by an adaptive threshold procedure in the last two. The rationale behind the manipulation of the content of the masks stemmed from masking theories emphasizing attentional and/or conceptual factors rather than visual ones. Experiment 1 used word masks and showed that masking was reduced (a masking reduction effect) when the forward and backward masks were the same word (although in different case) compared to when the masks were different words. Experiment 2 tested the extent to which a reduction in masking might occur due to the physical similarity between the forward and backward masks by comparing the effect of the same content of the masks in the same versus different case. The result showed a significant reduction in masking for same content masks but no significant effect of case. The last experiment examined whether the reduction in masking effect would be observed with nonword masks--that is, having no high-level representation. No reduction in masking was found from same compared to different nonword masks (Experiment 3). These results support the view that the conscious perception of a rapidly displayed target stimulus is in part determined by high-level perceptual/cognitive factors concerned with masking stimulus grouping and attention.

  12. [Shock absorption of mouthguard materials--influence of temperature conditions and shore hardness on shock absorption].

    PubMed

    Tomita, Takashi; Tsukimura, Naoki; Ohno, Shigeru; Umekawa, Yoshitada; Sawano, Muneyuki; Fujimoto, Toshiki; Takamura, Masaaki; Majima, Aiko; Katakura, Yuusuke; Kurata, Akemi; Ohyama, Tetsuo; Ishigami, Tomohiko

    2006-04-01

    To consider changes in the physical properties of mouthguard materials with the change of temperature, shock-absorbing examination and Shore hardness measurement of existing MG materials and other elastic materials were carried out. Both examinations were done under two temperature conditions: at room temperature (25 degrees C) and simulated intraoral temperature (37 degrees C). In addition, a comparative study of the relation between Shore hardness and shock absorption of the materials was made. A self-made drop impact machine was used for the shock-absorbing examination. The thickness of a sample was assumed to be 3 mm. The loading was applied by dropping 3 kinds of steel ball, phi 10 mm (4.0 g), phi 15 mm (13.7 g), and phi 20 mm (32.6 g) from a height of 60 cm. The shock absorption of all materials was compared by the maximum impact force. Shore hardness was measured based on the JIS standard. The shock absorption of each material showed a different tendency depending on the loading condition. Furthermore, the shock absorption of the same material showed different results depending on the temperature condition. Shore hardness measurements tended to show low values with the condition of 37 degrees C for all materials. From the relation between shock absorption and Shore hardness, it was confirmed that there is a correlation between hardness and the maximum impact force in the materials that showed shock absorption by elastic deformation. Some materials showed high shock absorption compared with existing MG materials.

  13. Shore hardness and tensile bond strength of long-term soft denture lining materials.

    PubMed

    Kim, Bong-Jun; Yang, Hong-So; Chun, Min-Geoung; Park, Yeong-Joon

    2014-11-01

    Reduced softness and separation from the denture base are the most significant problems of long-term soft lining materials. The purpose of this study was to evaluate the durometer Shore A hardness and tensile bond strength of long-term soft denture lining materials and to investigate the correlation between these 2 properties. A group of 7 soft lining materials, 6 silicone based (Dentusil, GC Reline Soft, GC Reline Ultrasoft, Mucopren Soft, Mucosoft, Sofreliner Tough) and 1 acrylic resin based (Durabase), were evaluated for durometer Shore A hardness and tensile bond strength to heat-polymerized denture base resin (Lucitone 199). A specially designed split mold and loading assembly with a swivel connector were used for the durometer Shore A hardness test and tensile bond strength test to improve accuracy and facilitate measurement. Three specimens of each product were stored in a 37°C water bath, and durometer Shore A hardness tests were carried out after 24 hours and 28 days. A tensile bond strength test was carried out for 10 specimens of each product, which were stored in a 37°C water bath for 24 hours before the test. Repeated-measures ANOVA, the Kruskal-Wallis and Duncan multiple range tests, and the Spearman correlation were used for statistical analyses. The repeated-measures ANOVA found significant durometer Shore A hardness differences for the materials (P<.001) and the interaction effect (aging×materials) (P<.001). GC Reline Ultrasoft showed the lowest mean durometer Shore A hardness (21.30 ±0.29 for 24 hours, 34.73 ±0.47 for 28 days), and GC Reline Soft showed the highest mean durometer Shore A hardness (50.13 ±0.48 for 24 hours, 57.20 ±0.28 for 28 days). The Kruskal-Wallis test found a significant difference in the mean tensile bond strength values (P<.001). GC Reline Ultrasoft (0.82 ±0.32 MPa) and Mucopren Soft (0.96 ±0.46 MPa) had a significantly lower mean tensile bond strength (P<.05). GC Reline Soft had the highest mean tensile bond

  14. Etched-multilayer phase shifting masks for EUV lithography

    DOEpatents

    Chapman, Henry N.; Taylor, John S.

    2005-04-05

    A method is disclosed for the implementation of phase shifting masks for EUV lithography. The method involves directly etching material away from the multilayer coating of the mask, to cause a refractive phase shift in the mask. By etching into the multilayer (for example, by reactive ion etching), rather than depositing extra material on the top of the multilayer, there will be minimal absorption loss associated with the phase shift.

  15. Assessment of molecular contamination in mask pod

    NASA Astrophysics Data System (ADS)

    Foray, Jean Marie; Dejaune, Patrice; Sergent, Pierre; Gough, Stuart; Cheung, D.; Davenet, Magali; Favre, Arnaud; Rude, C.; Trautmann, T.; Tissier, Michel; Fontaine, H.; Veillerot, M.; Avary, K.; Hollein, I.; Lerit, R.

    2008-04-01

    Context/ study Motivation: Contamination and especially Airbone Molecular Contamination (AMC) is a critical issue for mask material flow with a severe and fairly unpredictable risk of induced contamination and damages especially for 193 nm lithography. It is therefore essential to measure, to understand and then try to reduce AMC in mask environment. Mask material flow was studied in a global approach by a pool of European partners, especially within the frame of European MEDEA+ project, so called "MUSCLE". This paper deals with results and assessment of mask pod environment in term of molecular contamination in a first step, then in a second step preliminary studies to reduce mask pod influence and contamination due to material out gassing. Approach and techniques: A specific assessment of environmental / molecular contamination along the supply chain was performed by all partners. After previous work presented at EMLC 07, further studies were performed on real time contamination measurement pod at different sites locations (including Mask manufacturing site, blank manufacturing sites, IC fab). Studies were linked to the main critical issues: cleaning, storage, handling, materials and processes. Contamination measurement campaigns were carried out along the mask supply chain using specific Adixen analyzer in order to monitor in real time organic contaminants (ppb level) in mask pods. Key results would be presented: VOC, AMC and humidity level on different kinds of mask carriers, impact of basic cleaning on pod outgassing measurement (VOC, NH3), and process influence on pod contamination... In a second step, preliminary specific pod conditioning studies for better pod environment were performed based on Adixen vacuum process. Process influence had been experimentally measured in term of molecular outgassing from mask pods. Different AMC experimental characterization methods had been carried out leading to results on a wide range of organic and inorganic

  16. UDOF direct improvement by modulating mask absorber thickness

    NASA Astrophysics Data System (ADS)

    Yu, Tuan-Yen; Lio, En Chuan; Chen, Po Tsang; Wei, Chih I.; Chen, Yi Ting; Peng, Ming Chun; Chou, William; Yu, Chun Chi

    2016-10-01

    As the process generation migrate to advanced and smaller dimension or pitch, the mask and resist 3D effects will impact the lithography focus common window severely because of both individual depth-of-focus (iDOF) range decrease and center mismatch. Furthermore, some chemical or thermal factors, such as PEB (Post Exposure Bake) also worsen the usable depth-of-focus (uDOF) performance. So the mismatch of thru-pitch iDOF center should be considered as a lithography process integration issue, and more complicated to partition the 3D effects induced by optical or chemical factors. In order to reduce the impact of 3D effects induced by both optical and chemical issues, and improve iDOF center mismatch, we would like to propose a mask absorber thickness offset approach, which is directly to compensate the iDOF center bias by adjusting mask absorber thickness, for iso, semi-iso or dense characteristics in line, space or via patterns to enlarge common process window, i.e uDOF, which intends to provide similar application as Flexwave[1] (ASML trademark). By the way, since mask absorber thickness offset approach is similar to focus tuning or change on wafer lithography process, it could be acted as the process tuning method of photoresist (PR) profile optimization locally, PR scum improvement in specific patterns or to modulate etching bias to meet process integration request. For mass production consideration, and available material, current att-PSM blank, quartz, MoSi with chrome layer as hard-mask in reticle process, will be implemented in this experiment, i.e. chrome will be kept remaining above partial thru-pitch patterns, and act as the absorber thickness bias in different patterns. And then, from the best focus offset of thru-pitch patterns, the iDOF center shifts could be directly corrected and to enlarge uDOF by increasing the overlap of iDOF. Finally, some negative tone development (NTD) result in line patterns will be demonstrated as well.

  17. Hard tissue regeneration using bone substitutes: an update on innovations in materials

    PubMed Central

    Sarkar, Swapan Kumar

    2015-01-01

    Bone is a unique organ composed of mineralized hard tissue, unlike any other body part. The unique manner in which bone can constantly undergo self-remodeling has created interesting clinical approaches to the healing of damaged bone. Healing of large bone defects is achieved using implant materials that gradually integrate with the body after healing is completed. Such strategies require a multidisciplinary approach by material scientists, biological scientists, and clinicians. Development of materials for bone healing and exploration of the interactions thereof with the body are active research areas. In this review, we explore ongoing developments in the creation of materials for regenerating hard tissues. PMID:25995658

  18. Hard tissue regeneration using bone substitutes: an update on innovations in materials.

    PubMed

    Sarkar, Swapan Kumar; Lee, Byong Taek

    2015-05-01

    Bone is a unique organ composed of mineralized hard tissue, unlike any other body part. The unique manner in which bone can constantly undergo self-remodeling has created interesting clinical approaches to the healing of damaged bone. Healing of large bone defects is achieved using implant materials that gradually integrate with the body after healing is completed. Such strategies require a multidisciplinary approach by material scientists, biological scientists, and clinicians. Development of materials for bone healing and exploration of the interactions thereof with the body are active research areas. In this review, we explore ongoing developments in the creation of materials for regenerating hard tissues.

  19. Retention of pediatric bag-mask ventilation efficacy skill by inexperienced medical student resuscitators using standard bag-mask ventilation masks, pocket masks, and blob masks.

    PubMed

    Kitagawa, Kory H; Nakamura, Nina M; Yamamoto, Loren

    2006-03-01

    To measure the ventilation efficacy with three single-sized mask types on infant and child manikin models. Medical students were recruited as study subjects inasmuch as they are inexperienced resuscitators. They were taught proper bag-mask ventilation (BMV) according to the American Heart Association guidelines on an infant and a child manikin. Subjects completed a BMV attempt successfully using the adult standard mask (to simulate the uncertainty of mask selection), pocket mask, and blob mask. Each attempt consisted of 5 ventilations assessed by chest rise of the manikin. Study subjects were asked which mask was easiest to use. Four to six weeks later, subjects repeated the procedure with no instructions (to simulate an emergency BMV encounter without immediate pre-encounter teaching). Forty-six volunteer subjects were studied. During the first attempt, subjects preferred the standard and blob masks over the pocket mask. For the second attempt, the blob mask was preferred over the standard mask, and few liked the pocket mask. Using the standard, blob, and pocket masks on the child manikin, 39, 42, and 20 subjects, respectively, were able to achieve adequate ventilation. Using the standard, blob, and pocket masks on the infant manikin, 45, 45, and 11 subjects, respectively, were able to achieve adequate ventilation. Both the standard and blob masks are more effective than the pocket mask at achieving adequate ventilation on infant and child manikins in this group of inexperienced medical student resuscitators, who most often preferred the blob mask.

  20. Surface hardness of different restorative materials after long-term immersion in sports and energy drinks.

    PubMed

    Erdemir, Ugur; Yildiz, Esra; Eren, Meltem Mert; Ozel, Sevda

    2012-01-01

    The purpose of this study was to evaluate the effect of sports and energy drinks on the surface hardness of different restorative materials over a 6-month period. Forty-two disk-shaped specimens were prepared for each of the four restorative materials tested: Compoglass F, Filtek Z250, Filtek Supreme, and Premise. Specimens were immersed for 2 min daily, up to 6 months, in six storage solutions (n=7 per material for each solution): distilled water, Powerade, Gatorade, X-IR, Burn, and Red Bull. Surface hardness was measured at baseline, after 1 week, 1 month, and 6 months. Data were analyzed statistically using repeated measures ANOVA followed by the Bonferroni test for multiple comparisons (α=0.05). Surface hardness of the restorative materials was significantly affected by both immersion solution and immersion period (p<0.001). All tested solutions induced significant reduction in surface hardness of the restorative materials over a 6-month immersion period.

  1. Manganese mono-boride, an inexpensive room temperature ferromagnetic hard material

    PubMed Central

    Ma, Shuailing; Bao, Kuo; Tao, Qiang; Zhu, Pinwen; Ma, Teng; Liu, Bo; Liu, Yazhou; Cui, Tian

    2017-01-01

    We synthesized orthorhombic FeB-type MnB (space group: Pnma) with high pressure and high temperature method. MnB is a promising soft magnetic material, which is ferromagnetic with Curie temperature as high as 546.3 K, and high magnetization value up to 155.5 emu/g, and comparatively low coercive field. The strong room temperature ferromagnetic properties stem from the positive exchange-correlation between manganese atoms and the large number of unpaired Mn 3d electrons. The asymptotic Vickers hardness (AVH) is 15.7 GPa which is far higher than that of traditional ferromagnetic materials. The high hardness is ascribed to the zigzag boron chains running through manganese lattice, as unraveled by X-ray photoelectron spectroscopy result and first principle calculations. This exploration opens a new class of materials with the integration of superior mechanical properties, lower cost, electrical conductivity, and fantastic soft magnetic properties which will be significant for scientific research and industrial application as advanced structural and functional materials. PMID:28262805

  2. Polyurethane Masks Large Areas in Electroplating

    NASA Technical Reports Server (NTRS)

    Beasley, J. L.

    1985-01-01

    Polyurethane foam provides effective mask in electroplating of copper or nickel. Thin layer of Turco maskant painted on area to be masked: Layer ensures polyurethane foam removed easily after served its purpose. Component A, isocyanate, and component B, polyol, mixed together and brushed or sprayed on mask area. Mixture reacts, yielding polyurethane foam. Foam prevents deposition of nickel or copper on covered area. New method saves time, increases productivity and uses less material than older procedures.

  3. On the relationships between hardness and the elastic and plastic properties of isotropic power-law hardening materials

    NASA Astrophysics Data System (ADS)

    Lan, Hongzhi; Venkatesh, T. A.

    2014-01-01

    A comprehensive understanding of the relationship between the hardness and the elastic and plastic properties for a wide range of materials is obtained by analysing the hardness characteristics (that are predicted by experimentally verified indentation analyses) of over 9000 distinct combinations of material properties that represent isotropic, homogeneous, power-law hardening metallic materials. Finite element analysis has been used to develop the indentation algorithms that provide the relationships between the elastic and plastic properties of the indented material and its indentation hardness. Based on computational analysis and virtual testing, the following observations are made. The hardness (H) of a material tends to increase with an increase in the elastic modulus (E), yield strength (σy) and the strain-hardening exponent (n). Several materials with different combinations of elastic and plastic properties can exhibit identical true hardness (for a particular indenter geometry/apex angle). In general, combinations of materials that exhibit relatively low elastic modulus and high yield strength or strain-hardening exponents and those that exhibit relatively high elastic modulus and low yield strength or strain-hardening exponents exhibit similar hardness properties. Depending on the strain-hardening characteristics of the indented material, (i.e. n = 0 or ?), the ratio H/σy ranges, respectively, from 2.2 to 2.6 or 2 to 20 (for indentations with a cone angle of 70.3°). The materials that have lower σy/E and higher n exhibit higher H/σy ratios. The commonly invoked relationship between hardness and the yield strength, i.e. H ≈ 3σy, is not generally valid or applicable for all power-law hardening materials. The indentation hardness of a power law hardening material can be taken as following the relationship H ≈ (2.1-2.8)σr where σr is the representative stress based on Tabor's representative strain for a wide range of materials.

  4. The reductive effect of an anti-pollinosis mask against internal exposure from radioactive materials dispersed from the Fukushima Daiichi Nuclear Disaster.

    PubMed

    Higaki, Shogo; Hirota, Masahiro

    2013-02-01

    The reductive effect of an anti-pollinosis mask against internal exposure from radioactive materials dispersed following the Fukushima Daiichi Nuclear Disaster was investigated. A single mask was worn continuously for 18 h from 15:00 JST on 15 March to 09:00 JST on 16 March 2011 at the Hongo campus of the University of Tokyo, Japan. An adult without a mask was exposed during this time to radiation of 6.1 μSv over ambient background in effective dose and 33 μSv in dose equivalent to the thyroid. Radionuclides were dispersed not only in their gaseous and particulate state but also as components that agglomerate to other aerosols and pollens. Wearing a mask for anti-pollinosis could reduce internal exposure from inhalation.

  5. [Preparation and evaluation of taste masked orally disintegrating tablets with granules made by the wet granulation method].

    PubMed

    Kawano, Yayoi; Ito, Akihiko; Sasatsu, Masanaho; Machida, Yoshiharu; Onishi, Hiraku

    2010-12-01

    Using furosemide (FU) as a model drug, we examined the wet granulation method as a way to improve the taste masking and physical characteristics of orally disintegrating tablets (ODTs). In the wet granulation method, yogurt powder (YO) was used as a corrective and maltitol (MA) was used as a binding agent. The taste masked FU tablets were prepared using the direct compression method. Microcrystalline cellulose (Avicel® PH-302) and mannitol were added as excipients at a mixing ratio of 1/1 by weight. Based on the results of sensory test on taste, the prepared granules markedly improved the taste of FU, and a sufficient masking effect was obtained at the YO/FU ratio of 1 or more. Furthermore, it was found that the masking effect achieved by YO granules made with the wet granulation method was similar to or better than that produced by the granules made with dry granulation method. All types of tablets displayed sufficient hardness (over 3.5×10(-2) kN), and rapidly disintegrating tablets were obtained with YO granules produced at a mixing ratio of FU/YO=1/1, which disintegrated within 20 s. Disintegration time lengthened as the mixing ratio of YO to FU increased. In the mixing ratio of FU/YO=1/1, the hardness of tablets with granules made by the wet granulation method exceeded that of tablets with granules made by the dry granulation method, with minimal differences in disintegration time. The hardness and disintegration time of the tablets with granules made by the wet granulation method could be controlled by varying the compression force. In conclusion, YO was found to be a useful additive for masking unpleasant tastes. FU ODTs with improved taste, rapid disintegration and greater hardness could be prepared with YO-containing granules made by the wet granulation method using MA as a binding agent.

  6. Accelerated radiation damage testing of x-ray mask membrane materials

    NASA Astrophysics Data System (ADS)

    Seese, Philip A.; Cummings, Kevin D.; Resnick, Douglas J.; Yanof, Arnold W.; Johnson, William A.; Wells, Gregory M.; Wallace, John P.

    1993-06-01

    An accelerated test method and resulting metrology data are presented to show the effects of x- ray radiation on various x-ray mask membrane materials. A focused x-ray beam effectively reduces the radiation time to 1/5 of that required by normal exposure beam flux. Absolute image displacement results determined by this method indicate imperceptible movement for boron-doped silicon and silicon carbide membranes at a total incident dose of 500 KJ/cm2, while image displacement for diamond is 50 nm at 150 KJ/cm2 and silicon nitride is 70 nm at 36 KJ/cm2. Studies of temperature rise during the radiation test and effects of the high flux radiation, i.e., reciprocity tests, demonstrate the validity of this test method.

  7. Protective Face Mask

    NASA Technical Reports Server (NTRS)

    1981-01-01

    Mask to protect the physically impaired from injuries to the face and head has been developed by Langley Research Center. It is made of composite materials, usually graphite or boron fibers woven into a matrix. Weighs less than three ounces.

  8. Mask etcher data strategy for 45nm and beyond

    NASA Astrophysics Data System (ADS)

    Lewington, Richard; Ibrahim, Ibrahim M.; Panayil, Sheeba; Kumar, Ajay; Yamartino, John

    2006-05-01

    Mask Etching for the 45nm technology node and beyond requires a system-level data and diagnostics strategy. This necessity stems from the need to control the performance of the mask etcher to increasingly stringent and diverse requirements of the mask production environment. Increasing mask costs and the capability to acquire and consolidate a wealth of data within the mask etch platform are primary motivators towards harnessing data mines for feedback into the mask etching optimization. There are offline and real-time possibilities and scenarios. Here, we discuss the data architecture, acquisition, and strategies of the Applied Materials Tetra II TM Mask Etch System.

  9. Tactile Perception of Roughness and Hardness to Discriminate Materials by Friction-Induced Vibration

    PubMed Central

    Zhao, Xuezeng

    2017-01-01

    The human fingertip is an exquisitely powerful bio-tactile sensor in perceiving different materials based on various highly-sensitive mechanoreceptors distributed all over the skin. The tactile perception of surface roughness and material hardness can be estimated by skin vibrations generated during a fingertip stroking of a surface instead of being maintained in a static position. Moreover, reciprocating sliding with increasing velocities and pressures are two common behaviors in humans to discriminate different materials, but the question remains as to what the correlation of the sliding velocity and normal load on the tactile perceptions of surface roughness and hardness is for material discrimination. In order to investigate this correlation, a finger-inspired crossed-I beam structure tactile tester has been designed to mimic the anthropic tactile discrimination behaviors. A novel method of characterizing the fast Fourier transform integral (FFT) slope of the vibration acceleration signal generated from fingertip rubbing on surfaces at increasing sliding velocity and normal load, respectively, are defined as kv and kw, and is proposed to discriminate the surface roughness and hardness of different materials. Over eight types of materials were tested, and they proved the capability and advantages of this high tactile-discriminating method. Our study may find applications in investigating humanoid robot perceptual abilities. PMID:29182538

  10. Superhard nanocomposite of dense polymorphs of boron nitride: Noncarbon material has reached diamond hardness

    NASA Astrophysics Data System (ADS)

    Dubrovinskaia, Natalia; Solozhenko, Vladimir L.; Miyajima, Nobuyoshi; Dmitriev, Vladimir; Kurakevych, Oleksandr O.; Dubrovinsky, Leonid

    2007-03-01

    The authors report a synthesis of unique superhard aggregated boron nitride nanocomposites (ABNNCs) showing the enhancement of hardness up to 100% in comparison with single crystal c-BN. Such a great hardness increase is due to the combination of the Hall-Petch and the quantum confinement effects. The decrease of the grain size down to 14nm and the simultaneous formation of the two dense BN phases with hexagonal and cubic structures within the grains at nano- and subnanolevel result in enormous mechanical property enhancement with maximum hardness of 85(5)GPa. Thus, ABNNC is the first non-carbon-based bulk material with the value of hard-ness approaching that of single crystal and polycrystalline diamond and aggregated diamond nanorods. ABNNC also has an unusually high fracture toughness for superhard materials (K1C=15MPam0.5) and wear resistance (WH=11; compare, for industrial polycrystalline diamond, WH=3-4), in combination with high thermal stability (above 1600K in air), making it an exceptional superabrasive.

  11. Development of binary image masks for TPF-C and ground-based AO coronagraphs

    NASA Astrophysics Data System (ADS)

    Ge, Jian; Crepp, Justin; Vanden Heuvel, Andrew; Miller, Shane; McDavitt, Dan; Kravchenko, Ivan; Kuchner, Marc

    2006-06-01

    simulations are provided in the last section. In particular, we find that: (i) apodized masks provide deeper contrast than hard-edge masks when the image quality exceeds 80% Strehl ratio (SR), (ii) above 90% SR, 4th-order band-limited masks provide higher off-axis throughput than Gaussian masks when generating comparable contrast levels, and (iii) below ~90% SR, hard-edge masks may be better suited for high contrast imaging, since they are less susceptible to tip/tilt alignment errors.

  12. Study on electroplating technology of diamond tools for machining hard and brittle materials

    NASA Astrophysics Data System (ADS)

    Cui, Ying; Chen, Jian Hua; Sun, Li Peng; Wang, Yue

    2016-10-01

    With the development of the high speed cutting, the ultra-precision machining and ultrasonic vibration technique in processing hard and brittle material , the requirement of cutting tools is becoming higher and higher. As electroplated diamond tools have distinct advantages, such as high adaptability, high durability, long service life and good dimensional stability, the cutting tools are effective and extensive used in grinding hard and brittle materials. In this paper, the coating structure of electroplating diamond tool is described. The electroplating process flow is presented, and the influence of pretreatment on the machining quality is analyzed. Through the experimental research and summary, the reasonable formula of the electrolyte, the electroplating technologic parameters and the suitable sanding method were determined. Meanwhile, the drilling experiment on glass-ceramic shows that the electroplating process can effectively improve the cutting performance of diamond tools. It has laid a good foundation for further improving the quality and efficiency of the machining of hard and brittle materials.

  13. Electron-beam Induced Processes and their Applicability to Mask Repair

    NASA Astrophysics Data System (ADS)

    Boegli, Volker A.; Koops, Hans W. P.; Budach, Michael; Edinger, Klaus; Hoinkis, Ottmar; Weyrauch, Bernd; Becker, Rainer; Schmidt, Rudolf; Kaya, Alexander; Reinhardt, Andreas; Braeuer, Stephan; Honold, Heinz; Bihr, Johannes; Greiser, Jens; Eisenmann, Michael

    2002-12-01

    The applicability of electron-beam induced chemical reactions to mask repair is investigated. To achieve deposition and chemical etching with a focused electron-beam system, it is required to disperse chemicals in a molecular beam to the area of interest with a well-defined amount of molecules and monolayers per second. For repair of opaque defects the precursor gas reacts with the absorber material of the mask and forms a volatile reaction product, which leaves the surface. In this way the surface atoms are removed layer by layer. For clear defect repair, additional material, which is light absorbing in the UV, is deposited onto the defect area. This material is rendered as a nanocrystalline deposit from metal containing precursors. An experimental electron-beam mask repair system is developed and used to perform exploratory work applicable to photo mask, EUV mask, EPL and LEEPL stencil mask repair. The tool is described and specific repair actions are demonstrated. Platinum deposited features with lateral dimensions down to 20 nm demonstrate the high resolution obtainable with electron beam induced processes, while AFM and AIMS measurements indicate, that specifications for mask repair at the 70 nm device node can be met. In addition, examples of etching quartz and TaN are given.

  14. Object Substitution Masking: When Does Mask Preview Work?

    ERIC Educational Resources Information Center

    Lim, Stephen Wee Hun; Chua, Fook K.

    2008-01-01

    When a target is enclosed by a 4-dot mask that persists after the target disappears, target identification is worse than it is when the mask terminates with the target. This masking effect is attributed to object substitution masking (OSM). Previewing the mask, however, attenuates OSM. This study investigated specific conditions under which mask…

  15. The Effect of Arch Height and Material Hardness of Personalized Insole on Correction and Tissues of Flatfoot.

    PubMed

    Su, Shonglun; Mo, Zhongjun; Guo, Junchao; Fan, Yubo

    2017-01-01

    Flat foot is one of the common deformities in the youth population, seriously affecting the weight supporting and daily exercising. However, there is lacking of quantitative data relative to material selection and shape design of the personalized orthopedic insole. This study was to evaluate the biomechanical effects of material hardness and support height of personalized orthopedic insole on foot tissues, by in vivo experiment and finite element modeling. The correction of arch height increased with material hardness and support height. The peak plantar pressure increased with the material hardness, and these values by wearing insoles of 40° were apparently higher than the bare feet condition. Harder insole material results in higher stress in the joint and ligament stress than softer material. In the calcaneocuboid joint, the stress increased with the arch height of insoles. The material hardness did not apparently affect the stress in the ankle joints, but the support heights of insole did. In general, insole material and support design are positively affecting the correction of orthopedic insole, but negatively resulting in unreasonable stress on the stress in the joint and ligaments. There should be an integration of improving correction and reducing stress in foot tissues.

  16. Mask technology for EUV lithography

    NASA Astrophysics Data System (ADS)

    Bujak, M.; Burkhart, Scott C.; Cerjan, Charles J.; Kearney, Patrick A.; Moore, Craig E.; Prisbrey, Shon T.; Sweeney, Donald W.; Tong, William M.; Vernon, Stephen P.; Walton, Christopher C.; Warrick, Abbie L.; Weber, Frank J.; Wedowski, Marco; Wilhelmsen, Karl C.; Bokor, Jeffrey; Jeong, Sungho; Cardinale, Gregory F.; Ray-Chaudhuri, Avijit K.; Stivers, Alan R.; Tejnil, Edita; Yan, Pei-yang; Hector, Scott D.; Nguyen, Khanh B.

    1999-04-01

    Extreme UV Lithography (EUVL) is one of the leading candidates for the next generation lithography, which will decrease critical feature size to below 100 nm within 5 years. EUVL uses 10-14 nm light as envisioned by the EUV Limited Liability Company, a consortium formed by Intel and supported by Motorola and AMD to perform R and D work at three national laboratories. Much work has already taken place, with the first prototypical cameras operational at 13.4 nm using low energy laser plasma EUV light sources to investigate issues including the source, camera, electro- mechanical and system issues, photoresists, and of course the masks. EUV lithograph masks are fundamentally different than conventional photolithographic masks as they are reflective instead of transmissive. EUV light at 13.4 nm is rapidly absorbed by most materials, thus all light transmission within the EUVL system from source to silicon wafer, including EUV reflected from the mask, is performed by multilayer mirrors in vacuum.

  17. Structural and electronic properties of OsB2 : A hard metallic material

    NASA Astrophysics Data System (ADS)

    Chen, Z. Y.; Xiang, H. J.; Yang, Jinlong; Hou, J. G.; Zhu, Qingshi

    2006-07-01

    We calculate the structural and electronic properties of OsB2 using density functional theory with or without taking into account the spin-orbit (SO) interaction. Our results show that the bulk modulus with and without SO interactions are 364 and 365GPa , respectively, both are in good agreement with experiment (365-395GPa) . The evidence of covalent bonding of Os-B, which plays an important role to form a hard material, is indicated both in charge density, atoms in molecules analysis, and density of states analysis. The good metallicity and hardness of OsB2 might suggest its potential application as hard conductors.

  18. An investigation into the efficiency of disposable face masks.

    PubMed Central

    Rogers, K B

    1980-01-01

    Disposable face masks used in hospitals have been assessed for the protection afforded the patient and the wearer by challenges of simulated natural conditions of stress. Operating theatre masks made of synthetic materials allow the wearer to breathe through the masks, and these have been shown to protect the patient well but the wearer slightly less. Cheaper paper masks are worn for ward duties, and of these only the Promask protected in area in front of the wearer: air does not pass through this mask, expired air is prevented from passing forward, and the wearer breathes unfiltered air. All the other paper masks tested allowed many bacteria-laden particles to pass through them. PMID:7440756

  19. Foul tip impact attenuation of baseball catcher masks using head impact metrics

    PubMed Central

    White, Terrance R.; Cutcliffe, Hattie C.; Shridharani, Jay K.; Wood, Garrett W.; Bass, Cameron R.

    2018-01-01

    Currently, no scientific consensus exists on the relative safety of catcher mask styles and materials. Due to differences in mass and material properties, the style and material of a catcher mask influences the impact metrics observed during simulated foul ball impacts. The catcher surrogate was a Hybrid III head and neck equipped with a six degree of freedom sensor package to obtain linear accelerations and angular rates. Four mask styles were impacted using an air cannon for six 30 m/s and six 35 m/s impacts to the nasion. To quantify impact severity, the metrics peak linear acceleration, peak angular acceleration, Head Injury Criterion, Head Impact Power, and Gadd Severity Index were used. An Analysis of Covariance and a Tukey’s HSD Test were conducted to compare the least squares mean between masks for each head injury metric. For each injury metric a P-Value less than 0.05 was found indicating a significant difference in mask performance. Tukey’s HSD test found for each metric, the traditional style titanium mask fell in the lowest performance category while the hockey style mask was in the highest performance category. Limitations of this study prevented a direct correlation from mask testing performance to mild traumatic brain injury. PMID:29856814

  20. Effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance

    NASA Astrophysics Data System (ADS)

    Dietze, Uwe; Dress, Peter; Waehler, Tobias; Singh, Sherjang; Jonckheere, Rik; Baudemprez, Bart

    2011-03-01

    Extreme Ultraviolet Lithography (EUVL) is considered the leading lithography technology choice for semiconductor devices at 16nm HP node and beyond. However, before EUV Lithography can enter into High Volume Manufacturing (HVM) of advanced semiconductor devices, the ability to guarantee mask integrity at point-of-exposure must be established. Highly efficient, damage free mask cleaning plays a critical role during the mask manufacturing cycle and throughout the life of the mask, where the absence of a pellicle to protect the EUV mask increases the risk of contamination during storage, handling and use. In this paper, we will present effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance, which employs an intelligent, holistic approach to maximize Mean Time Between Cleans (MBTC) and extend the useful life span of the reticle. The data presented will demonstrate the protection of the capping and absorber layers, preservation of pattern integrity as well as optical and mechanical properties to avoid unpredictable CD-linewidth and overlay shifts. Experiments were performed on EUV blanks and pattern masks using various process conditions. Conditions showing high particle removal efficiency (PRE) and minimum surface layer impact were then selected for durability studies. Surface layer impact was evaluated over multiple cleaning cycles by means of UV reflectivity metrology XPS analysis and wafer prints. Experimental results were compared to computational models. Mask life time predictions where made using the same computational models. The paper will provide a generic overview of the cleaning sequence which yielded best results, but will also provide recommendations for an efficient in-fab mask maintenance scheme, addressing handling, storage, cleaning and inspection.

  1. The Effect of Arch Height and Material Hardness of Personalized Insole on Correction and Tissues of Flatfoot

    PubMed Central

    Su, Shonglun; Mo, Zhongjun; Guo, Junchao

    2017-01-01

    Flat foot is one of the common deformities in the youth population, seriously affecting the weight supporting and daily exercising. However, there is lacking of quantitative data relative to material selection and shape design of the personalized orthopedic insole. This study was to evaluate the biomechanical effects of material hardness and support height of personalized orthopedic insole on foot tissues, by in vivo experiment and finite element modeling. The correction of arch height increased with material hardness and support height. The peak plantar pressure increased with the material hardness, and these values by wearing insoles of 40° were apparently higher than the bare feet condition. Harder insole material results in higher stress in the joint and ligament stress than softer material. In the calcaneocuboid joint, the stress increased with the arch height of insoles. The material hardness did not apparently affect the stress in the ankle joints, but the support heights of insole did. In general, insole material and support design are positively affecting the correction of orthopedic insole, but negatively resulting in unreasonable stress on the stress in the joint and ligaments. There should be an integration of improving correction and reducing stress in foot tissues. PMID:29065655

  2. The investigation of the influence of thermomechanical treatment of the material of rotary cutter bit toolholders on its hardness

    NASA Astrophysics Data System (ADS)

    Chupin, S. A.; Bolobov, V. I.

    2017-02-01

    The causes of failure of the tangential rotary cutter bits of the road header during stonedrift in rocks of medium strength are analyzed in the article. It was revealed that the most typical cause of failure of cutter bits is premature wear of the toolholder (body) of the cutter bit. It is well known that the most effective way to improve the wear resistance is to increase hardness. The influence of the thermomechanical treatment of the material of the cutter bit toolholder on its hardness is studied. It was established that the thermomechanical treatment of the cutter bit toolholder material results in the increase of its hardness. It was found that the increase of material hardness is proportional to the increase of material strain intensity during thermomechanical treatment. It was concluded that the use of thermomechanical treatment can lead to the increase of both the hardness and wear resistance of the cutter bit material.

  3. EUV mask pilot line at Intel Corporation

    NASA Astrophysics Data System (ADS)

    Stivers, Alan R.; Yan, Pei-Yang; Zhang, Guojing; Liang, Ted; Shu, Emily Y.; Tejnil, Edita; Lieberman, Barry; Nagpal, Rajesh; Hsia, Kangmin; Penn, Michael; Lo, Fu-Chang

    2004-12-01

    The introduction of extreme ultraviolet (EUV) lithography into high volume manufacturing requires the development of a new mask technology. In support of this, Intel Corporation has established a pilot line devoted to encountering and eliminating barriers to manufacturability of EUV masks. It concentrates on EUV-specific process modules and makes use of the captive standard photomask fabrication capability of Intel Corporation. The goal of the pilot line is to accelerate EUV mask development to intersect the 32nm technology node. This requires EUV mask technology to be comparable to standard photomask technology by the beginning of the silicon wafer process development phase for that technology node. The pilot line embodies Intel's strategy to lead EUV mask development in the areas of the mask patterning process, mask fabrication tools, the starting material (blanks) and the understanding of process interdependencies. The patterning process includes all steps from blank defect inspection through final pattern inspection and repair. We have specified and ordered the EUV-specific tools and most will be installed in 2004. We have worked with International Sematech and others to provide for the next generation of EUV-specific mask tools. Our process of record is run repeatedly to ensure its robustness. This primes the supply chain and collects information needed for blank improvement.

  4. Glass transition temperature of hard chairside reline materials after post-polymerisation treatments.

    PubMed

    Urban, Vanessa M; Machado, Ana L; Alves, Marinês O; Maciel, Adeilton P; Vergani, Carlos E; Leite, Edson R

    2010-09-01

    This study evaluated the effect of post-polymerisation treatments on the glass transition temperature (T(g)) of five hard chairside reline materials (Duraliner II-D, Kooliner-K, New Truliner-N, Ufi Gel hard-U and Tokuso Rebase Fast-T). Specimens (10 x 10 x 1 mm) were made following the manufacturers' instructions and divided into three groups (n = 5). Control group specimens were left untreated. Specimens from the microwave group were irradiated with pre-determined power/time combinations, and specimens from the water-bath group were immersed in hot water at 55 degrees C for 10 min. Glass transition ( degrees C) was performed by differential scanning calorimetry. Data were analysed using anova, followed by post hoc Tukey's test (alpha = 0.05). Both post-polymerisation treatments promoted a significant (p < 0.05) increase in the T(g) of reline material K. Materials K, D and N showed the lowest T(g) (p < 0.05). No significant difference between T and U specimens was observed. Post-polymerisation treatments improved the glass transition of material Kooliner, with the effect being more pronounced for microwave irradiation.

  5. Hard Carbon Originated from Polyvinyl Chloride Nanofibers As High-Performance Anode Material for Na-Ion Battery

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bai, Ying; Wang, Zhen; Wu, Chuan

    2015-02-27

    Two types of hard carbon materials were synthesized through direct pyrolysis of commercial polyvinyl chloride (PVC) particles and pyrolysis of PVC nanofibers at 600-800 degrees C, respectively, where the nanofibers were prepared by an electrospinning PVC precursors method. These as-prepared hard carbon samples were used as anode materials for Na-ion batteries. The hard carbon obtained from PVC nanofibers achieved a high reversible capacity of 271 mAh/g and an initial Coulombic efficiency of 69.9%, which were much superior to the one from commercial PVC, namely, a reversible capacity of 206 mAh/g and an initial Coulombic efficiency of 60.9%. In addition, themore » hard carbon originated from the PVC nanofibers exhibited good cycling stability and rate performance: the initial discharge capacities were 389, 228, 194, 178, 147 mAh/g at the current density of 12, 24, 60, 120, and 240 mA/g, respectively, retaining 211 mAh/g after 150 cycles. Such excellent cycle performance, high reversible capacity, and good rate capability enabled this hard carbon to be a promising candidate as anode material for Na-ion battery application.« less

  6. Hard carbon originated from polyvinyl chloride nanofibers as high-performance anode material for Na-ion battery.

    PubMed

    Bai, Ying; Wang, Zhen; Wu, Chuan; Xu, Rui; Wu, Feng; Liu, Yuanchang; Li, Hui; Li, Yu; Lu, Jun; Amine, Khalil

    2015-03-11

    Two types of hard carbon materials were synthesized through direct pyrolysis of commercial polyvinyl chloride (PVC) particles and pyrolysis of PVC nanofibers at 600-800 °C, respectively, where the nanofibers were prepared by an electrospinning PVC precursors method. These as-prepared hard carbon samples were used as anode materials for Na-ion batteries. The hard carbon obtained from PVC nanofibers achieved a high reversible capacity of 271 mAh/g and an initial Coulombic efficiency of 69.9%, which were much superior to the one from commercial PVC, namely, a reversible capacity of 206 mAh/g and an initial Coulombic efficiency of 60.9%. In addition, the hard carbon originated from the PVC nanofibers exhibited good cycling stability and rate performance: the initial discharge capacities were 389, 228, 194, 178, 147 mAh/g at the current density of 12, 24, 60, 120, and 240 mA/g, respectively, retaining 211 mAh/g after 150 cycles. Such excellent cycle performance, high reversible capacity, and good rate capability enabled this hard carbon to be a promising candidate as anode material for Na-ion battery application.

  7. Influence of mask type and mask position on the effectiveness of bag-mask ventilation in a neonatal manikin.

    PubMed

    Deindl, Philipp; O'Reilly, Megan; Zoller, Katharina; Berger, Angelika; Pollak, Arnold; Schwindt, Jens; Schmölzer, Georg M

    2014-01-01

    Anatomical face mask with an air cushion rim might be placed accidentally in a false orientation on the newborn's face or filled with various amounts of air during neonatal resuscitation. Both false orientation as well as variable filling may reduce a tight seal and therefore hamper effective positive pressure ventilation (PPV). We aimed to measure the influence of mask type and mask position on the effectiveness of PPV. Twenty neonatal staff members delivered PPV to a modified, leak-free manikin. Resuscitation parameters were recorded using a self-inflatable bag PPV with an Intersurgical anatomical air cushion rim face mask (IS) and a size 0/1 Laerdal round face mask. Three different positions of the IS were tested: correct position, 90° and 180° rotation in reference to the midline of the face. IS masks in each correct position on the face but with different inflation of the air cushion (empty, 10, 20 and 30 mL). Mask leak was similar with mask rotation to either 90° or 180° but significantly increased from 27 (13-73) % with an adequate filled IS mask compared to 52 (16-83) % with an emptied air cushion rim. Anatomical-shaped face mask had similar mask leaks compared to round face mask. A wrongly positioned anatomical-shaped mask does not influence mask leak. Mask leak significantly increased once the air cushion rim was empty, which may cause failure in mask PPV.

  8. Investigation of Specificity of Mechanical Properties of Hard Materials on Nanoscale with Use of SPM- Nanohardness Tester

    NASA Astrophysics Data System (ADS)

    Lvova, N. A.; Blank, V. D.; Gogolinskiy, K. V.; Kulibaba, V. F.

    2007-04-01

    Specifisities of deformation on nanoscale of hard brittle materials with the hardness exceeding 10 GP by means of scanning probe microscope - nanohardness tester "NanoScan" are investigated. It is found, that pile-up is forming at scratching of sample surface with use of diamond indenter. Heigh of this pile-up depends on hardness and elastic modulus of the material. Definition of the contact area without taking into account height of pile-up leads to an overestimation of hardness values. At scratching of silicon carbide surface a transition from plastic flow to fracture is found out. The results received allowed to estimate fracture toughness KIC for silicon carbide.

  9. Effects of delayed finishing/polishing on surface roughness, hardness and gloss of tooth-coloured restorative materials.

    PubMed

    Yazici, A Ruya; Tuncer, Duygu; Antonson, Sibel; Onen, Alev; Kilinc, Evren

    2010-01-01

    The aim of this study was to investigate the effect of delayed finishing/polishing on the surface roughness, hardness and gloss of tooth-coloured restorative materials. Four different tooth-coloured restoratives: a flowable resin composite- Tetric Flow, a hybrid resin composite- Venus, a nanohybrid resin composite- Grandio, and a polyacid modified resin composite- Dyract Extra were used. 30 specimens were made for each material and randomly assigned into three groups. The first group was finished/polished immediately and the second group was finished/polished after 24 hours. The remaining 10 specimens served as control. The surface roughness of each sample was recorded using a laser profilometer. Gloss measurements were performed using a small-area glossmeter. Vickers microhardness measurements were performed from three locations on each specimen surface under 100g load and 10s dwell time. Data for surface roughness and hardness were analyzed by Kruskal Wallis test and data for gloss were subjected to one-way ANOVA and Tukey test (P <.05). The smoothest surfaces were obtained under Mylar strip for all materials. While there were no significant differences in surface roughness of immediate and delayed finished/polished Dyract Extra samples, immediately finished/polished Venus and Grandio samples showed significantly higher roughness than the delayed polished samples (P <.05). In Tetric Flow samples, immediately finishing/polishing provided smoother surface than delayed finishing/polishing (P <.05). The highest gloss values were recorded under Mylar strip for all materials. While delayed finishing/polishing resulted in a significantly higher gloss compared to immediate finishing/polishing in Venus samples (P <.05), no differences were observed between delayed or immediate finishing/polishing for the other materials (P>.05). The lowest hardness values were found under Mylar strip. Delayed finishing/polishing significantly increased the hardness of all materials. The

  10. Improved adhesion of ultra-hard carbon films on cobalt–chromium orthopaedic implant alloy

    PubMed Central

    Vaid, Rishi; Diggins, Patrick; Weimer, Jeffrey J.; Koopman, M.; Vohra, Yogesh K.

    2010-01-01

    While interfacial graphite formation and subsequent poor film adhesion is commonly reported for chemical vapor deposited hard carbon films on cobalt-based materials, we find the presence of O2 in the feedgas mixture to be useful in achieving adhesion on a CoCrMo alloy. Nucleation studies of surface structure before formation of fully coalesced hard carbon films reveal that O2 feedgas helps mask the catalytic effect of cobalt with carbon through early formation of chromium oxides and carbides. The chromium oxides, in particular, act as a diffusion barrier to cobalt, minimizing its migration to the surface where it would otherwise interact deleteriously with carbon to form graphite. When O2 is not used, graphitic soot forms and films delaminate readily upon cooling to room temperature. Continuous 1 μm-thick nanostructured carbon films grown with O2 remain adhered with measured hardness of 60 GPa and show stable, non-catastrophic circumferential micro-cracks near the edges of indent craters made using Rockwell indentation. PMID:21221739

  11. Plasma cleaning of nanoparticles from EUV mask materials by electrostatics

    NASA Astrophysics Data System (ADS)

    Lytle, W. M.; Raju, R.; Shin, H.; Das, C.; Neumann, M. J.; Ruzic, D. N.

    2008-03-01

    Particle contamination on surfaces used in extreme ultraviolet (EUV) mask blank deposition, mask fabrication, and patterned mask handling must be avoided since the contamination can create significant distortions and loss of reflectivity. Particles on the order of 10nm are problematic during MLM mirror fabrication, since the introduced defects disrupt the local Bragg planes. The most serious problem is the accumulation of particles on surfaces of patterned blanks during EUV light exposure, since > 25nm particles will be printed without an out-of-focus pellicle. Particle contaminants are also a problem with direct imprint processes since defects are printed every time. Plasma Assisted Cleaning by Electrostatics (PACE) works by utilizing a helicon plasma as well as a pulsed DC substrate bias to charge particle and repel them electrostatically from the surface. Removal of this nature is a dry cleaning method and removes contamination perpendicular from the surface instead of rolling or sweeping the particles off the surface, a benefit when cleaning patterned surfaces where contamination can be rolled or trapped between features. Also, an entire mask can be cleaned at once since the plasma can cover the entire surface, thus there is no need to focus in on an area to clean. Sophisticated particle contamination detection system utilizing high power laser called DEFCON is developed to analyze the particle removal after PACE cleaning process. PACE has shown greater than 90 % particle removal efficiencies for 30 to 220 nm PSL particles on ruthenium capped quartz. Removal results for silicon surfaces and quartz surfaces show similar removal efficiencies. Results of cleaning 80 nm PSL spheres from silicon substrates will be shown.

  12. Progress in mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Selinidis, Kosta S.; Brooks, Cynthia B.; Doyle, Gary F.; Brown, Laura; Jones, Chris; Imhof, Joseph; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2011-04-01

    The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105imprints. This suggests that tens of thousands of templates/masks will be required to satisfy the needs of a manufacturing environment. Electron-beam patterning is too slow to feasibly deliver these volumes, but instead can provide a high quality "master" mask which can be replicated many times with an imprint lithography tool. This strategy has the capability to produce the required supply of "working" templates/masks. In this paper, we review the development of the mask form factor, imprint replication tools and processes specifically for semiconductor applications. The requirements needed for semiconductors dictate the need for a well defined form factor for both master and replica masks which is also compatible with the existing mask infrastructure established for the 6025 semi standard, 6" x 6" x 0.25" photomasks. Complying with this standard provides the necessary tooling needed for mask fabrication processes, cleaning, metrology, and inspection. The replica form factor has additional features specific to imprinting such as a pre-patterned mesa. A PerfectaTM MR5000 mask replication tool has been developed specifically to pattern replica masks from an e-beam written master. The system specifications include a throughput of four replicas per hour with an added image placement component of 5nm, 3sigma and a critical dimension uniformity error of less than 1nm, 3sigma. A new process has been developed to fabricate replicas with high contrast alignment marks so that designs for imprint can fit within current

  13. Programmable CGH on photochromic material using DMD generated masks

    NASA Astrophysics Data System (ADS)

    Alata, Romain; Zamkotsian, Frédéric; Lanzoni, Patrick; Pariani, Giorgio; Bianco, Andrea; Bertarelli, Chiara

    2018-02-01

    Computer Generated Holograms (CGHs) are used for wavefront shaping and complex optics testing, including aspherical and free-form optics. Today, CGHs are recorded directly with a laser or intermediate masks, allowing only the realization of binary CGHs; they are efficient but can reconstruct only pixilated images. We propose a Digital Micromirror Device (DMD) as a reconfigurable mask, to record rewritable binary and grayscale CGHs on a photochromic plate. The DMD is composed of 2048x1080 individually controllable micro-mirrors, with a pitch of 13.68 μm. This is a real-time reconfigurable mask, perfect for recording CGHs. The photochromic plate is opaque at rest and becomes transparent when it is illuminated with visible light of suitable wavelength. We have successfully recorded the very first amplitude grayscale CGH, in equally spaced levels, so called stepped CGH. We recorded up to 1000x1000 pixels CGHs with a contrast greater than 50, using Fresnel as well as Fourier coding scheme. Fresnel's CGH are obtained by calculating the inverse Fresnel transform of the original image at a given focus, ranging from 50cm to 2m. The reconstruction of the recorded images with a 632.8nm He-Ne laser beam leads to images with a high fidelity in shape, intensity, size and location. These results reveal the high potential of this method for generating programmable/rewritable grayscale CGHs, which combine DMDs and photochromic substrates.

  14. Clay Mask Workshop

    ERIC Educational Resources Information Center

    Gamble, David L.

    2012-01-01

    Masks can represent so many things, such as emotions (happy, sad, fearful) and power. The familiar "comedy and tragedy" masks, derived from ancient Greek theater, are just one example from mask history. Death masks from the ancient Egyptians influenced the ancient Romans into creating similar masks for their departed. Masks can represent many…

  15. Pattern Inspection of EUV Masks Using DUV Light

    NASA Astrophysics Data System (ADS)

    Liang, Ted; Tejnil, Edita; Stivers, Alan R.

    2002-12-01

    Inspection of extreme ultraviolet (EUV) lithography masks requires reflected light and this poses special challenges for inspection tool suppliers as well as for mask makers. Inspection must detect all the printable defects in the absorber pattern as well as printable process-related defects. Progress has been made under the NIST ATP project on "Intelligent Mask Inspection Systems for Next Generation Lithography" in assessing the factors that impact the inspection tool sensitivity. We report in this paper the inspection of EUV masks with programmed absorber defects using 257nm light. All the materials of interests for masks are highly absorptive to EUV light as compared to deep ultraviolet (DUV) light. Residues and contamination from mask fabrication process and handling are prone to be printable. Therefore, it is critical to understand their EUV printability and optical inspectability. Process related defects may include residual buffer layer such as oxide, organic contaminants and possible over-etch to the multilayer surface. Both simulation and experimental results will be presented in this paper.

  16. venice: Mask utility

    NASA Astrophysics Data System (ADS)

    Coupon, Jean

    2018-02-01

    venice reads a mask file (DS9 or fits type) and a catalogue of objects (ascii or fits type) to create a pixelized mask, find objects inside/outside a mask, or generate a random catalogue of objects inside/outside a mask. The program reads the mask file and checks if a point, giving its coordinates, is inside or outside the mask, i.e. inside or outside at least one polygon of the mask.

  17. Lithography-based automation in the design of program defect masks

    NASA Astrophysics Data System (ADS)

    Vakanas, George P.; Munir, Saghir; Tejnil, Edita; Bald, Daniel J.; Nagpal, Rajesh

    2004-05-01

    In this work, we are reporting on a lithography-based methodology and automation in the design of Program Defect masks (PDM"s). Leading edge technology masks have ever-shrinking primary features and more pronounced model-based secondary features such as optical proximity corrections (OPC), sub-resolution assist features (SRAF"s) and phase-shifted mask (PSM) structures. In order to define defect disposition specifications for critical layers of a technology node, experience alone in deciding worst-case scenarios for the placement of program defects is necessary but may not be sufficient. MEEF calculations initiated from layout pattern data and their integration in a PDM layout flow provide a natural approach for improvements, relevance and accuracy in the placement of programmed defects. This methodology provides closed-loop feedback between layout and hard defect disposition specifications, thereby minimizing engineering test restarts, improving quality and reducing cost of high-end masks. Apart from SEMI and industry standards, best-known methods (BKM"s) in integrated lithographically-based layout methodologies and automation specific to PDM"s are scarce. The contribution of this paper lies in the implementation of Design-For-Test (DFT) principles to a synergistic interaction of CAD Layout and Aerial Image Simulator to drive layout improvements, highlight layout-to-fracture interactions and output accurate program defect placement coordinates to be used by tools in the mask shop.

  18. Influence of footwear midsole material hardness on dynamic balance control during unexpected gait termination.

    PubMed

    Perry, Stephen D; Radtke, Alison; Goodwin, Chris R

    2007-01-01

    The purpose of this study was to determine the influence of different midsole hardnesses on dynamic balance control during unexpected gait termination. Twelve healthy young female adults were asked to walk along an 8-m walkway, looking straight ahead. During 25% of the trials, they were signaled (via an audio buzzer) to terminate gait within the next two steps. The four experimental conditions were: (1) soft (A15); (2) standard (A33); (3) hard (A50); (4) barefoot. Center of mass (COM) position relative to the lateral base of support (BOS), center of mass-center of pressure (COM-COP) difference and vertical loading rate were used to evaluate the influence of midsole material on dynamic balance control. The results were a decrease in the medial-lateral range of COM with respect to the lateral BOS, a reduction in the maximum COM-COP difference and an increase in the vertical loading rate due to the presence and hardness level of the midsole material when compared to the barefoot condition. The primary outcomes of this study have illustrated the influence of midsole hardness as an impediment to dynamic balance control during responses to gait termination. In conclusion, the present study suggests that variations in midsole material and even the presence of it, impairs the dynamic balance control system.

  19. Formation mechanism of the photomask blanks material related haze

    NASA Astrophysics Data System (ADS)

    Kim, Jung-Jin; Choi, Junyoul; Koh, Soowan; Kim, Minho; Lee, Jiyoung; Lee, Han-Shin; Kim, Byung Gook; Jeon, Chan-uk

    2016-05-01

    We have observed a new type haze of which formation deviates from the generally accepted models with respect to the size, shape, and removability by chemicals. It has very small size of 50~100nm and are crowded around the cell boundary, while the typical haze doesn't prefer a special region on mask in the majority of cases. It is hard to remove by general cleaning, while the typical haze is easily removed by general cleaning process and even de-ionized water. It is confirmed that the source of the haze is blank material related ions which are formed by chemical etching of blanks during mask cleaning process or the photomask blanks itself.

  20. Adhesive Systems as an Alternative Material for Color Masking of White Spot Lesions: Do They Work?

    PubMed

    de Lacerda, Ana Júlia Farias; da Silva Ávila, Daniele Mara; Borges, Alessandra Buhler; Pucci, Cesar Rogerio; Rocha Gomes Torres, Carlos

    2016-01-01

    To evaluate the color masking effect of infiltration treatment of artificial white spot lesions (AWSL) using a dedicated resin in comparison to different adhesive systems. Enamel/dentin specimens were obtained from bovine incisors and baseline color was assessed using a reflectance spectrophotometer, according to the CIE L*a*b* system. AWSL were produced using a buffered acid solution and a new color evaluation was performed. The specimens were divided into 8 groups: control: artificial saliva changed daily for 7 days; IC: infiltrating resin Icon; EC: EquiaCoat; FU: Futurabond U; SBU: Single Bond U; SBMP: Scotchbond MP; OB: OptibondFL; BF: Bioforty. After the treatments, the color was evaluated again and the values for the parameters ΔL (change in lightness), Δa (change in chroma), Δb (change in hue), and ΔE (general color difference) were calculated in relation to baseline. Data were analyzed by one-way ANOVA and Tukey's tests. After treatment, ANOVA showed significant differences for all parameters (p = 0.001). Tukey's test showed the greatest lightness reduction (ΔL) for the IC group, followed by EC, FU, and SBU. The SBMP, OB, and BF groups were similar to the control. For Δb values, all groups showed differences in relation to the control, with no differences between them. In relation to ΔE, all groups showed differences in relation to the control (ΔE = 5.24), with no significant differences between them. ΔE values after application of all resinous materials were lower than the threshold of 3.7, indicating effective color masking. The Icon infiltrant produced a greater lightness reduction of white lesions (ΔL). For general color difference (ΔE), all the resinous materials tested were able to color mask artificial AWSL.

  1. X-ray mask fabrication advancements at the Microlithographic Mask Development Center

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.; Hughes, Patrick J.

    1996-05-01

    The Microlithographic Mask Development Center (MMD) was established as the X-ray mask manufacturing facility at the IBM Microelectronics Division semiconductor fabricator in Essex Junction, Vermont. This center, in operation for over two years, produces high yielding, defect-free X-ray masks for competitive logic and memory products at 250nm groundrules and below. The MMD is a complete mask facility that manufactures silicon membrane mask blanks in the NIST format and finished masks with electroplated gold X-ray absorber. Mask patterning, with dimensions as small as 180 nm, is accomplished using IBM-built variable shaped spot e-beam systems. Masks are routinely inspected and repaired using state-of-the-art equipment: two KLA SEM Specs for defect inspection, a Leica LMS 2000 for image placement characterization, an Amray 2040c for image dimension characterization and a Micrion 8000 XMR for defect repair. This facility maintains a baseline mask process with daily production of 250nm, 32Mb SRAM line monitor masks for the continuous improvement of mask quality and processes. Development masks are produced for several semiconductor manufacturers including IBM, Motorola, Loral, and Sanders. Masks for 64Mb and 256Mb DRAM (IBM) and advanced logic/SRAM (IBM and Motorola) designs have also been delivered. This paper describes the MMD facility and its technical capabilities. Key manufacturing metrics such as mask turnaround time, parametric yield learning and defect reduction activities are highlighted. The challenges associated with improved mask quality, sub-180nm mask fabrication, and the transition to refractory metal absorber are discussed.

  2. Rates of initial acceptance of PAP masks and outcomes of mask switching.

    PubMed

    Bachour, Adel; Vitikainen, Pirjo; Maasilta, Paula

    2016-05-01

    Recently, we noticed a considerable development in alleviating problems related to positive airway pressure (PAP) masks. In this study, we report on the initial PAP mask acceptance rates and the effects of mask switching on mask-related symptoms. We prospectively collected all cases of mask switching in our sleep unit for a period of 14 months. At the time of the study, we used ResMed™ CPAP devices and masks. Mask switching was defined as replacing a mask used for at least 1 day with another type of mask. Changing to a different size but keeping the same type of mask did not count as mask switching. Switching outcomes were considered failed if the initial problem persisted or reappeared during the year that followed switching. Our patient pool was 2768. We recorded 343 cases of mask switching among 267 patients. Of the 566 patients who began new PAP therapy, 108 (39 women) had switched masks, yielding an initial mask acceptance rate of 81 %. The reason for switching was poor-fit/uncomfortable mask in 39 %, leak-related in 30 %, outdated model in 25 %, and nasal stuffiness in 6 % of cases; mask switching resolved these problems in 61 %. Mask switching occurred significantly (p = 0.037) more often in women and in new PAP users. The odds ratio for abandoning PAP therapy within 1 year after mask switching was 7.2 times higher (interval 4.7-11.1) than not switching masks. The initial PAP mask acceptance rate was high. Patients who switched their masks are at greater risk for abandoning PAP therapy.

  3. EUVL masks: paving the path for commercialization

    NASA Astrophysics Data System (ADS)

    Mangat, Pawitter J. S.; Hector, Scott D.

    2001-09-01

    Optical projection lithography has been the principal vehicle of semiconductor manufacturing for more than 20 years and is marching aggressively to satisfy the needs of semiconductor manufacturers for 100nm devices. However, the complexity of optical lithography continues to increase as wavelength reduction continues to 157nm. Extreme Ultraviolet Lithography (EUVL), with wavelength from 13-14 nm, is evolving as a leading next generation lithography option for semiconductor industry to stay on the path laid by Moore's Law. Masks are a critical part of the success of any technology and are considered to be high risk both for optical lithography and NGL technologies for sub-100nm lithography. Two key areas of EUV mask fabrication are reflective multilayer deposition and absorber patterning. In the case of reflective multilayers, delivering defect free multilayers for mask blanks is the biggest challenge. Defect mitigation is being explored as a possible option to smooth the multilayer defects in addition to optimization of the deposition process to reduce defect density. The mask patterning process needs focus on the defect-free absorber stack patterning process, mask cleaning, inspection and repair. In addition, there is considerable effort to understand by simulations, the defect printability, thermal and mechanical distortions, and non-telecentric illumination, to mention a few. To protect the finished mask from defects added during use, a removable pellicle strategy combined with thermophoretic protection during exposure is being developed. Recent migration to square form factor using low thermal expansion material (LTEM) is advantageous as historical developments in optical masks can be applied to EUV mask patterning. This paper addresses recent developments in the EUV mask patterning and highlights critical manufacturing process controls needed to fabricate defect-free full field masks with CD and image placement specifications for sub-70nm node lithography. No

  4. Effectiveness of face masks used to protect Beijing residents against particulate air pollution.

    PubMed

    Cherrie, John W; Apsley, Andrew; Cowie, Hilary; Steinle, Susanne; Mueller, William; Lin, Chun; Horwell, Claire J; Sleeuwenhoek, Anne; Loh, Miranda

    2018-06-01

    Many residents in Beijing use disposable face masks in an attempt to protect their health from high particulate matter (PM) concentrations. Retail masks may be certified to local or international standards, but their real-life performance may not confer the exposure reduction potential that is marketed. This study aimed to evaluate the effectiveness of a range of face masks that are commercially available in China. Nine masks claiming protection against fine PM (PM 2.5 ) were purchased from consumer outlets in Beijing. The masks' filtration efficiency was tested by drawing airborne diesel exhaust through a section of the material and measuring the PM 2.5 and black carbon (BC) concentrations upstream and downstream of the filtering medium. Four masks were selected for testing on volunteers. Volunteers were exposed to diesel exhaust inside an experimental chamber while performing sedentary tasks and active tasks. BC concentrations were continuously monitored inside and outside the mask. The mean per cent penetration for each mask material ranged from 0.26% to 29%, depending on the flow rate and mask material. In the volunteer tests, the average total inward leakage (TIL) of BC ranged from 3% to 68% in the sedentary tests and from 7% to 66% in the active tests. Only one mask type tested showed an average TIL of less than 10%, under both test conditions. Many commercially available face masks may not provide adequate protection, primarily due to poor facial fit. Our results indicate that further attention should be given to mask design and providing evidence-based guidance to consumers. © Article author(s) (or their employer(s) unless otherwise stated in the text of the article) 2018. All rights reserved. No commercial use is permitted unless otherwise expressly granted.

  5. Film loss-free cleaning chemicals for EUV mask lifetime elongation developed through combinatorial chemical screening

    NASA Astrophysics Data System (ADS)

    Choi, Jaehyuck; Kim, Jinsu; Lowe, Jeff; Dattilo, Davide; Koh, Soowan; Choi, Jun Yeol; Dietze, Uwe; Shoki, Tsutomu; Kim, Byung Gook; Jeon, Chan-Uk

    2015-10-01

    EUV masks include many different layers of various materials rarely used in optical masks, and each layer of material has a particular role in enhancing the performance of EUV lithography. Therefore, it is crucial to understand how the mask quality and patterning performance can change during mask fabrication, EUV exposure, maintenance cleaning, shipping, or storage. SPM (Sulfuric acid peroxide mixture) which has been extensively used for acid cleaning of photomask and wafer has serious drawback for EUV mask cleaning. It shows severe film loss of tantalum-based absorber layers and limited removal efficiency of EUV-generated carbon contaminants on EUV mask surface. Here, we introduce such novel cleaning chemicals developed for EUV mask as almost film loss free for various layers of the mask and superior carbon removal performance. Combinatorial chemical screening methods allowed us to screen several hundred combinations of various chemistries and additives under several different process conditions of temperature and time, eventually leading to development of the best chemistry selections for EUV mask cleaning. Recently, there have been many activities for the development of EUV pellicle, driven by ASML and core EUV scanner customer companies. It is still important to obtain film-loss free cleaning chemicals because cleaning cycle of EUV mask should be much faster than that of optic mask mainly due to EUV pellicle lifetime. More frequent cleaning, combined with the adoption of new materials for EUV masks, necessitates that mask manufacturers closely examine the performance change of EUV masks during cleaning process. We have investigated EUV mask quality changes and film losses during 50 cleaning cycles using new chemicals as well as particle and carbon contaminant removal characteristics. We have observed that the performance of new chemicals developed is superior to current SPM or relevant cleaning chemicals for EUV mask cleaning and EUV mask lifetime elongation.

  6. Surface roughness analysis after laser assisted machining of hard to cut materials

    NASA Astrophysics Data System (ADS)

    Przestacki, D.; Jankowiak, M.

    2014-03-01

    Metal matrix composites and Si3N4 ceramics are very attractive materials for various industry applications due to extremely high hardness and abrasive wear resistance. However because of these features they are problematic for the conventional turning process. The machining on a classic lathe still requires special polycrystalline diamond (PCD) or cubic boron nitride (CBN) cutting inserts which are very expensive. In the paper an experimental surface roughness analysis of laser assisted machining (LAM) for two tapes of hard-to-cut materials was presented. In LAM, the surface of work piece is heated directly by a laser beam in order to facilitate, the decohesion of material. Surface analysis concentrates on the influence of laser assisted machining on the surface quality of the silicon nitride ceramic Si3N4 and metal matrix composite (MMC). The effect of the laser assisted machining was compared to the conventional machining. The machining parameters influence on surface roughness parameters was also investigated. The 3D surface topographies were measured using optical surface profiler. The analysis of power spectrum density (PSD) roughness profile were analyzed.

  7. Controlling bridging and pinching with pixel-based mask for inverse lithography

    NASA Astrophysics Data System (ADS)

    Kobelkov, Sergey; Tritchkov, Alexander; Han, JiWan

    2016-03-01

    Inverse Lithography Technology (ILT) has become a viable computational lithography candidate in recent years as it can produce mask output that results in process latitude and CD control in the fab that is hard to match with conventional OPC/SRAF insertion approaches. An approach to solving the inverse lithography problem as a nonlinear, constrained minimization problem over a domain mask pixels was suggested in the paper by Y. Granik "Fast pixel-based mask optimization for inverse lithography" in 2006. The present paper extends this method to satisfy bridging and pinching constraints imposed on print contours. Namely, there are suggested objective functions expressing penalty for constraints violations, and their minimization with gradient descent methods is considered. This approach has been tested with an ILT-based Local Printability Enhancement (LPTM) tool in an automated flow to eliminate hotspots that can be present on the full chip after conventional SRAF placement/OPC and has been applied in 14nm, 10nm node production, single and multiple-patterning flows.

  8. Active membrane masks for improved overlay performance in proximity lithography

    NASA Astrophysics Data System (ADS)

    Huston, Dryver R.; Plumpton, James; Esser, Brian; Sullivan, Gerald A.

    2004-07-01

    Membrane masks are thin (2 micron x 35 mm x 35 mm) structures that carry the master exposure patterns in proximity (X-ray) lithography. With the continuous drive to the printing of ever-finer features in microelectronics, the reduction of mask-wafer overlay positioning errors by passive rigid body positioning and passive stress control in the mask becomes impractical due to nano and sub-micron scale elastic deformations in the membrane mask. This paper describes the design, mechanics and performance of a system for actively stretching a membrane mask in-plane to control overlay distortion. The method uses thermoelectric heating/cooling elements placed on the mask perimeter. The thermoelectric elements cause controlled thermoelastic deformations in the supporting wafer, which in turn corrects distortions in the membrane mask. Silicon carbide masks are the focus of this study, but the method is believed to be applicable to other mask materials, such as diamond. Experimental and numerical results will be presented, as well as a discussion of the design issues and related design decisions.

  9. Development of template and mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Brooks, Cynthia; Selinidis, Kosta; Doyle, Gary; Brown, Laura; LaBrake, Dwayne; Resnick, Douglas J.; Sreenivasan, S. V.

    2010-09-01

    The Jet and Flash Imprint Lithography (J-FILTM)1-7 process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105 imprints. This suggests that tens of thousands of templates/masks will be required. It is not feasible to employ electronbeam patterning directly to deliver these volumes. Instead, a "master" template - created by directly patterning with an electron-beam tool - will be replicated many times with an imprint lithography tool to produce the required supply of "working" templates/masks. In this paper, we review the development of the pattern transfer process for both template and mask replicas. Pattern transfer of resolutions down to 25nm has been demonstrated for bit patterned media replication. In addition, final resolution on a semiconductor mask of 28nm has been confirmed. The early results on both etch depth and CD uniformity are promising, but more extensive work is required to characterize the pattern transfer process.

  10. Surface hardness evaluation of different composite resin materials: influence of sports and energy drinks immersion after a short-term period

    PubMed Central

    ERDEMİR, Ugur; YİLDİZ, Esra; EREN, Meltem Mert; OZEL, Sevda

    2013-01-01

    Objectives: This study evaluated the effect of sports and energy drinks on the surface hardness of different composite resin restorative materials over a 1-month period. Material and Methods: A total of 168 specimens: Compoglass F, Filtek Z250, Filtek Supreme, and Premise were prepared using a customized cylindrical metal mould and they were divided into six groups (N=42; n=7 per group). For the control groups, the specimens were stored in distilled water for 24 hours at 37º C and the water was renewed daily. For the experimental groups, the specimens were immersed in 5 mL of one of the following test solutions: Powerade, Gatorade, X-IR, Burn, and Red Bull, for two minutes daily for up to a 1-month test period and all the solutions were refreshed daily. Surface hardness was measured using a Vickers hardness measuring instrument at baseline, after 1-week and 1-month. Data were statistically analyzed using Multivariate repeated measure ANOVA and Bonferroni's multiple comparison tests (α=0.05). Results: Multivariate repeated measures ANOVA revealed that there were statistically significant differences in the hardness of the restorative materials in different immersion times (p<0.001) in different solutions (p<0.001). The effect of different solutions on the surface hardness values of the restorative materials was tested using Bonferroni's multiple comparison tests, and it was observed that specimens stored in distilled water demonstrated statistically significant lower mean surface hardness reductions when compared to the specimens immersed in sports and energy drinks after a 1-month evaluation period (p<0.001). The compomer was the most affected by an acidic environment, whereas the composite resin materials were the least affected materials. Conclusions: The effect of sports and energy drinks on the surface hardness of a restorative material depends on the duration of exposure time, and the composition of the material. PMID:23739850

  11. Masking of aluminum surface against anodizing

    NASA Technical Reports Server (NTRS)

    Crawford, G. B.; Thompson, R. E.

    1969-01-01

    Masking material and a thickening agent preserve limited unanodized areas when aluminum surfaces are anodized with chromic acid. For protection of large areas it combines well with a certain self-adhesive plastic tape.

  12. Porous Hard Carbon Derived from Walnut Shell as an Anode Material for Sodium-Ion Batteries

    NASA Astrophysics Data System (ADS)

    Zhang, Sensen; Li, Ying; Li, Min

    2018-02-01

    Porous hard carbon with large interlayer distance was fabricated from walnut shells through a facile high-temperature pyrolysis process and investigated as an anode material for sodium-ion batteries (SIBs). The results show that the electrochemical performance is mainly dependent on the pyrolysis temperature. The porous hard carbon, which was carbonized at 1300°C, displays the highest reversible capacity of 230 mAh g-1 at 20 mA g-1 and an excellent cycling stability (96% capacity retained over 200 cycles). The promising electrochemical performances are attributed to the porous structure reducing distances for sodium ion diffusion and expanded interlayer spacing, which is beneficial for sodium reversible insertion/extraction. The excellent electrochemical performance as well as the low-cost and environmental friendliness demonstrates that walnut shell-derived porous hard carbon is a promising anode material candidate for SIBs.

  13. Comparison of face masks in the bag-mask ventilation of a manikin.

    PubMed

    Redfern, D; Rassam, S; Stacey, M R; Mecklenburgh, J S

    2006-02-01

    We conducted a study investigating the effectiveness of four face mask designs in the bag-mask ventilation of a special manikin adapted to simulate a difficult airway. Forty-eight anaesthetists volunteered to bag-mask ventilate the manikin for 3 min with four different face masks. The primary outcome of the study was to calculate mean percentage leak from the face masks over 3 min. Anaesthetists were also asked to rate the face masks using a visual analogue score. The single-use scented intersurgical face mask had the lowest mean leak (20%). This was significantly lower than the mean leak from the single-use, cushioned 7,000 series Air Safety Ltd. face mask (24%) and the reusable silicone Laerdal face mask (27%) but not significantly lower than the mean leak from the reusable anatomical intersurgical face mask (23%). There was a large variation in both performance and satisfaction between anaesthetists with each design. This highlights the importance of having a variety of face masks available for emergency use.

  14. Testing the efficacy of homemade masks: would they protect in an influenza pandemic?

    PubMed

    Davies, Anna; Thompson, Katy-Anne; Giri, Karthika; Kafatos, George; Walker, Jimmy; Bennett, Allan

    2013-08-01

    This study examined homemade masks as an alternative to commercial face masks. Several household materials were evaluated for the capacity to block bacterial and viral aerosols. Twenty-one healthy volunteers made their own face masks from cotton t-shirts; the masks were then tested for fit. The number of microorganisms isolated from coughs of healthy volunteers wearing their homemade mask, a surgical mask, or no mask was compared using several air-sampling techniques. The median-fit factor of the homemade masks was one-half that of the surgical masks. Both masks significantly reduced the number of microorganisms expelled by volunteers, although the surgical mask was 3 times more effective in blocking transmission than the homemade mask. Our findings suggest that a homemade mask should only be considered as a last resort to prevent droplet transmission from infected individuals, but it would be better than no protection.

  15. Theoretical Conversions of Different Hardness and Tensile Strength for Ductile Materials Based on Stress-Strain Curves

    NASA Astrophysics Data System (ADS)

    Chen, Hui; Cai, Li-Xun

    2018-04-01

    Based on the power-law stress-strain relation and equivalent energy principle, theoretical equations for converting between Brinell hardness (HB), Rockwell hardness (HR), and Vickers hardness (HV) were established. Combining the pre-existing relation between the tensile strength ( σ b ) and Hollomon parameters ( K, N), theoretical conversions between hardness (HB/HR/HV) and tensile strength ( σ b ) were obtained as well. In addition, to confirm the pre-existing σ b -( K, N) relation, a large number of uniaxial tensile tests were conducted in various ductile materials. Finally, to verify the theoretical conversions, plenty of statistical data listed in ASTM and ISO standards were adopted to test the robustness of the converting equations with various hardness and tensile strength. The results show that both hardness conversions and hardness-strength conversions calculated from the theoretical equations accord well with the standard data.

  16. [Laryngeal mask].

    PubMed

    Villaverde Rozados, María José; Mos Reguera, M Esther; González Argibay, M Concepción; Sixto Gato, Sandra; Radío, Beatriz Alfonso; Fernández Díaz, María José

    2003-11-01

    A laryngeal mask is a very useful instrument to use both in easy as well as difficult handling situations related to the air tract. This mask is placed in the pharynx and it permits a patient to breathe in different modes, either spontaneously or mechanically. Different models are available; some even facilitate the tracheal intubation through the mask. The authors highlight that this type of mask does not protect nor isolate the air tract. As advantages, the authors point out its easy installation; thus, it is an alternative when an air tract presents difficulties; therefore, it is necessary that all personnel who have to deal with the air tract be aware of this mask and know how to handle it.

  17. Effect of the addition of antimicrobial agents on Shore A hardness and roughness of soft lining materials.

    PubMed

    Urban, Vanessa M; Lima, Thiago F; Bueno, Mirian G; Giannini, Marcelo; Arioli Filho, João N; de Almeida, Ana Lúcia P F; Neppelenbroek, Karin H

    2015-04-01

    While the incorporation of antimicrobial agents into soft denture liners has been suggested as a reliable alternative treatment for denture stomatitis, it may affect the liner's properties. The effect of addition of antimicrobial agents for the treatment of denture stomatitis on the surface roughness and Shore A hardness of soft lining materials was evaluated. The test groups comprised specimens (36 × 7 × 6 mm(3) ) of soft materials (Softone and Trusoft) without (control) or with incorporation of drugs (nystatin, miconazole, ketoconazole, chlorhexidine diacetate, and itraconazole). Hardness (Shore A) and roughness (Ra) were evaluated after immersion of specimens (n = 10) in distilled water at 37°C for 24 hours, 7 and 14 days. Data were analyzed by 3-way ANOVA/Tukey's test (α = 0.05). After 14 days, an increase (p < 0.05) was observed in the hardness of soft materials with time for the modified specimens, except for itraconazole. Addition of drugs increased the Softone roughness only for the addition of miconazole and chlorhexidine (p < 0.05), and did not increase the roughness of Trusoft with time. Only chlorhexidine and itraconazole altered the roughness compared to the control for each material (p < 0.05). The smallest changes of hardness and roughness with time in the modified groups compared to controls were observed for itraconazole groups for both materials. © 2014 by the American College of Prosthodontists.

  18. High-sensitivity x-ray mask damage studies employing holographic gratings and phase-shifting interferometry

    NASA Astrophysics Data System (ADS)

    Hansen, Matthew E.; Cerrina, Franco

    1994-05-01

    A high-sensitivity holographic and interferometric metrology developed at the Center for X- ray Lithography (CXrL) has been employed to investigate in-plane distortions (IPD) produced in x-ray mask materials. This metrology has been applied to characterize damage to x-ray mask materials exposed to synchrotron radiation. X-ray mask damage and accelerated mask damage studies on silicon nitride and silicon carbide were conducted on the Aladdin ES-1 and ES-2 beamline exposure stations, respectively. Accumulated in-plane distortions due to x-ray irradiation were extracted from the incremental interferometric phase maps to yield IPD vs. dose curves for silicon nitride mask blanks. Silicon carbide mask blanks were subjected to accelerated mask damage in the high flux 2 mm X 2 mm beam of the ES-2 exposure station. An accelerated damage study of silicon carbide has shown no in-plane distortion for an accumulated dose of 800 kJ/cm2 with a measurement sensitivity of less than 5 nm.

  19. Laser Deposition of Polymer Nanocomposite Thin Films and Hard Materials and Their Optical Characterization

    DTIC Science & Technology

    2013-12-05

    visible light on instruments such as microscope tips and micro- surgical tools. Hard carbon known as diamond-like carbon films produced by pulsed laser ...visible (610 nm) LED source and a supplemental infra-red 980-nm laser diode (for the studies of the upconversion fluorescence). The basic package...5/2013 Final Performance Report 15 Sep 2012- 14 Sep 2013 LASER DEPOSITION OF POLYMER NANOCOMPOSITE THIN FILMS AND HARD MATERIALS AND THEIR OPTICAL

  20. Simple solution for difficult face mask ventilation in children with orofacial clefts.

    PubMed

    Veerabathula, Prardhana; Patil, Manajeet; Upputuri, Omkar; Durga, Padmaja

    2014-10-01

    Significant air leak from the facial cleft predisposes to difficult mask ventilation. The reported techniques of use of sterile gauze, larger face mask and laryngeal mask airway after intravenous induction have limited application in uncooperative children. We describe the use of dental impression material molded to the facial contour to cover the facial defect and aid ventilation with an appropriate size face mask in a child with a bilateral Tessier 3 anomaly. © 2014 John Wiley & Sons Ltd.

  1. Nasal mask ventilation is better than face mask ventilation in edentulous patients.

    PubMed

    Kapoor, Mukul Chandra; Rana, Sandeep; Singh, Arvind Kumar; Vishal, Vindhya; Sikdar, Indranil

    2016-01-01

    Face mask ventilation of the edentulous patient is often difficult as ineffective seating of the standard mask to the face prevents attainment of an adequate air seal. The efficacy of nasal ventilation in edentulous patients has been cited in case reports but has never been investigated. Consecutive edentulous adult patients scheduled for surgery under general anesthesia with endotracheal intubation, during a 17-month period, were prospectively evaluated. After induction of anesthesia and administration of neuromuscular blocker, lungs were ventilated with a standard anatomical face mask of appropriate size, using a volume controlled anesthesia ventilator with tidal volume set at 10 ml/kg. In case of inadequate ventilation, the mask position was adjusted to achieve best-fit. Inspired and expired tidal volumes were measured. Thereafter, the face mask was replaced by a nasal mask and after achieving best-fit, the inspired and expired tidal volumes were recorded. The difference in expired tidal volumes and airway pressures at best-fit with the use of the two masks and number of patients with inadequate ventilation with use of the masks were statistically analyzed. A total of 79 edentulous patients were recruited for the study. The difference in expiratory tidal volumes with the use of the two masks at best-fit was statistically significant (P = 0.0017). Despite the best-fit mask placement, adequacy of ventilation could not be achieved in 24.1% patients during face mask ventilation, and 12.7% patients during nasal mask ventilation and the difference was statistically significant. Nasal mask ventilation is more efficient than standard face mask ventilation in edentulous patients.

  2. Monte Carlo sensitivity analysis of EUV mask reflectivity and its impact on OPC accuracy

    NASA Astrophysics Data System (ADS)

    Chen, Yulu; Wood, Obert; Rankin, Jed; Gullikson, Eric; Meyer-Ilse, Julia; Sun, Lei; Qi, Zhengqing John; Goodwin, Francis; Kye, Jongwook

    2017-03-01

    Unlike optical masks which are transmissive optical elements, use of extreme ultraviolet (EUV) radiation requires a reflective mask structure - a multi-layer coating consisting of alternating layers of high-Z (wave impedance) and low-Z materials that provide enhanced reflectivity over a narrow wavelength band peaked at the Bragg wavelength.1 Absorber side wall angle, corner rounding,2 surface roughness,3 and defects4 affect mask performance, but even seemingly simple parameters like bulk reflectivity on mirror and absorber surfaces can have a profound influence on imaging. For instance, using inaccurate reflectivity values at small and large incident angles would diminish the benefits of source mask co-optimization (SMO) and result in larger than expected pattern shifts. The goal of our work is to calculate the variation in mask reflectivity due to various sources of inaccuracies using Monte Carlo simulations. Such calculation is necessary as small changes in the thickness and optical properties of the high-Z and low-Z materials can cause substantial variations in reflectivity. This is further complicated by undesirable intermixing between the two materials used to create the reflector.5 One of the key contributors to mask reflectivity fluctuation is identified to be the intermixing layer thickness. We also investigate the impacts on OPC when the wrong mask information is provided, and evaluate the deterioration of overlapping process window. For a hypothetical N7 via layer, the lack of accurate mask information costs 25% of the depth of focus at 5% exposure latitude. Our work would allow the determination of major contributors to mask reflectivity variation, drive experimental efforts of measuring such contributors, provide strategies to optimize mask reflectivity, and quantize the OPC errors due to imperfect mask modeling.

  3. "The Mask Who Wasn't There": Visual Masking Effect with the Perceptual Absence of the Mask

    ERIC Educational Resources Information Center

    Rey, Amandine Eve; Riou, Benoit; Muller, Dominique; Dabic, Stéphanie; Versace, Rémy

    2015-01-01

    Does a visual mask need to be perceptually present to disrupt processing? In the present research, we proposed to explore the link between perceptual and memory mechanisms by demonstrating that a typical sensory phenomenon (visual masking) can be replicated at a memory level. Experiment 1 highlighted an interference effect of a visual mask on the…

  4. Effects of Bias Pulsing on Etching of SiO2 Pattern in Capacitively-Coupled Plasmas for Nano-Scale Patterning of Multi-Level Hard Masks.

    PubMed

    Kim, Sechan; Choi, Gyuhyun; Chae, Heeyeop; Lee, Nae-Eung

    2016-05-01

    In order to study the effects of bias pulsing on the etching characteristics of a silicon dioxide (SiO2) layer using multi-level hard mask (MLHM) structures of ArF photoresist/bottom anti-reflected coating/SiO2/amorphous carbon layer (ACL)/SiO2, the effects of bias pulsing conditions on the etch characteristics of a SiO2 layer with an ACL mask pattern in C4F8/CH2F2/O2/Ar etch chemistries were investigated in a dual-frequency capacitively-coupled plasma (CCP) etcher. The effects of the pulse frequency, duty ratio, and pulse-bias power in the 2 MHz low-frequency (LF) power source were investigated in plasmas generated by a 27.12 MHz high-frequency (HF) power source. The etch rates of ACL and SiO2 decreased, but the etch selectivity of SiO2/ACL increased with decreasing duty ratio. When the ACL and SiO2 layers were etched with increasing pulse frequency, no significant change was observed in the etch rates and etch selectivity. With increasing LF pulse-bias power, the etch rate of ACL and SiO2 slightly increased, but the etch selectivity of SiO2/ACL decreased. Also, the precise control of the critical dimension (CD) values with decreasing duty ratio can be explained by the protection of sidewall etching of SiO2 by increased passivation. Pulse-biased etching was successfully applied to the patterning of the nano-scale line and space of SiO2 using an ACL pattern.

  5. Mask automation: need a revolution in mask makers and equipment industry

    NASA Astrophysics Data System (ADS)

    Moon, Seong-yong; Yu, Sang-yong; Noh, Young-hwa; Son, Ki-jung; Lee, Hyun-Joo; Cho, Han-Ku

    2013-09-01

    As improving device integration for the next generation, high performance and cost down are also required accordingly in semiconductor business. Recently, significant efforts have been given on putting EUV technology into fabrication in order to improve device integration. At the same time, 450mm wafer manufacturing environment has been considered seriously in many ways in order to boost up the productivity. Accordingly, 9-inch mask has been discussed in mask fabrication business recently to support 450mm wafer manufacturing environment successfully. Although introducing 9-inch mask can be crucial for mask industry, multi-beam technology is also expected as another influential turning point to overcome currently the most critical issue in mask industry, electron beam writing time. No matter whether 9-inch mask or multi-beam technology will be employed or not, mask quality and productivity will be the key factors to survive from the device competition. In this paper, the level of facility automation in mask industry is diagnosed and analyzed and the automation guideline is suggested for the next generation.

  6. Effect of raw materials and hardening process on hardness of manually forged knife

    NASA Astrophysics Data System (ADS)

    Balkhaya, Suwarno

    2017-06-01

    Knives are normally made by forging process either using a machine or traditional method by means of hammering process. This present work was conducted to study the effects of steel raw materials and hardening process on the hardness of manually forged knives. The knife samples were made by traditional hammering (forging) process done by local blacksmith. Afterward, the samples were heat treated with two different hardening procedures, the first was based on the blacksmith procedure and the second was systematically done at the laboratory. The forging was done in the temperature ranged between 900-950°C, while the final temperature ranged between 650-675°C. The results showed that knives made of spring steel and heat treated in simulated condition at the laboratory obtained higher level of hardness, i.e. 62 HRC. In general, knives heat treated by local blacksmith had lower level of hardness that those obtained from simulated condition. Therefore, we concluded that the traditional knife quality in term of hardness can be improved by optimizing the heat treatment schedule.

  7. OsB 2 and RuB 2, ultra-incompressible, hard materials: First-principles electronic structure calculations

    NASA Astrophysics Data System (ADS)

    Chiodo, S.; Gotsis, H. J.; Russo, N.; Sicilia, E.

    2006-07-01

    Recently it has been reported that osmium diboride has an unusually large bulk modulus combined with high hardness, and consequently is a most interesting candidate as an ultra-incompressible and hard material. The electronic and structural properties of the transition metal diborides OsB 2 and RuB 2 have been calculated within the local density approximation (LDA). It is shown that the high hardness is the result of covalent bonding between transition metal d states and boron p states in the orthorhombic structure.

  8. Ultrasonic material hardness depth measurement

    DOEpatents

    Good, M.S.; Schuster, G.J.; Skorpik, J.R.

    1997-07-08

    The invention is an ultrasonic surface hardness depth measurement apparatus and method permitting rapid determination of hardness depth of shafts, rods, tubes and other cylindrical parts. The apparatus of the invention has a part handler, sensor, ultrasonic electronics component, computer, computer instruction sets, and may include a display screen. The part handler has a vessel filled with a couplant, and a part rotator for rotating a cylindrical metal part with respect to the sensor. The part handler further has a surface follower upon which the sensor is mounted, thereby maintaining a constant distance between the sensor and the exterior surface of the cylindrical metal part. The sensor is mounted so that a front surface of the sensor is within the vessel with couplant between the front surface of the sensor and the part. 12 figs.

  9. A cluster randomised trial of cloth masks compared with medical masks in healthcare workers.

    PubMed

    MacIntyre, C Raina; Seale, Holly; Dung, Tham Chi; Hien, Nguyen Tran; Nga, Phan Thi; Chughtai, Abrar Ahmad; Rahman, Bayzidur; Dwyer, Dominic E; Wang, Quanyi

    2015-04-22

    The aim of this study was to compare the efficacy of cloth masks to medical masks in hospital healthcare workers (HCWs). The null hypothesis is that there is no difference between medical masks and cloth masks. 14 secondary-level/tertiary-level hospitals in Hanoi, Vietnam. 1607 hospital HCWs aged ≥18 years working full-time in selected high-risk wards. Hospital wards were randomised to: medical masks, cloth masks or a control group (usual practice, which included mask wearing). Participants used the mask on every shift for 4 consecutive weeks. Clinical respiratory illness (CRI), influenza-like illness (ILI) and laboratory-confirmed respiratory virus infection. The rates of all infection outcomes were highest in the cloth mask arm, with the rate of ILI statistically significantly higher in the cloth mask arm (relative risk (RR)=13.00, 95% CI 1.69 to 100.07) compared with the medical mask arm. Cloth masks also had significantly higher rates of ILI compared with the control arm. An analysis by mask use showed ILI (RR=6.64, 95% CI 1.45 to 28.65) and laboratory-confirmed virus (RR=1.72, 95% CI 1.01 to 2.94) were significantly higher in the cloth masks group compared with the medical masks group. Penetration of cloth masks by particles was almost 97% and medical masks 44%. This study is the first RCT of cloth masks, and the results caution against the use of cloth masks. This is an important finding to inform occupational health and safety. Moisture retention, reuse of cloth masks and poor filtration may result in increased risk of infection. Further research is needed to inform the widespread use of cloth masks globally. However, as a precautionary measure, cloth masks should not be recommended for HCWs, particularly in high-risk situations, and guidelines need to be updated. Australian New Zealand Clinical Trials Registry: ACTRN12610000887077. Published by the BMJ Publishing Group Limited. For permission to use (where not already granted under a licence) please go

  10. A cluster randomised trial of cloth masks compared with medical masks in healthcare workers

    PubMed Central

    MacIntyre, C Raina; Seale, Holly; Dung, Tham Chi; Hien, Nguyen Tran; Nga, Phan Thi; Chughtai, Abrar Ahmad; Rahman, Bayzidur; Dwyer, Dominic E; Wang, Quanyi

    2015-01-01

    Objective The aim of this study was to compare the efficacy of cloth masks to medical masks in hospital healthcare workers (HCWs). The null hypothesis is that there is no difference between medical masks and cloth masks. Setting 14 secondary-level/tertiary-level hospitals in Hanoi, Vietnam. Participants 1607 hospital HCWs aged ≥18 years working full-time in selected high-risk wards. Intervention Hospital wards were randomised to: medical masks, cloth masks or a control group (usual practice, which included mask wearing). Participants used the mask on every shift for 4 consecutive weeks. Main outcome measure Clinical respiratory illness (CRI), influenza-like illness (ILI) and laboratory-confirmed respiratory virus infection. Results The rates of all infection outcomes were highest in the cloth mask arm, with the rate of ILI statistically significantly higher in the cloth mask arm (relative risk (RR)=13.00, 95% CI 1.69 to 100.07) compared with the medical mask arm. Cloth masks also had significantly higher rates of ILI compared with the control arm. An analysis by mask use showed ILI (RR=6.64, 95% CI 1.45 to 28.65) and laboratory-confirmed virus (RR=1.72, 95% CI 1.01 to 2.94) were significantly higher in the cloth masks group compared with the medical masks group. Penetration of cloth masks by particles was almost 97% and medical masks 44%. Conclusions This study is the first RCT of cloth masks, and the results caution against the use of cloth masks. This is an important finding to inform occupational health and safety. Moisture retention, reuse of cloth masks and poor filtration may result in increased risk of infection. Further research is needed to inform the widespread use of cloth masks globally. However, as a precautionary measure, cloth masks should not be recommended for HCWs, particularly in high-risk situations, and guidelines need to be updated. Trial registration number Australian New Zealand Clinical Trials Registry: ACTRN12610000887077. PMID

  11. Alternating phase-shifted mask for logic gate levels, design, and mask manufacturing

    NASA Astrophysics Data System (ADS)

    Liebmann, Lars W.; Graur, Ioana C.; Leipold, William C.; Oberschmidt, James M.; O'Grady, David S.; Regaill, Denis

    1999-07-01

    While the benefits of alternating phase shifted masks in improving lithographic process windows at increased resolution are well known throughout the lithography community, broad implementation of this potentially powerful technique has been slow due to the inherent complexity of the layout design and mask manufacturing process. This paper will review a project undertaken at IBM's Semiconductor Research and Development Center and Mask Manufacturing and Development facility to understand the technical and logistical issues associated with the application of alternating phase shifted mask technology to the gate level of a full microprocessor chip. The work presented here depicts an important milestone toward integration of alternating phase shifted masks into the manufacturing process by demonstrating an automated design solution and yielding a functional alternating phase shifted mask. The design conversion of the microprocessor gate level to a conjugate twin shifter alternating phase shift layout was accomplished with IBM's internal design system that automatically scaled the design, added required phase regions, and resolved phase conflicts. The subsequent fabrication of a nearly defect free phase shifted mask, as verified by SEM based die to die inspection, highlights the maturity of the alternating phase shifted mask manufacturing process in IBM's internal mask facility. Well defined and recognized challenges in mask inspection and repair remain and the layout of alternating phase shifted masks present a design and data preparation overhead, but the data presented here demonstrate the feasibility of designing and building manufacturing quality alternating phase shifted masks for the gate level of a microprocessor.

  12. Hardness and modulus of elasticity of primary and permanent teeth after wear against different dental materials

    PubMed Central

    Galo, Rodrigo; Contente, Marta Maria Martins Giamatei; Galafassi, Daniel; Borsatto, Maria Cristina

    2015-01-01

    Objectives: The purpose of this study was to determine the Young's modulus and the hardness of deciduous and permanent teeth following wear challenges using different dental materials. Materials and Methods: Wear challenges were performed against four dental materials: A resin-based fissure sealant (Fluoroshield®), a glass ionomer based fissure sealant (Vitremer®), and two microhybrid composite resins (Filtek Z250 and P90®). Using the pin-on-plate design, a deciduous or a permanent tooth was made into a pin (4 mm × 4 mm × 2 mm) working at a 3 N vertical load, 1 Hz frequency, and 900 cycles (15 min) with Fusayama artificial saliva as a lubricant. Before and after the tribological tests, the hardness and elasticity modulus of the tooth samples were measured by creating a nanoindentation at load forces up to 50 mN and 150 mN. All of the results were statistically analyzed using ANOVA and post-hoc Duncan's tests (P < 0.05). Results: No difference in hardness was encountered between deciduous and permanent teeth (P < 0.05) or modulus of elasticity (P < 0.05) before or after the wear challenges for all of the dental materials tested. Conclusions: Wear challenges against the studied dental materials did not alter the properties of permanent or deciduous teeth after the application of a 3 N load. PMID:26929700

  13. EUV mask manufacturing readiness in the merchant mask industry

    NASA Astrophysics Data System (ADS)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  14. Mask process correction (MPC) modeling and its application to EUV mask for electron beam mask writer EBM-7000

    NASA Astrophysics Data System (ADS)

    Kamikubo, Takashi; Ohnishi, Takayuki; Hara, Shigehiro; Anze, Hirohito; Hattori, Yoshiaki; Tamamushi, Shuichi; Bai, Shufeng; Wang, Jen-Shiang; Howell, Rafael; Chen, George; Li, Jiangwei; Tao, Jun; Wiley, Jim; Kurosawa, Terunobu; Saito, Yasuko; Takigawa, Tadahiro

    2010-09-01

    In electron beam writing on EUV mask, it has been reported that CD linearity does not show simple signatures as observed with conventional COG (Cr on Glass) masks because they are caused by scattered electrons form EUV mask itself which comprises stacked heavy metals and thick multi-layers. To resolve this issue, Mask Process Correction (MPC) will be ideally applicable. Every pattern is reshaped in MPC. Therefore, the number of shots would not increase and writing time will be kept within reasonable range. In this paper, MPC is extended to modeling for correction of CD linearity errors on EUV mask. And its effectiveness is verified with simulations and experiments through actual writing test.

  15. Competing for Consciousness: Prolonged Mask Exposure Reduces Object Substitution Masking

    ERIC Educational Resources Information Center

    Goodhew, Stephanie C.; Visser, Troy A. W.; Lipp, Ottmar V.; Dux, Paul E.

    2011-01-01

    In object substitution masking (OSM) a sparse, temporally trailing 4-dot mask impairs target identification, even though it has different contours from, and does not spatially overlap with the target. Here, we demonstrate a previously unknown characteristic of OSM: Observers show reduced masking at prolonged (e.g., 640 ms) relative to intermediate…

  16. Determining the Effect of Material Hardness During the Hard Turning of AISI4340 Steel

    NASA Astrophysics Data System (ADS)

    Kambagowni, Venkatasubbaiah; Chitla, Raju; Challa, Suresh

    2018-05-01

    In the present manufacturing industries hardened steels are most widely used in the applications like tool design and mould design. It enhances the application range of hard turning of hardened steels in manufacturing industries. This study discusses the impact of workpiece hardness, feed and depth of cut on Arithmetic mean roughness (Ra), root mean square roughness (Rq), mean depth of roughness (Rz) and total roughness (Rt) during the hard turning. Experiments have been planned according to the Box-Behnken design and conducted on hardened AISI4340 steel at 45, 50 and 55 HRC with wiper ceramic cutting inserts. Cutting speed is kept constant during this study. The analysis of variance was used to determine the effects of the machining parameters. 3-D response surface plots drawn based on RSM were utilized to set up the input-output relationships. The results indicated that the feed rate has the most significant parameter for Ra, Rq and Rz and hardness has the most critical parameter for the Rt. Further, hardness shows its influence over all the surface roughness characteristics.

  17. Preliminary results for mask metrology using spatial heterodyne interferometry

    NASA Astrophysics Data System (ADS)

    Bingham, Philip R.; Tobin, Kenneth; Bennett, Marylyn H.; Marmillion, Pat

    2003-12-01

    Spatial heterodyne interferometry (SHI) is an imaging technique that captures both the phase and amplitude of a complex wavefront in a single high-speed image. This technology was developed at the Oak Ridge National Laboratory (ORNL) and is currently being implemented for semiconductor wafer inspection by nLine Corporation. As with any system that measures phase, metrology and inspection of surface structures is possible by capturing a wavefront reflected from the surface. The interpretation of surface structure heights for metrology applications can become very difficult with the many layers of various materials used on semiconductor wafers, so inspection (defect detection) has been the primary focus for semiconductor wafers. However, masks used for photolithography typically only contain a couple well-defined materials opening the doors to high-speed mask metrology in 3 dimensions in addition to inspection. Phase shift masks often contain structures etched out of the transparent substrate material for phase shifting. While these structures are difficult to inspect using only intensity, the phase and amplitude images captured with SHI can produce very good resolution of these structures. The phase images also provide depth information that is crucial for these phase shift regions. Preliminary testing has been performed to determine the feasibility of SHI for high-speed non-contact mask metrology using a prototype SHI system with 532 nm wavelength illumination named the Visible Alpha Tool (VAT). These results show that prototype SHI system is capable of performing critical dimension measurements on 400nm lines with a repeatability of 1.4nm and line height measurements with a repeatability of 0.26nm. Additionally initial imaging of an alternating aperture phase shift mask has shown the ability of SHI to discriminate between typical phase shift heights.

  18. Overlay improvement by exposure map based mask registration optimization

    NASA Astrophysics Data System (ADS)

    Shi, Irene; Guo, Eric; Chen, Ming; Lu, Max; Li, Gordon; Li, Rivan; Tian, Eric

    2015-03-01

    Along with the increased miniaturization of semiconductor electronic devices, the design rules of advanced semiconductor devices shrink dramatically. [1] One of the main challenges of lithography step is the layer-to-layer overlay control. Furthermore, DPT (Double Patterning Technology) has been adapted for the advanced technology node like 28nm and 14nm, corresponding overlay budget becomes even tighter. [2][3] After the in-die mask registration (pattern placement) measurement is introduced, with the model analysis of a KLA SOV (sources of variation) tool, it's observed that registration difference between masks is a significant error source of wafer layer-to-layer overlay at 28nm process. [4][5] Mask registration optimization would highly improve wafer overlay performance accordingly. It was reported that a laser based registration control (RegC) process could be applied after the pattern generation or after pellicle mounting and allowed fine tuning of the mask registration. [6] In this paper we propose a novel method of mask registration correction, which can be applied before mask writing based on mask exposure map, considering the factors of mask chip layout, writing sequence, and pattern density distribution. Our experiment data show if pattern density on the mask keeps at a low level, in-die mask registration residue error in 3sigma could be always under 5nm whatever blank type and related writer POSCOR (position correction) file was applied; it proves random error induced by material or equipment would occupy relatively fixed error budget as an error source of mask registration. On the real production, comparing the mask registration difference through critical production layers, it could be revealed that registration residue error of line space layers with higher pattern density is always much larger than the one of contact hole layers with lower pattern density. Additionally, the mask registration difference between layers with similar pattern density

  19. Effect of polymerization technique and glass fiber addition on the surface roughness and hardness of PMMA denture base material.

    PubMed

    Gad, Mohammed M; Rahoma, Ahmed; Al-Thobity, Ahmad M

    2018-06-20

    The current study evaluated the effects of autoclave polymerization both with and without glass fiber (GF) reinforcement on the surface roughness and hardness of acrylic denture base material. Ninety disc specimens (30×2.5 mm) were prepared from Vertex resin and divided according to polymerization techniques into a water bath, short and long autoclave polymerization groups. Tested groups were divided into three subgroups according to the GF concentration (0, 2.5, and 5 wt%). Profilometer and Vickers hardness tests were performed to measure surface roughness and hardness. ANOVA and Tukey-Kramer multiple comparison tests analyzed the results, and p≤0.05 was considered statistically significant. Autoclave polymerization significantly decreased the surface roughness and increased the hardness of acrylic resin without GF reinforcement (p<0.05). However, 5 wt% GF addition significantly increased surface roughness and decreased hardness of the autoclave polymerized denture base resin (p<0.05). Surface properties of Polymethyl methacrylate (PMMA) denture base material improved with autoclave polymerization and negatively affected with GFs addition.

  20. Grinding tool for making hemispherical bores in hard materials

    DOEpatents

    Duran, E.L.

    1985-04-03

    A grinding tool for forming hemispherical bores in hard materials such as boron carbide. The tool comprises a hemicircular grinding bit, formed of a metal bond diamond matrix, which is mounted transversely on one end of a tubular tool shaft. The bit includes a spherically curved outer edge surface which is the active grinding surface of the tool. Two coolant fluid ports on opposite sides of the bit enable introduction of coolant fluid through the bore of the tool shaft so as to be emitted adjacent the opposite sides of the grinding bit, thereby providing optimum cooling of both the workpiece and the bit.

  1. 2013 mask industry survey

    NASA Astrophysics Data System (ADS)

    Malloy, Matt

    2013-09-01

    A comprehensive survey was sent to merchant and captive mask shops to gather information about the mask industry as an objective assessment of its overall condition. 2013 marks the 12th consecutive year for this process. Historical topics including general mask profile, mask processing, data and write time, yield and yield loss, delivery times, maintenance, and returns were included and new topics were added. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the mask industry. While each year's survey includes minor updates based on feedback from past years and the need to collect additional data on key topics, the bulk of the survey and reporting structure have remained relatively constant. A series of improvements is being phased in beginning in 2013 to add value to a wider audience, while at the same time retaining the historical content required for trend analyses of the traditional metrics. Additions in 2013 include topics such as top challenges, future concerns, and additional details in key aspects of mask masking, such as the number of masks per mask set per ground rule, minimum mask resolution shipped, and yield by ground rule. These expansions beyond the historical topics are aimed at identifying common issues, gaps, and needs. They will also provide a better understanding of real-life mask requirements and capabilities for comparison to the International Technology Roadmap for Semiconductors (ITRS).

  2. Masking Period Patterns & Forward Masking for Speech-Shaped Noise: Age-related effects

    PubMed Central

    Grose, John H.; Menezes, Denise C.; Porter, Heather L.; Griz, Silvana

    2015-01-01

    Objective The purpose of this study was to assess age-related changes in temporal resolution in listeners with relatively normal audiograms. The hypothesis was that increased susceptibility to non-simultaneous masking contributes to the hearing difficulties experienced by older listeners in complex fluctuating backgrounds. Design Participants included younger (n = 11), middle-aged (n = 12), and older (n = 11) listeners with relatively normal audiograms. The first phase of the study measured masking period patterns for speech-shaped noise maskers and signals. From these data, temporal window shapes were derived. The second phase measured forward-masking functions, and assessed how well the temporal window fits accounted for these data. Results The masking period patterns demonstrated increased susceptibility to backward masking in the older listeners, compatible with a more symmetric temporal window in this group. The forward-masking functions exhibited an age-related decline in recovery to baseline thresholds, and there was also an increase in the variability of the temporal window fits to these data. Conclusions This study demonstrated an age-related increase in susceptibility to non-simultaneous masking, supporting the hypothesis that exacerbated non-simultaneous masking contributes to age-related difficulties understanding speech in fluctuating noise. Further support for this hypothesis comes from limited speech-in-noise data suggesting an association between susceptibility to forward masking and speech understanding in modulated noise. PMID:26230495

  3. Hard X-ray (greater than 10 keV) telescope for space astronomy from the Moon

    NASA Astrophysics Data System (ADS)

    Frontera, F.; de Chiara, P.; Pasqualini, G.

    1994-06-01

    The use of the Moon as site for deep observations of astrophysical sources in hard X-rays (greater than 10 keV) is very exciting, in spite of several technological problems to be solved. A strong limitation to the sensitivity of hard X-ray experiments is imposed by the use of direct-viewing (with or without masks) detectors. We propose a lunar hard X-ray observatory, (LHEXO), that makes use of a hard X-ray concentrator which is based on the use of confocal paraboloidal mirrors made of mosaic crystals of graphite (002). In this paper we describe telescope concept and its expected performances.

  4. High resolution imaging and lithography with hard x rays using parabolic compound refractive lenses

    NASA Astrophysics Data System (ADS)

    Schroer, C. G.; Benner, B.; Günzler, T. F.; Kuhlmann, M.; Zimprich, C.; Lengeler, B.; Rau, C.; Weitkamp, T.; Snigirev, A.; Snigireva, I.; Appenzeller, J.

    2002-03-01

    Parabolic compound refractive lenses are high quality optical components for hard x rays. They are particularly suited for full field imaging, with applications in microscopy and x-ray lithography. Taking advantage of the large penetration depth of hard x rays, the interior of opaque samples can be imaged with submicrometer resolution. To obtain the three-dimensional structure of a sample, microscopy is combined with tomographic techniques. In a first hard x-ray lithography experiment, parabolic compound refractive lenses have been used to project the reduced image of a lithography mask onto a resist. Future developments are discussed.

  5. Green binary and phase shifting mask

    NASA Astrophysics Data System (ADS)

    Shy, S. L.; Hong, Chao-Sin; Wu, Cheng-San; Chen, S. J.; Wu, Hung-Yu; Ting, Yung-Chiang

    2009-12-01

    SixNy/Ni thin film green mask blanks were developed , and are now going to be used to replace general chromium film used for binary mask as well as to replace molydium silicide embedded material for AttPSM for I-line (365 nm), KrF (248 nm), ArF (193 nm) and Contact/Proximity lithography. A bilayer structure of a 1 nm thick opaque, conductive nickel layer and a SixNy layer is proposed for binary and phase-shifting mask. With the good controlling of plasma CVD of SixNy under silane (50 sccm), ammonia (5 sccm) and nitrogen (100 sccm), the pressure is 250 mTorr. and RF frequency 13.56 MHz and power 50 W. SixNy has enough deposition latitude to meet the requirements as an embedded layer for required phase shift 180 degree, and the T% in 193, 248 and 365 nm can be adjusted between 2% to 20% for binary and phase shifting mask usage. Ni can be deposited by E-gun, its sheet resistance Rs is less than 1.435 kΩ/square. Jeol e-beam system and I-line stepper are used to evaluate these thin film green mask blanks, feature size less than 200 nm half pitch pattern and 0.558 μm pitch contact hole can be printed. Transmission spectrums of various thickness of SixNy film are inspected by using UV spectrometer and FTIR. Optical constants of the SixNy film are measured by n & k meter and surface roughness is inspected by using Atomic Force Microscope (AFM).

  6. High-contrast coronagraph performance in the presence of focal plane mask defects

    NASA Astrophysics Data System (ADS)

    Sidick, Erkin; Shaklan, Stuart; Balasubramanian, Kunjithapatham; Cady, Eric

    2014-08-01

    We have carried out a study of the performance of high-contrast coronagraphs in the presence of mask defects. We have considered the effects of opaque and dielectric particles of various dimensions, as well as systematic mask fabrication errors and the limitations of material properties in creating dark holes. We employ sequential deformable mirrors to compensate for phase and amplitude errors, and show the limitations of this approach in the presence of coronagraph image-mask defects.

  7. Correlating particle hardness with powder compaction performance.

    PubMed

    Cao, Xiaoping; Morganti, Mikayla; Hancock, Bruno C; Masterson, Victoria M

    2010-10-01

    Assessing particle mechanical properties of pharmaceutical materials quickly and with little material can be very important to early stages of pharmaceutical research. In this study, a wide range of pharmaceutical materials were studied using atomic force microscopy (AFM) nanoindentation. A significant amount of particle hardness and elastic modulus data were provided. Moreover, powder compact mechanical properties of these materials were investigated in order to build correlation between the particle hardness and powder compaction performance. It was found that the materials with very low or high particle hardness most likely exhibit poor compaction performance while the materials with medium particle hardness usually have good compaction behavior. Additionally, the results from this study enriched Hiestand's special case concept on particle hardness and powder compaction performance. This study suggests that the use of AFM nanoindentation can help to screen mechanical properties of pharmaceutical materials at early development stages of pharmaceutical research.

  8. Evaluation of a ''CMOS'' Imager for Shadow Mask Hard X-ray Telescope

    NASA Technical Reports Server (NTRS)

    Desai, Upendra D.; Orwig, Larry E.; Oergerle, William R. (Technical Monitor)

    2002-01-01

    We have developed a hard x-ray coder that provides high angular resolution imaging capability using a coarse position sensitive image plane detector. The coder consists of two Fresnel zone plates. (FZP) Two such 'FZP's generate Moire fringe patterns whose frequency and orientation define the arrival direction of a beam with respect to telescope axis. The image plane detector needs to resolve the Moire fringe pattern. Pixilated detectors can be used as an image plane detector. The recently available 'CMOS' imager could provide a very low power large area image plane detector for hard x-rays. We have looked into a unit made by Rad-Icon Imaging Corp. The Shadow-Box 1024 x-ray camera is a high resolution 1024xl024 pixel detector of 50x50 mm area. It is a very low power, stand alone camera. We present some preliminary results of our investigation of evaluation of such camera.

  9. Bubble masks for time-encoded imaging of fast neutrons.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brubaker, Erik; Brennan, James S.; Marleau, Peter

    2013-09-01

    Time-encoded imaging is an approach to directional radiation detection that is being developed at SNL with a focus on fast neutron directional detection. In this technique, a time modulation of a detected neutron signal is inducedtypically, a moving mask that attenuates neutrons with a time structure that depends on the source position. An important challenge in time-encoded imaging is to develop high-resolution two-dimensional imaging capabilities; building a mechanically moving high-resolution mask presents challenges both theoretical and technical. We have investigated an alternative to mechanical masks that replaces the solid mask with a liquid such as mineral oil. Instead of fixedmore » blocks of solid material that move in pre-defined patterns, the oil is contained in tubing structures, and carefully introduced air gapsbubblespropagate through the tubing, generating moving patterns of oil mask elements and air apertures. Compared to current moving-mask techniques, the bubble mask is simple, since mechanical motion is replaced by gravity-driven bubble propagation; it is flexible, since arbitrary bubble patterns can be generated by a software-controlled valve actuator; and it is potentially high performance, since the tubing and bubble size can be tuned for high-resolution imaging requirements. We have built and tested various single-tube mask elements, and will present results on bubble introduction and propagation as a function of tubing size and cross-sectional shape; real-time bubble position tracking; neutron source imaging tests; and reconstruction techniques demonstrated on simple test data as well as a simulated full detector system.« less

  10. Judging hardness of an object from the sounds of tapping created by a white cane.

    PubMed

    Nunokawa, K; Seki, Y; Ino, S; Doi, K

    2014-01-01

    The white cane plays a vital role in the independent mobility support of the visually impaired. Allowing the recognition of target attributes through the contact of a white cane is an important function. We have conducted research to obtain fundamental knowledge concerning the exploration methods used to perceive the hardness of an object through contact with a white cane. This research has allowed us to examine methods that enhance accuracy in the perception of objects as well as the materials and structures of a white cane. Previous research suggest considering the roles of both auditory and tactile information from the white cane in determining objects' hardness is necessary. This experimental study examined the ability of people to perceive the hardness of an object solely through the tapping sounds of a white cane (i.e., auditory information) using a method of magnitude estimation. Two types of sounds were used to estimate hardness: 1) the playback of recorded tapping sounds and 2) the sounds produced on-site by tapping. Three types of handgrips were used to create different sounds of tapping on an object with a cane. The participants of this experiment were five sighted university students wearing eye masks and two totally blind students who walk independently with a white cane. The results showed that both sighted university students and totally blind participants were able to accurately judge the hardness of an object solely by using auditory information from a white cane. For the blind participants, different handgrips significantly influenced the accuracy of their estimation of an object's hardness.

  11. What Is Being Masked in Object Substitution Masking?

    ERIC Educational Resources Information Center

    Gellatly, Angus; Pilling, Michael; Cole, Geoff; Skarratt, Paul

    2006-01-01

    Object substitution masking (OSM) is said to occur when a perceptual object is hypothesized that is mismatched by subsequent sensory evidence, leading to a new hypothesized object being substituted for the first. For example, when a brief target is accompanied by a longer lasting display of nonoverlapping mask elements, reporting of target…

  12. Modeling of thermomechanical changes of extreme-ultraviolet mask and their dependence on absorber variation

    NASA Astrophysics Data System (ADS)

    Ban, Chung-Hyun; Park, Eun-Sang; Park, Jae-Hun; Oh, Hye-Keun

    2018-06-01

    Thermal and structural deformation of extreme-ultraviolet lithography (EUVL) masks during the exposure process may become important issues as these masks are subject to rigorous image placement and flatness requirements. The reflective masks used for EUVL absorb energy during exposure, and the temperature of the masks rises as a result. This can cause thermomechanical deformation that can reduce the pattern quality. The use of very thick low-thermal-expansion substrate materials (LTEMs) may reduce energy absorption, but they do not completely eliminate mask deformation. Therefore, it is necessary to predict and optimize the effects of energy transferred from the extreme-ultraviolet (EUV) light source and the resultant patterns of structured EUV masks with complex multilayers. Our study shows that heat accumulates in the masks as exposure progresses. It has been found that a higher absorber ratio (pattern density) applied to the patterning of EUV masks exacerbates the problem, especially in masks with more complex patterns.

  13. Masking Period Patterns and Forward Masking for Speech-Shaped Noise: Age-Related Effects.

    PubMed

    Grose, John H; Menezes, Denise C; Porter, Heather L; Griz, Silvana

    2016-01-01

    The purpose of this study was to assess age-related changes in temporal resolution in listeners with relatively normal audiograms. The hypothesis was that increased susceptibility to nonsimultaneous masking contributes to the hearing difficulties experienced by older listeners in complex fluctuating backgrounds. Participants included younger (n = 11), middle-age (n = 12), and older (n = 11) listeners with relatively normal audiograms. The first phase of the study measured masking period patterns for speech-shaped noise maskers and signals. From these data, temporal window shapes were derived. The second phase measured forward-masking functions and assessed how well the temporal window fits accounted for these data. The masking period patterns demonstrated increased susceptibility to backward masking in the older listeners, compatible with a more symmetric temporal window in this group. The forward-masking functions exhibited an age-related decline in recovery to baseline thresholds, and there was also an increase in the variability of the temporal window fits to these data. This study demonstrated an age-related increase in susceptibility to nonsimultaneous masking, supporting the hypothesis that exacerbated nonsimultaneous masking contributes to age-related difficulties understanding speech in fluctuating noise. Further support for this hypothesis comes from limited speech-in-noise data, suggesting an association between susceptibility to forward masking and speech understanding in modulated noise.

  14. 2012 Mask Industry Survey

    NASA Astrophysics Data System (ADS)

    Malloy, Matt; Litt, Lloyd C.

    2012-11-01

    A survey supported by SEMATECH and administered by David Powell Consulting was sent to semiconductor industry leaders to gather information about the mask industry as an objective assessment of its overall condition. The survey was designed with the input of semiconductor company mask technologists and merchant mask suppliers. 2012 marks the 11th consecutive year for the mask industry survey. This year's survey and reporting structure are similar to those of the previous years with minor modifications based on feedback from past years and the need to collect additional data on key topics. Categories include general mask information, mask processing, data and write time, yield and yield loss, delivery times, and maintenance and returns. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the mask industry. Results, initial observations, and key comparisons between the 2011 and 2012 survey responses are shown here, including multiple indications of a shift towards the manufacturing of higher end photomasks.

  15. 3D printed facial laser scans for the production of localised radiotherapy treatment masks - A case study.

    PubMed

    Briggs, Matthew; Clements, Helen; Wynne, Neil; Rennie, Allan; Kellett, Darren

    This study investigates the use of 3D printing for patients that require localised radiotherapy treatment to the face. The current process involves producing a lead mask in order to protect the healthy tissue from the effects of the radiotherapy. The mask is produced by applying a thermoplastic sheet to the patient's face and allowing to set hard. This can then be used as a mould to create a plaster impression of the patient's face. A sheet of lead is then hammered on to the plaster to create a bespoke fitted face mask. This process can be distressing for patients and can be problematic when the patient is required to remain motionless for a prolonged time while the thermoplastic sets. In this study, a 1:1 scale 3D print of a patient's face was generated using a laser scanner. The lead was hammered directly on to the surface of the 3D print in order to create a bespoke fitted treatment mask. This eliminated the thermoplastic moulding stage and significantly reduced the time needed for the patient to be in clinic. The higher definition impression of the the face resulted in a more accurate, better fitting treatment mask.

  16. A novel anti-influenza copper oxide containing respiratory face mask.

    PubMed

    Borkow, Gadi; Zhou, Steve S; Page, Tom; Gabbay, Jeffrey

    2010-06-25

    Protective respiratory face masks protect the nose and mouth of the wearer from vapor drops carrying viruses or other infectious pathogens. However, incorrect use and disposal may actually increase the risk of pathogen transmission, rather than reduce it, especially when masks are used by non-professionals such as the lay public. Copper oxide displays potent antiviral properties. A platform technology has been developed that permanently introduces copper oxide into polymeric materials, conferring them with potent biocidal properties. We demonstrate that impregnation of copper oxide into respiratory protective face masks endows them with potent biocidal properties in addition to their inherent filtration properties. Both control and copper oxide impregnated masks filtered above 99.85% of aerosolized viruses when challenged with 5.66+/-0.51 and 6.17+/-0.37 log(10)TCID(50) of human influenza A virus (H1N1) and avian influenza virus (H9N2), respectively, under simulated breathing conditions (28.3 L/min). Importantly, no infectious human influenza A viral titers were recovered from the copper oxide containing masks within 30 minutes (< or = 0.88 log(10)TCID(50)), while 4.67+/-1.35 log(10)TCID(50) were recovered from the control masks. Similarly, the infectious avian influenza titers recovered from the copper oxide containing masks were < or = 0.97+/-0.01 log(10)TCID(50) and from the control masks 5.03+/-0.54 log(10)TCID(50). The copper oxide containing masks successfully passed Bacterial Filtration Efficacy, Differential Pressure, Latex Particle Challenge, and Resistance to Penetration by Synthetic Blood tests designed to test the filtration properties of face masks in accordance with the European EN 14683:2005 and NIOSH N95 standards. Impregnation of copper oxide into respiratory protective face masks endows them with potent anti-influenza biocidal properties without altering their physical barrier properties. The use of biocidal masks may significantly reduce the risk

  17. Translucency and masking ability of various composite resins at different thicknesses.

    PubMed

    Darabi, Farideh; Radafshar, Golpar; Tavangar, Maryam; Davaloo, Reza; Khosravian, Aref; Mirfarhadi, Nastaran

    2014-09-01

    Optical properties of the composite resins, concerning their translucency and thickness, are affected by discolored tooth structure or inherent darkness of the oral cavity. This study aimed to compare the translucency parameter (TP) of five different composite resins in different thicknesses and to evaluate their masking ability in black backgrounds. Five brands of composite resins; Gradia (GC) and Crystalline (Confi-dental) in opaque A2 (OA2), Vit-l-escence (Ultradent) in opaque snow (OS), Herculite XRV (Kerr) and Opallis (FGM) in dentin A2 (DA2) shades were selected to enroll the study. Color coordinates of each composite were determined at 0.5, 1, and 1.5 mm thicknesses on a white backing, the backing of material itself and a black backing were calculated by using a spectrophotometer to evaluate the translucency parameter (TP) of the study materials. The masking ability was also calculated from the specimens on the material itself and on black backing. The values under 2 were estimated as imperceptible. One-way ANOVA, T-test and Tukey HSD were employed for statistical analysis. The masking ability values, recorded for the 1.5 mm-thick specimens, were in the range of imperceptible except for the Herculite. There was no difference in TP values of the materials at 1.5 mm thickness. Opaque snow shade of Vit-l-escence and opaque A2 shade of Gradia showed lower TP values in comparison with the other 1 and 0.5 mm-thick materials and this difference was statistically significant (p< 0.05). In relatively thin thicknesses (≤1mm), these opaque/dentin shade composite resins could not mask the black background color.

  18. Robust source and mask optimization compensating for mask topography effects in computational lithography.

    PubMed

    Li, Jia; Lam, Edmund Y

    2014-04-21

    Mask topography effects need to be taken into consideration for a more accurate solution of source mask optimization (SMO) in advanced optical lithography. However, rigorous 3D mask models generally involve intensive computation and conventional SMO fails to manipulate the mask-induced undesired phase errors that degrade the usable depth of focus (uDOF) and process yield. In this work, an optimization approach incorporating pupil wavefront aberrations into SMO procedure is developed as an alternative to maximize the uDOF. We first design the pupil wavefront function by adding primary and secondary spherical aberrations through the coefficients of the Zernike polynomials, and then apply the conjugate gradient method to achieve an optimal source-mask pair under the condition of aberrated pupil. We also use a statistical model to determine the Zernike coefficients for the phase control and adjustment. Rigorous simulations of thick masks show that this approach provides compensation for mask topography effects by improving the pattern fidelity and increasing uDOF.

  19. Application of advanced structure to multi-tone mask for FPD process

    NASA Astrophysics Data System (ADS)

    Song, Jin-Han; Jeong, Jin-Woong; Kim, Kyu-Sik; Jeong, Woo-Gun; Yun, Sang-Pil; Lee, Dong-Heok; Choi, Sang-Soo

    2017-07-01

    In accordance with improvement of FPD technology, masks such as phase shift mask (PSM) and multi-tone mask (MTM) for a particular purpose also have been developed. Above all, the MTM consisted of more than tri-tone transmittance has a substantial advantage which enables to reduce the number of mask demand in FPD fabrication process contrast to normal mask of two-tone transmittance.[1,2] A chromium (Cr)-based MTM (Typically top type) is being widely employed because of convenience of etch process caused by its only Cr-based structure consisted of Cr absorber layer and Cr half-tone layer. However, the top type of Cr-based MTM demands two Cr sputtering processes after each layer etching process and writing process. For this reason, a different material from the Cr-based MTM is required for reduction of mask fabrication time and cost. In this study, we evaluate a MTM which has a structure combined Cr with molybdenum silicide (MoSi) to resolve the issues mentioned above. The MoSi which is demonstrated by integrated circuit (IC) process is a suitable material for MTM evaluation. This structure could realize multi-transmittance in common with the Cr-based MTM. Moreover, it enables to reduce the number of sputtering process. We investigate a optimized structure upon consideration of productivity along with performance such as critical dimension (CD) variation and transmittance range of each structure. The transmittance is targeted at h-line wavelength (405 nm) in the evaluation. Compared with Cr-based MTM, the performances of all Cr-/MoSi-based MTMs are considered.

  20. Variations in backward masking with different masking stimuli: II. The effects of spatially quantised masks in the light of local contour interaction, interchannel inhibition, perceptual retouch, and substitution theories.

    PubMed

    Bachmann, Talis; Luiga, Iiris; Põder, Endel

    2005-01-01

    In part I we showed that with spatially non-overlapping targets and masks both local metacontrast-like interactions and attentional processes are involved in backward masking. In this second part we extend the strategy of varying the contents of masks to pattern masking where targets and masks overlap in space, in order to compare different masking theories. Images of human faces were backward-masked by three types of spatially quantised masks (the same faces as targets, faces different from targets, and Gaussian noise with power spectra typical for faces). Configural characteristics, rather than the spectral content of the mask, predicted the extent of masking at relatively long stimulus onset asynchronies (SOAs). This poses difficulties for the theory of transient-on-sustained inhibition as the principal mechanism of masking and also for local contour interaction being a decisive factor in pattern masking. The scale of quantisation had no effect on the masking capacity of noise masks and a strong effect on the capacity of different-face masks. Also, the decrease of configural masking with an increase in the coarseness of the quantisation of the mask highlights ambiguities inherent in the re-entrance-based substitution theory of masking. Different masking theories cannot solve the problems of masking separately. They should be combined in order to create a complex, yet comprehensible mode of interaction for the different mechanisms involved in visual backward masking.

  1. Modulation cues influence binaural masking-level difference in masking-pattern experiments.

    PubMed

    Nitschmann, Marc; Verhey, Jesko L

    2012-03-01

    Binaural masking patterns show a steep decrease in the binaural masking-level difference (BMLD) when masker and signal have no frequency component in common. Experimental threshold data are presented together with model simulations for a diotic masker centered at 250 or 500 Hz and a bandwidth of 10 or 100 Hz masking a sinusoid interaurally in phase (S(0)) or in antiphase (S(π)). Simulations with a binaural model, including a modulation filterbank for the monaural analysis, indicate that a large portion of the decrease in the BMLD in remote-masking conditions may be due to an additional modulation cue available for monaural detection. © 2012 Acoustical Society of America

  2. Mask industry assessment: 2008

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Yun, Henry

    2008-10-01

    Microelectronics industry leaders routinely name the cost and cycle time of mask technology and mask supply as top critical issues. A survey was created with support from SEMATECH and administered by David Powell Consulting to gather information about the mask industry as an objective assessment of its overall condition. The survey is designed with the input of semiconductor company mask technologists, merchant mask suppliers, and industry equipment makers. This year's assessment is the seventh in the current series of annual reports. With ongoing industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments pertaining to critical path issues. This year's survey is basically the same as the 2005 through 2007 surveys. Questions are grouped into categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category is a multitude of questions that create a detailed profile of both the business and technical status of the critical mask industry.

  3. Mask industry assessment: 2009

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Yun, Henry

    2009-10-01

    Microelectronics industry leaders routinely name the cost and cycle time of mask technology and mask supply as top critical issues. A survey was created with support from SEMATECH and administered by David Powell Consulting to gather information about the mask industry as an objective assessment of its overall condition. The survey is designed with the input of semiconductor company mask technologists and merchant mask suppliers. This year's assessment is the eighth in the current series of annual reports. With ongoing industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments pertaining to critical path issues. This year's survey is basically the same as the 2005 through 2008 surveys. Questions are grouped into categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category is a multitude of questions that create a detailed profile of both the business and technical status of the critical mask industry. This in combination with the past surveys represents a comprehensive view of changes in the industry.

  4. Impact and hardness optimisation of composite materials inspired by the babassu nut (Orbignya speciosa).

    PubMed

    Staufenberg, Gerrit; Graupner, Nina; Müssig, Jörg

    2015-08-20

    The babassu nut is the fruit of the babassu palm Orbignya speciosa. The combination of hardness and impact strength is difficult to acquire for artificial materials, making the babassu nut a promising source for biomimetic inspiration. Unnotched Charpy impact tests, Shore D hardness tests and scanning electron microscopy were used for mechanical and microscopical analysis of the pericarp. Four major principles were found for a biomimetic approach: a hard core ((1); endocarp) is embedded in a soft outer layer of high impact strength ((2); epicarp) and is reinforced with fibres of variable fineness (3), some of which are oriented radial to the core (4). Biomimetic fibre-reinforced composites were produced using abstracted mechanisms of the babassu nut based on regenerated cellulose fibres (lyocell, L) with two different fineness values as reinforcement embedded in a polylactide (PLA) core matrix and polypropylene (PP) based outer layers. The biomimetic fibre composite reaches a significantly higher impact strength that is 1.6 times higher than the reference sample produced from a PLA/PP/L-blend. At the same time the hardness is slightly increased compared to PP/L.

  5. Development of a low-cost x-ray mask for high-aspect-ratio MEM smart structures

    NASA Astrophysics Data System (ADS)

    Ajmera, Pratul K.; Stadler, Stefan; Abdollahi, Neda

    1998-07-01

    A cost-effective process with short fabrication time for making x-ray masks for research and development purposes is described here for fabricating high-aspect ratio microelectromechanical structures using synchrotron based x- ray lithography. Microscope cover glass slides as membrane material is described. Slides with an initial thickness of 175 micrometers are etched to a thickness in the range of 10 - 25 micrometers using a diluted HF and buffered hydrofluoric acid solutions. The thinned slides are glued on supportive mask frames and sputtered with a chromium/silver sandwich layer which acts as a plating base layer for the deposition of the gold absorber. The judicial choice of glue and mask frame material are significant parameters in a successful fabrication process. Gold absorber structures are electroplated on the membrane. Calculations are done for contrast and dose ratio obtained in the photoresist after synchrotron radiation as a function of the mask design parameters. Exposure experiments are performed to prove the applicability of the fabricated x-ray mask.

  6. Multimodal hard x-ray imaging with resolution approaching 10 nm for studies in material science

    NASA Astrophysics Data System (ADS)

    Yan, Hanfei; Bouet, Nathalie; Zhou, Juan; Huang, Xiaojing; Nazaretski, Evgeny; Xu, Weihe; Cocco, Alex P.; Chiu, Wilson K. S.; Brinkman, Kyle S.; Chu, Yong S.

    2018-03-01

    We report multimodal scanning hard x-ray imaging with spatial resolution approaching 10 nm and its application to contemporary studies in the field of material science. The high spatial resolution is achieved by focusing hard x-rays with two crossed multilayer Laue lenses and raster-scanning a sample with respect to the nanofocusing optics. Various techniques are used to characterize and verify the achieved focus size and imaging resolution. The multimodal imaging is realized by utilizing simultaneously absorption-, phase-, and fluorescence-contrast mechanisms. The combination of high spatial resolution and multimodal imaging enables a comprehensive study of a sample on a very fine length scale. In this work, the unique multimodal imaging capability was used to investigate a mixed ionic-electronic conducting ceramic-based membrane material employed in solid oxide fuel cells and membrane separations (compound of Ce0.8Gd0.2O2‑x and CoFe2O4) which revealed the existence of an emergent material phase and quantified the chemical complexity at the nanoscale.

  7. Bacterial cellulose skin masks-Properties and sensory tests.

    PubMed

    Pacheco, Guilherme; de Mello, Carolina Véspoli; Chiari-Andréo, Bruna Galdorfini; Isaac, Vera Lucia Borges; Ribeiro, Sidney José Lima; Pecoraro, Édison; Trovatti, Eliane

    2017-09-29

    Bacterial cellulose (BC) is a versatile material produced by microorganisms in the form of a membranous hydrogel, totally biocompatible, and endowed with high mechanical strength. Its high water-holding capacity based on its highly porous nanofibrillar structure allows BC to incorporate and to release substances very fast, thus being suitable for the preparation of skincare masks. The preparation and characterization of cosmetic masks based on BC membranes and active cosmetics. The masks were prepared by the simple incorporation of the cosmetic actives into BC membranes, used as a swelling matrix. The masks were characterized by Fourier transform infrared (FTIR), scanning electron microscopy (SEM), sensory tests, and skin moisture tests on volunteers. The results of sensory tests revealed the good performance of BC, being considered effective by the panel of volunteers, specially for adhesion to the skin (7.7 at the score scale), and improvement of the skin moisture (the hydration effect increased 76% in 75% of the volunteers that used vegetable extract mask formulation [VEM]), or a decrease in skin hydration (80% of the volunteers showed 32.6% decrease on skin hydration using propolis extract formulation [PEM] treatment), indicating the BC nanofiber membranes can be used to skincare applications. The results demonstrate the BC can be used as an alternative support for cosmetic actives for skin treatment. © 2017 Wiley Periodicals, Inc.

  8. Set Size and Mask Duration Do Not Interact in Object-Substitution Masking

    ERIC Educational Resources Information Center

    Argyropoulos, Ioannis; Gellatly, Angus; Pilling, Michael; Carter, Wakefield

    2013-01-01

    Object-substitution masking (OSM) occurs when a mask, such as four dots that surround a brief target item, onsets simultaneously with the target and offsets a short time after the target, rather than simultaneously with it. OSM is a reduction in accuracy of reporting the target with the temporally trailing mask, compared with the simultaneously…

  9. Vitreous carbon mask substrate for X-ray lithography

    DOEpatents

    Aigeldinger, Georg [Livermore, CA; Skala, Dawn M [Fremont, CA; Griffiths, Stewart K [Livermore, CA; Talin, Albert Alec [Livermore, CA; Losey, Matthew W [Livermore, CA; Yang, Chu-Yeu Peter [Dublin, CA

    2009-10-27

    The present invention is directed to the use of vitreous carbon as a substrate material for providing masks for X-ray lithography. The new substrate also enables a small thickness of the mask absorber used to pattern the resist, and this enables improved mask accuracy. An alternative embodiment comprised the use of vitreous carbon as a LIGA substrate wherein the VC wafer blank is etched in a reactive ion plasma after which an X-ray resist is bonded. This surface treatment provides a surface enabling good adhesion of the X-ray photoresist and subsequent nucleation and adhesion of the electrodeposited metal for LIGA mold-making while the VC substrate practically eliminates secondary radiation effects that lead to delamination of the X-ray resist form the substrate, the loss of isolated resist features, and the formation of a resist layer adjacent to the substrate that is insoluble in the developer.

  10. Applications of CPL mask technology for sub-65nm gate imaging

    NASA Astrophysics Data System (ADS)

    Litt, Lloyd C.; Conley, Will; Wu, Wei; Peters, Richie; Parker, Colita; Cobb, Jonathan; Kasprowicz, Bryan S.; van den Broeke, Doug; Park, J. C.; Karur-Shanmugam, Ramkumar

    2005-05-01

    The requirements for critical dimension control on gate layer for high performance products are increasingly demanding. Phase shift techniques provide aerial image enhancement, which can translate into improved process window performance and greater critical dimension (CD) control if properly applied. Unfortunately, the application of hard shifter technology to production requires significant effort in layout and optical proximity correction (OPC) application. Chromeless Phase Lithography (CPL) has several advantages over complementary phase mask (c:PSM) such as use of a single mask, and lack of phase placement 'coloring' conflicts and phase imbalance issues. CPL does have implementation issues that must be resolved before it can be used in full-scale production. CPL mask designs can be approached by separating features into three zones based on several parameters, including size relative to the lithographic resolution of the stepper lens, wavelength, and illumination conditions defined. Features are placed into buckets for different treatment zones. Zone 1 features are constructed with 100% transmission phase shifted structures and Zone 3 features are chrome (binary) structures. Features that fall into Zone 2, which are too wide to be defined using the 100% transmission of pure CPL (i.e. have negative mask error factor, MEEF) are the most troublesome and can be approached in several ways. The authors have investigated the application of zebra structures of various sizes to product type layouts. Previous work to investigate CPL using test structures set the groundwork for the more difficult task of applying CPL rules to actual random logic design layouts, which include many zone transitions. Mask making limitations have been identified that play a role in the zebra sizing that can be applied to Zone 2 features. The elimination of Zone 2 regions was also investigated in an effort to simplify the application of CPL and improve manufacturability of reticle through

  11. EUVL mask dual pods to be used for mask shipping and handling in exposure tools

    NASA Astrophysics Data System (ADS)

    Gomei, Yoshio; Ota, Kazuya; Lystad, John; Halbmair, Dave; He, Long

    2007-03-01

    The concept of Extreme Ultra-Violet Lithography (EUVL) mask dual pods is proposed for use in both mask shipping and handling in exposure tools. The inner pod was specially designed to protect masks from particle contamination during shipping from mask houses to wafer factories. It can be installed in a load-lock chamber of exposure tools and evacuated while holding the mask inside. The inner pod upper cover is removed just before the mask is installed to a mask stage. Prototypes were manufactured and tested for shipping and for vacuum cycling. We counted particle adders through these actions with a detectable level of 54 nm and up. The adder count was close to zero, or we can say that the obtained result is within the noise level of our present evaluation environment. This indicates that the present concept is highly feasible for EUVL mask shipping and handling in exposure tools.

  12. Mask strategy at International SEMATECH

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.

    2002-08-01

    International SEMATECH (ISMT) is a consortium consisting of 13 leading semiconductor manufacturers from around the globe. Its objective is to develop the infrastructure necessary for its member companies to realize the International Technology Roadmap for Semiconductors (ITRS) through efficiencies of shared development resources and knowledge. The largest area of effort is lithography, recognized as a crucial enabler for microelectronics technology progress. Within the Lithography Division, most of the efforts center on mask-related issues. The development strategy at International SEMATCH will be presented and the interlock of lithography projects clarified. Because of the limited size of the mask production equipment market, the business case is weak for aggressive investment commensurate with the pace of the International Technology Roadmap for Semiconductors. With masks becoming the overwhelming component of lithography cost, new ways of reducing or eliminating mask costs are being explored. Will mask technology survive without a strong business case? Will the mask industry limit the growth of the semiconductor industry? Are advanced masks worth their escalating cost? An analysis of mask cost from the perspective of mask value imparted to the user is presented with examples and generic formulas for the reader to apply independently. A key part to the success for both International SEMATECH and the industry globally will be partnerships on both the local level between mask-maker and mask-user, and the macro level where global collaborations will be necessary to resolve technology development cost challenges.

  13. A Novel Anti-Influenza Copper Oxide Containing Respiratory Face Mask

    PubMed Central

    Borkow, Gadi; Zhou, Steve S.; Page, Tom; Gabbay, Jeffrey

    2010-01-01

    Background Protective respiratory face masks protect the nose and mouth of the wearer from vapor drops carrying viruses or other infectious pathogens. However, incorrect use and disposal may actually increase the risk of pathogen transmission, rather than reduce it, especially when masks are used by non-professionals such as the lay public. Copper oxide displays potent antiviral properties. A platform technology has been developed that permanently introduces copper oxide into polymeric materials, conferring them with potent biocidal properties. Methodology/Principal Findings We demonstrate that impregnation of copper oxide into respiratory protective face masks endows them with potent biocidal properties in addition to their inherent filtration properties. Both control and copper oxide impregnated masks filtered above 99.85% of aerosolized viruses when challenged with 5.66±0.51 and 6.17±0.37 log10TCID50 of human influenza A virus (H1N1) and avian influenza virus (H9N2), respectively, under simulated breathing conditions (28.3 L/min). Importantly, no infectious human influenza A viral titers were recovered from the copper oxide containing masks within 30 minutes (≤0.88 log10TCID50), while 4.67±1.35 log10TCID50 were recovered from the control masks. Similarly, the infectious avian influenza titers recovered from the copper oxide containing masks were ≤0.97±0.01 log10TCID50 and from the control masks 5.03±0.54 log10TCID50. The copper oxide containing masks successfully passed Bacterial Filtration Efficacy, Differential Pressure, Latex Particle Challenge, and Resistance to Penetration by Synthetic Blood tests designed to test the filtration properties of face masks in accordance with the European EN 14683:2005 and NIOSH N95 standards. Conclusions/Significance Impregnation of copper oxide into respiratory protective face masks endows them with potent anti-influenza biocidal properties without altering their physical barrier properties. The use of biocidal masks

  14. Comparison of Ventilation With One-Handed Mask Seal With an Intraoral Mask Versus Conventional Cuffed Face Mask in a Cadaver Model: A Randomized Crossover Trial.

    PubMed

    Amack, Andrew J; Barber, Gary A; Ng, Patrick C; Smith, Thomas B; April, Michael D

    2017-01-01

    We compare received minute volume with an intraoral mask versus conventional cuffed face mask among medics obtaining a 1-handed mask seal on a cadaver model. This study comprised a randomized crossover trial of adult US Army combat medic volunteers participating in a cadaver laboratory as part of their training. We randomized participants to obtain a 1-handed mask seal during ventilation of a fresh unembalmed cadaver, first using either an intraoral airway device or conventional cuffed face mask. Participants obtained a 1-handed mask seal while a ventilator delivered 10 standardized 750-mL breaths during 1 minute. After a 5-minute rest period, they repeated the study with the alternative mask. The primary outcome measure was received minute volume as measured by a respirometer. Of 27 recruited participants, all completed the study. Median received minute volume was higher with the intraoral mask compared with conventional cuffed mask by 1.7 L (95% confidence interval 1.0 to 1.9 L; P<.001). The intraoral mask resulted in greater received minute volume received compared with conventional cuffed face mask during ventilation with a 1-handed mask seal in a cadaver model. The intraoral mask may prove a useful airway adjunct for ventilation. Copyright © 2016 American College of Emergency Physicians. Published by Elsevier Inc. All rights reserved.

  15. Masks in Pedagogical Practice

    ERIC Educational Resources Information Center

    Roy, David

    2016-01-01

    In Drama Education mask work is undertaken and presented as both a methodology and knowledge base. There are numerous workshops and journal articles available for teachers that offer knowledge or implementation of mask work. However, empirical examination of the context or potential implementation of masks as a pedagogical tool remains…

  16. Keeping African Masks Real

    ERIC Educational Resources Information Center

    Waddington, Susan

    2012-01-01

    Art is a good place to learn about our multicultural planet, and African masks are prized throughout the world as powerfully expressive artistic images. Unfortunately, multicultural education, especially for young children, can perpetuate stereotypes. Masks taken out of context lose their meaning and the term "African masks" suggests that there is…

  17. Wear characteristics of current aesthetic dental restorative CAD/CAM materials: two-body wear, gloss retention, roughness and Martens hardness.

    PubMed

    Mörmann, Werner H; Stawarczyk, Bogna; Ender, Andreas; Sener, Beatrice; Attin, Thomas; Mehl, Albert

    2013-04-01

    This study determined the two-body wear and toothbrushing wear parameters, including gloss and roughness measurements and additionally Martens hardness, of nine aesthetic CAD/CAM materials, one direct resin-based nanocomposite plus that of human enamel as a control group. Two-body wear was investigated in a computer-controlled chewing simulator (1.2 million loadings, 49N at 1.7Hz; 3000 thermocycles 5/50°C). Each of the 11 groups consisted of 12 specimens and 12 enamel antagonists. Quantitative analysis of wear was carried out with a 3D-surface analyser. Gloss and roughness measurements were evaluated using a glossmeter and an inductive surface profilometer before and after abrasive toothbrushing of machine-polished specimens. Additionally Martens hardness was measured. Statistically significant differences were calculated with one-way ANOVA (analysis of variance). Statistically significant differences were found for two-body wear, gloss, surface roughness and hardness. Zirconium dioxide ceramics showed no material wear and low wear of the enamel antagonist. Two-body wear of CAD/CAM-silicate and -lithium disilicate ceramics, -hybrid ceramics and -nanocomposite as well as direct nanocomposite did not differ significantly from that of human enamel. Temporary polymers showed significantly higher material wear than permanent materials. Abrasive toothbrushing significantly reduced gloss and increased roughness of all materials except zirconium dioxide ceramics. Gloss retention was highest with zirconium dioxide ceramics, silicate ceramics, hybrid ceramics and nanocomposites. Temporary polymers showed least gloss retention. Martens hardness differed significantly among ceramics, between ceramics and composites, and between resin composites and acrylic block materials as well. All permanent aesthetic CAD/CAM block materials tested behave similarly or better with respect to two-body wear and toothbrushing wear than human enamel, which is not true for temporary polymer CAD

  18. Masks in Imaging Flow Cytometry

    PubMed Central

    Dominical, Venina; Samsel, Leigh; McCoy, J. Philip

    2016-01-01

    Data analysis in imaging flow cytometry incorporates elements of flow cytometry together with other aspects of morphological analysis of images. A crucial early step in this analysis is the creation of a mask to distinguish the portion of the image upon which further examination of specified features can be performed. Default masks are provided by the manufacturer of the imaging flow cytometer but additional custom masks can be created by the individual user for specific applications. Flawed or inaccurate masks can have a substantial negative impact on the overall analysis of a sample, thus great care must be taken to ensure the accuracy of masks. Here we discuss various types of masks and cite examples of their use. Furthermore we provide our insight for how to approach selecting and assessing the optimal mask for a specific analysis. PMID:27461256

  19. Short-term hot hardness characteristics of rolling-element steels

    NASA Technical Reports Server (NTRS)

    Chevalier, J. L.; Dietrich, M. W.; Zaretsky, E. V.

    1972-01-01

    Short-term hot hardness studies were performed with five vacuum-melted steels at temperatures from 294 to 887 K (70 to 1140 F). Based upon a minimum Rockwell C hardness of 58, the temperature limitation on all materials studied was dependent on the initial room temperature hardness and the tempering temperature of each material. For the same room temperature hardness, the short-term hot hardness characteristics were identical and independent of material composition. An equation was developed to predict the short-term hardness at temperature as a function of initial room temperature hardness for AISI 52100, as well as the high-speed tool steels.

  20. Contrast ratios and masking ability of three types of ceramic veneers.

    PubMed

    Chu, Frederick C S; Chow, Tak W; Chai, John

    2007-11-01

    Although ceramic veneers have been proven to be clinically successful in longevity studies, there is little information on the contrast ratios and masking ability of the available ceramic systems because dental laboratory technology and expensive experimental equipment are required for the investigation. Moreover, the complexity in understanding how to evaluate translucent ceramic materials may also explain why information in this area is limited. The purpose of this study was to determine the contrast ratios and masking abilities of 3 types of all-ceramic veneers by measuring their luminance and color difference over white and black backgrounds. Disk-shaped specimens (8-mm diameter x 0.7-mm thickness) of Shade A2 (Vita Lumin) of 3 types of all-ceramic systems: Procera (n=8), Empress 2 (n=8), and Vitadur Alpha (n=10) were fabricated. The luminance (as Y) and color (as CIE L*a*b*) of the specimens were measured with a colorimeter. The contrast ratio (CR=Yb/Yw), defined as the ratio of illuminance (Y) of the test material when it is placed on the black background (Yb) to the illuminance of the same material when it is placed over a white background (Yw), was determined. The masking ability of a specimen was evaluated by calculating the color difference (DeltaE) of the veneers over white and black backgrounds. Both CR and DeltaE* data were analyzed with 1-way ANOVA and the Tukey HSD test (alpha=.05). The mean contrast ratios (SD) of Procera, Empress 2, and Vitadur Alpha specimens were 0.50 (0.02), 0.46 (0.05), and 0.39 (0.02), respectively. CR values were significantly different among the 3 materials (P<.001). Procera veneers had a significantly higher CR compared to Empress 2 (P=.01) or Vitadur Alpha (P=.01), whereas the CR of Empress 2 was significantly higher than that of Vitadur Alpha (P=.046). Color difference (DeltaE*) (SD) of Procera, Empress 2, and Vitadur Alpha specimens over black and white backgrounds were 24.46 (1.03), 25.80 (1.03), and 31.08 (1

  1. Effects of non-simultaneous masking on the binaural masking level difference

    PubMed Central

    Buss, Emily; Hall III, Joseph W.

    2011-01-01

    The present study sought to clarify the role of non-simultaneous masking in the binaural masking level difference for maskers that fluctuate in level. In the first experiment the signal was a brief 500-Hz tone, and the masker was a bandpass noise (100–2000 Hz), with the initial and final 200-ms bursts presented at 40-dB spectrum level and the inter-burst gap presented at 20-dB spectrum level. Temporal windows were fitted to thresholds measured for a range of gap durations and signal positions within the gap. In the second experiment, individual differences in out of phase (NoSπ) thresholds were compared for a brief signal in a gapped bandpass masker, a brief signal in a steady bandpass masker, and a long signal in a narrowband (50-Hz-wide) noise masker. The third experiment measured brief tone detection thresholds in forward, simultaneous, and backward masking conditions for a 50- and for a 1900-Hz-wide noise masker centered on the 500-Hz signal frequency. Results are consistent with comparable temporal resolution in the in phase (NoSo) and NoSπ conditions and no effect of temporal resolution on individual observers’ ability to utilize binaural cues in narrowband noise. The large masking release observed for a narrowband noise masker may be due to binaural masking release from non-simultaneous, informational masking. PMID:21361448

  2. Forward masking of frequency modulationa

    PubMed Central

    Byrne, Andrew J.; Wojtczak, Magdalena; Viemeister, Neal F.

    2012-01-01

    Forward masking of sinusoidal frequency modulation (FM) was measured with three types of maskers: FM, amplitude modulation (AM), and a masker created by combining the magnitude spectrum of an FM tone with random component phases. For the signal FM rates used (5, 20, and 40 Hz), an FM masker raised detection thresholds in terms of frequency deviation by a factor of about 5 relative to without a masker. The AM masker produced a much smaller effect, suggesting that FM-to-AM conversion did not contribute substantially to the FM forward masking. The modulation depth of an FM masker had a nonmonotonic effect, with maximal masking observed at an intermediate value within the range of possible depths, while the random-phase FM masker produced less masking, arguing against a spectrally-based explanation for FM forward masking. Broad FM-rate selectivity for forward masking was observed for both 4-kHz and 500-Hz carriers. Thresholds measured as a function of the masker-signal delay showed slow recovery from FM forward masking, with residual masking for delays up to 500 ms. The FM forward-masking effect resembles that observed for AM [Wojtczak and Viemeister (2005). J. Acoust. Soc. Am. 188, 3198–3210] and may reflect modulation-rate selective neural adaptation to FM. PMID:23145618

  3. Object Substitution Masking Induced by Illusory Masks: Evidence for Higher Object-Level Locus of Interference

    ERIC Educational Resources Information Center

    Hirose, Nobuyuki; Osaka, Naoyuki

    2009-01-01

    A briefly presented target can be rendered invisible by a lingering sparse mask that does not even touch it. This form of visual backward masking, called object substitution masking, is thought to occur at the object level of processing. However, it remains unclear whether object-level interference alone produces substitution masking because…

  4. Improved mask-based CD uniformity for gridded-design-rule lithography

    NASA Astrophysics Data System (ADS)

    Faivishevsky, Lev; Khristo, Sergey; Sagiv, Amir; Mangan, Shmoolik

    2009-03-01

    The difficulties encountered during lithography of state-of-the-art 2D patterns are formidable, and originate from the fact that deep sub-wavelength features are being printed. This results in a practical limit of k1 >=0.4 as well as a multitude of complex restrictive design rules, in order to mitigate or minimize lithographic hot spots. An alternative approach, that is gradually attracting the lithographic community's attention, restricts the design of critical layers to straight, dense lines (a 1D grid), that can be relatively easily printed using current lithographic technology. This is then followed by subsequent, less critical trimming stages to obtain circuit functionality. Thus, the 1D gridded approach allows hotspot-free, proximity-effect free lithography of ultra low- k1 features. These advantages must be supported by a stable CD control mechanism. One of the overriding parameters impacting CDU performance is photo mask quality. Previous publications have demonstrated that IntenCDTM - a novel, mask-based CDU mapping technology running on Applied Materials' Aera2TM aerial imaging mask inspection tool - is ideally fit for detecting mask-based CDU issues in 1D (L&S) patterned masks for memory production. Owing to the aerial nature of image formation, IntenCD directly probes the CD as it is printed on the wafer. In this paper we suggest that IntenCD is naturally fit for detecting mask-based CDU issues in 1D GDR masks. We then study a novel method of recovering and quantifying the physical source of printed CDU, using a novel implementation of the IntenCD technology. We demonstrate that additional, simple measurements, which can be readily performed on board the Aera2TM platform with minimal throughput penalty, may complement IntenCD and allow a robust estimation of the specific nature and strength of mask error source, such as pattern width variation or phase variation, which leads to CDU issues on the printed wafer. We finally discuss the roles played by

  5. Supreme Laryngeal Mask Airway versus Face Mask during Neonatal Resuscitation: A Randomized Controlled Trial.

    PubMed

    Trevisanuto, Daniele; Cavallin, Francesco; Nguyen, Loi Ngoc; Nguyen, Tien Viet; Tran, Linh Dieu; Tran, Chien Dinh; Doglioni, Nicoletta; Micaglio, Massimo; Moccia, Luciano

    2015-08-01

    To assess the effectiveness of supreme laryngeal mask airway (SLMA) over face mask ventilation for preventing need for endotracheal intubation at birth. We report a prospective, randomized, parallel 1:1, unblinded, controlled trial. After a short-term educational intervention on SLMA use, infants ≥34-week gestation and/or expected birth weight ≥1500 g requiring positive pressure ventilation (PPV) at birth were randomized to resuscitation by SLMA or face mask. The primary outcome was the success rate of the resuscitation devices (SLMA or face mask) defined as the achievement of an effective PPV preventing the need for endotracheal intubation. We enrolled 142 patients (71 in SLMA and 71 in face mask group, respectively). Successful resuscitation rate was significantly higher with the SLMA compared with face mask ventilation (91.5% vs 78.9%; P = .03). Apgar score at 5 minutes was significantly higher in SLMA than in face mask group (P = .02). Neonatal intensive care unit admission rate was significantly lower in SLMA than in face mask group (P = .02). No complications related to the procedure occurred. In newborns with gestational age ≥34 weeks and/or expected birth weight ≥1500 g needing PPV at birth, the SLMA is more effective than face mask to prevent endotracheal intubation. The SLMA is effective in clinical practice after a short-term educational intervention. Registered with ClinicalTrials.gov: NCT01963936. Copyright © 2015 Elsevier Inc. All rights reserved.

  6. More Realistic Face Model Surface Improves Relevance of Pediatric In-Vitro Aerosol Studies.

    PubMed

    Amirav, Israel; Halamish, Asaf; Gorenberg, Miguel; Omar, Hamza; Newhouse, Michael T

    2015-01-01

    Various hard face models are commonly used to evaluate the efficiency of aerosol face masks. Softer more realistic "face" surface materials, like skin, deform upon mask application and should provide more relevant in-vitro tests. Studies that simultaneously take into consideration many of the factors characteristic of the in vivo face are lacking. These include airways, various application forces, comparison of various devices, comparison with a hard-surface model and use of a more representative model face based on large numbers of actual faces. To compare mask to "face" seal and aerosol delivery of two pediatric masks using a soft vs. a hard, appropriately representative, pediatric face model under various applied forces. Two identical face models and upper airways replicas were constructed, the only difference being the suppleness and compressibility of the surface layer of the "face." Integrity of the seal and aerosol delivery of two different masks [AeroChamber (AC) and SootherMask (SM)] were compared using a breath simulator, filter collection and realistic applied forces. The soft "face" significantly increased the delivery efficiency and the sealing characteristics of both masks. Aerosol delivery with the soft "face" was significantly greater for the SM compared to the AC (p< 0.01). No statistically significant difference between the two masks was observed with the hard "face." The material and pliability of the model "face" surface has a significant influence on both the seal and delivery efficiency of face masks. This finding should be taken into account during in-vitro aerosol studies.

  7. Challenges and requirements of mask data processing for multi-beam mask writer

    NASA Astrophysics Data System (ADS)

    Choi, Jin; Lee, Dong Hyun; Park, Sinjeung; Lee, SookHyun; Tamamushi, Shuichi; Shin, In Kyun; Jeon, Chan Uk

    2015-07-01

    To overcome the resolution and throughput of current mask writer for advanced lithography technologies, the platform of e-beam writer have been evolved by the developments of hardware and software in writer. Especially, aggressive optical proximity correction (OPC) for unprecedented extension of optical lithography and the needs of low sensitivity resist for high resolution result in the limit of variable shaped beam writer which is widely used for mass production. The multi-beam mask writer is attractive candidate for photomask writing of sub-10nm device because of its high speed and the large degree of freedom which enable high dose and dose modulation for each pixel. However, the higher dose and almost unlimited appetite for dose modulation challenge the mask data processing (MDP) in aspects of extreme data volume and correction method. Here, we discuss the requirements of mask data processing for multi-beam mask writer and presents new challenges of the data format, data flow, and correction method for user and supplier MDP tool.

  8. Effect of mask dead space and occlusion of mask holes on delivery of nebulized albuterol.

    PubMed

    Berlinski, Ariel

    2014-08-01

    Infants and children with respiratory conditions are often prescribed bronchodilators. Face masks are used to facilitate the administration of nebulized therapy in patients unable to use a mouthpiece. Masks incorporate holes into their design, and their occlusion during aerosol delivery has been a common practice. Masks are available in different sizes and different dead volumes. The aim of this study was to compare the effect of different degrees of occlusion of the mask holes and different mask dead space on the amount of nebulized albuterol available at the mouth opening in a model of a spontaneously breathing child. A breathing simulator mimicking infant (tidal volume [VT] = 50 mL, breathing frequency = 30 breaths/min, inspiratory-expiratory ratio [I:E] = 1:3), child (VT = 155 mL, breathing frequency = 25 breaths/min, I:E = 1:2), and adult (VT = 500 mL, breathing frequency = 15 breaths/min, I:E = 1:2) breathing patterns was connected to a collection filter hidden behind a face plate. A pediatric size mask and an adult size mask connected to a continuous output jet nebulizer were sealed to the face plate. Three nebulizers were loaded with albuterol sulfate (2.5 mg/3 mL) and operated with 6 L/min compressed air for 5 min. Experiments were repeated with different degrees of occlusion (0%, 50%, and 90%). Albuterol was extracted from the filter and measured with a spectrophotometer at 276 nm. Occlusion of the holes in the large mask did not increase the amount of albuterol in any of the breathing patterns. The amount of albuterol captured at the mouth opening did not change when the small mask was switched to the large mask, except with the breathing pattern of a child, and when the holes in the mask were 50% occluded (P = .02). Neither decreasing the dead space of the mask nor occluding the mask holes increased the amount of nebulized albuterol captured at the mouth opening.

  9. Gestalt grouping and common onset masking.

    PubMed

    Kahan, Todd A; Mathis, Katherine M

    2002-11-01

    A four-dot mask that surrounds and is presented simultaneously with a briefly presented target will reduce a person's ability to identity that target if the mask persists beyond target offset and attention is divided (Enns & Di Lollo, 1997, 2000). This masking effect, referred to as common onset masking, reflects reentrant processing in the visual system and can best be explained with a theory of object substitution (Di Lollo, Enns, & Rensink, 2000). In the present experiments, we investigated whether Gestalt grouping variables would influence the strength of common onset masking. The results indicated that (1) masking was impervious to grouping by form, similarity of color, position, luminance polarity, and common region and (2) masking increased with the number of elements in the masking display.

  10. X-ray mask and method for making

    DOEpatents

    Morales, Alfredo M.

    2004-10-26

    The present invention describes a method for fabricating an x-ray mask tool which is a contact lithographic mask which can provide an x-ray exposure dose which is adjustable from point-to-point. The tool is useful in the preparation of LIGA plating molds made from PMMA, or similar materials. In particular the tool is useful for providing an ability to apply a graded, or "stepped" x-ray exposure dose across a photosensitive substrate. By controlling the x-ray radiation dose from point-to-point, it is possible to control the development process for removing exposed portions of the substrate; adjusting it such that each of these portions develops at a more or less uniformly rate regardless of feature size or feature density distribution.

  11. The Effect of Food-Simulating Agents on the Bond Strength of Hard Chairside Reline Materials to Denture Base Resin.

    PubMed

    Fatemi, Farzaneh Sadat; Vojdani, Mahroo; Khaledi, Amir Ali Reza

    2018-06-08

    To investigate the influence of food-simulating agents on the shear bond strength between direct hard liners and denture base acrylic resin. In addition, mode of failure was evaluated. One hundred fifty cylindrical columns of denture base resin were fabricated and bonded to three types of hard reline materials (Hard GC Reline, Tokuyama Rebase II Fast, TDV Cold Liner Rebase). Specimens of each reline material were divided into five groups (n = 10) to undergo 12-day immersion in distilled water, 0.02 N citric acid aqueous solution, heptane, and 40% ethanol/water solution at 37°C. The control group was not immersed in any solution. The shear bond strength test was performed, and the failure mode was determined. Statistics were analyzed with two-way ANOVA and chi-square test (α = 0.05). Significant interaction was found between the hard liners and food simulating agents (p < 0.001). The shear bond strength of Tokuyama in 40% ethanol and TDV in heptane decreased significantly (p = 0.001, p < 0.001 respectively); however, none of the solutions could significantly affect the shear bond strength of Hard GC Reline (p = 0.208). The mixed failure mode occurred more frequently in Hard GC Reline compared with the other liners (p < 0.001) and was predominant in specimens with higher bond strength values (p = 0.012). Food simulating agents did not adversely affect the shear bond strength of Hard GC Reline; however, ethanol and heptane decreased the bond strength of Tokuyama and TDV, respectively. These findings may provide support to dentists to recommend restricted consumption of some foods and beverages for patients who have to use dentures relined with certain hard liners. © 2018 by the American College of Prosthodontists.

  12. Optical inspection of NGL masks

    NASA Astrophysics Data System (ADS)

    Pettibone, Donald W.; Stokowski, Stanley E.

    2004-12-01

    For the last five years KLA-Tencor and our joint venture partners have pursued a research program studying the ability of optical inspection tools to meet the inspection needs of possible NGL lithographies. The NGL technologies that we have studied include SCALPEL, PREVAIL, EUV lithography, and Step and Flash Imprint Lithography. We will discuss the sensitivity of the inspection tools and mask design factors that affect tool sensitivity. Most of the work has been directed towards EUV mask inspection and how to optimize the mask to facilitate inspection. Our partners have succeeded in making high contrast EUV masks ranging in contrast from 70% to 98%. Die to die and die to database inspection of EUV masks have been achieved with a sensitivity that is comparable to what can be achieved with conventional photomasks, approximately 80nm defect sensitivity. We have inspected SCALPEL masks successfully. We have found a limitation of optical inspection when applied to PREVAIL stencil masks. We have run inspections on SFIL masks in die to die, reflected light, in an effort to provide feedback to improve the masks. We have used a UV inspection system to inspect both unpatterned EUV substrates (no coatings) and blanks (with EUV multilayer coatings). These inspection results have proven useful in driving down the substrate and blank defect levels.

  13. Lightweight Face Mask

    NASA Technical Reports Server (NTRS)

    Cason, W. E. I.; Baucom, R. M.; Evans, R. C.

    1982-01-01

    Lightweight face mask originally developed to protect epileptic patients during seizures could have many other medical and nonmedical applications such as muscular distrophy patients, football linesmen and riot-control police. Masks are extremely lightweight, the lightest of the configurations weighing only 136 grams.

  14. Mask fabrication process

    DOEpatents

    Cardinale, Gregory F.

    2000-01-01

    A method for fabricating masks and reticles useful for projection lithography systems. An absorber layer is conventionally patterned using a pattern and etch process. Following the step of patterning, the entire surface of the remaining top patterning photoresist layer as well as that portion of an underlying protective photoresist layer where absorber material has been etched away is exposed to UV radiation. The UV-exposed regions of the protective photoresist layer and the top patterning photoresist layer are then removed by solution development, thereby eliminating the need for an oxygen plasma etch and strip and chances for damaging the surface of the substrate or coatings.

  15. Method for growing low defect, high purity crystalline layers utilizing lateral overgrowth of a patterned mask

    NASA Technical Reports Server (NTRS)

    Morrison, Andrew D. (Inventor); Daud, Taher (Inventor)

    1986-01-01

    A method for growing a high purity, low defect layer of semiconductor is described. This method involves depositing a patterned mask of a material impervious to impurities of the semiconductor on a surface of a blank. When a layer of semiconductor is grown on the mask, the semiconductor will first grow from the surface portions exposed by the openings in the mask and will bridge the connecting portions of the mask to form a continuous layer having improved purity, since only the portions overlying the openings are exposed to defects and impurities. The process can be iterated and the mask translated to further improve the quality of grown layers.

  16. New mask technology challenges

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.

    2001-09-01

    Mask technology development has accelerated dramatically in recent years from the glacial pace of the last three decades to the rapid and sometimes simultaneous introductions of new wavelengths and mask-based resolution enhancement techniques. The nature of the semiconductor business has also become one driven by time-to-market as an overwhelming factor in capturing market share and profit. These are among the factors that have created enormous stress on the mask industry to produce masks with enhanced capabilities, such as phase-shifting attenuators, sub-resolution assist bars, and optical proximity correction (OPC) features, while maintaining or reducing cost and cycle time. The mask can no longer be considered a commodity item that is purchased form the lowest-cost supplier. Instead, it must now be promoted as an integral part of the technical and business case for a total lithographic solution. Improving partnership between designer, mask-maker, and wafer lithographer will be the harbinger of success in finding a profitable balance of capability, cost, and cycle time. Likewise for equipment infrastructure development, stronger partnership on the international level is necessary to control development cost and mitigate schedule and technical risks.

  17. Mask Industry Assessment: 2011

    NASA Astrophysics Data System (ADS)

    Chan, Y. David

    2011-11-01

    A survey supported by SEMATECH and administered by David Powell Consulting was sent to microelectronics industry leaders to gather information about the mask industry as an objective assessment of its overall condition. The survey was designed with the input of semiconductor company mask technologists and merchant mask suppliers. This year's assessment is the tenth in the current series of annual reports. With ongoing industry support, the report has been used as one of the baselines to gain perspective on the technical and business status of the mask and microelectronics industries. It continues to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments pertaining to critical path issues. This year's survey was essentially the same as the 2005 through 2010 surveys. Questions are grouped into following categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the critical mask industry. This profile combined with the responses to past surveys represents a comprehensive view of changes in the industry.

  18. Mask Industry Assessment: 2010

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Chan, David Y.

    2010-09-01

    A survey created supported by SEMATECH and administered by David Powell Consulting was sent to microelectronics industry leaders to gather information about the mask industry as an objective assessment of its overall condition. The survey was designed with the input of semiconductor company mask technologists and merchant mask suppliers. This year's assessment is the ninth in the current series of annual reports. With ongoing industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. It will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments pertaining to critical path issues. This year's survey was basically the same as the 2005 through 2009 surveys. Questions are grouped into categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the critical mask industry. This profile combined with the responses to past surveys represents a comprehensive view of changes in the industry.

  19. A pilot study on the use of geometrically accurate face models to replicate ex vivo N95 mask fit.

    PubMed

    Golshahi, Laleh; Telidetzki, Karla; King, Ben; Shaw, Diana; Finlay, Warren H

    2013-01-01

    To test the feasibility of replicating a face mask seal in vitro, we created 5 geometrically accurate reconstructions of the head and neck of an adult human subject using different materials. Three breathing patterns were simulated with each replica and an attached N95 mask. Quantitative fit testing on the subject and the replicas showed that none of the 5 isotropic materials used allowed duplication of the ex vivo mask seal for the specific mask-face combination studied. Copyright © 2013 Association for Professionals in Infection Control and Epidemiology, Inc. Published by Mosby, Inc. All rights reserved.

  20. Computational search for rare-earth free hard-magnetic materials

    NASA Astrophysics Data System (ADS)

    Flores Livas, José A.; Sharma, Sangeeta; Dewhurst, John Kay; Gross, Eberhard; MagMat Team

    2015-03-01

    It is difficult to over state the importance of hard magnets for human life in modern times; they enter every walk of our life from medical equipments (NMR) to transport (trains, planes, cars, etc) to electronic appliances (for house hold use to computers). All the known hard magnets in use today contain rare-earth elements, extraction of which is expensive and environmentally harmful. Rare-earths are also instrumental in tipping the balance of world economy as most of them are mined in limited specific parts of the world. Hence it would be ideal to have similar characteristics as a hard magnet but without or at least with reduced amount of rare-earths. This is the main goal of our work: search for rare-earth-free magnets. To do so we employ a combination of density functional theory and crystal prediction methods. The quantities which define a hard magnet are magnetic anisotropy energy (MAE) and saturation magnetization (Ms), which are the quantities we maximize in search for an ideal magnet. In my talk I will present details of the computation search algorithm together with some potential newly discovered rare-earth free hard magnet. J.A.F.L. acknowledge financial support from EU's 7th Framework Marie-Curie scholarship program within the ``ExMaMa'' Project (329386).

  1. Geometry and material choices govern hard-rock drilling performance of PDC drag cutters.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wise, Jack LeRoy

    2005-06-01

    Sandia National Laboratories has partnered with industry on a multifaceted, baseline experimental study that supports the development of improved drag cutters for advanced drill bits. Different nonstandard cutter lots were produced and subjected to laboratory tests that evaluated the influence of selected design and processing parameters on cutter loads, wear, and durability pertinent to the penetration of hard rock with mechanical properties representative of formations encountered in geothermal or deep oil/gas drilling environments. The focus was on cutters incorporating ultrahard PDC (polycrystalline diamond compact) overlays (i.e., diamond tables) on tungsten-carbide substrates. Parameter variations included changes in cutter geometry, material composition,more » and processing conditions. Geometric variables were the diamond-table thickness, the cutting-edge profile, and the PDC/substrate interface configuration. Material and processing variables for the diamond table were, respectively, the diamond particle size and the sintering pressure applied during cutter fabrication. Complementary drop-impact, granite-log abrasion, linear cutting-force, and rotary-drilling tests examined the response of cutters from each lot. Substantial changes in behavior were observed from lot to lot, allowing the identification of features contributing major (factor of 10+) improvements in cutting performance for hard-rock applications. Recent field demonstrations highlight the advantages of employing enhanced cutter technology during challenging drilling operations.« less

  2. Mask quality assessment

    NASA Astrophysics Data System (ADS)

    Regis, Larry; Paulson, Neil; Reynolds, James A.

    1994-02-01

    Product quality and timely delivery are two of the most important parameters, determining the success of a mask manufacturing facility. Because of the sensitivity of this data, however, very little is known about industry performance in these areas. Using Arthur Andersen & Co. to protect contributor identity, the authors have conducted a blind quality survey of mask shops which represents over 75% of the total merchant and captive mask volume in the US. Quantities such as return rate, plate survival yield, performance to schedule and reason for return were requested from 1988 through Q2 1993. Data is analyzed and conclusions are presented.

  3. Extending CO2 cryogenic aerosol cleaning for advanced optical and EUV mask cleaning

    NASA Astrophysics Data System (ADS)

    Varghese, Ivin; Bowers, Charles W.; Balooch, Mehdi

    2011-11-01

    Cryogenic CO2 aerosol cleaning being a dry, chemically-inert and residue-free process is used in the production of optical lithography masks. It is an attractive cleaning option for the mask industry to achieve the requirement for removal of all printable soft defects and repair debris down to the 50nm printability specification. In the technique, CO2 clusters are formed by sudden expansion of liquid from high to almost atmospheric pressure through an optimally designed nozzle orifice. They are then directed on to the soft defects or debris for momentum transfer and subsequent damage free removal from the mask substrate. Unlike aggressive acid based wet cleaning, there is no degradation of the mask after processing with CO2, i.e., no critical dimension (CD) change, no transmission/phase losses, or chemical residue that leads to haze formation. Therefore no restriction on number of cleaning cycles is required to be imposed, unlike other cleaning methods. CO2 aerosol cleaning has been implemented for several years as full mask final clean in production environments at several state of the art mask shops. Over the last two years our group reported successful removal of all soft defects without damage to the fragile SRAF features, zero adders (from the cleaning and handling mechanisms) down to a 50nm printability specification. In addition, CO2 aerosol cleaning is being utilized to remove debris from Post-RAVE repair of hard defects in order to achieve the goal of no printable defects. It is expected that CO2 aerosol cleaning can be extended to extreme ultraviolet (EUV) masks. In this paper, we report advances being made in nozzle design qualification for optimum snow properties (size, velocity and flux) using Phase Doppler Anemometry (PDA) technique. In addition the two new areas of focus for CO2 aerosol cleaning i.e. pellicle glue residue removal on optical masks, and ruthenium (Ru) film on EUV masks are presented. Usually, the residue left over after the pellicle

  4. Rotation of hard particles in a soft matrix

    NASA Astrophysics Data System (ADS)

    Yang, Weizhu; Liu, Qingchang; Yue, Zhufeng; Li, Xiaodong; Xu, Baoxing

    Soft-hard materials integration is ubiquitous in biological materials and structures in nature and has also attracted growing attention in the bio-inspired design of advanced functional materials, structures and devices. Due to the distinct difference in their mechanical properties, the rotation of hard phases in soft matrixes upon deformation has been acknowledged, yet is lack of theory in mechanics. In this work, we propose a theoretical mechanics framework that can describe the rotation of hard particles in a soft matrix. The rotation of multiple arbitrarily shaped, located and oriented particles with perfectly bonded interfaces in an elastic soft matrix subjected to a far-field tensile loading is established and analytical solutions are derived by using complex potentials and conformal mapping methods. Strong couplings and competitions of the rotation of hard particles among each other are discussed by investigating numbers, relative locations and orientations of particles in the matrix at different loading directions. Extensive finite element analyses are performed to validate theoretical solutions and good agreement of both rotation and stress field between them are achieved. Possible extensions of the present theory to non-rigid particles, viscoelastic matrix and imperfect bonding are also discussed. Finally, by taking advantage of the rotation of hard particles, we exemplify an application in a conceptual design of soft-hard material integrated phononic crystal and demonstrate that phononic band gaps can be successfully tuned with a high accuracy through the mechanical tension-induced rotation of hard particles. The present theory established herein is expected to be of immediate interests to the design of soft-hard materials integration based functional materials, structures and devices with tunable performance via mechanical rotation of hard phases.

  5. Inexpensive Masks for Film Deposition

    NASA Technical Reports Server (NTRS)

    Conley, W. R.

    1986-01-01

    Sputtered sprayed lines less than 2 millimeters wide made by superimposing masks with partially overlapping openings. Slits first cut in masks by stamping or other economical process. Masks superimposed so slits define new openings narrower than original slits.

  6. Mask industry assessment trend analysis

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Yun, Henry

    2009-01-01

    Microelectronics industry leaders routinely name the cost and cycle time of mask technology and mask supply as top critical issues. A survey was created with support from SEMATECH to gather information about the mask industry as an objective assessment of its overall condition. This year's survey data were presented in detail at BACUS and the detailed trend analysis presented at EMLC. The survey is designed with the input of semiconductor company mask technologists and merchant mask suppliers. This year's assessment is the seventh in the current series of annual reports. With continued industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments on critical path issues. This year's survey is basically the same as the surveys in 2005 through 2007. Questions are grouped into seven categories: General Business Profile Information, Data Processing, Yields and Yield Loss, Mechanisms, Delivery Times, Returns, and Services. (Examples are given below). Within each category is a multitude of questions that creates a detailed profile of both the business and technical status of the critical mask industry.

  7. Masking Strategies for Image Manifolds.

    PubMed

    Dadkhahi, Hamid; Duarte, Marco F

    2016-07-07

    We consider the problem of selecting an optimal mask for an image manifold, i.e., choosing a subset of the pixels of the image that preserves the manifold's geometric structure present in the original data. Such masking implements a form of compressive sensing through emerging imaging sensor platforms for which the power expense grows with the number of pixels acquired. Our goal is for the manifold learned from masked images to resemble its full image counterpart as closely as possible. More precisely, we show that one can indeed accurately learn an image manifold without having to consider a large majority of the image pixels. In doing so, we consider two masking methods that preserve the local and global geometric structure of the manifold, respectively. In each case, the process of finding the optimal masking pattern can be cast as a binary integer program, which is computationally expensive but can be approximated by a fast greedy algorithm. Numerical experiments show that the relevant manifold structure is preserved through the datadependent masking process, even for modest mask sizes.

  8. A large format membrane-based x-ray mask for microfluidic chip fabrication

    NASA Astrophysics Data System (ADS)

    Wang, Lin; Zhang, Min; Desta, Yohannes; Melzak, J.; Wu, C. H.; Peng, Zhengchun

    2006-02-01

    X-ray lithography is a very good option for the fabrication of micro-devices especially when high aspect ratio patterns are required. Membrane-based x-ray masks are commonly used for high-resolution x-ray lithography. A thin layer of silicon nitride (Si3N4) or silicon carbide (SiC) film (1-2 µm) is normally used as the membrane material for x-ray mask fabrication (Wells G M, Reilly M, Nachman R, Cerrina F, El-Khakani M A and Chaker M 1993 Mater. Res. Soc. Conf. Proc. 306 81-9 Shoki T, Nagasawa H, Kosuga H, Yamaguchi Y, Annaka N, Amemiya I and Nagarekawa O 1993 SPIE Proc. 1924 450-6). The freestanding membrane window of an x-ray mask, which defines the exposing area of the x-ray mask, can be obtained by etching a pre-defined area on a silicon wafer from the backside (Wang L, Desta Y, Fettig R K, Goettert J, Hein H, Jakobs P and Chulz J 2004 J. Micromech. Microeng. 14 722-6). Usually, the window size of an x-ray mask is around 20 × 20 mm because of the low tensile stress of the membrane (10-100 MPa), and the larger window dimension of an x-ray mask may cause the deformation of membranes and lower the mask quality. However, x-ray masks with larger windows are preferred for micro-device fabrication in order to increase the productivity. We analyzed the factors which influence the flatness of large format x-ray masks and fabricated x-ray masks with a window size of 55 × 55 mm and 46 × 65 mm on 1 µm thick membranes by increasing the tensile stress of the membranes (>300 MPa) and optimizing the stress of the absorber layer. The large format x-ray mask was successfully applied for the fabrication of microfluidic chips.

  9. Perceptual learning for speech in noise after application of binary time-frequency masks

    PubMed Central

    Ahmadi, Mahnaz; Gross, Vauna L.; Sinex, Donal G.

    2013-01-01

    Ideal time-frequency (TF) masks can reject noise and improve the recognition of speech-noise mixtures. An ideal TF mask is constructed with prior knowledge of the target speech signal. The intelligibility of a processed speech-noise mixture depends upon the threshold criterion used to define the TF mask. The study reported here assessed the effect of training on the recognition of speech in noise after processing by ideal TF masks that did not restore perfect speech intelligibility. Two groups of listeners with normal hearing listened to speech-noise mixtures processed by TF masks calculated with different threshold criteria. For each group, a threshold criterion that initially produced word recognition scores between 0.56–0.69 was chosen for training. Listeners practiced with one set of TF-masked sentences until their word recognition performance approached asymptote. Perceptual learning was quantified by comparing word-recognition scores in the first and last training sessions. Word recognition scores improved with practice for all listeners with the greatest improvement observed for the same materials used in training. PMID:23464038

  10. EUVL Mask Blank Repair

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Barty, A; Mirkarimi, P; Stearns, D G

    2002-05-22

    EUV mask blanks are fabricated by depositing a reflective Mo/Si multilayer film onto super-polished substrates. Small defects in this thin film coating can significantly alter the reflected field and introduce defects in the printed image. Ideally one would want to produce defect-free mask blanks; however, this may be very difficult to achieve in practice. One practical way to increase the yield of mask blanks is to effectively repair multilayer defects, and to this effect they present two complementary defect repair strategies for use on multilayer-coated EUVL mask blanks. A defect is any area on the mask which causes unwanted variationsmore » in EUV dose in the aerial image obtained in a printing tool, and defect repair is correspondingly defined as any strategy that renders a defect unprintable during exposure. The term defect mitigation can be adopted to describe any strategy which renders a critical defect non-critical when printed, and in this regard a non-critical defect is one that does not adversely affect device function. Defects in the patterned absorber layer consist of regions where metal, typically chrome, is unintentionally added or removed from the pattern leading to errors in the reflected field. There currently exists a mature technology based on ion beam milling and ion beam assisted deposition for repairing defects in the absorber layer of transmission lithography masks, and it is reasonable to expect that this technology will be extended to the repair of absorber defects in EUVL masks. However, techniques designed for the repair of absorber layers can not be directly applied to the repair of defects in the mask blank, and in particular the multilayer film. In this paper they present for the first time a new technique for the repair of amplitude defects as well as recent results on the repair of phase defects.« less

  11. Occulting focal plane masks for Terrestrial Planet Finder Coronagraph: design, fabrication, simulations and test results

    NASA Technical Reports Server (NTRS)

    Balasubramanian, Kunjithapatham; Hoppe, Daniel J.; Halverson, Peter G.; Wilson, Daniel W.; Echternach, Pierre M.; Shi, Fang; Lowman, Andrew E.; Niessner, Albert F.; Trauger, John T.; Shaklan, Stuart B.

    2005-01-01

    Occulting focal plane masks for the Terrestrial Planet Finder Coronagraph (TPF-C) could be designed with continuous gray scale profile of the occulting pattern such as 1-sinc2 on a suitable material or with micron-scale binary transparent and opaque structures of metallic pattern on glass. We have designed, fabricated and tested both kinds of masks. The fundamental characteristics of such masks and initial test results from the High Contrast Imaging Test bed (HCIT) at JPL are presented.

  12. Compression deformation of WC: atomistic description of hard ceramic material

    NASA Astrophysics Data System (ADS)

    Feng, Qing; Song, Xiaoyan; Liu, Xuemei; Liang, Shuhua; Wang, Haibin; Nie, Zuoren

    2017-11-01

    The deformation characteristics of WC, as a typical hard ceramic material, were studied on the nanoscale using atomistic simulations for both the single-crystal and polycrystalline forms under uniaxial compression. In particular, the effects of crystallographic orientation, grain boundary coordination and grain size on the origin of deformation were investigated. The deformation behavior of the single-crystal and polycrystalline WC both depend strongly on the orientation towards the loading direction. The grain boundaries play a significant role in the deformation coordination and the potential high fracture toughness of the nanocrystalline WC. In contrast to conventional knowledge of ceramics, maximum strength was obtained at a critical grain size corresponding to the turning point from a Hall-Petch to an inverse Hall-Petch relationship. For this the mechanism of the combined effect of dislocation motion within grains and the coordination of stress concentration at the grain boundaries were proposed. The present work has moved forward our understanding of plastic deformability and the possibility of achieving a high strength of nanocrystalline ceramic materials.

  13. Compression deformation of WC: atomistic description of hard ceramic material.

    PubMed

    Feng, Qing; Song, Xiaoyan; Liu, Xuemei; Liang, Shuhua; Wang, Haibin; Nie, Zuoren

    2017-11-24

    The deformation characteristics of WC, as a typical hard ceramic material, were studied on the nanoscale using atomistic simulations for both the single-crystal and polycrystalline forms under uniaxial compression. In particular, the effects of crystallographic orientation, grain boundary coordination and grain size on the origin of deformation were investigated. The deformation behavior of the single-crystal and polycrystalline WC both depend strongly on the orientation towards the loading direction. The grain boundaries play a significant role in the deformation coordination and the potential high fracture toughness of the nanocrystalline WC. In contrast to conventional knowledge of ceramics, maximum strength was obtained at a critical grain size corresponding to the turning point from a Hall-Petch to an inverse Hall-Petch relationship. For this the mechanism of the combined effect of dislocation motion within grains and the coordination of stress concentration at the grain boundaries were proposed. The present work has moved forward our understanding of plastic deformability and the possibility of achieving a high strength of nanocrystalline ceramic materials.

  14. Wear, strength, modulus and hardness of CAD/CAM restorative materials.

    PubMed

    Lawson, Nathaniel C; Bansal, Ritika; Burgess, John O

    2016-11-01

    To measure the mechanical properties of several CAD/CAM materials, including lithium disilicate (e.max CAD), lithium silicate/zirconia (Celtra Duo), 3 resin composites (Cerasmart, Lava Ultimate, Paradigm MZ100), and a polymer infiltrated ceramic (Enamic). CAD/CAM blocks were sectioned into 2.5mm×2.5mm×16mm bars for flexural strength and elastic modulus testing and 4mm thick blocks for hardness and wear testing. E.max CAD and half the Celtra Duo specimens were treated in a furnace. Flexural strength specimens (n=10) were tested in a three-point bending fixture. Vickers microhardness (n=2, 5 readings per specimen) was measured with a 1kg load and 15s dwell time. The CAD/CAM materials as well as labial surfaces of human incisors were mounted in the UAB wear device. Cusps of human premolars were mounted as antagonists. Specimens were tested for 400,000 cycles at 20N force, 2mm sliding distance, 1Hz frequency, 24°C, and 33% glycerin lubrication. Volumetric wear and opposing enamel wear were measured with non-contact profilometry. Data were analyzed with 1-way ANOVA and Tukey post-hoc analysis (alpha=0.05). Specimens were observed with SEM. Properties were different for each material (p<0.01). E.max CAD and Celtra Duo were generally stronger, stiffer, and harder than the other materials. E.max CAD, Celtra Duo, Enamic, and enamel demonstrated signs of abrasive wear, whereas Cerasmart, Lava Ultimate, Paradigm MZ100 demonstrated signs of fatigue. Resin composite and resin infiltrated ceramic materials have demonstrated adequate wear resistance for load bearing restorations, however, they will require at least similar material thickness as lithium disilicate restorations due to their strength. Copyright © 2016 The Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  15. SEMATECH EUVL mask program status

    NASA Astrophysics Data System (ADS)

    Yun, Henry; Goodwin, Frank; Huh, Sungmin; Orvek, Kevin; Cha, Brian; Rastegar, Abbas; Kearney, Patrick

    2009-04-01

    As we approach the 22nm half-pitch (hp) technology node, the industry is rapidly running out of patterning options. Of the several lithography techniques highlighted in the International Technology Roadmap for Semiconductors (ITRS), the leading contender for the 22nm hp insertion is extreme ultraviolet lithography (EUVL). Despite recent advances with EUV resist and improvements in source power, achieving defect free EUV mask blank and enabling the EUV mask infrastructure still remain critical issues. To meet the desired EUV high volume manufacturing (HVM) insertion target date of 2013, these obstacles must be resolved on a timely bases. Many of the EUV mask related challenges remain in the pre-competitive stage and a collaborative industry based consortia, such as SEMATECH can play an important role to enable the EUVL landscape. SEMATECH based in Albany, NY is an international consortium representing several of the largest manufacturers in the semiconductor market. Full members include Intel, Samsung, AMD, IBM, Panasonic, HP, TI, UMC, CNSE (College of Nanoscience and Engineering), and Fuller Road Management. Within the SEMATECH lithography division a major thrust is centered on enabling the EUVL ecosystem from mask development, EUV resist development and addressing EUV manufacturability concerns. An important area of focus for the SEMATECH mask program has been the Mask Blank Development Center (MBDC). At the MBDC key issues in EUV blank development such as defect reduction and inspection capabilities are actively pursued together with research partners, key suppliers and member companies. In addition the mask program continues a successful track record of working with the mask community to manage and fund critical mask tools programs. This paper will highlight recent status of mask projects and longer term strategic direction at the MBDC. It is important that mask technology be ready to support pilot line development HVM by 2013. In several areas progress has been

  16. Masking technique for coating thickness control on large and strongly curved aspherical optics.

    PubMed

    Sassolas, B; Flaminio, R; Franc, J; Michel, C; Montorio, J-L; Morgado, N; Pinard, L

    2009-07-01

    We discuss a method to control the coating thickness deposited onto large and strongly curved optics by ion beam sputtering. The technique uses an original design of the mask used to screen part of the sputtered materials. A first multielement mask is calculated from the measured two-dimensional coating thickness distribution. Then, by means of an iterative process, the final mask is designed. By using such a technique, it has been possible to deposit layers of tantalum pentoxide having a high thickness gradient onto a curved substrate 500 mm in diameter. Residual errors in the coating thickness profile are below 0.7%.

  17. Ultrashort pulse laser processing of hard tissue, dental restoration materials, and biocompatibles

    NASA Astrophysics Data System (ADS)

    Yousif, A.; Strassl, M.; Beer, F.; Verhagen, L.; Wittschier, M.; Wintner, E.

    2007-07-01

    During the last few years, ultra-short laser pulses have proven their potential for application in medical tissue treatment in many ways. In hard tissue ablation, their aptitude for material ablation with negligible collateral damage provides many advantages. Especially teeth representing an anatomically and physiologically very special region with less blood circulation and lower healing rates than other tissues require most careful treatment. Hence, overheating of the pulp and induction of microcracks are some of the most problematic issues in dental preparation. Up till now it was shown by many authors that the application of picosecond or femtosecond pulses allows to perform ablation with very low damaging potential also fitting to the physiological requirements indicated. Beside the short interaction time with the irradiated matter, scanning of the ultra-short pulse trains turned out to be crucial for ablating cavities of the required quality. One main reason for this can be seen in the fact that during scanning the time period between two subsequent pulses incident on the same spot is so much extended that no heat accumulation effects occur and each pulse can be treated as a first one with respect to its local impact. Extension of this advantageous technique to biocompatible materials, i.e. in this case dental restoration materials and titanium plasma-sprayed implants, is just a matter of consequence. Recently published results on composites fit well with earlier data on dental hard tissue. In case of plaque which has to be removed from implants, it turns out that removal of at least the calcified version is harder than tissue removal. Therefore, besides ultra-short lasers, also Diode and Neodymium lasers, in cw and pulsed modes, have been studied with respect to plaque removal and sterilization. The temperature increase during laser exposure has been experimentally evaluated in parallel.

  18. Mask_explorer: A tool for exploring brain masks in fMRI group analysis.

    PubMed

    Gajdoš, Martin; Mikl, Michal; Mareček, Radek

    2016-10-01

    Functional magnetic resonance imaging (fMRI) studies of the human brain are appearing in increasing numbers, providing interesting information about this complex system. Unique information about healthy and diseased brains is inferred using many types of experiments and analyses. In order to obtain reliable information, it is necessary to conduct consistent experiments with large samples of subjects and to involve statistical methods to confirm or reject any tested hypotheses. Group analysis is performed for all voxels within a group mask, i.e. a common space where all of the involved subjects contribute information. To our knowledge, a user-friendly interface with the ability to visualize subject-specific details in a common analysis space did not yet exist. The purpose of our work is to develop and present such interface. Several pitfalls have to be avoided while preparing fMRI data for group analysis. One such pitfall is spurious non-detection, caused by inferring conclusions in the volume of a group mask that has been corrupted due to a preprocessing failure. We describe a MATLAB toolbox, called the mask_explorer, designed for prevention of this pitfall. The mask_explorer uses a graphical user interface, enables a user-friendly exploration of subject masks and is freely available. It is able to compute subject masks from raw data and create lists of subjects with potentially problematic data. It runs under MATLAB with the widely used SPM toolbox. Moreover, we present several practical examples where the mask_explorer is usefully applied. The mask_explorer is designed to quickly control the quality of the group fMRI analysis volume and to identify specific failures related to preprocessing steps and acquisition. It helps researchers detect subjects with potentially problematic data and consequently enables inspection of the data. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  19. Mask pattern generator employing EPL technology

    NASA Astrophysics Data System (ADS)

    Yoshioka, Nobuyuki; Yamabe, Masaki; Wakamiya, Wataru; Endo, Nobuhiro

    2003-08-01

    Mask cost is one of crucial issues in device fabrication, especially in SoC (System on a Chip) with small-volume production. The cost mainly depends on productivity of mask manufacturing tools such as mask writers and defect inspection tools. EPL (Electron Projection Lithography) has been developing as a high-throughput electron beam exposure technology that will succeed optical lithography. The application of EPL technology to mask writing will result in high productivity and contribute to decrease the mask cost. The concept of a mask pattern generator employing EPL technology is proposed in this paper. It is very similar to EPL technology used for pattern printing on a wafer. The mask patterns on the glass substrate are exposed by projecting the basic circuit patterns formed on the mother EPL mask. One example of the mother EPL mask is a stencil type made with 200-mm Si wafer. The basic circuit patterns are IP patterns and logical primitive patterns such as cell libraries (AND, OR, Inverter, Flip-Flop and etc.) to express the SoC device patterns. Since the SoC patterns are exposed with its collective units such as IP and logical primitive patterns by using this method, the high throughput will be expected comparing with conventional mask E-beam writers. In this paper, the mask pattern generator with the EPL technology is proposed. The concept, its advantages and issues to be solved are discussed.

  20. Theory of adsorption in a polydisperse templated porous material: Hard sphere systems

    NASA Astrophysics Data System (ADS)

    RŻysko, Wojciech; Sokołowski, Stefan; Pizio, Orest

    2002-03-01

    A theoretical description of adsorption in a templated porous material, formed by an equilibrium quench of a polydisperse fluid composed of matrix and template particles and subsequent removal of the template particles is presented. The approach is based on the solution of the replica Ornstein-Zernike equations with Percus-Yevick and hypernetted chain closures. The method of solution uses expansions of size-dependent correlation functions into Fourier series, as described by Lado [J. Chem. Phys. 108, 6441 (1998)]. Specific calculations have been carried out for model systems, composed of hard spheres.

  1. Low-intensity lasers, modern filling materials, and bonding systems influence on mineral metabolism of hard dental tissues

    NASA Astrophysics Data System (ADS)

    Kunin, Anatoly A.; Yesaulenko, I. E.; Zoibelmann, M.; Pankova, Svetlana N.; Ippolitov, Yu. A.; Oleinik, Olga I.; Popova, T. A.; Koretskaya, I. V.; Shumilovitch, Bogdan R.; Podolskaya, Elana E.

    2001-10-01

    One of the main reasons of low quality filling is breaking Ca-P balance in hard tissues. Our research was done with the purpose of studying the influence of low intensity lasers, diodic radiation, the newest filling and bonding systems on the processes of mineral metabolism in hard dental tissues while filling a tooth. 250 patients having caries and its compli-cations were examined and treated. Our complex research included: visual and instrumental examination, finding out the level of oral cavity hygiene, acid enamel biopsy, scanning electronic microscopy and X-ray spectrum microanalysis. Filling processes may produce a negative effect on mineral metabolism of hard dental tissues the latter is less pronounced when applying fluoride-containing filling materials with bonding systems. It has also been found that bonding dentin and enamel systems are designed for both a better filling adhesion (i.e. mechanical adhesion) and migration of useful microelements present in them by their sinking into hard dental tissues (i.e. chemical adhesion). Our research showed a positive influence of low intensity laser and diodic beams accompanying the use of modern filling and bonding systems on mineral metabolism of hard dental tissues.

  2. Visual Masking During Pursuit Eye Movements

    ERIC Educational Resources Information Center

    White, Charles W.

    1976-01-01

    Visual masking occurs when one stimulus interferes with the perception of another stimulus. Investigates which matters more for visual masking--that the target and masking stimuli are flashed on the same part of the retina, or, that the target and mask appear in the same place. (Author/RK)

  3. More Realistic Face Model Surface Improves Relevance of Pediatric In-Vitro Aerosol Studies

    PubMed Central

    Amirav, Israel; Halamish, Asaf; Gorenberg, Miguel; Omar, Hamza; Newhouse, Michael T.

    2015-01-01

    Background Various hard face models are commonly used to evaluate the efficiency of aerosol face masks. Softer more realistic “face” surface materials, like skin, deform upon mask application and should provide more relevant in-vitro tests. Studies that simultaneously take into consideration many of the factors characteristic of the in vivo face are lacking. These include airways, various application forces, comparison of various devices, comparison with a hard-surface model and use of a more representative model face based on large numbers of actual faces. Aim To compare mask to “face” seal and aerosol delivery of two pediatric masks using a soft vs. a hard, appropriately representative, pediatric face model under various applied forces. Methods Two identical face models and upper airways replicas were constructed, the only difference being the suppleness and compressibility of the surface layer of the “face.” Integrity of the seal and aerosol delivery of two different masks [AeroChamber (AC) and SootherMask (SM)] were compared using a breath simulator, filter collection and realistic applied forces. Results The soft “face” significantly increased the delivery efficiency and the sealing characteristics of both masks. Aerosol delivery with the soft “face” was significantly greater for the SM compared to the AC (p< 0.01). No statistically significant difference between the two masks was observed with the hard “face.” Conclusions The material and pliability of the model “face” surface has a significant influence on both the seal and delivery efficiency of face masks. This finding should be taken into account during in-vitro aerosol studies. PMID:26090661

  4. Normalizing CO2 in chronic hyperventilation by means of a novel breathing mask: a pilot study.

    PubMed

    Johansen, Troels; Jack, Sandy; Dahl, Ronald

    2013-10-01

    Chronic idiopathic hyperventilation (CIH) is a form of dysfunctional breathing that has proven hard to treat effectively. To perform a preliminary test of the hypothesis that by periodically inducing normocapnia over several weeks, it would be possible to raise the normal resting level of CO2 and achieve a reduction of symptoms. Six CIH patients were treated 2 h a day for 4 weeks with a novel breathing mask. The mask was used to induce normocapnia in these chronically hypocapnic patients. Capillary blood gases and acid/base parameters [capillary CO2 tension (PcapCO2 ), pH, and standard base excess (SBE)] were measured at baseline and once each week at least 3 h after mask use, as well as spirometric values, breath-holding tolerance and hyperventilation symptoms as per the Nijmegen Questionnaire (NQ). The mask treatment resulted in a significant increase of resting PcapCO2 (+0.45 kPa, P = 0.028), a moderate increase in SBE (+1.4 mEq/L, P = 0.035) and a small reduction in daily symptoms (-3.8 NQ units, P = 0.046). The effect was most pronounced in the first 2 weeks of treatment. By inducing normocapnia with the breathing mask 2 h a day for 4 weeks, the normal resting CO2 and acid/base levels in chronically hyperventilating patients were partially corrected, and symptoms were reduced. © 2013 John Wiley & Sons Ltd.

  5. Oronasal Masks Require a Higher Pressure than Nasal and Nasal Pillow Masks for the Treatment of Obstructive Sleep Apnea

    PubMed Central

    Deshpande, Sheetal; Joosten, Simon; Turton, Anthony; Edwards, Bradley A.; Landry, Shane; Mansfield, Darren R.; Hamilton, Garun S.

    2016-01-01

    Study Objectives: Oronasal masks are frequently used for continuous positive airway pressure (CPAP) treatment in patients with obstructive sleep apnea (OSA). The aim of this study was to (1) determine if CPAP requirements are higher for oronasal masks compared to nasal mask interfaces and (2) assess whether polysomnography and patient characteristics differed among mask preference groups. Methods: Retrospective analysis of all CPAP implementation polysomnograms between July 2013 and June 2014. Prescribed CPAP level, polysomnography results and patient data were compared according to mask type (n = 358). Results: Oronasal masks were used in 46%, nasal masks in 35% and nasal pillow masks in 19%. There was no difference according to mask type for baseline apnea-hypopnea index (AHI), body mass index (BMI), waist or neck circumference. CPAP level was higher for oronasal masks, 12 (10–15.5) cm H2O compared to nasal pillow masks, 11 (8–12.5) cm H2O and nasal masks, 10 (8–12) cm H2O, p < 0.0001 (Median [interquartile range]). Oronasal mask type, AHI, age, and BMI were independent predictors of a higher CPAP pressure (p < 0.0005, adjusted R2 = 0.26.). For patients with CPAP ≥ 15 cm H2O, there was an odds ratio of 4.5 (95% CI 2.5–8.0) for having an oronasal compared to a nasal or nasal pillow mask. Residual median AHI was higher for oronasal masks (11.3 events/h) than for nasal masks (6.4 events/h) and nasal pillows (6.7 events/h), p < 0.001. Conclusions: Compared to nasal mask types, oronasal masks are associated with higher CPAP pressures (particularly pressures ≥ 15 cm H2O) and a higher residual AHI. Further evaluation with a randomized control trial is required to definitively establish the effect of mask type on pressure requirements. Commentary: A commentary on this article appears in this issue on page 1209. Citation: Deshpande S, Joosten S, Turton A, Edwards BA, Landry S, Mansfield DR, Hamilton GS. Oronasal masks require a higher pressure than nasal and

  6. Lithographic qualification of high-transmission mask blank for 10nm node and beyond

    NASA Astrophysics Data System (ADS)

    Xu, Yongan; Faure, Tom; Viswanathan, Ramya; Lobb, Granger; Wistrom, Richard; Burns, Sean; Hu, Lin; Graur, Ioana; Bleiman, Ben; Fischer, Dan; Mignot, Yann; Sakamoto, Yoshifumi; Toda, Yusuke; Bolton, John; Bailey, Todd; Felix, Nelson; Arnold, John; Colburn, Matthew

    2016-04-01

    In this paper, we discuss the lithographic qualification of high transmission (High T) mask for Via and contact hole applications in 10nm node and beyond. First, the simulated MEEF and depth of focus (DoF) data are compared between the 6% and High T attnPSM masks with the transmission of High T mask blank varying from 12% to 20%. The 12% High T blank shows significantly better MEEF and larger DoF than those of 6% attnPSM mask blank, which are consistent with our wafer data. However, the simulations show no obvious advantage in MEEF and DoF when the blank transmittance is larger than 12%. From our wafer data, it has been seen that the common process window from High T mask is 40nm bigger than that from the 6% attnPSM mask. In the elongated bar structure with smaller aspect ratio, 1.26, the 12% High T mask shows significantly less develop CD pull back in the major direction. Compared to the High T mask, the optimized new illumination condition for 6% attnPSM shows limited improvement in MEEF and the DoF through pitch. In addition, by using the High T mask blank, we have also investigated the SRAF printing, side lobe printing and the resist profile through cross sections, and no patterning risk has been found for manufacturing. As part of this work new 12% High T mask blank materials and processes were developed, and a brief overview of key mask technology development results have been shared. Overall, it is concluded that the High T mask, 12% transmission, provides the most robust and extendable lithographic solution for 10nm node and beyond.

  7. Oronasal Masks Require a Higher Pressure than Nasal and Nasal Pillow Masks for the Treatment of Obstructive Sleep Apnea.

    PubMed

    Deshpande, Sheetal; Joosten, Simon; Turton, Anthony; Edwards, Bradley A; Landry, Shane; Mansfield, Darren R; Hamilton, Garun S

    2016-09-15

    Oronasal masks are frequently used for continuous positive airway pressure (CPAP) treatment in patients with obstructive sleep apnea (OSA). The aim of this study was to (1) determine if CPAP requirements are higher for oronasal masks compared to nasal mask interfaces and (2) assess whether polysomnography and patient characteristics differed among mask preference groups. Retrospective analysis of all CPAP implementation polysomnograms between July 2013 and June 2014. Prescribed CPAP level, polysomnography results and patient data were compared according to mask type (n = 358). Oronasal masks were used in 46%, nasal masks in 35% and nasal pillow masks in 19%. There was no difference according to mask type for baseline apnea-hypopnea index (AHI), body mass index (BMI), waist or neck circumference. CPAP level was higher for oronasal masks, 12 (10-15.5) cm H2O compared to nasal pillow masks, 11 (8-12.5) cm H2O and nasal masks, 10 (8-12) cm H2O, p < 0.0001 (Median [interquartile range]). Oronasal mask type, AHI, age, and BMI were independent predictors of a higher CPAP pressure (p < 0.0005, adjusted R(2) = 0.26.). For patients with CPAP ≥ 15 cm H2O, there was an odds ratio of 4.5 (95% CI 2.5-8.0) for having an oronasal compared to a nasal or nasal pillow mask. Residual median AHI was higher for oronasal masks (11.3 events/h) than for nasal masks (6.4 events/h) and nasal pillows (6.7 events/h), p < 0.001. Compared to nasal mask types, oronasal masks are associated with higher CPAP pressures (particularly pressures ≥ 15 cm H2O) and a higher residual AHI. Further evaluation with a randomized control trial is required to definitively establish the effect of mask type on pressure requirements. A commentary on this article appears in this issue on page 1209. © 2016 American Academy of Sleep Medicine.

  8. Neuroimaging somatosensory perception and masking.

    PubMed

    Meador, Kimford J; Revill, Kathleen Pirog; Epstein, Charles M; Sathian, K; Loring, David W; Rorden, Chris

    2017-01-08

    The specific cortical and subcortical regions involved in conscious perception and masking are uncertain. This study sought to identify brain areas involved in conscious perception of somatosensory stimuli during a masking task using functional magnetic resonance (fMRI) to contrast perceived vs. non-perceived targets. Electrical trains were delivered to the right index finger for targets and to the left index finger for masks. Target intensities were adjusted to compensate for threshold drift. Sham target trials were given in ~10% of the trials, and target stimuli without masks were delivered in one of the five runs (68 trials/run). When healthy dextral adult volunteers (n=15) perceived right hand targets, greater left- than right-cerebral activations were seen with similar patterns across the parietal cortex, thalamus, insula, claustrum, and midbrain. When targets were not perceived, left/right cerebral activations were similar overall. Directly comparing perceived vs. non-perceived stimuli with similar intensities in the masking task revealed predominate activations contralateral to masks. In contrast, activations were greater contralateral to perceived targets if no masks were given or if masks were given but target stimulus intensities were greater for perceived than non-perceived targets. The novel aspects of this study include: 1) imaging of cortical and subcortical activations in healthy humans related to somatosensory perception during a masking task, 2) activations in the human thalamus and midbrain related to perception of stimuli compared to matched non-perceived stimuli, and 3) similar left/right cerebral activation patterns across cortical, thalamic and midbrain structures suggesting interactions across all three levels during conscious perception in humans. Copyright © 2016 Elsevier Ltd. All rights reserved.

  9. Direct Mask Overlay Inspection

    NASA Astrophysics Data System (ADS)

    Hsia, Liang-Choo; Su, Lo-Soun

    1983-11-01

    In this paper, we present a mask inspection methodology and procedure that involves direct X-Y measurements. A group of dice is selected for overlay measurement; four measurement targets were laid out in the kerf of each die. The measured coordinates are then fit-ted to either a "historical" grid, which reflects the individual tool bias, or to an ideal grid squares fashion. Measurements are done using a Nikon X-Y laser interferometric measurement system, which provides a reference grid. The stability of the measurement system is essential. We then apply appropriate statistics to the residual after the fit to determine the overlay performance. Statistical methods play an important role in the product disposition. The acceptance criterion is, however, a compromise between the cost for mask making and the final device yield. In order to satisfy the demand on mask houses for quality of masks and high volume, mixing lithographic tools in mask making has become more popular, in particular, mixing optical and E-beam tools. In this paper, we also discuss the inspection procedure for mixing different lithographic tools.

  10. Coded mask telescopes for X-ray astronomy

    NASA Astrophysics Data System (ADS)

    Skinner, G. K.; Ponman, T. J.

    1987-04-01

    The principle of the coded mask techniques are discussed together with the methods of image reconstruction. The coded mask telescopes built at the University of Birmingham, including the SL 1501 coded mask X-ray telescope flown on the Skylark rocket and the Coded Mask Imaging Spectrometer (COMIS) projected for the Soviet space station Mir, are described. A diagram of a coded mask telescope and some designs for coded masks are included.

  11. Alternating phase-shift mask and binary mask for 45-nm node and beyond: the impact on the mask error control

    NASA Astrophysics Data System (ADS)

    Kojima, Yosuke; Shirasaki, Masanori; Chiba, Kazuaki; Tanaka, Tsuyoshi; Inazuki, Yukio; Yoshikawa, Hiroki; Okazaki, Satoshi; Iwase, Kazuya; Ishikawa, Kiichi; Ozawa, Ken

    2007-05-01

    For 45 nm node and beyond, the alternating phase-shift mask (alt. PSM), one of the most expected resolution enhancement technologies (RET) because of its high image contrast and small mask error enhancement factor (MEEF), and the binary mask (BIM) attract attention. Reducing CD and registration errors and defect are their critical issues. As the solution, the new blank for alt. PSM and BIM is developed. The top film of new blank is thin Cr, and the antireflection film and shielding film composed of MoSi are deposited under the Cr film. The mask CD performance is evaluated for through pitch, CD linearity, CD uniformity, global loading, resolution and pattern fidelity, and the blank performance is evaluated for optical density, reflectivity, sheet resistance, flatness and defect level. It is found that the performance of new blank is equal to or better than that of conventional blank in all items. The mask CD performance shows significant improvement. The lithography performance of new blank is confirmed by wafer printing and AIMS measurement. The full dry type alt. PSM has been used as test plate, and the test results show that new blank can almost meet the specifications of pi-0 CD difference, CD uniformity and process margin for 45 nm node. Additionally, the new blank shows the better pattern fidelity than that of conventional blank on wafer. AIMS results are almost same as wafer results except for the narrowest pattern. Considering the result above, this new blank can reduce the mask error factors of alt. PSM and BIM for 45 nm node and beyond.

  12. Central masking with bilateral cochlear implants

    PubMed Central

    Lin, Payton; Lu, Thomas; Zeng, Fan-Gang

    2013-01-01

    Across bilateral cochlear implants, contralateral threshold shift has been investigated as a function of electrode difference between the masking and probe electrodes. For contralateral electric masking, maximum threshold elevations occurred when the position of the masker and probe electrode was approximately place-matched across ears. The amount of masking diminished with increasing masker-probe electrode separation. Place-dependent masking occurred in both sequentially implanted ears, and was not affected by the masker intensity or the time delay from the masker onset. When compared to previous contralateral masking results in normal hearing, the similarities between place-dependent central masking patterns suggest comparable mechanisms of overlapping excitation in the central auditory nervous system. PMID:23363113

  13. How do different brands of size 1 laryngeal mask airway compare with face mask ventilation in a dedicated laryngeal mask airway teaching manikin?

    PubMed

    Tracy, Mark Brian; Priyadarshi, Archana; Goel, Dimple; Lowe, Krista; Huvanandana, Jacqueline; Hinder, Murray

    2018-05-01

    International neonatal resuscitation guidelines recommend the use of laryngeal mask airway (LMA) with newborn infants (≥34 weeks' gestation or >2 kg weight) when bag-mask ventilation (BMV) or tracheal intubation is unsuccessful. Previous publications do not allow broad LMA device comparison. To compare delivered ventilation of seven brands of size 1 LMA devices with two brands of face mask using self-inflating bag (SIB). 40 experienced neonatal staff provided inflation cycles using SIB with positive end expiratory pressure (PEEP) (5 cmH 2 O) to a specialised newborn/infant training manikin randomised for each LMA and face mask. All subjects received prior education in LMA insertion and BMV. 12 415 recorded inflations for LMAs and face masks were analysed. Leak detected was lowest with i-gel brand, with a mean of 5.7% compared with face mask (triangular 42.7, round 35.7) and other LMAs (45.5-65.4) (p<0.001). Peak inspiratory pressure was higher with i-gel, with a mean of 28.9 cmH 2 O compared with face mask (triangular 22.8, round 25.8) and other LMAs (14.3-22.0) (p<0.001). PEEP was higher with i-gel, with a mean of 5.1 cmH 2 O compared with face mask (triangular 3.0, round 3.6) and other LMAs (0.6-2.6) (p<0.001). In contrast to other LMAs examined, i-gel had no insertion failures and all users found i-gel easy to use. This study has shown dramatic performance differences in delivered ventilation, mask leak and ease of use among seven different brands of LMA tested in a manikin model. This coupled with no partial or complete insertion failures and ease of use suggests i-gel LMA may have an expanded role with newborn resuscitation as a primary resuscitation device. © Article author(s) (or their employer(s) unless otherwise stated in the text of the article) 2018. All rights reserved. No commercial use is permitted unless otherwise expressly granted.

  14. Mask ventilation with two different face masks in the delivery room for preterm infants: a randomized controlled trial.

    PubMed

    Cheung, D; Mian, Q; Cheung, P-Y; O'Reilly, M; Aziz, K; van Os, S; Pichler, G; Schmölzer, G M

    2015-07-01

    If an infant fails to initiate spontaneous breathing after birth, international guidelines recommend a positive pressure ventilation (PPV). However, PPV by face mask is frequently inadequate because of leak between the face and mask. Despite a variety of available face masks, none have been prospectively compared in a randomized fashion. We aimed to evaluate and compare leak between two commercially available round face masks (Fisher & Paykel (F&P) and Laerdal) in preterm infants <33 weeks gestational age in the delivery room. Infants born at the Royal Alexandra Hospital from April to September 2013 at <33 weeks gestational age who received mask PPV in the delivery room routinely had a flow sensor placed between the mask and T-piece resuscitator. Infants were randomly assigned to receive PPV with either a F&P or Laerdal face mask. All resuscitators were trained in the use of both face masks. We compared mask leak, airway pressures, tidal volume and ventilation rate between the two groups. Fifty-six preterm infants (n=28 in each group) were enrolled; mean±s.d. gestational age 28±3 weeks; birth weight 1210±448 g; and 30 (52%) were male. Apgar scores at 1 and 5 min were 5±3 and 7±2, respectively. Infants randomized to the F&P face mask and Laerdal face mask had similar mask leak (30 (25-38) versus 35 (24-46)%, median (interquartile range), respectively, P=0.40) and tidal volume (7.1 (4.9-8.9) versus 6.6 (5.2-8.9) ml kg(-1), P=0.69) during PPV. There were no significant differences in ventilation rate, inflation time or airway pressures between groups. The use of either face mask during PPV in the delivery room yields similar mask leak in preterm infants <33 weeks gestational age.

  15. History and future of mask making

    NASA Astrophysics Data System (ADS)

    Levy, Ken L.

    1996-12-01

    The history of the mask industry has three main periods, which I call the Classical Period, the Dark Ages, and the Renaissance, by analogy with those periods in the history of Western Europe. During the Classical Period, people developed 1X masks and the technology to make them. In the Dark Ages, people exploited the equipment developed during the Classical Period to make 5X reduction reticle, ending the nobility of mask making. In today's Renaissance of mask making, a proliferation of mask types is requiring a rebirth of innovation and creativity. The Renaissance resembles the Classical Period: masks are once again strategic, and technological capability is once again the driver. Meanwhile, the mask industry is carrying forward the productivity and efficiency gains it achieved during the Dark Ages. We must create a new business and economic model to support these changes in the characteristics of the marketplace.

  16. Macroindentation hardness measurement-Modernization and applications.

    PubMed

    Patel, Sarsvat; Sun, Changquan Calvin

    2016-06-15

    In this study, we first developed a modernized indentation technique for measuring tablet hardness. This technique is featured by rapid digital image capture, using a calibrated light microscope, and precise area-determination. We then systematically studied effects of key experimental parameters, including indentation force, speed, and holding time, on measured hardness of a very soft material, hydroxypropyl cellulose, and a very hard material, dibasic calcium phosphate, to cover a wide range of material properties. Based on the results, a holding period of 3min at the peak indentation load is recommended to minimize the effect of testing speed on H. Using this method, we show that an exponential decay function well describes the relationship between tablet hardness and porosity for seven commonly used pharmaceutical powders investigated in this work. We propose that H and H at zero porosity may be used to quantify the tablet deformability and powder plasticity, respectively. Copyright © 2016 Elsevier B.V. All rights reserved.

  17. Quartz 9-inch size mask blanks for ArF PSM (Phase Shift Mask)

    NASA Astrophysics Data System (ADS)

    Harashima, Noriyuki; Isozaki, Tatsuya; Kawanishi, Arata; Kanai, Shuichiro; Kageyama, Kagehiro; Iso, Hiroyuki; Chishima, Tatsuya

    2017-07-01

    Semiconductor technology nodes are steadily miniaturizing. On the other hand, various efforts have been made to reduce costs, mass production lines have shifted from 200 mmφ of Si wafer to 300 mmφ, and technology development of Si wafer 450 mmφ is also in progress. As a photomask, 6-inch size binary Cr mask has been used for many years, but in recent years, the use of 9-inch binary Cr masks for Proximity Lithography Process in automotive applications, MEMS, packages, etc. has increased, and cost reduction has been taken. Since the miniaturization will progress in the above applications in the future, products corresponding to miniaturization are also desired in 9-inch photomasks. The high grade Cr - binary mask blanks used in proximity exposure process, there is a prospect of being able to use it by ULVAC COATING CORPORATION's tireless research. As further demands for miniaturization, KrF and ArF Lithography Process, which are used for steppers and scanners , there are also a demand for 9-inch size Mask Blanks. In ULVAC COATING CORPORATION, we developed a 9 - inch size KrF PSM mask Blanks prototype in 2016 and proposed a new high grade 9 - inch photomask. This time, we have further investigated and developed 9-inch size ArF PSM Mask Blanks corresponding to ArF Lithography Process, so we report it.

  18. The automatic back-check mechanism of mask tooling database and automatic transmission of mask tooling data

    NASA Astrophysics Data System (ADS)

    Xu, Zhe; Peng, M. G.; Tu, Lin Hsin; Lee, Cedric; Lin, J. K.; Jan, Jian Feng; Yin, Alb; Wang, Pei

    2006-10-01

    Nowadays, most foundries have paid more and more attention in order to reduce the CD width. Although the lithography technologies have developed drastically, mask data accuracy is still a big challenge than before. Besides, mask (reticle) price also goes up drastically such that data accuracy needs more special treatments.We've developed a system called eFDMS to guarantee the mask data accuracy. EFDMS is developed to do the automatic back-check of mask tooling database and the data transmission of mask tooling. We integrate our own EFDMS systems to engage with the standard mask tooling system K2 so that the upriver and the downriver processes of the mask tooling main body K2 can perform smoothly and correctly with anticipation. The competition in IC marketplace is changing from high-tech process to lower-price gradually. How to control the reduction of the products' cost more plays a significant role in foundries. Before the violent competition's drawing nearer, we should prepare the cost task ahead of time.

  19. Oral mask ventilation is more effective than face mask ventilation after nasal surgery.

    PubMed

    Yazicioğlu, Dilek; Baran, Ilkay; Uzumcugil, Filiz; Ozturk, Ibrahim; Utebey, Gulten; Sayın, M Murat

    2016-06-01

    To evaluate and compare the face mask (FM) and oral mask (OM) ventilation techniques during anesthesia emergence regarding tidal volume, leak volume, and difficult mask ventilation (DMV) incidence. Prospective, randomized, crossover study. Operating room, training and research hospital. American Society of Anesthesiologists physical status I and II adult patients scheduled for nasal surgery. Patients in group FM-OM received FM ventilation first, followed by OM ventilation, and patients in group OM-FM received OM ventilation first, followed by FM ventilation, with spontaneous ventilation after deep extubation. The FM ventilation was applied with the 1-handed EC-clamp technique. The OM was placed only over the mouth, and the 1-handed EC-clamp technique was used again. A child's size FM was used for the OM ventilation technique, the mask was rotated, and the inferior part of the mask was placed toward the nose. The leak volume (MVleak), mean airway pressure (Pmean), and expired tidal volume (TVe) were assessed with each mask technique for 3 consecutive breaths. A mask ventilation grade ≥3 was considered DMV. DMV occurred more frequently during FM ventilation (75% with FM vs 8% with OM). In the FM-first sequence, the mean TVe was 249±61mL with the FM and 455±35mL with the OM (P=.0001), whereas in the OM-first sequence, it was 276±81mL with the FM and 409±37mL with the OM (P=.0001). Regardless of the order used, the OM technique significantly decreased the MVleak and increased the TVe when compared to the FM technique. During anesthesia emergence after nasal surgery the OM may offer an effective ventilation method as it decreases the incidence of DMV and the gas leak around the mask and provides higher tidal volume delivery compared with FM ventilation. Copyright © 2016 Elsevier Inc. All rights reserved.

  20. Model-based virtual VSB mask writer verification for efficient mask error checking and optimization prior to MDP

    NASA Astrophysics Data System (ADS)

    Pack, Robert C.; Standiford, Keith; Lukanc, Todd; Ning, Guo Xiang; Verma, Piyush; Batarseh, Fadi; Chua, Gek Soon; Fujimura, Akira; Pang, Linyong

    2014-10-01

    A methodology is described wherein a calibrated model-based `Virtual' Variable Shaped Beam (VSB) mask writer process simulator is used to accurately verify complex Optical Proximity Correction (OPC) and Inverse Lithography Technology (ILT) mask designs prior to Mask Data Preparation (MDP) and mask fabrication. This type of verification addresses physical effects which occur in mask writing that may impact lithographic printing fidelity and variability. The work described here is motivated by requirements for extreme accuracy and control of variations for today's most demanding IC products. These extreme demands necessitate careful and detailed analysis of all potential sources of uncompensated error or variation and extreme control of these at each stage of the integrated OPC/ MDP/ Mask/ silicon lithography flow. The important potential sources of variation we focus on here originate on the basis of VSB mask writer physics and other errors inherent in the mask writing process. The deposited electron beam dose distribution may be examined in a manner similar to optical lithography aerial image analysis and image edge log-slope analysis. This approach enables one to catch, grade, and mitigate problems early and thus reduce the likelihood for costly long-loop iterations between OPC, MDP, and wafer fabrication flows. It moreover describes how to detect regions of a layout or mask where hotspots may occur or where the robustness to intrinsic variations may be improved by modification to the OPC, choice of mask technology, or by judicious design of VSB shots and dose assignment.

  1. Mask industry assessment trend analysis

    NASA Astrophysics Data System (ADS)

    Shelden, Gilbert; Marmillion, Patricia; Hughes, Greg

    2008-04-01

    Microelectronics industry leaders routinely name the cost and cycle time of mask technology and mask supply as top critical issues. A survey was created with support from SEMATECH and administered by SEMI North America to gather information about the mask industry as an objective assessment of its overall condition. This year's survey data were presented in detail at BACUS and the detailed trend analysis presented at EMLC. The survey is designed with the input of semiconductor company mask technologists, merchant mask suppliers, and industry equipment makers. This year's assessment is the sixth in the current series of annual reports. With continued industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments on critical path issues. This year's survey is basically the same as the 2005 and 2006 surveys. Questions are grouped into eight categories: General Business Profile Information, Data Processing, Yields and Yield Loss, Mechanisms, Delivery Times, Returns and Services, Operating Cost Factors, and Equipment Utilization. Within each category is a multitude of questions that creates a detailed profile of both the business and technical status of the critical mask industry. Note: the questions covering operating cost factors and equipment utilization were added to the survey only in 2005; therefore, meaningful trend analysis is not available.

  2. Computing Challenges in Coded Mask Imaging

    NASA Technical Reports Server (NTRS)

    Skinner, Gerald

    2009-01-01

    This slide presaentation reviews the complications and challenges in developing computer systems for Coded Mask Imaging telescopes. The coded mask technique is used when there is no other way to create the telescope, (i.e., when there are wide fields of view, high energies for focusing or low energies for the Compton/Tracker Techniques and very good angular resolution.) The coded mask telescope is described, and the mask is reviewed. The coded Masks for the INTErnational Gamma-Ray Astrophysics Laboratory (INTEGRAL) instruments are shown, and a chart showing the types of position sensitive detectors used for the coded mask telescopes is also reviewed. Slides describe the mechanism of recovering an image from the masked pattern. The correlation with the mask pattern is described. The Matrix approach is reviewed, and other approaches to image reconstruction are described. Included in the presentation is a review of the Energetic X-ray Imaging Survey Telescope (EXIST) / High Energy Telescope (HET), with information about the mission, the operation of the telescope, comparison of the EXIST/HET with the SWIFT/BAT and details of the design of the EXIST/HET.

  3. Summation versus suppression in metacontrast masking: On the potential pitfalls of using metacontrast masking to assess perceptual-motor dissociation.

    PubMed

    Cardoso-Leite, Pedro; Waszak, Florian

    2014-07-01

    A briefly flashed target stimulus can become "invisible" when immediately followed by a mask-a phenomenon known as backward masking, which constitutes a major tool in the cognitive sciences. One form of backward masking is termed metacontrast masking. It is generally assumed that in metacontrast masking, the mask suppresses activity on which the conscious perception of the target relies. This assumption biases conclusions when masking is used as a tool-for example, to study the independence between perceptual detection and motor reaction. This is because other models can account for reduced perceptual performance without requiring suppression mechanisms. In this study, we used signal detection theory to test the suppression model against an alternative view of metacontrast masking, referred to as the summation model. This model claims that target- and mask-related activations fuse and that the difficulty in detecting the target results from the difficulty to discriminate this fused response from the response produced by the mask alone. Our data support this alternative view. This study is not a thorough investigation of metacontrast masking. Instead, we wanted to point out that when a different model is used to account for the reduced perceptual performance in metacontrast masking, there is no need to postulate a dissociation between perceptual and motor responses to account for the data. Metacontrast masking, as implemented in the Fehrer-Raab situation, therefore is not a valid method to assess perceptual-motor dissociations.

  4. Orion Emergency Mask Approach

    NASA Technical Reports Server (NTRS)

    Tuan, George C.; Graf, John C.

    2008-01-01

    Emergency mask approach on Orion poses a challenge to the traditional Shuttle or Station approaches. Currently, in the case of a fire or toxic spill event, the crew utilizes open loop oxygen masks that provide the crew with oxygen to breath, but also dumps the exhaled oxygen into the cabin. For Orion, with a small cabin volume, the extra oxygen will exceed the flammability limit within a short period of time, unless a nitrogen purge is also provided. Another approach to a fire or toxic spill event is the use of a filtering emergency masks. These masks utilize some form of chemical beds to scrub the air clean of toxic providing the crew safe breathing air for a period without elevating the oxygen level in the cabin. Using the masks and a form of smoke-eater filter, it may be possible to clean the cabin completely or to a level for safe transition to a space suit to perform a cabin purge. Issues with filters in the past have been the reaction temperature and high breathing resistance. Development in a new form of chemical filters has shown promise to make the filtering approach feasible.

  5. Orion Emergency Mask Approach

    NASA Technical Reports Server (NTRS)

    Tuan, George C.; Graf, John C.

    2009-01-01

    Emergency mask approach on Orion poses a challenge to the traditional Shuttle or Station approaches. Currently, in the case of a fire or toxic spill event, the crew utilizes open loop oxygen masks that provide the crew with oxygen to breath, but also dumps the exhaled oxygen into the cabin. For Orion, with a small cabin volume, the extra oxygen will exceed the flammability limit within a short period of time, unless a nitrogen purge is also provided. Another approach to a fire or toxic spill event is the use of a filtering emergency masks. These masks utilize some form of chemical beds to scrub the air clean of toxic providing the crew safe breathing air for a period without elevating the oxygen level in the cabin. Using the masks and a form of smoke-eater filter, it may be possible to clean the cabin completely or to a level for safe transition to a space suit to perform a cabin purge. Issues with filters in the past have been the reaction time, breakthroughs, and high breathing resistance. Development in a new form of chemical filters has shown promise to make the filtering approach feasible.

  6. A Modified Theoretical Model of Intrinsic Hardness of Crystalline Solids

    PubMed Central

    Dai, Fu-Zhi; Zhou, Yanchun

    2016-01-01

    Super-hard materials have been extensively investigated due to their practical importance in numerous industrial applications. To stimulate the design and exploration of new super-hard materials, microscopic models that elucidate the fundamental factors controlling hardness are desirable. The present work modified the theoretical model of intrinsic hardness proposed by Gao. In the modification, we emphasize the critical role of appropriately decomposing a crystal to pseudo-binary crystals, which should be carried out based on the valence electron population of each bond. After modification, the model becomes self-consistent and predicts well the hardness values of many crystals, including crystals composed of complex chemical bonds. The modified model provides fundamental insights into the nature of hardness, which can facilitate the quest for intrinsic super-hard materials. PMID:27604165

  7. Germanium Lift-Off Masks for Thin Metal Film Patterning

    NASA Technical Reports Server (NTRS)

    Brown, Ari

    2012-01-01

    A technique has been developed for patterning thin metallic films that are, in turn, used to fabricate microelectronics circuitry and thin-film sensors. The technique uses germanium thin films as lift-off masks. This requires development of a technique to strip or undercut the germanium chemically without affecting the deposited metal. Unlike in the case of conventional polymeric lift-off masks, the substrate can be exposed to very high temperatures during processing (sputter deposition). The reason why polymeric liftoff masks cannot be exposed to very high temperatures (greater than 100 C) is because (a) they can become cross linked, making lift-off very difficult if not impossible, and (b) they can outgas nitrogen and oxygen, which then can react with the metal being deposited. Consequently, this innovation is expected to find use in the fabrication of transition edge sensors and microwave kinetic inductance detectors, which use thin superconducting films deposited at high temperature as their sensing elements. Transition edge sensors, microwave kinetic inductance detectors, and their circuitry are comprised of superconducting thin films, for example Nb and TiN. Reactive ion etching can be used to pattern these films; however, reactive ion etching also damages the underlying substrate, which is unwanted in many instances. Polymeric lift-off techniques permit thin-film patterning without any substrate damage, but they are difficult to remove and the polymer can outgas during thin-film deposition. The outgassed material can then react with the film with the consequence of altered and non-reproducible materials properties, which, in turn, is deleterious for sensors and their circuitry. The purpose of this innovation was to fabricate a germanium lift-off mask to be used for patterning thin metal films.

  8. Performance of repaired defects and attPSM in EUV multilayer masks

    NASA Astrophysics Data System (ADS)

    Deng, Yunfei; La Fontaine, Bruno; Neureuther, Andrew R.

    2002-12-01

    The imaging performance of non-planar topographies in EUV masks for both partially repaired defects and non-planar attenuating phase-shifting masks made with repair treatments are evaluated using rigorous electromagnetic simulation with TEMPEST. Typical topographies produced by treatment techniques in the literature such as removal of top layers and compaction produced by electron-beam heating are considered. Isolated defects on/near the surface repaired by material removal are shown to result in an image intensity within 5% of the clear field value. Deeply buried defects within the multilayer treated by electron-beam heating can be repaired to 3% of the clear field but over repair can result in some degradation. Compaction from a 6.938 nm period to a 6.312 nm period shows a 540° phase-shift and an intensity reduced to about 6% suggesting such a treatment may be used to create attenuated phase-shifting masks for EUV. The quality of the aerial image for such a mask is studied as a function of the lateral transition distance between treated and untreated regions.

  9. Migration from full-head mask to "open-face" mask for immobilization of patients with head and neck cancer.

    PubMed

    Li, Guang; Lovelock, D Michael; Mechalakos, James; Rao, Shyam; Della-Biancia, Cesar; Amols, Howard; Lee, Nancy

    2013-09-06

    To provide an alternative device for immobilization of the head while easing claustrophobia and improving comfort, an "open-face" thermoplastic mask was evaluated using video-based optical surface imaging (OSI) and kilovoltage (kV) X-ray radiography. A three-point thermoplastic head mask with a precut opening and reinforced strips was developed. After molding, it provided sufficient visible facial area as the region of interest for OSI. Using real-time OSI, the head motion of ten volunteers in the new mask was evaluated during mask locking and 15minutes lying on the treatment couch. Using a nose mark with reference to room lasers, forced head movement in open-face and full-head masks (with a nose hole) was compared. Five patients with claustrophobia were immobilized with open-face masks, set up using OSI and kV, and treated in 121 fractions, in which 61 fractions were monitored during treatment using real-time OSI. With the open-face mask, head motion was found to be 1.0 ± 0.6 mm and 0.4° ± 0.2° in volunteers during the experiment, and 0.8 ± 0.3 mm and 0.4° ± 0.2° in patients during treatment. These agree with patient motion calculated from pre-/post-treatment OSI and kV data using different anatomical landmarks. In volunteers, the head shift induced by mask-locking was 2.3 ± 1.7 mm and 1.8° ± 0.6°, and the range of forced movements in the open-face and full-head masks were found to be similar. Most (80%) of the volunteers preferred the open-face mask to the full-head mask, while claustrophobic patients could only tolerate the open-face mask. The open-face mask is characterized for its immobilization capability and can immobilize patients sufficiently (< 2 mm) during radiotherapy. It provides a clinical solution to the immobilization of patients with head and neck (HN) cancer undergoing radiotherapy, and is particularly beneficial for claustrophobic patients. This new open-face mask is readily adopted in radiotherapy clinic as a superior alternative

  10. Etching Selectivity of Cr, Fe and Ni Masks on Si & SiO2 Wafers

    NASA Astrophysics Data System (ADS)

    Garcia, Jorge; Lowndes, Douglas H.

    2000-10-01

    During this Summer 2000 I joined the Semiconductors and Thin Films group led by Dr. Douglas H. Lowndes at Oak Ridge National Laboratory’s Solid State Division. Our objective was to evaluate the selectivity that Trifluoromethane (CHF3), and Sulfur Hexafluoride (SF6) plasmas have for Si, SiO2 wafers and the Ni, Cr, and Fe masks; being this etching selectivity the ratio of the etching rates of the plasmas for each of the materials. We made use of Silicon and Silicon Dioxide-coated wafers that have Fe, Cr or Ni masks. In the semiconductor field, metal layers are often used as masks to protect layers underneath during processing steps; when these wafers are taken to the dry etching process, both the wafer and the mask layers’ thickness are reduced.

  11. Edge enhancement and image equalization by unsharp masking using self-adaptive photochromic filters.

    PubMed

    Ferrari, José A; Flores, Jorge L; Perciante, César D; Frins, Erna

    2009-07-01

    A new method for real-time edge enhancement and image equalization using photochromic filters is presented. The reversible self-adaptive capacity of photochromic materials is used for creating an unsharp mask of the original image. This unsharp mask produces a kind of self filtering of the original image. Unlike the usual Fourier (coherent) image processing, the technique we propose can also be used with incoherent illumination. Validation experiments with Bacteriorhodopsin and photochromic glass are presented.

  12. Metacontrast masking is processed before grapheme-color synesthesia.

    PubMed

    Bacon, Michael Patrick; Bridgeman, Bruce; Ramachandran, Vilayanur S

    2013-01-01

    We investigated the physiological mechanism of grapheme-color synesthesia using metacontrast masking. A metacontrast target is rendered invisible by a mask that is delayed by about 60 ms; the target and mask do not overlap in space or time. Little masking occurs, however, if the target and mask are simultaneous. This effect must be cortical, because it can be obtained dichoptically. To compare the data for synesthetes and controls, we developed a metacontrast design in which nonsynesthete controls showed weaker dichromatic masking (i.e., the target and mask were in different colors) than monochromatic masking. We accomplished this with an equiluminant target, mask, and background for each observer. If synesthetic color affected metacontrast, synesthetes should show monochromatic masking more similar to the weak dichromatic masking among controls, because synesthetes could add their synesthetic color to the monochromatic condition. The target-mask pairs used for each synesthete were graphemes that elicited strong synesthetic colors. We found stronger monochromatic than dichromatic U-shaped metacontrast for both synesthetes and controls, with optimal masking at an asynchrony of 66 ms. The difference in performance between the monochromatic and dichromatic conditions in the synesthetes indicates that synesthesia occurs at a later processing stage than does metacontrast masking.

  13. Defect tolerant transmission lithography mask

    DOEpatents

    Vernon, Stephen P.

    2000-01-01

    A transmission lithography mask that utilizes a transparent substrate or a partially transparent membrane as the active region of the mask. A reflective single layer or multilayer coating is deposited on the membrane surface facing the illumination system. The coating is selectively patterned (removed) to form transmissive (bright) regions. Structural imperfections and defects in the coating have negligible effect on the aerial image of the mask master pattern since the coating is used to reflect radiation out of the entrance pupil of the imaging system. Similarly, structural imperfections in the clear regions of the membrane have little influence on the amplitude or phase of the transmitted electromagnetic fields. Since the mask "discards," rather than absorbs, unwanted radiation, it has reduced optical absorption and reduced thermal loading as compared to conventional designs. For EUV applications, the mask circumvents the phase defect problem, and is independent of the thermal load during exposure.

  14. Temporal masking of multidimensional tactual stimuli

    NASA Astrophysics Data System (ADS)

    Tan, Hong Z.; Reed, Charlotte M.; Delhorne, Lorraine A.; Durlach, Nathaniel I.; Wan, Natasha

    2003-12-01

    Experiments were performed to examine the temporal masking properties of multidimensional tactual stimulation patterns delivered to the left index finger. The stimuli consisted of fixed-frequency sinusoidal motions in the kinesthetic (2 or 4 Hz), midfrequency (30 Hz), and cutaneous (300 Hz) frequency ranges. Seven stimuli composed of one, two, or three spectral components were constructed at each of two signal durations (125 or 250 ms). Subjects identified target signals under three different masking paradigms: forward masking, backward masking, and sandwiched masking (in which the target is presented between two maskers). Target identification was studied as a function of interstimulus interval (ISI) in the range 0 to 640 ms. For both signal durations, percent-correct scores increased with ISI for each of the three masking paradigms. Scores with forward and backward masking were similar and significantly higher than scores obtained with sandwiched masking. Analyses of error trials revealed that subjects showed a tendency to respond, more often than chance, with the masker, the composite of the masker and target, or the combination of the target and a component of the masker. The current results are compared to those obtained in previous studies of tactual recognition masking with brief cutaneous spatial patterns. The results are also discussed in terms of estimates of information transfer (IT) and IT rate, are compared to previous studies with multidimensional tactual signals, and are related to research on the development of tactual aids for the deaf.

  15. Mask industry assessment trend analysis: 2010

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Yun, Henry

    2010-05-01

    Microelectronics industry leaders consistently cite the cost and cycle time of mask technology and mask supply as top critical issues. A survey was designed with input from semiconductor company mask technologists and merchant mask suppliers and support from SEMATECH to gather information about the mask industry as an objective assessment of its overall condition. This year's assessment was the eighth in the current series of annual reports. Its data were presented in detail at BACUS, and the detailed trend analysis is presented at EMLC. With continued industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. Its results will be used to guide future investments on critical path issues. This year's survey is basically the same as the surveys in 2005 through 2009. Questions are grouped into six categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category is a multitude of questions that creates a detailed profile of both the business and technical status of the critical mask industry.

  16. An interactive tool for gamut masking

    NASA Astrophysics Data System (ADS)

    Song, Ying; Lau, Cheryl; Süsstrunk, Sabine

    2014-02-01

    Artists often want to change the colors of an image to achieve a particular aesthetic goal. For example, they might limit colors to a warm or cool color scheme to create an image with a certain mood or feeling. Gamut masking is a technique that artists use to limit the set of colors they can paint with. They draw a mask over a color wheel and only use the hues within the mask. However, creating the color palette from the mask and applying the colors to the image requires skill. We propose an interactive tool for gamut masking that allows amateur artists to create an image with a desired mood or feeling. Our system extracts a 3D color gamut from the 2D user-drawn mask and maps the image to this gamut. The user can draw a different gamut mask or locally refine the image colors. Our voxel grid gamut representation allows us to represent gamuts of any shape, and our cluster-based image representation allows the user to change colors locally.

  17. Femtopulse laser-based mask repair in the DUV wavelength regime

    NASA Astrophysics Data System (ADS)

    Ghadiali, Firoz; Tolani, Vikram; Nagpal, Rajesh; Robinson, Tod; LeClaire, Jeff; Bozak, Ron; Lee, David A.; White, Roy

    2006-05-01

    Deep ultraviolet (DUV) femtosecond-pulsed laser ablation has numerous highly desirable properties for subtractive photomask defect repair. These qualities include high removal rates, resolution better than the focused spot size, minimized redeposition of the ablated material (rollup and splatter), and a negligible heat affected zone. The optical properties of the photomask result in a broad repair process window because the absorber film (whether Cr or MoSi) and the transmissive substrate allow for a high degree of material removal selectivity. Repair results and process parameters from such a system are examined in light of theoretical considerations. In addition, the practical aspects of the operation of this system in a production mask house environment are reviewed from the standpoint of repair quality, capability, availability, and throughput. Focus is given to the benefit received by the mask shop, and to the technical performance of the system.

  18. [Recognition of visual objects under forward masking. Effects of cathegorial similarity of test and masking stimuli].

    PubMed

    Gerasimenko, N Iu; Slavutskaia, A V; Kalinin, S A; Kulikov, M A; Mikhaĭlova, E S

    2013-01-01

    In 38 healthy subjects accuracy and response time were examined during recognition of two categories of images--animals andnonliving objects--under forward masking. We revealed new data that masking effects depended of categorical similarity of target and masking stimuli. The recognition accuracy was the lowest and the response time was the most slow, when the target and masking stimuli belongs to the same category, that was combined with high dispersion of response times. The revealed effects were more clear in the task of animal recognition in comparison with the recognition of nonliving objects. We supposed that the revealed effects connected with interference between cortical representations of the target and masking stimuli and discussed our results in context of cortical interference and negative priming.

  19. Effectiveness of surgical masks against influenza bioaerosols.

    PubMed

    Makison Booth, C; Clayton, M; Crook, B; Gawn, J M

    2013-05-01

    Most surgical masks are not certified for use as respiratory protective devices (RPDs). In the event of an influenza pandemic, logistical and practical implications such as storage and fit testing will restrict the use of RPDs to certain high-risk procedures that are likely to generate large amounts of infectious bioaerosols. Studies have shown that in such circumstances increased numbers of surgical masks are worn, but the protection afforded to the wearer by a surgical mask against infectious aerosols is not well understood. To develop and apply a method for assessing the protection afforded by surgical masks against a bioaerosol challenge. A dummy test head attached to a breathing simulator was used to test the performance of surgical masks against a viral challenge. Several designs of surgical masks commonly used in the UK healthcare sector were evaluated by measuring levels of inert particles and live aerosolised influenza virus in the air, from in front of and behind each mask. Live influenza virus was measurable from the air behind all surgical masks tested. The data indicate that a surgical mask will reduce exposure to aerosolised infectious influenza virus; reductions ranged from 1.1- to 55-fold (average 6-fold), depending on the design of the mask. We describe a workable method to evaluate the protective efficacy of surgical masks and RPDs against a relevant aerosolised biological challenge. The results demonstrated limitations of surgical masks in this context, although they are to some extent protective. Crown Copyright © 2013. Published by Elsevier Ltd. All rights reserved.

  20. Flavored Anesthetic Masks for Inhalational Induction in Children.

    PubMed

    Gupta, Aakriti; Mathew, Preethy Joseph; Bhardwaj, Neerja

    2017-10-01

    To evaluate the clinical efficacy of masking the odor of inhalational agents using fruit flavors on the anxiety behavior and compliance of children for inhalational induction. A prospective randomized double blind, placebo controlled study was conducted on 60 unpremedicated children in the age group of 4-12 y. Thirty children received anesthetic masks smeared with a flavor of child's choice while the other 30 children were induced using masks without flavor. Anxiety was assessed using modified Yale Pre-operative Anxiety Scale (mYPAS) in the pre-op room and during inhalational induction. Mask acceptance was graded by Induction Compliance Checklist (ICC). The cost-effectiveness of flavored anesthetic masks was compared to that of commercially available pre-scented masks. The baseline anxiety in the two groups was comparable. The number of children demonstrating high levels of anxiety at anesthetic induction was similar in flavored and non-flavored mask groups (p 0.45). The compliance to mask induction was also equally good (p 0.99). The authors found significant difference in the cost of flavored mask (INR 56.45 per mask) as compared to commercially available pre-scented masks (INR 660 per mask). The authors observed a placebo effect that reduced the pre-op anxiety in the control group which probably made the quality of induction equivalent with flavored and non-flavored masks. Therefore, using a flavored anesthetic mask is cost-effective than using a commercially available pre-scented mask.

  1. Brightness masking is modulated by disparity structure.

    PubMed

    Pelekanos, Vassilis; Ban, Hiroshi; Welchman, Andrew E

    2015-05-01

    The luminance contrast at the borders of a surface strongly influences surface's apparent brightness, as demonstrated by a number of classic visual illusions. Such phenomena are compatible with a propagation mechanism believed to spread contrast information from borders to the interior. This process is disrupted by masking, where the perceived brightness of a target is reduced by the brief presentation of a mask (Paradiso & Nakayama, 1991), but the exact visual stage that this happens remains unclear. In the present study, we examined whether brightness masking occurs at a monocular-, or a binocular-level of the visual hierarchy. We used backward masking, whereby a briefly presented target stimulus is disrupted by a mask coming soon afterwards, to show that brightness masking is affected by binocular stages of the visual processing. We manipulated the 3-D configurations (slant direction) of the target and mask and measured the differential disruption that masking causes on brightness estimation. We found that the masking effect was weaker when stimuli had a different slant. We suggest that brightness masking is partly mediated by mid-level neuronal mechanisms, at a stage where binocular disparity edge structure has been extracted. Copyright © 2015 The Authors. Published by Elsevier Ltd.. All rights reserved.

  2. Oronasal masks require higher levels of positive airway pressure than nasal masks to treat obstructive sleep apnea.

    PubMed

    Bettinzoli, Michela; Taranto-Montemurro, Luigi; Messineo, Ludovico; Corda, Luciano; Redolfi, Stefania; Ferliga, Mauro; Tantucci, Claudio

    2014-12-01

    The purpose of this study was to compare the therapeutic pressure determined by an automated CPAP device (AutoCPAP) during the titration period, between nasal and oronasal mask and the residual apnea-hypopnea index (AHI) on a subsequent poligraphy performed with the established therapeutic CPAP. As a retrospective study, 109 subjects with moderate and severe obstructive sleep apnea-hypopnea (apnea-hypopnea index≥15 events/h) were studied. CPAP titration was performed using an auto-titrating device. There was significant difference in the mean pressure delivered with autoCPAP between the group of patients using the nasal mask (mean 10.0 cmH2O±2.0 SD) and the group which used the oronasal mask (mean 11.2 cmH2O±2.1) (p<0.05). Residual apneas were lower when using a nasal mask: average AHI of 2.6±2.5 compared to 4.5±4.0 using an oronasal mask (p<0.05). On multivariate analysis, the only independent predictor of the level of therapeutic pressure of CPAP was the type of mask used (r=0.245, p 0.008). Therapeutic CPAP level for OSAH is higher when administered via oronasal mask, leaving more residual events. These findings suggest that nasal mask should be the first choice for OSAH treatment.

  3. Suitability of E-tongue Sensors to Assess Taste-Masking of Pediatric Liquids by Different Beverages Considering Their Physico-chemical Properties.

    PubMed

    Immohr, Laura Isabell; Hedfeld, Claas; Lang, Artur; Pein-Hackelbusch, Miriam

    2017-02-01

    Manipulation of liquid oral drugs by mixing them into foodstuff is a common procedure for taste-masking of OTC pharmaceuticals when administered to children. However, the taste-masking capability of such application media is not systematically evaluated, and recommendations for suitable media are hardly published. In this study, a sensor array of commercially available and self-developed electronic tongue sensors was employed to assess the taste-masking efficiency of eight different beverages (tap water, apple juice, carrot juice, fennel tea, fruit tea, milk, cocoa, and Alete meal to drink) on the OTC pharmaceuticals Ambroxol-ratiopharm®, Cetirizin AL, and Laxoberal® by multivariate data analysis. The Euclidean distances between each pure application medium and its corresponding drug mixture were used as an indicator for the taste-masking efficiency and correlated to the physico-chemical properties of the beverages. Thus, the pH value, the viscosity, as well as the fat and sugar content of the beverages were included, whereas only the viscosity appeared to be insignificant in all cases. The sugar content as well as the fat content and pH value emerged to be a significant variable in taste-masking efficiency for some of the tested drug products. It was shown that the applied electronic tongue sensors were capable to demonstrate the impact of the physico-chemical properties of the application media on their taste-masking capacity regardless of their non-selectivity towards these characteristics.

  4. Development of new FIB technology for EUVL mask repair

    NASA Astrophysics Data System (ADS)

    Aramaki, Fumio; Ogawa, Takashi; Matsuda, Osamu; Kozakai, Tomokazu; Sugiyama, Yasuhiko; Oba, Hiroshi; Yasaka, Anto; Amano, Tsuyoshi; Shigemura, Hiroyuki; Suga, Osamu

    2011-04-01

    The next generation EUVL masks beyond hp15nm are difficult to repair for the current repair technologies including focused ion beam (FIB) and electron beam (EB) in view of the minimum repairable size. We developed a new FIB technology to repair EUVL masks. Conventional FIB use gallium ions (Ga+) generated by a liquid metal ion source (LMIS), but the new FIB uses hydrogen ions (H2+) generated by a gas field ion source (GFIS). The minimum reaction area of H2+ FIB is theoretically much smaller than that of EB. We investigated the repair performance of H2+ FIB. In the concrete, we evaluated image resolution, scan damage, etching rate, material selectivity of etching and actinic image of repaired area. The most important result is that there was no difference between the repaired area and the non-repaired one on actinic images. That result suggests that the H2+ GFIS technology is a promising candidate for the solution to repair the next generation EUVL masks beyond hp15nm.

  5. Shadows alter facial expressions of Noh masks.

    PubMed

    Kawai, Nobuyuki; Miyata, Hiromitsu; Nishimura, Ritsuko; Okanoya, Kazuo

    2013-01-01

    A Noh mask, worn by expert actors during performance on the Japanese traditional Noh drama, conveys various emotional expressions despite its fixed physical properties. How does the mask change its expressions? Shadows change subtly during the actual Noh drama, which plays a key role in creating elusive artistic enchantment. We here describe evidence from two experiments regarding how attached shadows of the Noh masks influence the observers' recognition of the emotional expressions. In Experiment 1, neutral-faced Noh masks having the attached shadows of the happy/sad masks were recognized as bearing happy/sad expressions, respectively. This was true for all four types of masks each of which represented a character differing in sex and age, even though the original characteristics of the masks also greatly influenced the evaluation of emotions. Experiment 2 further revealed that frontal Noh mask images having shadows of upward/downward tilted masks were evaluated as sad/happy, respectively. This was consistent with outcomes from preceding studies using actually tilted Noh mask images. Results from the two experiments concur that purely manipulating attached shadows of the different types of Noh masks significantly alters the emotion recognition. These findings go in line with the mysterious facial expressions observed in Western paintings, such as the elusive qualities of Mona Lisa's smile. They also agree with the aesthetic principle of Japanese traditional art "yugen (profound grace and subtlety)", which highly appreciates subtle emotional expressions in the darkness.

  6. Are Masking-Based Models of Risk Useful?

    PubMed

    Gisiner, Robert C

    2016-01-01

    As our understanding of directly observable effects from anthropogenic sound exposure has improved, concern about "unobservable" effects such as stress and masking have received greater attention. Equal energy models of masking such as power spectrum models have the appeal of simplicity, but do they offer biologically realistic assessments of the risk of masking? Data relevant to masking such as critical ratios, critical bandwidths, temporal resolution, and directional resolution along with what is known about general mammalian antimasking mechanisms all argue for a much more complicated view of masking when making decisions about the risk of masking inherent in a given anthropogenic sound exposure scenario.

  7. The Sensitivity of Coded Mask Telescopes

    NASA Technical Reports Server (NTRS)

    Skinner, Gerald K.

    2008-01-01

    Simple formulae are often used to estimate the sensitivity of coded mask X-ray or gamma-ray telescopes, but t,hese are strictly only applicable if a number of basic assumptions are met. Complications arise, for example, if a grid structure is used to support the mask elements, if the detector spatial resolution is not good enough to completely resolve all the detail in the shadow of the mask or if any of a number of other simplifying conditions are not fulfilled. We derive more general expressions for the Poisson-noise-limited sensitivity of astronomical telescopes using the coded mask technique, noting explicitly in what circumstances they are applicable. The emphasis is on using nomenclature and techniques that result in simple and revealing results. Where no convenient expression is available a procedure is given which allows the calculation of the sensitivity. We consider certain aspects of the optimisation of the design of a coded mask telescope and show that when the detector spatial resolution and the mask to detector separation are fixed, the best source location accuracy is obtained when the mask elements are equal in size to the detector pixels.

  8. Hard tissue as a composite material. I - Bounds on the elastic behavior.

    NASA Technical Reports Server (NTRS)

    Katz, J. L.

    1971-01-01

    Recent determination of the elastic moduli of hydroxyapatite by ultrasonic methods permits a re-examination of the Voigt or parallel model of the elastic behavior of bone, as a two phase composite material. It is shown that such a model alone cannot be used to describe the behavior of bone. Correlative data on the elastic moduli of dentin, enamel and various bone samples indicate the existence of a nonlinear dependence of elastic moduli on composition of hard tissue. Several composite models are used to calculate the bounds on the elastic behavior of these tissues. The limitations of these models are described, and experiments to obtain additional critical data are discussed.

  9. Comparison of the OxyMask and Venturi mask in the delivery of supplemental oxygen: Pilot study in oxygen-dependent patients

    PubMed Central

    Beecroft, Jaime M; Hanly, Patrick J

    2006-01-01

    BACKGROUND: The OxyMask (Southmedic Inc, Canada) is a new face mask for oxygen delivery that uses a small ‘diffuser’ to concentrate and direct oxygen toward the mouth and nose. The authors hypothesized that this unique design would enable the OxyMask to deliver oxygen more efficiently than a Venturi mask (Hudson RCI, USA) in patients with chronic hypoxemia. METHODS: Oxygen-dependent patients with chronic, stable respiratory disease were recruited to compare the OxyMask and Venturi mask in a randomized, single-blind, cross-over design. Baseline blood oxygen saturation (SaO2) was established breathing room air, followed in a random order by supplemental oxygen through the OxyMask or Venturi mask. Oxygen delivery was titrated to maintain SaO2 4% to 5% and 8% to 9% above baseline for two separate 30 min periods of stable breathing. Oxygen flow rate, partial pressure of inspired and expired oxygen (PO2) and carbon dioxide (PCO2), minute ventilation, heart rate, nasal and oral breathing, SaO2 and transcutaneous PCO2 were collected continuously. The study was repeated following alterations to the OxyMask design, which improved clearance of carbon dioxide. RESULTS: Thirteen patients, aged 28 to 79 years, were studied initially using the original OxyMask. Oxygen flow rate was lower, inspired PO2 was higher and expired PO2 was lower while using the OxyMask. Minute ventilation and inspired and expired PCO2 were significantly higher while using the OxyMask, whereas transcutaneous PCO2, heart rate and the ratio of nasal to oral breathing did not change significantly throughout the study. Following modification of the OxyMask, 13 additional patients, aged 18 to 79 years, were studied using the same protocol. The modified OxyMask provided a higher inspired PO2 at a lower flow rate, without evidence of carbon dioxide retention. CONCLUSIONS: Oxygen is delivered safely and more efficiently by the OxyMask than by the Venturi mask in stable oxygen-dependent patients. PMID:16896425

  10. Polarization masks: concept and initial assessment

    NASA Astrophysics Data System (ADS)

    Lam, Michael; Neureuther, Andrew R.

    2002-07-01

    Polarization from photomasks can be used as a new lever to improve lithographic performance in both binary and phase-shifting masks (PSMs). While PSMs manipulate the phase of light to control the temporal addition of electric field vectors, polarization masks manipulate the vector direction of electric field vectors to control the spatial addition of electric field components. This paper explores the theoretical possibilities of polarization masks, showing that it is possible to use bar structures within openings on the mask itself to polarize incident radiation. Rigorous electromagnetic scattering simulations using TEMPEST and imaging with SPLAT are used to give an initial assessment on the functionality of polarization masks, discussing the polarization quality and throughputs achieved with the masks. Openings between 1/8 and 1/3 of a wavelength provide both a low polarization ratio and good transmission. A final overall throughput of 33% - 40% is achievable, corresponding to a dose hit of 2.5x - 3x.

  11. Shadows Alter Facial Expressions of Noh Masks

    PubMed Central

    Kawai, Nobuyuki; Miyata, Hiromitsu; Nishimura, Ritsuko; Okanoya, Kazuo

    2013-01-01

    Background A Noh mask, worn by expert actors during performance on the Japanese traditional Noh drama, conveys various emotional expressions despite its fixed physical properties. How does the mask change its expressions? Shadows change subtly during the actual Noh drama, which plays a key role in creating elusive artistic enchantment. We here describe evidence from two experiments regarding how attached shadows of the Noh masks influence the observers’ recognition of the emotional expressions. Methodology/Principal Findings In Experiment 1, neutral-faced Noh masks having the attached shadows of the happy/sad masks were recognized as bearing happy/sad expressions, respectively. This was true for all four types of masks each of which represented a character differing in sex and age, even though the original characteristics of the masks also greatly influenced the evaluation of emotions. Experiment 2 further revealed that frontal Noh mask images having shadows of upward/downward tilted masks were evaluated as sad/happy, respectively. This was consistent with outcomes from preceding studies using actually tilted Noh mask images. Conclusions/Significance Results from the two experiments concur that purely manipulating attached shadows of the different types of Noh masks significantly alters the emotion recognition. These findings go in line with the mysterious facial expressions observed in Western paintings, such as the elusive qualities of Mona Lisa’s smile. They also agree with the aesthetic principle of Japanese traditional art “yugen (profound grace and subtlety)”, which highly appreciates subtle emotional expressions in the darkness. PMID:23940748

  12. 21 CFR 868.5570 - Nonrebreathing mask.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Nonrebreathing mask. 868.5570 Section 868.5570...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5570 Nonrebreathing mask. (a) Identification. A nonrebreathing mask is a device fitting over a patient's face to administer oxygen. It utilizes...

  13. 37 CFR 211.3 - Mask work fees.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... 37 Patents, Trademarks, and Copyrights 1 2010-07-01 2010-07-01 false Mask work fees. 211.3 Section... PROCEDURES MASK WORK PROTECTION § 211.3 Mask work fees. (a) Section 201.3 of this chapter prescribes the fees or charges established by the Register of Copyrights for services relating to mask works. (b) Section...

  14. 37 CFR 211.3 - Mask work fees.

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... 37 Patents, Trademarks, and Copyrights 1 2011-07-01 2011-07-01 false Mask work fees. 211.3 Section... PROCEDURES MASK WORK PROTECTION § 211.3 Mask work fees. (a) Section 201.3 of this chapter prescribes the fees or charges established by the Register of Copyrights for services relating to mask works. (b) Section...

  15. Orientation tuning of contrast masking caused by motion streaks.

    PubMed

    Apthorp, Deborah; Cass, John; Alais, David

    2010-08-01

    We investigated whether the oriented trails of blur left by fast-moving dots (i.e., "motion streaks") effectively mask grating targets. Using a classic overlay masking paradigm, we varied mask contrast and target orientation to reveal underlying tuning. Fast-moving Gaussian blob arrays elevated thresholds for detection of static gratings, both monoptically and dichoptically. Monoptic masking at high mask (i.e., streak) contrasts is tuned for orientation and exhibits a similar bandwidth to masking functions obtained with grating stimuli (∼30 degrees). Dichoptic masking fails to show reliable orientation-tuned masking, but dichoptic masks at very low contrast produce a narrowly tuned facilitation (∼17 degrees). For iso-oriented streak masks and grating targets, we also explored masking as a function of mask contrast. Interestingly, dichoptic masking shows a classic "dipper"-like TVC function, whereas monoptic masking shows no dip and a steeper "handle". There is a very strong unoriented component to the masking, which we attribute to transiently biased temporal frequency masking. Fourier analysis of "motion streak" images shows interesting differences between dichoptic and monoptic functions and the information in the stimulus. Our data add weight to the growing body of evidence that the oriented blur of motion streaks contributes to the processing of fast motion signals.

  16. The Meaning behind the Mask. [Lesson Plan].

    ERIC Educational Resources Information Center

    National Endowment for the Humanities (NFAH), Washington, DC.

    In this lesson plan, students explore the cultural significance of masks. After exploring the world of African masks and storytelling, they create masks that tell stories of their own. In these six lessons, students first recall contexts in which masks are worn in the United States, and then discuss their use in stories. Students then investigate…

  17. Metacontrast masking and attention do not interact.

    PubMed

    Agaoglu, Sevda; Breitmeyer, Bruno; Ogmen, Haluk

    2016-07-01

    Visual masking and attention have been known to control the transfer of information from sensory memory to visual short-term memory. A natural question is whether these processes operate independently or interact. Recent evidence suggests that studies that reported interactions between masking and attention suffered from ceiling and/or floor effects. The objective of the present study was to investigate whether metacontrast masking and attention interact by using an experimental design in which saturation effects are avoided. We asked observers to report the orientation of a target bar randomly selected from a display containing either two or six bars. The mask was a ring that surrounded the target bar. Attentional load was controlled by set-size and masking strength by the stimulus onset asynchrony between the target bar and the mask ring. We investigated interactions between masking and attention by analyzing two different aspects of performance: (i) the mean absolute response errors and (ii) the distribution of signed response errors. Our results show that attention affects observers' performance without interacting with masking. Statistical modeling of response errors suggests that attention and metacontrast masking exert their effects by independently modulating the probability of "guessing" behavior. Implications of our findings for models of attention are discussed.

  18. 21 CFR 868.5590 - Scavenging mask.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Scavenging mask. 868.5590 Section 868.5590 Food... DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5590 Scavenging mask. (a) Identification. A scavenging mask is a device positioned over a patient's nose to deliver anesthetic or analgesic gases to the...

  19. 21 CFR 868.5600 - Venturi mask.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Venturi mask. 868.5600 Section 868.5600 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5600 Venturi mask. (a) Identification. A venturi mask is a...

  20. Inadequate face mask ventilation--clinical applications.

    PubMed

    Goranović, Tatjana; Milić, Morena; Holjevac, Jadranka Katancić; Maldini, Branka; Sakić, Katarina

    2010-09-01

    Face mask ventilation is a life saving technique. This article will review aetiology and patophysiological consequences of inadequate mask ventilation. The main focus will be on circulatory changes during induction of anesthesia, before and in a short period after intubation that could be attributed to inadequate mask ventilation in humans.

  1. Fabrication of coronagraph masks and laboratory scale star-shade masks: characteristics, defects, and performance

    NASA Astrophysics Data System (ADS)

    Balasubramanian, Kunjithapatham; Riggs, A. J. Eldorado; Cady, Eric; White, Victor; Yee, Karl; Wilson, Daniel; Echternach, Pierre; Muller, Richard; Mejia Prada, Camilo; Seo, Byoung-Joon; Shi, Fang; Ryan, Daniel; Fregoso, Santos; Metzman, Jacob; Wilson, Robert Casey

    2017-09-01

    NASA WFIRST mission has planned to include a coronagraph instrument to find and characterize exoplanets. Masks are needed to suppress the host star light to better than 10-8 - 10-9 level contrast over a broad bandwidth to enable the coronagraph mission objectives. Such masks for high contrast coronagraphic imaging require various fabrication technologies to meet a wide range of specifications, including precise shapes, micron scale island features, ultra-low reflectivity regions, uniformity, wave front quality, etc. We present the technologies employed at JPL to produce these pupil plane and image plane coronagraph masks, and lab-scale external occulter masks, highlighting accomplishments from the high contrast imaging testbed (HCIT) at JPL and from the high contrast imaging lab (HCIL) at Princeton University. Inherent systematic and random errors in fabrication and their impact on coronagraph performance are discussed with model predictions and measurements.

  2. Synthesis, Structure, and Properties of Refractory Hard-Metal Borides

    NASA Astrophysics Data System (ADS)

    Lech, Andrew Thomas

    As the limits of what can be achieved with conventional hard compounds, such as tungsten carbide, are nearing reach, super-hard materials are an area of increasing industrial interest. The refractory hard metal borides, such as ReB2 and WB4, offer an increasingly attractive alternative to diamond and cubic boron nitride as a next-generation tool material. In this Thesis, a thorough discussion is made of the progress achieved by our laboratory towards understanding the synthesis, structure, and properties of these extremely hard compounds. Particular emphasis is placed on structural manipulation, solid solution formation, and the unique crystallographic manifestations of what might also be called "super-hard metals".

  3. Hybrid materials with an increased resistance to hard X-rays using fullerenes as radical sponges.

    PubMed

    Pinna, Alessandra; Malfatti, Luca; Piccinini, Massimo; Falcaro, Paolo; Innocenzi, Plinio

    2012-07-01

    The protection of organic and hybrid organic-inorganic materials from X-ray damage is a fundamental technological issue for broadening the range of applications of these materials. In the present article it is shown that doping hybrid films with fullerenes C(60) gives a significant reduction of damage upon exposure to hard X-rays generated by a synchrotron source. At low X-ray dose the fullerene molecules act as `radical scavengers', considerably reducing the degradation of organic species triggered by radical formation. At higher doses the gradual hydroxylation of the fullerenes converts C(60) into fullerol and a bleaching of the radical sinking properties is observed.

  4. Fast mask writers: technology options and considerations

    NASA Astrophysics Data System (ADS)

    Litt, Lloyd C.; Groves, Timothy; Hughes, Greg

    2011-04-01

    The semiconductor industry is under constant pressure to reduce production costs even as the complexity of technology increases. Lithography represents the most expensive process due to its high capital equipment costs and the implementation of low-k1 lithographic processes, which have added to the complexity of making masks because of the greater use of optical proximity correction, pixelated masks, and double or triple patterning. Each of these mask technologies allows the production of semiconductors at future nodes while extending the utility of current immersion tools. Low-k1 patterning complexity combined with increased data due to smaller feature sizes is driving extremely long mask write times. While a majority of the industry is willing to accept times of up to 24 hours, evidence suggests that the write times for many masks at the 22 nm node and beyond will be significantly longer. It has been estimated that funding on the order of 50M to 90M for non-recurring engineering (NRE) costs will be required to develop a multiple beam mask writer system, yet the business case to recover this kind of investment is not strong. Moreover, funding such a development poses a high risk for an individual supplier. The structure of the mask fabrication marketplace separates the mask writer equipment customer (the mask supplier) from the final customer (wafer manufacturer) that will be most effected by the increase in mask cost that will result if a high speed mask writer is not available. Since no individual company will likely risk entering this market, some type of industry-wide funding model will be needed.

  5. 21 CFR 868.5580 - Oxygen mask.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... 21 Food and Drugs 8 2012-04-01 2012-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and... ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device placed over a patient's nose, mouth, or tracheostomy to administer oxygen or aerosols. (b) Classification...

  6. 21 CFR 868.5580 - Oxygen mask.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 21 Food and Drugs 8 2014-04-01 2014-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and... ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device placed over a patient's nose, mouth, or tracheostomy to administer oxygen or aerosols. (b) Classification...

  7. 21 CFR 868.5580 - Oxygen mask.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 8 2011-04-01 2011-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and... ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device placed over a patient's nose, mouth, or tracheostomy to administer oxygen or aerosols. (b) Classification...

  8. 21 CFR 868.5580 - Oxygen mask.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... 21 Food and Drugs 8 2013-04-01 2013-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and... ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device placed over a patient's nose, mouth, or tracheostomy to administer oxygen or aerosols. (b) Classification...

  9. 21 CFR 868.5580 - Oxygen mask.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and... ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device placed over a patient's nose, mouth, or tracheostomy to administer oxygen or aerosols. (b) Classification...

  10. Estimating Hardness from the USDC Tool-Bit Temperature Rise

    NASA Technical Reports Server (NTRS)

    Bar-Cohen, Yoseph; Sherrit, Stewart

    2008-01-01

    A method of real-time quantification of the hardness of a rock or similar material involves measurement of the temperature, as a function of time, of the tool bit of an ultrasonic/sonic drill corer (USDC) that is being used to drill into the material. The method is based on the idea that, other things being about equal, the rate of rise of temperature and the maximum temperature reached during drilling increase with the hardness of the drilled material. In this method, the temperature is measured by means of a thermocouple embedded in the USDC tool bit near the drilling tip. The hardness of the drilled material can then be determined through correlation of the temperature-rise-versus-time data with time-dependent temperature rises determined in finite-element simulations of, and/or experiments on, drilling at various known rates of advance or known power levels through materials of known hardness. The figure presents an example of empirical temperature-versus-time data for a particular 3.6-mm USDC bit, driven at an average power somewhat below 40 W, drilling through materials of various hardness levels. The temperature readings from within a USDC tool bit can also be used for purposes other than estimating the hardness of the drilled material. For example, they can be especially useful as feedback to control the driving power to prevent thermal damage to the drilled material, the drill bit, or both. In the case of drilling through ice, the temperature readings could be used as a guide to maintaining sufficient drive power to prevent jamming of the drill by preventing refreezing of melted ice in contact with the drill.

  11. Photomask etch system and process for 10nm technology node and beyond

    NASA Astrophysics Data System (ADS)

    Chandrachood, Madhavi; Grimbergen, Michael; Yu, Keven; Leung, Toi; Tran, Jeffrey; Chen, Jeff; Bivens, Darin; Yalamanchili, Rao; Wistrom, Richard; Faure, Tom; Bartlau, Peter; Crawford, Shaun; Sakamoto, Yoshifumi

    2015-10-01

    While the industry is making progress to offer EUV lithography schemes to attain ultimate critical dimensions down to 20 nm half pitch, an interim optical lithography solution to address an immediate need for resolution is offered by various integration schemes using advanced PSM (Phase Shift Mask) materials including thin e-beam resist and hard mask. Using the 193nm wavelength to produce 10nm or 7nm patterns requires a range of optimization techniques, including immersion and multiple patterning, which place a heavy demand on photomask technologies. Mask schemes with hard mask certainly help attain better selectivity and hence better resolution but pose integration challenges and defectivity issues. This paper presents a new photomask etch solution for attenuated phase shift masks that offers high selectivity (Cr:Resist > 1.5:1), tighter control on the CD uniformity with a 3sigma value approaching 1 nm and controllable CD bias (5-20 nm) with excellent CD linearity performance (<5 nm) down to the finer resolution. The new system has successfully demonstrated capability to meet the 10 nm node photomask CD requirements without the use of more complicated hard mask phase shift blanks. Significant improvement in post wet clean recovery performance was demonstrated by the use of advanced chamber materials. Examples of CD uniformity, linearity, and minimum feature size, and etch bias performance on 10 nm test site and production mask designs will be shown.

  12. Enhancement in Informational Masking

    ERIC Educational Resources Information Center

    Cao, Xiang; Richards, Virginia M.

    2012-01-01

    Purpose: The ability to detect a tone added to a random masker improves when a preview of the masker is provided. In 2 experiments, the authors explored the role that perceptual organization plays in this release from masking. Method: Detection thresholds were measured in informational masking studies. The maskers were drawn at random prior to…

  13. Simulation-based MDP verification for leading-edge masks

    NASA Astrophysics Data System (ADS)

    Su, Bo; Syrel, Oleg; Pomerantsev, Michael; Hagiwara, Kazuyuki; Pearman, Ryan; Pang, Leo; Fujimara, Aki

    2017-07-01

    For IC design starts below the 20nm technology node, the assist features on photomasks shrink well below 60nm and the printed patterns of those features on masks written by VSB eBeam writers start to show a large deviation from the mask designs. Traditional geometry-based fracturing starts to show large errors for those small features. As a result, other mask data preparation (MDP) methods have become available and adopted, such as rule-based Mask Process Correction (MPC), model-based MPC and eventually model-based MDP. The new MDP methods may place shot edges slightly differently from target to compensate for mask process effects, so that the final patterns on a mask are much closer to the design (which can be viewed as the ideal mask), especially for those assist features. Such an alteration generally produces better masks that are closer to the intended mask design. Traditional XOR-based MDP verification cannot detect problems caused by eBeam effects. Much like model-based OPC verification which became a necessity for OPC a decade ago, we see the same trend in MDP today. Simulation-based MDP verification solution requires a GPU-accelerated computational geometry engine with simulation capabilities. To have a meaningful simulation-based mask check, a good mask process model is needed. The TrueModel® system is a field tested physical mask model developed by D2S. The GPU-accelerated D2S Computational Design Platform (CDP) is used to run simulation-based mask check, as well as model-based MDP. In addition to simulation-based checks such as mask EPE or dose margin, geometry-based rules are also available to detect quality issues such as slivers or CD splits. Dose margin related hotspots can also be detected by setting a correct detection threshold. In this paper, we will demonstrate GPU-acceleration for geometry processing, and give examples of mask check results and performance data. GPU-acceleration is necessary to make simulation-based mask MDP verification

  14. Masking properties of ceramics for veneer restorations.

    PubMed

    Skyllouriotis, Andreas L; Yamamoto, Hideo L; Nathanson, Dan

    2017-10-01

    The translucency and opacity of ceramics play a significant role in emulating the natural color of teeth, but studies of the masking properties and limitations of dental ceramics when used as monolayer restorations are lacking. The purpose of this in vitro study was to determine the translucency of 6 materials used for veneer restorations by assessing their translucency parameters (TPs), contrast ratios (CRs), and potential to mask dark tooth colors. Ten square- or disk-shaped specimens (0.5-mm thickness, shade A2) were fabricated from Vitablocks Mark II (VMII; Vita Zahnfabrik), IPS e.max CAD LT (EMXC LT; Ivoclar Vivadent AG), IPS e.max CAD HT (EMXC HT; Ivoclar Vivadent AG), IPS Empress CAD LT (EMP LT; Ivoclar Vivadent AG), IPS e.max Press LT (EMXP LT; Ivoclar Vivadent AG), and CZR (CZR; Kuraray Noritake Dental Inc). Their luminance (Y) values over black and over white tiles were measured, followed by their color (CIELab) over black tiles and white tiles and shaded A2 (control group), A3.5, A4, and B4 acrylic resin blocks. All measurements were performed using a spectrophotometer in 2 different areas on each specimen. Then CRs, TPs, and color differences (over shaded backgrounds) were determined. Data were subjected to 1-way and 2-way ANOVA (α=.05) for analysis. Mean CR values of EMXP LT were significantly higher than those of the other tested materials, whereas VMII and EMXC HT had the lowest values (P<.001). Mean TP values over black and over white backgrounds of VMII and EMXC HT were significantly higher than those of the other tested materials, while EMXP LT and EMXC LT revealed the lowest values (P<.001). Background shade A4 displayed the highest mean effect (expressed in ΔE* values) on the color of the ceramic materials, whereas shade B4 demonstrated the lowest mean background effect (P<.001). Significant differences in translucency among the tested ceramics were revealed (P<.001). The EMXC LT and EMXP LT groups were the least translucent under the

  15. The impact of reverberant self-masking and overlap-masking effects on speech intelligibility by cochlear implant listeners (L).

    PubMed

    Kokkinakis, Kostas; Loizou, Philipos C

    2011-09-01

    The purpose of this study is to determine the relative impact of reverberant self-masking and overlap-masking effects on speech intelligibility by cochlear implant listeners. Sentences were presented in two conditions wherein reverberant consonant segments were replaced with clean consonants, and in another condition wherein reverberant vowel segments were replaced with clean vowels. The underlying assumption is that self-masking effects would dominate in the first condition, whereas overlap-masking effects would dominate in the second condition. Results indicated that the degradation of speech intelligibility in reverberant conditions is caused primarily by self-masking effects that give rise to flattened formant transitions. © 2011 Acoustical Society of America

  16. Marquardt’s Facial Golden Decagon Mask and Its Fitness with South Indian Facial Traits

    PubMed Central

    Gandikota, Chandra Sekhar; Yadagiri, Poornima K; Manne, Ranjit; Juvvadi, Shubhaker Rao; Farah, Tamkeen; Vattipelli, Shilpa; Gumbelli, Sangeetha

    2016-01-01

    Introduction The mathematical ratio of 1:1.618 which is famously known as golden ratio seems to appear recurrently in beautiful things in nature as well as in other things that are seen as beautiful. Dr. Marquardt developed a facial golden mask that contains and includes all of the one-dimensional and two-dimensional geometric golden elements formed from the golden ratio and he claimed that beauty is universal, beautiful faces conforms to the facial golden mask regardless of sex and race. Aim The purpose of this study was to evaluate the goodness of fit of the golden facial mask with the South Indian facial traits. Materials and Methods A total of 150 subjects (75 males & 75 females) with attractive faces were selected with cephalometric orthodontic standards of a skeletal class I relation. The facial aesthetics was confirmed by the aesthetic evaluation of the frontal photographs of the subjects by a panel of ten evaluators including five orthodontists and five maxillofacial surgeons. The well-proportioned photographs were superimposed with the Golden mask along the reference lines, to evaluate the goodness of fit. Results South Indian males and females invariably show a wider inter-zygomatic and inter-gonial width than the golden mask. Most of the South Indian females and males show decreased mid-facial height compared to the golden mask, while the total facial height is more or less equal to the golden mask. Conclusion Ethnic or individual discrepancies cannot be totally ignored as in our study the mask did not fit exactly with the South Indian facial traits but, the beauty ratios came closer to those of the mask. To overcome this difficulty, there is a need to develop variants of golden facial mask for different ethnic groups. PMID:27190951

  17. Associative and repetition priming with the repeated masked prime technique: no priming found.

    PubMed

    Avons, S E; Russo, Riccardo; Cinel, Caterina; Verolini, Veronica; Glynn, Kevin; McDonald, Rebecca; Cameron, Marie

    2009-01-01

    Wentura and Frings (2005) reported evidence of subliminal categorical priming on a lexical decision task, using a new method of visual masking in which the prime string consisted of the prime word flanked by random consonants and random letter masks alternated with the prime string on successive refresh cycles. We investigated associative and repetition priming on lexical decision, using the same method of visual masking. Three experiments failed to show any evidence of associative priming, (1) when the prime string was fixed at 10 characters (three to six flanking letters) and (2) when the number of flanking letters were reduced or absent. In all cases, prime detection was at chance level. Strong associative priming was observed with visible unmasked primes, but the addition of flanking letters restricted priming even though prime detection was still high. With repetition priming, no priming effects were found with the repeated masked technique, and prime detection was poor but just above chance levels. We conclude that with repeated masked primes, there is effective visual masking but that associative priming and repetition priming do not occur with experiment-unique prime-target pairs. Explanations for this apparent discrepancy across priming paradigms are discussed. The priming stimuli and prime-target pairs used in this study may be downloaded as supplemental materials from mc.psychonomic-journals.org/content/supplemental.

  18. Studies of nitride- and oxide-based materials as absorptive shifters for embedded attenuated phase-shifting mask in 193 nm

    NASA Astrophysics Data System (ADS)

    Lin, Cheng-ming; Chang, Keh-wen; Lee, Ming-der; Loong, Wen-An

    1999-07-01

    Abstract-Five materials which are PdSixOy, CrAlxOy, SiNx, TiSixNy, and TiSixOyNz as absorptive shifters for attenuated phase-shifting mask in 193 nm wavelength lithography are presented. PdSixOy films were deposited by dual e-gun evaporation. CrAlxOy, TiSixNy and TiSixOyNz films were formed by plasma sputtering and SiNx films were formed with LPCVD. All of these materials are shown to be capable of achieving 4 percent - 15 percent transmittance in 193 nm with thickness that produce a 180 degrees phase shift. Under BCl3:Cl2 equals 14:70 sccm; chamber pressure 5 mtorr and RF power 1900W, the dry etching selectivity of TiSixNy over DQN positive resist and fused silica, were found to be 2:1 and 4,8:1 respectively. An embedded layer TiSixNy with 0.5 micrometers line/space was successfully patterned.

  19. Hard particle effect on surface generation in nano-cutting

    NASA Astrophysics Data System (ADS)

    Xu, Feifei; Fang, Fengzhou; Zhang, Xiaodong

    2017-12-01

    The influence of the hard particle on the surface generation, plastic deformation and processing forces in nano-cutting of aluminum is investigated by means of molecular dynamics simulations. In this investigation, a hard particle which is simplified as a diamond ball is embedded under the free surface of workpiece with different depths. The influence of the position of the hard ball on the surface generation and other material removal mechanism, such as the movement of the ball under the action of cutting tool edge, is revealed. The results show that when the hard particle is removed, only a small shallow pit is left on the machined surface. Otherwise, it is pressed down to the subsurface of the workpiece left larger and deeper pit on the generated surface. Besides that, the hard particle in the workpiece would increase the processing force when the cutting tool edge or the plastic carriers interact with the hard particle. It is helpful to optimize the cutting parameters and material properties for obtaining better surface quality in nano-cutting of composites or other materials with micro/nanoscale hard particles in it.

  20. Modeling and Simulating Multiple Failure Masking enabled by Local Recovery for Stencil-based Applications at Extreme Scales

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gamell, Marc; Teranishi, Keita; Mayo, Jackson

    By obtaining multi-process hard failure resilience at the application level is a key challenge that must be overcome before the promise of exascale can be fully realized. Some previous work has shown that online global recovery can dramatically reduce the overhead of failures when compared to the more traditional approach of terminating the job and restarting it from the last stored checkpoint. If online recovery is performed in a local manner further scalability is enabled, not only due to the intrinsic lower costs of recovering locally, but also due to derived effects when using some application types. In this papermore » we model one such effect, namely multiple failure masking, that manifests when running Stencil parallel computations on an environment when failures are recovered locally. First, the delay propagation shape of one or multiple failures recovered locally is modeled to enable several analyses of the probability of different levels of failure masking under certain Stencil application behaviors. These results indicate that failure masking is an extremely desirable effect at scale which manifestation is more evident and beneficial as the machine size or the failure rate increase.« less

  1. Modeling and Simulating Multiple Failure Masking enabled by Local Recovery for Stencil-based Applications at Extreme Scales

    DOE PAGES

    Gamell, Marc; Teranishi, Keita; Mayo, Jackson; ...

    2017-04-24

    By obtaining multi-process hard failure resilience at the application level is a key challenge that must be overcome before the promise of exascale can be fully realized. Some previous work has shown that online global recovery can dramatically reduce the overhead of failures when compared to the more traditional approach of terminating the job and restarting it from the last stored checkpoint. If online recovery is performed in a local manner further scalability is enabled, not only due to the intrinsic lower costs of recovering locally, but also due to derived effects when using some application types. In this papermore » we model one such effect, namely multiple failure masking, that manifests when running Stencil parallel computations on an environment when failures are recovered locally. First, the delay propagation shape of one or multiple failures recovered locally is modeled to enable several analyses of the probability of different levels of failure masking under certain Stencil application behaviors. These results indicate that failure masking is an extremely desirable effect at scale which manifestation is more evident and beneficial as the machine size or the failure rate increase.« less

  2. Characteristics and issues of an EUVL mask applying phase-shifting thinner absorber for device fabrication

    NASA Astrophysics Data System (ADS)

    Seo, Hwan-Seok; Lee, Dong-Gun; Ahn, Byung-Sup; Han, Hakseung; Huh, Sungmin; Kang, In-Yong; Kim, Hoon; Kim, Dongwan; Kim, Seong-Sue; Cho, Han-Ku

    2009-03-01

    Phase-shifting EUVL masks applying thinner absorber are investigated to design optimum mask structure with less shadowing problems. Simulations using S-Litho show that H-V bias in Si capping structure is higher than that of Ru capping since the high n (= 0.999) of Si increases sensible absorber height. Phase differences obtained from the patterned masks using the EUV CSM are well-matched with the calculated values using the practical refractive index of absorber materials. Although the mask with 62.4-nm-thick absorber, among the in-house masks, shows the closest phase ΔΦ(= 176°) to the out-of-phase condition, higher NILS and contrast as well as lower H-V bias are obtained with 52.4-nm-thick absorber (ΔΦ = 151°) which has higher R/R0 ratio. MET results also show that lithography performances including MEEF, PW, and resist threshold (dose), are improved with thinner absorber structure. However, low OD in EUVL mask, especially in thinner absorber structure, results in light leakage from the neighboring exposure shots, and thus an appropriate light-shielding layer should be introduced.

  3. The Hardness and Strength Properties of WC-Co Composites

    PubMed Central

    Armstrong, Ronald W.

    2011-01-01

    The industrially-important WC-Co composite materials provide a useful, albeit complicated materials system for understanding the combined influences on hardness and strength properties of the constituent WC particle strengths, the particle sizes, their contiguities, and of Co binder hardness and mean free paths, and in total, the volume fraction of constituents. A connection is made here between the composite material properties, especially including the material fracture toughness, and the several materials-type considerations of: (1) related hardness stress-strain behaviors; (2) dislocation (viscoplastic) thermal activation characterizations; (3) Hall-Petch type reciprocal square root of particle or grain size dependencies; and (4) indentation and conventional fracture mechanics results. Related behaviors of MgO and Al2O3 crystal and polycrystal materials are also described for the purpose of making comparisons. PMID:28824143

  4. Reinforced Masks for Ion Plating of Solar Cells

    NASA Technical Reports Server (NTRS)

    Conley, W. R.; Swick, E. G.; Volkers, J. C.

    1987-01-01

    Proposed mask for ion plating of surface electrodes on silicon solar cells reinforced to hold shape better during handling. Fabrication process for improved mask similar to conventional mask. Additional cuts and bends made in wide diametral strip to form bridges between pairs of mask fingers facing each other across this strip. Bridges high enough not to act as masks so entire strip area plated.

  5. Mask industry assessment trend analysis: 2012

    NASA Astrophysics Data System (ADS)

    Chan, Y. David

    2012-02-01

    Microelectronics industry leaders consistently cite the cost and cycle time of mask technology and mask supply among the top critical issues for lithography. A survey was designed by SEMATECH with input from semiconductor company mask technologists and merchant mask suppliers to objectively assess the overall conditions of the mask industry. With the continued support of the industry, this year's assessment was the tenth in the current series of annual reports. This year's survey is basically the same as the 2005 through 2011 surveys. Questions are grouped into six categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category is a multitude of questions that ultimately produce a detailed profile of both the business and technical status of the critical mask industry. We received data from 11 companies this year, which was a record high since the beginning of the series. The responding companies represented more than 96% of the volume shipped and about 90% of the 2011 revenue for the photomask industry. These survey reports are often used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. They will continue to serve as a valuable reference to identify strengths and opportunities. Results can also be used to guide future investments in critical path issues.

  6. Evaluation of a new pediatric positive airway pressure mask.

    PubMed

    Kushida, Clete A; Halbower, Ann C; Kryger, Meir H; Pelayo, Rafael; Assalone, Valerie; Cardell, Chia-Yu; Huston, Stephanie; Willes, Leslee; Wimms, Alison J; Mendoza, June

    2014-09-15

    The choice and variety of pediatric masks for continuous positive airway pressure (CPAP) is limited in the US. Therefore, clinicians often prescribe modified adult masks. Until recently a mask for children aged < 7 years was not available. This study evaluated apnea-hypopnea index (AHI) equivalence and acceptability of a new pediatric CPAP mask for children aged 2-7 years (Pixi; ResMed Ltd, Sydney, Australia). Patients aged 2-7 years were enrolled and underwent in-lab baseline polysomnography (PSG) using their previous mask, then used their previous mask and the VPAP III ST-A flow generator for ≥ 10 nights at home. Thereafter, patients switched to the Pixi mask for ≥ 2 nights before returning for a PSG during PAP therapy via the Pixi mask. Patients then used the Pixi mask at home for ≥ 21 nights. Patients and their parents/guardians returned to the clinic for follow-up and provided feedback on the Pixi mask versus their previous mask. AHI with the Pixi mask was 1.1 ± 1.5/h vs 2.6 ± 5.4/h with the previous mask (p = 0.3538). Parents rated the Pixi mask positively for: restfulness of the child's sleep, trouble in getting the child to sleep, and trouble in having the child stay asleep. The Pixi mask was also rated highly for leaving fewer or no marks on the upper lip and under the child's ears, and being easy to remove. The Pixi mask is suitable for children aged 2-7 years and provides an alternative to other masks available for PAP therapy in this age group. © 2014 American Academy of Sleep Medicine.

  7. Rapid iconic erasure without masking.

    PubMed

    Tijus, Charles Albert; Reeves, Adam

    2004-01-01

    We report on the erasure of the iconic memory of an array of 12 black letters flashed on a continuously- present white field. Erasure is accomplished by replacing the 16 ms letter array (frame 1) with a blank white frame for 16 ms (frame 2). The letter array returns in frame 3, with from one to six letters missing. Report of the missing letters is accurate without the blank white frame but is impoverished with it, as if interposing the blank erases the icon. Erasure occurs without any obvious luminance masking, 'mud splashes', pattern masking (backward, forward, or metacontrast), lateral masking, or masking by object substitution. Erasure is greatly decreased if the blank is presented one frame earlier or later. We speculate that erasure is due to a rapid reset of the icon produced by an informational mis-match.

  8. Migration from full‐head mask to “open‐face” mask for immobilization of patients with head and neck cancer

    PubMed Central

    Lovelock, D. Michael; Mechalakos, James; Rao, Shyam; Della‐Biancia, Cesar; Amols, Howard; Lee, Nancy

    2013-01-01

    To provide an alternative device for immobilization of the head while easing claustrophobia and improving comfort, an “open‐face” thermoplastic mask was evaluated using video‐based optical surface imaging (OSI) and kilovoltage (kV) X‐ray radiography. A three‐point thermoplastic head mask with a precut opening and reinforced strips was developed. After molding, it provided sufficient visible facial area as the region of interest for OSI. Using real‐time OSI, the head motion of ten volunteers in the new mask was evaluated during mask locking and 15 minutes lying on the treatment couch. Using a nose mark with reference to room lasers, forced head movement in open‐face and full‐head masks (with a nose hole) was compared. Five patients with claustrophobia were immobilized with open‐face masks, set up using OSI and kV, and treated in 121 fractions, in which 61 fractions were monitored during treatment using real‐time OSI. With the open‐face mask, head motion was found to be 1.0 ± 0.6 mm and 0.4° ± 0.2° in volunteers during the experiment, and 0.8 ± 0.3 mm and 0.4° ± 0.2° in patients during treatment. These agree with patient motion calculated from pre‐/post‐treatment OSI and kV data using different anatomical landmarks. In volunteers, the head shift induced by mask‐locking was 2.3 ± 1.7 mm and 1.8° ± 0.6°, and the range of forced movements in the open‐face and full‐head masks were found to be similar. Most (80%) of the volunteers preferred the open‐face mask to the full‐head mask, while claustrophobic patients could only tolerate the open‐face mask. The open‐face mask is characterized for its immobilization capability and can immobilize patients sufficiently (< 2 mm) during radiotherapy. It provides a clinical solution to the immobilization of patients with head and neck (HN) cancer undergoing radiotherapy, and is particularly beneficial for claustrophobic patients. This new open

  9. The 105-Month Swift-BAT All-Sky Hard X-Ray Survey

    NASA Technical Reports Server (NTRS)

    Oh, Kyuseok; Koss, Michael; Markwardt, Craig B.; Schawinski, Kevin; Baumgartner, Wayne H.; Barthelmy, Scott D.; Cenko, S. Bradley; Gehrels, Neil; Mushotzky, Richard; Petulante, Abigail; hide

    2018-01-01

    We present a catalog of hard X-ray sources detected in the first 105 months of observations with the Burst Alert Telescope (BAT) coded-mask imager on board the Swift observatory. The 105-month Swift-BAT survey is a uniform hard X-ray all-sky survey with a sensitivity of 8.40 x 10(exp -12) erg s(exp -1) cm(exp -2) over 90% of the sky and 7.24 x 10(exp -12) erg s(exp -1) cm(exp -2) over 50% of the sky in the 14-195 keV band. The Swift-BAT 105-month catalog provides 1632 (422 new detections) hard X-ray sources in the 14-195 keV band above the 4.8 sigma significance level. Adding to the previously known hard X-ray sources, 34% (144/422) of the new detections are identified as Seyfert active galactic nuclei (AGNs) in nearby galaxies (z < 0.2). The majority of the remaining identified sources are X-ray binaries (7%, 31) and blazars/BL Lac objects (10%, 43). As part of this new edition of the Swift-BAT catalog, we release eight-channel spectra and monthly sampled light curves for each object in the online journal and at the Swift-BAT 105-month website.

  10. The 105-Month Swift-BAT All-sky Hard X-Ray Survey

    NASA Astrophysics Data System (ADS)

    Oh, Kyuseok; Koss, Michael; Markwardt, Craig B.; Schawinski, Kevin; Baumgartner, Wayne H.; Barthelmy, Scott D.; Cenko, S. Bradley; Gehrels, Neil; Mushotzky, Richard; Petulante, Abigail; Ricci, Claudio; Lien, Amy; Trakhtenbrot, Benny

    2018-03-01

    We present a catalog of hard X-ray sources detected in the first 105 months of observations with the Burst Alert Telescope (BAT) coded-mask imager on board the Swift observatory. The 105-month Swift-BAT survey is a uniform hard X-ray all-sky survey with a sensitivity of 8.40× {10}-12 {erg} {{{s}}}-1 {cm}}-2 over 90% of the sky and 7.24× {10}-12 {erg} {{{s}}}-1 {cm}}-2 over 50% of the sky in the 14–195 keV band. The Swift-BAT 105-month catalog provides 1632 (422 new detections) hard X-ray sources in the 14–195 keV band above the 4.8σ significance level. Adding to the previously known hard X-ray sources, 34% (144/422) of the new detections are identified as Seyfert active galactic nuclei (AGNs) in nearby galaxies (z< 0.2). The majority of the remaining identified sources are X-ray binaries (7%, 31) and blazars/BL Lac objects (10%, 43). As part of this new edition of the Swift-BAT catalog, we release eight-channel spectra and monthly sampled light curves for each object in the online journal and at the Swift-BAT 105-month website.

  11. Anticipating and controlling mask costs within EDA physical design

    NASA Astrophysics Data System (ADS)

    Rieger, Michael L.; Mayhew, Jeffrey P.; Melvin, Lawrence S.; Lugg, Robert M.; Beale, Daniel F.

    2003-08-01

    For low k1 lithography, more aggressive OPC is being applied to critical layers, and the number of mask layers with OPC treatments is growing rapidly. The 130 nm, process node required, on average, 8 layers containing rules- or model-based OPC. The 90 nm node will have 16 OPC layers, of which 14 layers contain aggressive model-based OPC. This escalation of mask pattern complexity, coupled with the predominant use of vector-scan e-beam (VSB) mask writers contributes to the rising costs of advanced mask sets. Writing times for OPC layouts are several times longer than for traditional layouts, making mask exposure the single largest cost component for OPC masks. Lower mask yields, another key factor in higher mask costs, is also aggravated by OPC. Historical mask set costs are plotted below. The initial cost of a 90 nm-node mask set will exceed one million dollars. The relative impact of mask cost on chip depends on how many total wafers are printed with each mask set. For many foundry chips, where unit production is often well below 1000 wafers, mask costs are larger than wafer processing costs. Further increases in NRE may begin to discourage these suppliers' adoption to 90 nm and smaller nodes. In this paper we will outline several alternatives for reducing mask costs by strategically leveraging dimensional margins. Dimensional specifications for a particular masking layer usually are applied uniformly to all features on that layer. As a practical matter, accuracy requirements on different features in the design may vary widely. Take a polysilicon layer, for example: global tolerance specifications for that layer are driven by the transistor-gate requirements; but these parameters over-specify interconnect feature requirements. By identifying features where dimensional accuracy requirements can be reduced, additional margin can be leveraged to reduce OPC complexity. Mask writing time on VSB tools will drop in nearly direct proportion to reduce shot count. By

  12. Self-masking: Listening during vocalization. Normal hearing.

    PubMed

    Borg, Erik; Bergkvist, Christina; Gustafsson, Dan

    2009-06-01

    What underlying mechanisms are involved in the ability to talk and listen simultaneously and what role does self-masking play under conditions of hearing impairment? The purpose of the present series of studies is to describe a technique for assessment of masked thresholds during vocalization, to describe normative data for males and females, and to focus on hearing impairment. The masking effect of vocalized [a:] on narrow-band noise pulses (250-8000 Hz) was studied using the maximum vocalization method. An amplitude-modulated series of sound pulses, which sounded like a steam engine, was masked until the criterion of halving the perceived pulse rate was reached. For masking of continuous reading, a just-follow-conversation criterion was applied. Intra-session test-retest reproducibility and inter-session variability were calculated. The results showed that female voices were more efficient in masking high frequency noise bursts than male voices and more efficient in masking both a male and a female test reading. The male had to vocalize 4 dBA louder than the female to produce the same masking effect on the test reading. It is concluded that the method is relatively simple to apply and has small intra-session and fair inter-session variability. Interesting gender differences were observed.

  13. Does technology acceleration equate to mask cost acceleration?

    NASA Astrophysics Data System (ADS)

    Trybula, Walter J.; Grenon, Brian J.

    2003-06-01

    The technology acceleration of the ITRS Roadmap has many implications on both the semiconductor sup-plier community and the manufacturers. INTERNATIONAL SEMATECH has revaluated the projected cost of advanced technology masks. Building on the methodology developed in 1996 for mask costs, this work provided a critical review of mask yields and factors relating to the manufacture of photolithography masks. The impact of the yields provided insight into the learning curve for leading edge mask manufac-turing. The projected mask set cost was surprising, and the ability to provide first and second year cost estimates provided additional information on technology introduction. From this information, the impact of technology acceleration can be added to the projected yields to evaluate the impact on mask costs.

  14. EUV mask defect inspection and defect review strategies for EUV pilot line and high volume manufacturing

    NASA Astrophysics Data System (ADS)

    Chan, Y. David; Rastegar, Abbas; Yun, Henry; Putna, E. Steve; Wurm, Stefan

    2010-04-01

    Reducing mask blank and patterned mask defects is the number one challenge for extreme ultraviolet lithography. If the industry succeeds in reducing mask blank defects at the required rate of 10X every year for the next 2-3 years to meet high volume manufacturing defect requirements, new inspection and review tool capabilities will soon be needed to support this goal. This paper outlines the defect inspection and review tool technical requirements and suggests development plans to achieve pilot line readiness in 2011/12 and high volume manufacturing readiness in 2013. The technical specifications, tooling scenarios, and development plans were produced by a SEMATECH-led technical working group with broad industry participation from material suppliers, tool suppliers, mask houses, integrated device manufacturers, and consortia. The paper summarizes this technical working group's assessment of existing blank and mask inspection/review infrastructure capabilities to support pilot line introduction and outlines infrastructure development requirements and tooling strategies to support high volume manufacturing.

  15. Removable pellicle for lithographic mask protection and handling

    DOEpatents

    Klebanoff, Leonard E.; Rader, Daniel J.; Hector, Scott D.; Nguyen, Khanh B.; Stulen, Richard H.

    2002-01-01

    A removable pellicle for a lithographic mask that provides active and robust particle protection, and which utilizes a traditional pellicle and two deployments of thermophoretic protection to keep particles off the mask. The removable pellicle is removably attached via a retaining structure to the mask substrate by magnetic attraction with either contacting or non-contacting magnetic capture mechanisms. The pellicle retaining structural is composed of an anchor piece secured to the mask substrate and a frame member containing a pellicle. The anchor piece and the frame member are in removable contact or non-contact by the magnetic capture or latching mechanism. In one embodiment, the frame member is retained in a floating (non-contact) relation to the anchor piece by magnetic levitation. The frame member and the anchor piece are provided with thermophoretic fins which are interdigitated to prevent particles from reaching the patterned area of the mask. Also, the anchor piece and mask are maintained at a higher temperature than the frame member and pellicle which also prevents particles from reaching the patterned mask area by thermophoresis. The pellicle can be positioned over the mask to provide particle protection during mask handling, inspection, and pumpdown, but which can be removed manually or robotically for lithographic use of the mask.

  16. Masked response priming in expert typists.

    PubMed

    Heinemann, Alexander; Kiesel, Andrea; Pohl, Carsten; Kunde, Wilfried

    2010-03-01

    In masked priming tasks responses are usually faster when prime and target require identical rather than different responses. Previous research has extensively manipulated the nature and number of response-affording stimuli. However, little is known about the constraints of masked priming regarding the nature and number of response alternatives. The present study explored the limits of masked priming in a six-choice reaction time task, where responses from different fingers of both hands were required. We studied participants that were either experts for the type of response (skilled typists) or novices. Masked primes facilitated responding to targets that required the same response, responses with a different finger of the same hand, and with a homologous finger of the other hand. These effects were modulated by expertise. The results show that masked primes facilitate responding especially for experts in the S-R mapping and with increasing similarity of primed and required response.

  17. Reticle writer for next-generation SEMI mask standard: mask handling and exposure

    NASA Astrophysics Data System (ADS)

    Ehrlich, Christian

    1998-12-01

    The world semiconductor industry is currently preparing itself for the next evolutionary step in the ongoing development of the integrated circuit, characterized by the 0.18 to 0.15 micrometer technology. The already complex engineering task for the mask tool makers is furthermore complicated by the introduction of the new SEMI reticle standard with a 230 mm by 230 mm large and 9 mm thick quartz glass blank that will have a weight of more than one kilogram. The production of these advanced masks is already identified as a key enabling technology which will stretch the capabilities of the manufacturing process, and its equipment, to the limit. The mask making e-beam system Leica ZBA320, capable of exposing a 230 mm reticle and featuring the variable shaped beam approach with a 20 kV accelerating voltage has been introduced recently. Now the first results of e-beam exposures with this new type of mask writer are presented. Enhancements form the previous generation system include improved deflection systems, stage metrology, pattern data handling, and an address grid down to 10 nanometers. This system's specified performance enables it to produce reticles designed to support semiconductor fabrication utilizing 180 nanometer design rules, and beyond, with high accuracy and productivity.

  18. Short-term hot-hardness characteristics of five case hardened steels

    NASA Technical Reports Server (NTRS)

    Anderson, N. E.; Zaretsky, E. V.

    1975-01-01

    Short-term hot-hardness studies were performed with carburized and hardened AISI 8620, CBS 1000, CBS 1000M, CBS 600, and Vasco X-2 steels. Case and core hardness measurements were made at temperatures from 294 to 811 K (70 to 1000 F). The data were compared with data for high-speed tool steels and AISI 52100. The materials tested can be ranked as follows in order of decreasing hot-hardness retention: (1) Vasco X-2; equivalent to through-hardened tool steels up to 644 K (700 F) above which Vasco X-2 is inferior; (2) CBS 1000, (3) CBS 1000M; (4) CBS 6000; better hardness retention at elevated temperatures than through-hardened AISI 52100; and (5) AISI 8620. For the carburized steels, the change in hardness with temperature of the case and core are similar for a given material. The short-term hot hardness of these materials can be predicted with + or - 1 point Rockwell C.

  19. Comodulation masking release in an off-frequency masking paradigm.

    PubMed

    Grzeschik, Ramona; Lübken, Björn; Verhey, Jesko L

    2015-08-01

    Detection threshold of a sinusoidal signal masked by a broadband masker is lower when on- and off-frequency masker components have a correlated envelope, compared to a condition in which these masker components have different envelopes. This effect is commonly referred to as comodulation masking release (CMR). The present study investigated if there is a CMR in the absence of a masker component at the signal frequency, i.e., in an off-frequency masking paradigm. Thresholds were measured for a 500-Hz signal in the presence of a broadband masker with a spectral notch at the signal frequency. Thresholds were significantly lower for a (co-)modulated than for an unmodulated masker for all notch widths up to 400 Hz. An additional experiment showed that the particularly large CMR for the no-notch condition was due to the way the modulated masker was generated. No CMR was measured when the notched-noise masker was replaced by a pair of narrowband noises. The addition of more remote masker bands resulted in a CMR of about 3-4 dB. The notched-noise data were predicted on the basis of a modulation-filterbank model. The predictions of the narrowband noise conditions indicated that all mechanisms underlying CMR might still not be fully understood.

  20. Jig Aligns Shadow Mask On CCD

    NASA Technical Reports Server (NTRS)

    Matus, Carlos V.

    1989-01-01

    Alignment viewed through microscope. Alignment jig positions shadow mask on charge-coupled device (CCD) so metal film deposited on it precisely. Allows CCD package to be inserted and removed without disturbing alignment of mask. Holds CCD packages securely and isolates it electrostatically while providing electrical contact to each of its pins. When alignment jig assembled with CCD, used to move mask under micrometer control.

  1. Masked Hypertension is Associated With Cognitive Decline in Geriatric Age-Geriatric MASked Hypertension and Cognition (G-MASH-cog) Study.

    PubMed

    Esme, Mert; Yavuz, Burcu Balam; Yavuz, Bunyamin; Asil, Serkan; Tuna Dogrul, Rana; Sumer, Fatih; Kilic, Mustafa Kemal; Kizilarslanoglu, Muhammet Cemal; Varan, Hacer Dogan; Sagir, Aykut; Balci, Cafer; Halil, Meltem; Cankurtaran, Mustafa

    2018-01-16

    Masked hypertension is described as high ambulatory blood pressure measurements (ABPM) where office blood pressure measurements are normal. Effect of hypertension on cognitive functions is well known. However, the effect of masked hypertension on cognitive functions is unclear. The aim of this study is to examine the relationship between masked hypertension and cognitive functions. One hundred-two normotensive patients admitted to the Geriatric Medicine outpatient clinic were included. Exclusion criteria were hypertension, dementia, major depression, and usage of antihypertensive medication. All patients underwent ABPM procedures and average daytime blood pressure, mean blood pressure at night and the 24-hour average blood pressure measurements were recorded. Comprehensive geriatric assessment tests and neuropsychological tests were administered. The diagnosis of masked hypertension was based on the definitions in the 2013 guideline of the European Society of Cardiology. Forty-four patients (43%) were diagnosed with masked hypertension. Patients with masked hypertension had significantly lower scores on Mini-Mental State Examination (MMSE) test, Quick Mild Cognitive Impairment Test (QMCI), and Categorical Fluency Test than the normotensive patients (p = .011; p = .046; and p = .004; respectively). Montreal Cognitive Assessment Scale test score was lower in masked hypertension, although this was not statistically significant. This study may indicate that geriatric patients with masked hypertension, compared to normotensive patients have decreased cognitive functions. Masked hypertension should be kept in mind while assessing older adults. When masked hypertension is detected, cognitive assessment is essential to diagnose possible cognitive dysfunction at early stage. © The Author 2017. Published by Oxford University Press on behalf of The Gerontological Society of America. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com.

  2. A mask manufacturer's perspective on maskless lithography

    NASA Astrophysics Data System (ADS)

    Buck, Peter; Biechler, Charles; Kalk, Franklin

    2005-11-01

    Maskless Lithography (ML2) is again being considered for use in mainstream CMOS IC manufacturing. Sessions at technical conferences are being devoted to ML2. A multitude of new companies have been formed in the last several years to apply new concepts to breaking the throughput barrier that has in the past prevented ML2 from achieving the cost and cycle time performance necessary to become economically viable, except in rare cases. Has Maskless Lithography's (we used to call it "Direct Write Lithography") time really come? If so, what is the expected impact on the mask manufacturer and does it matter? The lithography tools used today in mask manufacturing are similar in concept to ML2 except for scale, both in throughput and feature size. These mask tools produce highly accurate lithographic images directly from electronic pattern files, perform multi-layer overlay, and mix-n-match across multiple tools, tool types and sites. Mask manufacturers are already accustomed to the ultimate low volume - one substrate per design layer. In order to achieve the economically required throughput, proposed ML2 systems eliminate or greatly reduce some of the functions that are the source of the mask writer's accuracy. Can these ML2 systems meet the demanding lithographic requirements without these functions? ML2 may eliminate the reticle but many of the processes and procedures performed today by the mask manufacturer are still required. Examples include the increasingly complex mask data preparation step and the verification performed to ensure that the pattern on the reticle is accurately representing the design intent. The error sources that are fixed on a reticle are variable with time on an ML2 system. It has been proposed that if ML2 is successful it will become uneconomical to be in the mask business - that ML2, by taking the high profit masks will take all profitability out of mask manufacturing and thereby endanger the entire semiconductor industry. Others suggest that a

  3. Tensile and shear bond strength of hard and soft denture relining materials to the conventional heat cured acrylic denture base resin: An In-vitro study.

    PubMed

    Lau, Mayank; Amarnath, G S; Muddugangadhar, B C; Swetha, M U; Das, Kopal Anshuraj Ashok Kumar

    2014-04-01

    The condition of the denture bearing tissues may be adversely affected by high stress concentration during function. Chairside Denture (Hard and Soft) reliners are used to distribute forces applied to soft tissues during function. Tensile and shear bond strength has been shown to be dependent on their chemical composition. A weak bond could harbor bacteria, promote staining and delamination of the lining material. To investigate tensile and shear bond strength of 4 different commercially available denture relining materials to conventional heat cured acrylic denture base resin. 4 mm sections in the middle of 160 Acrylic cylindrical specimens (20 mm x 8 mm) were removed, packed with test materials (Mollosil, G C Reline Soft, G C Reline Hard (Kooliner) and Ufi Gel Hard and polymerized. Specimens were divided into 8 groups of 20 each. Tensile and shear bond strength to the conventional heat cured acrylic denture base resin were examined by Instron Universal Tensile Testing Machine using the equation F=N/A (F-maximum force exerted on the specimen (Newton) and A-bonding area= 50.24 mm2). One-way ANOVA was used for multiple group comparisons followed by Bonferroni Test and Hsu's MCB for multiple pairwise comparisons to asses any significant differences between the groups. The highest mean Tensile bond strength value was obtained for Ufi Gel Hard (6.49+0.08 MPa) and lowest for G C Reline Soft (0.52+0.01 MPa). The highest mean Shear bond strength value was obtained for Ufi Gel Hard (16.19+0.1 MPa) and lowest for Mollosil (0.59+0.05 MPa). The Benferroni test showed a significant difference in the mean tensile bond strength and the mean shear bond strength when the two denture soft liners were compared as well as when the two denture hard liners were compared. Hsu's MCB implied that Ufi gel hard is better than its other closest competitors. The Tensile and Shear bond strength values of denture soft reliners were significantly lower than denture hard reliners. How to cite the

  4. Shock-isolation material selection for electronic packages in hard-target environment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stotts, Jarrett Eugene

    High velocity munitions and kinetic penetrators experience monumental external forces, impulses, and accelerations. The hard target environment is immensely taxing on sophisticated electronic components and recorders designed to retrieve valuable data related to the systems performance and characteristics in the periods of flight, impact, and post-impact. Such electronic systems have upper limits of overall shock intensity which, if exceeded, will either shorten the operating life of the parts or risk destruction resulting in loss of both the data and the principal value of the recorder. The focus of this project was to refine the categorization of leading material types formore » encapsulation and passive shock isolation and implement them in a method useable for a wide variety of environments. Namely, a design methodology capable of being tailored to the specific impact conditions to maximize the lively hood of sensitive electronics and the information recorded. The results of the study concluded that the materials observed under consistent dynamic high strain rate tests, which include Conathane® EN-4/9, Slygard®-184, and Stycast™-2651, behaved well in certain aspects of energy transmission and shock when considering the frequency environment or package coupled with the isolation material’s application. Key points about the implementation of the materials in extreme shock environments is discussed with the connection to energy analysis, loss attributes, and pulse transmissibility modeling. However, attempts to model the materials solely based on energy transmissibility in the frequency domain using only external experimental data and simplified boundary conditions was not found to be consistent with that acquired from the pressure bar experiments. Further work will include the addition of further material experimentation of the encapsulants in other frequency and temperature states, confined and pre-load boundary states, and composite constructions.« less

  5. Evaluation of a New Pediatric Positive Airway Pressure Mask

    PubMed Central

    Kushida, Clete A.; Halbower, Ann C.; Kryger, Meir H.; Pelayo, Rafael; Assalone, Valerie; Cardell, Chia-Yu; Huston, Stephanie; Willes, Leslee; Wimms, Alison J.; Mendoza, June

    2014-01-01

    Study Objectives: The choice and variety of pediatric masks for continuous positive airway pressure (CPAP) is limited in the US. Therefore, clinicians often prescribe modified adult masks. Until recently a mask for children aged < 7 years was not available. This study evaluated apnea-hypopnea index (AHI) equivalence and acceptability of a new pediatric CPAP mask for children aged 2-7 years (Pixi; ResMed Ltd, Sydney, Australia). Methods: Patients aged 2-7 years were enrolled and underwent in-lab baseline polysomnography (PSG) using their previous mask, then used their previous mask and the VPAP III ST-A flow generator for ≥ 10 nights at home. Thereafter, patients switched to the Pixi mask for ≥ 2 nights before returning for a PSG during PAP therapy via the Pixi mask. Patients then used the Pixi mask at home for ≥ 21 nights. Patients and their parents/guardians returned to the clinic for follow-up and provided feedback on the Pixi mask versus their previous mask. Results: AHI with the Pixi mask was 1.1 ± 1.5/h vs 2.6 ± 5.4/h with the previous mask (p = 0.3538). Parents rated the Pixi mask positively for: restfulness of the child's sleep, trouble in getting the child to sleep, and trouble in having the child stay asleep. The Pixi mask was also rated highly for leaving fewer or no marks on the upper lip and under the child's ears, and being easy to remove. Conclusions: The Pixi mask is suitable for children aged 2-7 years and provides an alternative to other masks available for PAP therapy in this age group. Citation: Kushida CA, Halbower AC, Kryger MH, Pelayo R, Assalone V, Cardell CY, Huston S, Willes L, Wimms AJ, Mendoza J. Evaluation of a new pediatric positive airway pressure mask. J Clin Sleep Med 2014;10(9):979-984. PMID:25142768

  6. FATIGUE OF BIOMATERIALS: HARD TISSUES

    PubMed Central

    Arola, D.; Bajaj, D.; Ivancik, J.; Majd, H.; Zhang, D.

    2009-01-01

    The fatigue and fracture behavior of hard tissues are topics of considerable interest today. This special group of organic materials comprises the highly mineralized and load-bearing tissues of the human body, and includes bone, cementum, dentin and enamel. An understanding of their fatigue behavior and the influence of loading conditions and physiological factors (e.g. aging and disease) on the mechanisms of degradation are essential for achieving lifelong health. But there is much more to this topic than the immediate medical issues. There are many challenges to characterizing the fatigue behavior of hard tissues, much of which is attributed to size constraints and the complexity of their microstructure. The relative importance of the constituents on the type and distribution of defects, rate of coalescence, and their contributions to the initiation and growth of cracks, are formidable topics that have not reached maturity. Hard tissues also provide a medium for learning and a source of inspiration in the design of new microstructures for engineering materials. This article briefly reviews fatigue of hard tissues with shared emphasis on current understanding, the challenges and the unanswered questions. PMID:20563239

  7. The magic of 4X mask reduction

    NASA Astrophysics Data System (ADS)

    Lercel, Michael

    2006-06-01

    Although changing the mask reduction factor from 4X to a larger value offers several technical advantages, previous attempts to enact this change have not identified enough clear technical advantages to overcome the impact to productivity. Improvements in mask manufacturing, mask polarization effects, and optics cost have not been thought to be sufficient reason to accept a reduced throughput and field size. This paper summarizes the latest workshop and discussion revisiting the mask reduction factor for 32nm half-pitch lithography with hyper-numerical aperture (NA) optical or extreme ultraviolet lithography (EUVL). The workshop consensus was strongly in favor of maintaining the current magnification ratio and field size as long as mask costs can be contained.

  8. Ipsilateral masking between acoustic and electric stimulations.

    PubMed

    Lin, Payton; Turner, Christopher W; Gantz, Bruce J; Djalilian, Hamid R; Zeng, Fan-Gang

    2011-08-01

    Residual acoustic hearing can be preserved in the same ear following cochlear implantation with minimally traumatic surgical techniques and short-electrode arrays. The combined electric-acoustic stimulation significantly improves cochlear implant performance, particularly speech recognition in noise. The present study measures simultaneous masking by electric pulses on acoustic pure tones, or vice versa, to investigate electric-acoustic interactions and their underlying psychophysical mechanisms. Six subjects, with acoustic hearing preserved at low frequencies in their implanted ear, participated in the study. One subject had a fully inserted 24 mm Nucleus Freedom array and five subjects had Iowa/Nucleus hybrid implants that were only 10 mm in length. Electric masking data of the long-electrode subject showed that stimulation from the most apical electrodes produced threshold elevations over 10 dB for 500, 625, and 750 Hz probe tones, but no elevation for 125 and 250 Hz tones. On the contrary, electric stimulation did not produce any electric masking in the short-electrode subjects. In the acoustic masking experiment, 125-750 Hz pure tones were used to acoustically mask electric stimulation. The acoustic masking results showed that, independent of pure tone frequency, both long- and short-electrode subjects showed threshold elevations at apical and basal electrodes. The present results can be interpreted in terms of underlying physiological mechanisms related to either place-dependent peripheral masking or place-independent central masking.

  9. Masking reduces orientation selectivity in rat visual cortex

    PubMed Central

    Alwis, Dasuni S.; Richards, Katrina L.

    2016-01-01

    In visual masking the perception of a target stimulus is impaired by a preceding (forward) or succeeding (backward) mask stimulus. The illusion is of interest because it allows uncoupling of the physical stimulus, its neuronal representation, and its perception. To understand the neuronal correlates of masking, we examined how masks affected the neuronal responses to oriented target stimuli in the primary visual cortex (V1) of anesthetized rats (n = 37). Target stimuli were circular gratings with 12 orientations; mask stimuli were plaids created as a binarized sum of all possible target orientations. Spatially, masks were presented either overlapping or surrounding the target. Temporally, targets and masks were presented for 33 ms, but the stimulus onset asynchrony (SOA) of their relative appearance was varied. For the first time, we examine how spatially overlapping and center-surround masking affect orientation discriminability (rather than visibility) in V1. Regardless of the spatial or temporal arrangement of stimuli, the greatest reductions in firing rate and orientation selectivity occurred for the shortest SOAs. Interestingly, analyses conducted separately for transient and sustained target response components showed that changes in orientation selectivity do not always coincide with changes in firing rate. Given the near-instantaneous reductions observed in orientation selectivity even when target and mask do not spatially overlap, we suggest that monotonic visual masking is explained by a combination of neural integration and lateral inhibition. PMID:27535373

  10. Evaluation of a native vegetation masking technique

    NASA Technical Reports Server (NTRS)

    Kinsler, M. C.

    1984-01-01

    A crop masking technique based on Ashburn's vegetative index (AVI) was used to evaluate native vegetation as an indicator of crop moisture condition. A mask of the range areas (native vegetation) was generated for each of thirteen Great Plains LANDSAT MSS sample segments. These masks were compared to the digitized ground truth and accuracies were computed. An analysis of the types of errors indicates a consistency in errors among the segments. The mask represents a simple quick-look technique for evaluating vegetative cover.

  11. Inverse lithography using sparse mask representations

    NASA Astrophysics Data System (ADS)

    Ionescu, Radu C.; Hurley, Paul; Apostol, Stefan

    2015-03-01

    We present a novel optimisation algorithm for inverse lithography, based on optimization of the mask derivative, a domain inherently sparse, and for rectilinear polygons, invertible. The method is first developed assuming a point light source, and then extended to general incoherent sources. What results is a fast algorithm, producing manufacturable masks (the search space is constrained to rectilinear polygons), and flexible (specific constraints such as minimal line widths can be imposed). One inherent trick is to treat polygons as continuous entities, thus making aerial image calculation extremely fast and accurate. Requirements for mask manufacturability can be integrated in the optimization without too much added complexity. We also explain how to extend the scheme for phase-changing mask optimization.

  12. Is masked priming modulated by memory load? A test of the automaticity of masked identity priming in lexical decision.

    PubMed

    Perea, Manuel; Marcet, Ana; Lozano, Mario; Gomez, Pablo

    2018-05-29

    One of the key assumptions of the masked priming lexical decision task (LDT) is that primes are processed without requiring attentional resources. Here, we tested this assumption by presenting a dual-task manipulation to increase memory load and measure the change in masked identity priming on the targets in the LDT. If masked priming does not require attentional resources, increased memory load should have no influence on the magnitude of the observed identity priming effects. We conducted two LDT experiments, using a within-subjects design, to investigate the effect of memory load (via a concurrent matching task Experiment 1 and a concurrent search task in Experiment 2) on masked identity priming. Results showed that the magnitude of masked identity priming on word targets was remarkably similar under high and low memory load. Thus, these experiments provide empirical evidence for the automaticity assumption of masked identity priming in the LDT.

  13. Outdoor weathering of facial prosthetic elastomers differing in Durometer hardness.

    PubMed

    Willett, Emily S; Beatty, Mark W

    2015-03-01

    Facial prosthetic elastomers with wide ranges in hardness are available, yet material weatherability is unknown. The purpose of this study was to assess color, Durometer hardness, and tensile property changes after 3000 hours of outdoor weathering. Unpigmented elastomers with Durometer hardness 5, 30, 50, 70, and A-2186 were polymerized into dumbbells (ASTM D412) and disks, 34 mm in diameter by 6 mm thick. Materials were subjected to outdoor or time passage environments for 3000 hours. CIELab color (n=5), Durometer hardness (n=5), and tensile mechanical properties (n=10) were measured at 0 and 3000 hours, and group differences were assessed by material and weathering condition (ANOVA/Tukey, α=.05). Except for A-2186, the mean Durometer changes for all materials were 1 unit or less, with no significant differences observed between time passage and weathered groups (P≥.05). Three-thousand-hour tensile mechanical property results demonstrated nonsignificant differences between time passage and weathered materials but significantly changed properties from immediately tested materials (P<.001). Outdoor weathering induced perceptible but acceptable color changes (1.7≤ΔE*≤2.6) for elastomers with Durometer hardness 5 and 30 and A-2186. With a few exceptions, outdoor weathering produced relatively small changes in color, Durometer hardness, or tensile properties compared with time passage. Copyright © 2015 Editorial Council for the Journal of Prosthetic Dentistry. Published by Elsevier Inc. All rights reserved.

  14. The thermal expansion of hard magnetic materials of the Nd-Fe-B system

    NASA Astrophysics Data System (ADS)

    Savchenko, Igor; Kozlovskii, Yurii; Samoshkin, Dmitriy; Yatsuk, Oleg

    2017-10-01

    The results of dilatometric measurement of the thermal expansion of hard magnetic materials brands N35M, N35H and N35SH containing as a main component the crystalline phase of Nd2Fe14B type are presented. The temperature range from 200 to 750 K has been investigated by the method of dilatometry with an error of 1.5-2×10-7 K-1. The approximation dependences of the linear thermal expansion coefficient have been obtained. The character of changes of the thermal coefficient of linear expansion in the region of the Curie point has been specified, its critical indices and critical amplitudes have been defined.

  15. Effect of Masked Regions on Weak-lensing Statistics

    NASA Astrophysics Data System (ADS)

    Shirasaki, Masato; Yoshida, Naoki; Hamana, Takashi

    2013-09-01

    Sky masking is unavoidable in wide-field weak-lensing observations. We study how masks affect the measurement of statistics of matter distribution probed by weak gravitational lensing. We first use 1000 cosmological ray-tracing simulations to examine in detail the impact of masked regions on the weak-lensing Minkowski Functionals (MFs). We consider actual sky masks used for a Subaru Suprime-Cam imaging survey. The masks increase the variance of the convergence field and the expected values of the MFs are biased. The bias then compromises the non-Gaussian signals induced by the gravitational growth of structure. We then explore how masks affect cosmological parameter estimation. We calculate the cumulative signal-to-noise ratio (S/N) for masked maps to study the information content of lensing MFs. We show that the degradation of S/N for masked maps is mainly determined by the effective survey area. We also perform simple χ2 analysis to show the impact of lensing MF bias due to masked regions. Finally, we compare ray-tracing simulations with data from a Subaru 2 deg2 survey in order to address if the observed lensing MFs are consistent with those of the standard cosmology. The resulting χ2/n dof = 29.6/30 for three combined MFs, obtained with the mask effects taken into account, suggests that the observational data are indeed consistent with the standard ΛCDM model. We conclude that the lensing MFs are a powerful probe of cosmology only if mask effects are correctly taken into account.

  16. EFFECT OF MASKED REGIONS ON WEAK-LENSING STATISTICS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shirasaki, Masato; Yoshida, Naoki; Hamana, Takashi, E-mail: masato.shirasaki@utap.phys.s.u-tokyo.ac.jp

    2013-09-10

    Sky masking is unavoidable in wide-field weak-lensing observations. We study how masks affect the measurement of statistics of matter distribution probed by weak gravitational lensing. We first use 1000 cosmological ray-tracing simulations to examine in detail the impact of masked regions on the weak-lensing Minkowski Functionals (MFs). We consider actual sky masks used for a Subaru Suprime-Cam imaging survey. The masks increase the variance of the convergence field and the expected values of the MFs are biased. The bias then compromises the non-Gaussian signals induced by the gravitational growth of structure. We then explore how masks affect cosmological parameter estimation.more » We calculate the cumulative signal-to-noise ratio (S/N) for masked maps to study the information content of lensing MFs. We show that the degradation of S/N for masked maps is mainly determined by the effective survey area. We also perform simple {chi}{sup 2} analysis to show the impact of lensing MF bias due to masked regions. Finally, we compare ray-tracing simulations with data from a Subaru 2 deg{sup 2} survey in order to address if the observed lensing MFs are consistent with those of the standard cosmology. The resulting {chi}{sup 2}/n{sub dof} = 29.6/30 for three combined MFs, obtained with the mask effects taken into account, suggests that the observational data are indeed consistent with the standard {Lambda}CDM model. We conclude that the lensing MFs are a powerful probe of cosmology only if mask effects are correctly taken into account.« less

  17. Study of data I/O performance on distributed disk system in mask data preparation

    NASA Astrophysics Data System (ADS)

    Ohara, Shuichiro; Odaira, Hiroyuki; Chikanaga, Tomoyuki; Hamaji, Masakazu; Yoshioka, Yasuharu

    2010-09-01

    Data volume is getting larger every day in Mask Data Preparation (MDP). In the meantime, faster data handling is always required. MDP flow typically introduces Distributed Processing (DP) system to realize the demand because using hundreds of CPU is a reasonable solution. However, even if the number of CPU were increased, the throughput might be saturated because hard disk I/O and network speeds could be bottlenecks. So, MDP needs to invest a lot of money to not only hundreds of CPU but also storage and a network device which make the throughput faster. NCS would like to introduce new distributed processing system which is called "NDE". NDE could be a distributed disk system which makes the throughput faster without investing a lot of money because it is designed to use multiple conventional hard drives appropriately over network. NCS studies I/O performance with OASIS® data format on NDE which contributes to realize the high throughput in this paper.

  18. Compliance with the Use of Medical and Cloth Masks Among Healthcare Workers in Vietnam.

    PubMed

    Chughtai, Abrar Ahmad; Seale, Holly; Dung, Tham Chi; Hayen, Andrew; Rahman, Bayzidur; Raina MacIntyre, C

    2016-06-01

    Masks are often worn in healthcare settings to prevent the spread of infection from healthcare workers (HCWs) to patients. Masks are also used to protect the employee from patient-generated infectious organisms but poor compliance can reduce efficacy. The aim of this study was to examine the factors influencing compliance with the use of medical and cloth masks amongst hospital HCWs. HCWs compliance with the use of medical and cloth masks was measured over a 4-week period in a randomized controlled trial in Vietnam. HCWs were instructed to record their daily activities in diary cards. Demographic, clinical, and diary card data were used to determine the predictors of compliance and the relationship of compliance with infection outcomes. Compliance rates for both medical and cloth masks decreased during the 4 weeks: medical mask use decreased from 77 to 68% (P < 0.001) and cloth masks from 78 to 69% (P < 0.001). The presence of adverse events (adjusted RR 0.90, 95% CI 0.85-0.95), and performing aerosol-generating procedures (adjusted RR 0.78, 95% CI 0.73-0.82) were negatively associated with compliance, while contact with febrile respiratory illness patients was positively associated (adjusted RR 1.14, 95% CI 1.07-1.20). Being compliant with medical or cloth masks use (average use ≥70% of working time) was not associated with clinical respiratory illness, influenza-like illness, and laboratory-confirmed viral infection. Understanding the factors that affect compliance is important for the occupational health and safety of HCWs. New strategies and tools should be developed to increase compliance of HCWs. The presence of adverse events such as discomfort and breathing problems may be the main reasons for the low compliance with mask use and further studies should be conducted to improve the design/material of masks to improve comfort for the wearer. © The Author 2016. Published by Oxford University Press on behalf of the British Occupational Hygiene Society.

  19. Homophone Dominance Modulates the Phonemic-Masking Effect.

    ERIC Educational Resources Information Center

    Berent, Iris; Van Orden, Guy C.

    2000-01-01

    Finds (1) positive phonemic-masking effects occurred for dominant homophones; (2) null phonemic-masking effects occurred for subordinate homophones; and (3) subordinate homophones were much more likely to be falsely identified as their dominant mate. Suggests the source of these null phonemic-masking is itself a phonology effect. Concludes…

  20. The total face mask is more comfortable than the oronasal mask in noninvasive ventilation but is not associated with improved outcome.

    PubMed

    Chacur, Fernando Hauaji; Vilella Felipe, Luis Marcelo; Fernandes, Cintia Gonçalves; Lazzarini, Luiz Claudio Oliveira

    2011-01-01

    Noninvasive positive-pressure ventilation (NPPV) is commonly used to improve ventilation and oxygenation and avoid endotracheal intubation and mechanical ventilation. Although clinically indicated, most patients fail to use NPPV due to mask intolerance. A total face mask was designed to increase compliance, but whether this translates into better outcome (improvement in clinical and blood gas parameters and less intubation) is unknown. We compared the evolution of the clinical parameters, blood gases, levels of ventilatory support and rate of endotracheal intubation using the total face mask or the traditional oronasal mask during NPPV. A total of 60 patients were randomized to use either mask during NPPV. The clinical and laboratory parameters, as well as the level of ventilatory support were recorded at different intervals in both groups for up to 6 h. In addition, the tolerance for each mask and the need for endotracheal intubation were compared. Patients tolerated the total face mask significantly better (p = 0.0010) and used NPPV for a longer time (p = 0.0017) when compared with the oronasal mask. Just 1 patient switched to the total face mask because of intolerance. Although better tolerated, the rate of endotracheal intubation was similar in both groups (p = 0.4376), as was the clinical and laboratory evolution. The total face mask was more comfortable, allowing the patients to tolerate NPPV longer; however, these accomplishments did not translate into a better outcome. Due to its comfort, the total face mask should be available, at least as an option, in units where NPPVs are routinely applied. Copyright © 2011 S. Karger AG, Basel.

  1. 21 CFR 868.5560 - Gas mask head strap.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Gas mask head strap. 868.5560 Section 868.5560...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5560 Gas mask head strap. (a) Identification. A gas mask head strap is a device used to hold an anesthetic gas mask in position on a patient's...

  2. International Space Station (ISS) Emergency Mask (EM) Development

    NASA Technical Reports Server (NTRS)

    Toon, Katherine P.; Hahn, Jeffrey; Fowler, Michael; Young, Kevin

    2011-01-01

    The Emergency Mask (EM) is considered a secondary response emergency Personal Protective Equipment (PPE) designed to provide respiratory protection to the International Space Station (ISS) crewmembers in response to a post-fire event or ammonia leak. The EM is planned to be delivered to ISS in 2012 to replace the current air purifying respirator (APR) onboard ISS called the Ammonia Respirator (AR). The EM is a one ]size ]fits ]all model designed to fit any size crewmember, unlike the APR on ISS, and uses either two Fire Cartridges (FCs) or two Commercial Off-the-Shelf (COTS) 3M(Trademark). Ammonia Cartridges (ACs) to provide the crew with a minimum of 8 hours of respiratory protection with appropriate cartridge swap ]out. The EM is designed for a single exposure event, for either post ]fire or ammonia, and is a passive device that cannot help crewmembers who cannot breathe on their own. The EM fs primary and only seal is around the wearer fs neck to prevent a crewmember from inhaling contaminants. During the development of the ISS Emergency Mask, several design challenges were faced that focused around manufacturing a leak free mask. The description of those challenges are broadly discussed but focuses on one key design challenge area: bonding EPDM gasket material to Gore(Registered Trademark) fabric hood.

  3. How color, regularity, and good Gestalt determine backward masking.

    PubMed

    Sayim, Bilge; Manassi, Mauro; Herzog, Michael

    2014-06-18

    The strength of visual backward masking depends on the stimulus onset asynchrony (SOA) between target and mask. Recently, it was shown that the conjoint spatial layout of target and mask is as crucial as SOA. Particularly, masking strength depends on whether target and mask group with each other. The same is true in crowding where the global spatial layout of the flankers and target-flanker grouping determine crowding strength. Here, we presented a vernier target followed by different flanker configurations at varying SOAs. Similar to crowding, masking of a red vernier target was strongly reduced for arrays of 10 green compared with 10 red flanking lines. Unlike crowding, single green lines flanking the red vernier showed strong masking. Irregularly arranged flanking lines yielded stronger masking than did regularly arranged lines, again similar to crowding. While cuboid flankers reduced crowding compared with single lines, this was not the case in masking. We propose that, first, masking is reduced when the flankers are part of a larger spatial structure. Second, spatial factors counteract color differences between the target and the flankers. Third, complex Gestalts, such as cuboids, seem to need longer processing times to show ungrouping effects as observed in crowding. Strong parallels between masking and crowding suggest similar underlying mechanism; however, temporal factors in masking additionally modulate performance, acting as an additional grouping cue. © 2014 ARVO.

  4. Combining Simultaneous with Temporal Masking

    ERIC Educational Resources Information Center

    Hermens, Frouke; Herzog, Michael H.; Francis, Gregory

    2009-01-01

    Simultaneous and temporal masking are two frequently used techniques in psychology and vision science. Although there are many studies and theories related to each masking technique, there are no systematic investigations of their mutual relationship, even though both techniques are often applied together. Here, the authors show that temporal…

  5. Masked mycotoxins: A review

    PubMed Central

    Berthiller, Franz; Crews, Colin; Dall'Asta, Chiara; Saeger, Sarah De; Haesaert, Geert; Karlovsky, Petr; Oswald, Isabelle P; Seefelder, Walburga; Speijers, Gerrit; Stroka, Joerg

    2013-01-01

    The aim of this review is to give a comprehensive overview of the current knowledge on plant metabolites of mycotoxins, also called masked mycotoxins. Mycotoxins are secondary fungal metabolites, toxic to human and animals. Toxigenic fungi often grow on edible plants, thus contaminating food and feed. Plants, as living organisms, can alter the chemical structure of mycotoxins as part of their defence against xenobiotics. The extractable conjugated or non-extractable bound mycotoxins formed remain present in the plant tissue but are currently neither routinely screened for in food nor regulated by legislation, thus they may be considered masked. Fusarium mycotoxins (deoxynivalenol, zearalenone, fumonisins, nivalenol, fusarenon-X, T-2 toxin, HT-2 toxin, fusaric acid) are prone to metabolisation or binding by plants, but transformation of other mycotoxins by plants (ochratoxin A, patulin, destruxins) has also been described. Toxicological data are scarce, but several studies highlight the potential threat to consumer safety from these substances. In particular, the possible hydrolysis of masked mycotoxins back to their toxic parents during mammalian digestion raises concerns. Dedicated chapters of this article address plant metabolism as well as the occurrence of masked mycotoxins in food, analytical aspects for their determination, toxicology and their impact on stakeholders. PMID:23047235

  6. 21 CFR 868.5550 - Anesthetic gas mask.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  7. 21 CFR 868.5550 - Anesthetic gas mask.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 8 2011-04-01 2011-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  8. 21 CFR 868.5550 - Anesthetic gas mask.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... 21 Food and Drugs 8 2012-04-01 2012-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  9. 21 CFR 868.5550 - Anesthetic gas mask.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... 21 Food and Drugs 8 2013-04-01 2013-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  10. 21 CFR 868.5550 - Anesthetic gas mask.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 21 Food and Drugs 8 2014-04-01 2014-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  11. Informational masking and musical training

    NASA Astrophysics Data System (ADS)

    Oxenham, Andrew J.; Fligor, Brian J.; Mason, Christine R.; Kidd, Gerald

    2003-09-01

    The relationship between musical training and informational masking was studied for 24 young adult listeners with normal hearing. The listeners were divided into two groups based on musical training. In one group, the listeners had little or no musical training; the other group was comprised of highly trained, currently active musicians. The hypothesis was that musicians may be less susceptible to informational masking, which is thought to reflect central, rather than peripheral, limitations on the processing of sound. Masked thresholds were measured in two conditions, similar to those used by Kidd et al. [J. Acoust. Soc. Am. 95, 3475-3480 (1994)]. In both conditions the signal was comprised of a series of repeated tone bursts at 1 kHz. The masker was comprised of a series of multitone bursts, gated with the signal. In one condition the frequencies of the masker were selected randomly for each burst; in the other condition the masker frequencies were selected randomly for the first burst of each interval and then remained constant throughout the interval. The difference in thresholds between the two conditions was taken as a measure of informational masking. Frequency selectivity, using the notched-noise method, was also estimated in the two groups. The results showed no difference in frequency selectivity between the two groups, but showed a large and significant difference in the amount of informational masking between musically trained and untrained listeners. This informational masking task, which requires no knowledge specific to musical training (such as note or interval names) and is generally not susceptible to systematic short- or medium-term training effects, may provide a basis for further studies of analytic listening abilities in different populations.

  12. Cryogenic Etching of High Aspect Ratio 400 nm Pitch Silicon Gratings.

    PubMed

    Miao, Houxun; Chen, Lei; Mirzaeimoghri, Mona; Kasica, Richard; Wen, Han

    2016-10-01

    The cryogenic process and Bosch process are two widely used processes for reactive ion etching of high aspect ratio silicon structures. This paper focuses on the cryogenic deep etching of 400 nm pitch silicon gratings with various etching mask materials including polymer, Cr, SiO 2 and Cr-on-polymer. The undercut is found to be the key factor limiting the achievable aspect ratio for the direct hard masks of Cr and SiO 2 , while the etch selectivity responds to the limitation of the polymer mask. The Cr-on-polymer mask provides the same high selectivity as Cr and reduces the excessive undercut introduced by direct hard masks. By optimizing the etching parameters, we etched a 400 nm pitch grating to ≈ 10.6 μ m depth, corresponding to an aspect ratio of ≈ 53.

  13. Emergency face-mask removal effectiveness: a comparison of traditional and nontraditional football helmet face-mask attachment systems.

    PubMed

    Swartz, Erik E; Belmore, Keith; Decoster, Laura C; Armstrong, Charles W

    2010-01-01

    Football helmet face-mask attachment design changes might affect the effectiveness of face-mask removal. To compare the efficiency of face-mask removal between newly designed and traditional football helmets. Controlled laboratory study. Applied biomechanics laboratory. Twenty-five certified athletic trainers. The independent variable was face-mask attachment system on 5 levels: (1) Revolution IQ with Quick Release (QR), (2) Revolution IQ with Quick Release hardware altered (QRAlt), (3) traditional (Trad), (4) traditional with hardware altered (TradAlt), and (5) ION 4D (ION). Participants removed face masks using a cordless screwdriver with a back-up cutting tool or only the cutting tool for the ION. Investigators altered face-mask hardware to unexpectedly challenge participants during removal for traditional and Revolution IQ helmets. Participants completed each condition twice in random order and were blinded to hardware alteration. Removal success, removal time, helmet motion, and rating of perceived exertion (RPE). Time and 3-dimensional helmet motion were recorded. If the face mask remained attached at 3 minutes, the trial was categorized as unsuccessful. Participants rated each trial for level of difficulty (RPE). We used repeated-measures analyses of variance (α  =  .05) with follow-up comparisons to test for differences. Removal success was 100% (48 of 48) for QR, Trad, and ION; 97.9% (47 of 48) for TradAlt; and 72.9% (35 of 48) for QRAlt. Differences in time for face-mask removal were detected (F(4,20)  =  48.87, P  =  .001), with times ranging from 33.96 ± 14.14 seconds for QR to 99.22 ± 20.53 seconds for QRAlt. Differences were found in range of motion during face-mask removal (F(4,20)  =  16.25, P  =  .001), with range of motion from 10.10° ± 3.07° for QR to 16.91° ± 5.36° for TradAlt. Differences also were detected in RPE during face-mask removal (F(4,20)  =  43.20, P  =  .001), with participants reporting average

  14. Emergency Face-Mask Removal Effectiveness: A Comparison of Traditional and Nontraditional Football Helmet Face-Mask Attachment Systems

    PubMed Central

    Swartz, Erik E.; Belmore, Keith; Decoster, Laura C.; Armstrong, Charles W.

    2010-01-01

    Abstract Context: Football helmet face-mask attachment design changes might affect the effectiveness of face-mask removal. Objective: To compare the efficiency of face-mask removal between newly designed and traditional football helmets. Design: Controlled laboratory study. Setting: Applied biomechanics laboratory. Participants: Twenty-five certified athletic trainers. Intervention(s): The independent variable was face-mask attachment system on 5 levels: (1) Revolution IQ with Quick Release (QR), (2) Revolution IQ with Quick Release hardware altered (QRAlt), (3) traditional (Trad), (4) traditional with hardware altered (TradAlt), and (5) ION 4D (ION). Participants removed face masks using a cordless screwdriver with a back-up cutting tool or only the cutting tool for the ION. Investigators altered face-mask hardware to unexpectedly challenge participants during removal for traditional and Revolution IQ helmets. Participants completed each condition twice in random order and were blinded to hardware alteration. Main Outcome Measure(s): Removal success, removal time, helmet motion, and rating of perceived exertion (RPE). Time and 3-dimensional helmet motion were recorded. If the face mask remained attached at 3 minutes, the trial was categorized as unsuccessful. Participants rated each trial for level of difficulty (RPE). We used repeated-measures analyses of variance (α  =  .05) with follow-up comparisons to test for differences. Results: Removal success was 100% (48 of 48) for QR, Trad, and ION; 97.9% (47 of 48) for TradAlt; and 72.9% (35 of 48) for QRAlt. Differences in time for face-mask removal were detected (F4,20  =  48.87, P  =  .001), with times ranging from 33.96 ± 14.14 seconds for QR to 99.22 ± 20.53 seconds for QRAlt. Differences were found in range of motion during face-mask removal (F4,20  =  16.25, P  =  .001), with range of motion from 10.10° ± 3.07° for QR to 16.91° ± 5.36° for TradAlt. Differences also were detected

  15. Characterization and mechanism of He plasma pretreatment of nanoscale polymer masks for improved pattern transfer fidelity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weilnboeck, F.; Metzler, D.; Kumar, N.

    2011-12-26

    Roughening of nanoscale polymer masks during plasma etching (PE) limits feature critical dimensions in current and future lithographic technologies. Roughness formation of 193 nm photoresist (PR) is mechanistically explained by plasma-induced changes in mechanical properties introduced at the PR surface ({approx}2 nm) by ions and in parallel in the material bulk ({approx}200 nm) by ultraviolet (UV) plasma radiation. Synergistic roughening of polymer masks can be prevented by pretreating PR patterns with a high dose of He plasma UV exposure to saturate bulk material modifications. During subsequent PE, PR patterns are stabilized and exhibit improved etch resistance and reduced surface/line-edge roughness.

  16. Mask-induced aberration in EUV lithography

    NASA Astrophysics Data System (ADS)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  17. Optimal mask characterization by Surrogate Wafer Print (SWaP) method

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.; Hoellein, Ingo; Peters, Jan Hendrick; Ackmann, Paul; Connolly, Brid; West, Craig

    2008-10-01

    Traditionally, definition of mask specifications is done completely by the mask user, while characterization of the mask relative to the specifications is done completely by the mask maker. As the challenges of low-k1 imaging continue to grow in scope of designs and in absolute complexity, the inevitable partnership between wafer lithographers and mask makers has strengthened as well. This is reflected in the jointly owned mask facilities and device manufacturers' continued maintenance of fully captive mask shops which foster the closer mask-litho relationships. However, while some device manufacturers have leveraged this to optimize mask specifications before the mask is built and, therefore, improve mask yield and cost, the opportunity for post-fabrication partnering on mask characterization is more apparent and compelling. The Advanced Mask Technology Center (AMTC) has been investigating the concept of assessing how a mask images, rather than the mask's physical attributes, as a technically superior and lower-cost method to characterize a mask. The idea of printing a mask under its intended imaging conditions, then characterizing the imaged wafer as a surrogate for traditional mask inspections and measurements represents the ultimate method to characterize a mask's performance, which is most meaningful to the user. Surrogate wafer print (SWaP) is already done as part of leading-edge wafer fab mask qualification to validate defect and dimensional performance. In the past, the prospect of executing this concept has generally been summarily discarded as technically untenable and logistically intractable. The AMTC published a paper at BACUS 2007 successfully demonstrating the performance of SWaP for the characterization of defects as an alternative to traditional mask inspection [1]. It showed that this concept is not only feasible, but, in some cases, desirable. This paper expands on last year's work at AMTC to assess the full implementation of SWaP as an

  18. Mechanical microencapsulation: The best technique in taste masking for the manufacturing scale - Effect of polymer encapsulation on drug targeting.

    PubMed

    Al-Kasmi, Basheer; Alsirawan, Mhd Bashir; Bashimam, Mais; El-Zein, Hind

    2017-08-28

    Drug taste masking is a crucial process for the preparation of pediatric and geriatric formulations as well as fast dissolving tablets. Taste masking techniques aim to prevent drug release in saliva and at the same time to obtain the desired release profile in gastrointestinal tract. Several taste masking methods are reported, however this review has focused on a group of promising methods; complexation, encapsulation, and hot melting. The effects of each method on the physicochemical properties of the drug are described in details. Furthermore, a scoring system was established to evaluate each process using recent published data of selected factors. These include, input, process, and output factors that are related to each taste masking method. Input factors include the attributes of the materials used for taste masking. Process factors include equipment type and process parameters. Finally, output factors, include taste masking quality and yield. As a result, Mechanical microencapsulation obtained the highest score (5/8) along with complexation with cyclodextrin suggesting that these methods are the most preferable for drug taste masking. Copyright © 2017 Elsevier B.V. All rights reserved.

  19. High hardness in the biocompatible intermetallic compound β-Ti3Au.

    PubMed

    Svanidze, Eteri; Besara, Tiglet; Ozaydin, M Fevsi; Tiwary, Chandra Sekhar; Wang, Jiakui K; Radhakrishnan, Sruthi; Mani, Sendurai; Xin, Yan; Han, Ke; Liang, Hong; Siegrist, Theo; Ajayan, Pulickel M; Morosan, E

    2016-07-01

    The search for new hard materials is often challenging, but strongly motivated by the vast application potential such materials hold. Ti3Au exhibits high hardness values (about four times those of pure Ti and most steel alloys), reduced coefficient of friction and wear rates, and biocompatibility, all of which are optimal traits for orthopedic, dental, and prosthetic applications. In addition, the ability of this compound to adhere to ceramic parts can reduce both the weight and the cost of medical components. The fourfold increase in the hardness of Ti3Au compared to other Ti-Au alloys and compounds can be attributed to the elevated valence electron density, the reduced bond length, and the pseudogap formation. Understanding the origin of hardness in this intermetallic compound provides an avenue toward designing superior biocompatible, hard materials.

  20. Coronagraphic mask design using Hermite functions.

    PubMed

    Cagigal, Manuel P; Canales, Vidal F; Valle, Pedro J; Oti, José E

    2009-10-26

    We introduce a stellar coronagraph that uses a coronagraphic mask described by a Hermite function or a combination of them. It allows the detection of exoplanets providing both deep starlight extinction and high angular resolution. This angular resolution depends on the order of the Hermite function used. An analysis of the coronagraph performance is carried out for different even order masks. Numerical simulations of the ideal case, with no phase errors and perfect telescope pointing, show that on-axis starlight is reduced to very low intensity levels corresponding to a gain of at least 25 magnitudes (10(-10) light intensity reduction). The coronagraphic throughput depends on the Hermite function or combination selected. The proposed mask series presents the same advantages of band limited masks along with the benefit of reducing the light diffracted by the mask border thanks to its particular shape. Nevertheless, for direct detection of Earth-like exoplanets it requires the use of adaptive optics facilities for compensating the perturbations introduced by the atmosphere and by the optical system.

  1. Current status of x-ray mask manufacturing at the Microlithographic Mask Development Center

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.; Hughes, Patrick J.

    1996-07-01

    The Microlithographic Mask Development Center (MMD) has been the focal point of X-ray mask development efforts in the United States since its inception in 1993. Funded by the Advanced Research Projects Agency (ARPA), and with technical support from the Proximity X-ray Lithography Association (AT&T, IBM, Loral Federal Systems, and Motorola) the MMD has recently made dramatic advances in mask fabrication. Numerous defect-free 64Mb and 256Mb DRAM masks have been made on both boron-doped silicon and silicon carbide substrates. Image-placement error of less than 35nm 3 sigma is achieved with high yield. Image-size (critical dimension) control of 25nm 3 sigma on 250nm nominal images is representative performance. This progress is being made in a manufacturing environment with significant volumes, multiple customers, multiple substrate configurations, and fast turnaround-time (TAT) requirements. The MMD state-of-the-art equipment infrastructure has made much of this progress possible. This year the MMD qualified the EL-4, an IBM-designed-and-built variable-shaped-spot e-beam system. The fundamental performance parameters of this system will be described. Operational techniques of multiple partial exposure writing and product specific emulation (PSE) have been implemented to improve image-placement accuracy with remarkable success. Image-size control was studied in detail with contributory components separated. Defect density was systematically reduced to yield defect-free masks while simultaneously tightening inspection criteria. Information about these and other recent engineering highlights will be reported. An outline of the primary engineering challenges and goals for 1996 and status of progress toward 100 nm design rule capability will also be given.

  2. The performances of standard and ResMed masks during bag-valve-mask ventilation.

    PubMed

    Lee, Hyoung Youn; Jeung, Kyung Woon; Lee, Byung Kook; Lee, Seung Joon; Jung, Yong Hun; Lee, Geo Sung; Min, Yong Il; Heo, Tag

    2013-01-01

    A tight mask seal is frequently difficult to obtain and maintain during single-rescuer bag-valve-mask (BVM) ventilation. The ResMed mask (Bella Vista, NSW, Australia) is a continuous-positive-airway-pressure mask (CM) designed for noninvasive ventilation. In this study, we compared the ventilation performances of a standard mask (SM) and a ResMed CM using a simulation manikin in an out-of-hospital single-rescuer BVM ventilation scenario. Thirty emergency medical technicians (EMTs) performed two 2-minute attempts to ventilate a simulation manikin using BVM ventilation, alternatively, with the SM or the ResMed CM in a randomized order. Ventilation parameters including tidal volume and peak airway pressure were measured using computer analysis software connected to the simulation manikin. Successful volume delivery was defined as delivery of 440-540 mL of tidal volume in accord with present cardiopulmonary resuscitation guidelines. BVM ventilation using the ResMed CM produced higher mean (± standard deviation) tidal volumes (452 ± 50 mL vs. 394 ± 113 mL, p = 0.014) and had a higher proportion of successful volume deliveries (65.3% vs. 26.7%, p < 0.001) than that using the SM. Peak airway pressure was higher in BVM ventilation using the ResMed CM (p = 0.035). Stomach insufflation did not occur during either method. Twenty-nine of the participants (96.7%) preferred BVM ventilation using the ResMed CM. BVM ventilations using ResMed CM resulted in a significantly higher proportion of successful volume deliveries meeting the currently recommended range of tidal volume. Clinical studies are needed to determine the value of the ResMed CM for BVM ventilation.

  3. Full magnetization process of 3d-4f hard magnetic materials in ultrahigh magnetic fields (an example: RFe11Ti)

    NASA Astrophysics Data System (ADS)

    Kuz'min, M. D.; Zvezdin, A. K.

    1998-03-01

    The prospects of using the free-powder high-field magnetization method for a quantitative study of inter-sublattice exchange interaction in 3d-4f hard magnetic materials are analyzed. Such analysis is stimulated by the availability of pulsed magnetic fields ˜103 T generated by implosion. Particular attention is paid to effects due to magnetic anisotropy, essential for these materials. The 3d-4f ferrimagnets where both sublattices contribute positively to the easy-axis anisotropy are shown to be suitable objects of study by the free-powder method, because (i) anomalies in their low-temperature magnetizatization curves are sharp and (ii) anisotropic effects can be allowed for without quantitative knowledge of the anisotropy constants. Moreover, these "good" hard magnetic materials can be brought into metamagnetic regime by diluting the rare earth sublattice with nonmagnetic yttrium; then, regardless of the anisotropy constants, the magnetization curve at low temperatures has just one steplike anomaly, the threshold field being equal exactly to the molecular field acting on the rare earth.

  4. Hard and low friction nitride coatings and methods for forming the same

    DOEpatents

    Erdemir, Ali; Urgen, Mustafa; Cakir, Ali Fuat; Eryilmaz, Osman Levent; Kazmanli, Kursat; Keles, Ozgul

    2007-05-01

    An improved coating material possessing super-hard and low friction properties and a method for forming the same. The improved coating material includes the use of a noble metal or soft metal homogeneously distributed within a hard nitride material. The addition of small amounts of such metals into nitrides such as molybdenum nitride, titanium nitride, and chromium nitride results in as much as increasing of the hardness of the material as well as decreasing the friction coefficient and increasing the oxidation resistance.

  5. Respiratory Source Control Using Surgical Masks With Nanofiber Media

    PubMed Central

    Skaria, Shaji D.; Smaldone, Gerald C.

    2014-01-01

    Background: Potentially infected individuals (‘source’) are sometimes encouraged to use face masks to reduce exposure of their infectious aerosols to others (‘receiver’). To improve compliance with Respiratory Source Control via face mask and therefore reduce receiver exposure, a mask should be comfortable and effective. We tested a novel face mask designed to improve breathability and filtration using nanofiber filtration. Methods: Using radiolabeled test aerosols and a calibrated exposure chamber simulating source to receiver interaction, facepiece function was measured with a life-like ventilated manikin model. Measurements included mask airflow resistance (pressure difference during breathing), filtration, (mask capture of exhaled radiolabeled test aerosols), and exposure (the transfer of ‘infectious’ aerosols from the ‘source’ to a ‘receiver’). Polydisperse aerosols were measured at the source with a mass median aerodynamic diameter of 0.95 µm. Approximately 90% of the particles were <2.0 µm. Tested facepieces included nanofiber prototype surgical masks, conventional surgical masks, and for comparison, an N95-class filtering facepiece respirator (commonly known as an ‘N95 respirator’). Airflow through and around conventional surgical face mask and nanofiber prototype face mask was visualized using Schlieren optical imaging. Results: Airflow resistance [ΔP, cmH2O] across sealed surgical masks (means: 0.1865 and 0.1791 cmH2O) approached that of the N95 (mean: 0.2664 cmH2O). The airflow resistance across the nanofiber face mask whether sealed or not sealed (0.0504 and 0.0311 cmH2O) was significantly reduced in comparison. In addition, ‘infected’ source airflow filtration and receiver exposure levels for nanofiber face masks placed on the source were comparable to that achieved with N95 placed on the source; 98.98% versus 82.68% and 0.0194 versus 0.0557, respectively. Compared to deflection within and around the conventional face

  6. Respiratory source control using surgical masks with nanofiber media.

    PubMed

    Skaria, Shaji D; Smaldone, Gerald C

    2014-07-01

    Potentially infected individuals ('source') are sometimes encouraged to use face masks to reduce exposure of their infectious aerosols to others ('receiver'). To improve compliance with Respiratory Source Control via face mask and therefore reduce receiver exposure, a mask should be comfortable and effective. We tested a novel face mask designed to improve breathability and filtration using nanofiber filtration. Using radiolabeled test aerosols and a calibrated exposure chamber simulating source to receiver interaction, facepiece function was measured with a life-like ventilated manikin model. Measurements included mask airflow resistance (pressure difference during breathing), filtration, (mask capture of exhaled radiolabeled test aerosols), and exposure (the transfer of 'infectious' aerosols from the 'source' to a 'receiver'). Polydisperse aerosols were measured at the source with a mass median aerodynamic diameter of 0.95 µm. Approximately 90% of the particles were <2.0 µm. Tested facepieces included nanofiber prototype surgical masks, conventional surgical masks, and for comparison, an N95-class filtering facepiece respirator (commonly known as an 'N95 respirator'). Airflow through and around conventional surgical face mask and nanofiber prototype face mask was visualized using Schlieren optical imaging. Airflow resistance [ΔP, cmH2O] across sealed surgical masks (means: 0.1865 and 0.1791 cmH2O) approached that of the N95 (mean: 0.2664 cmH2O). The airflow resistance across the nanofiber face mask whether sealed or not sealed (0.0504 and 0.0311 cmH2O) was significantly reduced in comparison. In addition, 'infected' source airflow filtration and receiver exposure levels for nanofiber face masks placed on the source were comparable to that achieved with N95 placed on the source; 98.98% versus 82.68% and 0.0194 versus 0.0557, respectively. Compared to deflection within and around the conventional face masks, Schlieren optical imaging demonstrated enhanced

  7. A nanohybrid system for taste masking of sildenafil.

    PubMed

    Lee, Ji-Hee; Choi, Goeun; Oh, Yeon-Ji; Park, Je Won; Choy, Young Bin; Park, Mung Chul; Yoon, Yeo Joon; Lee, Hwa Jeong; Chang, Hee Chul; Choy, Jin-Ho

    2012-01-01

    A nanohybrid was prepared with an inorganic clay material, montmorillonite (MMT), for taste masking of sildenafil (SDN). To further improve the taste-masking efficiency and enhance the drug-release rate, we coated the nanohybrid of SDN-MMT with a basic polymer, polyvinylacetal diethylaminoacetate (AEA). Powder X-ray diffraction and Fourier transform infrared experiments showed that SDN was successfully intercalated into the interlayer space of MMT. The AEA-coated SDN-MMT nanohybrid showed drug release was much suppressed at neutral pH (release rate, 4.70 ± 0.53%), suggesting a potential for drug taste masking at the buccal cavity. We also performed in vitro drug release experiments in a simulated gastric fluid (pH = 1.2) and compared the drug-release profiles of AEA-coated SDN-MMT and Viagra(®), an approved dosage form of SDN. As a result, about 90% of SDN was released from the AEA-coated SDN-MMT during the first 2 hours while almost 100% of drug was released from Viagra(®). However, an in vivo experiment showed that the AEA-coated SDN-MMT exhibited higher drug exposure than Viagra(®). For the AEA-coated SDN-MMT, the area under the plasma concentration- time curve from 0 hours to infinity (AUC(0-∞)) and maximum concentration (C(max)) were 78.8 ± 2.32 μg · hour/mL and 12.4 ± 0.673 μg/mL, respectively, both of which were larger than those obtained with Viagra(®) (AUC(0-∞) = 69.2 ± 3.19 μg · hour/mL; C(max) = 10.5 ± 0.641 μg/mL). Therefore, we concluded that the MMT-based nanohybrid is a promising delivery system for taste masking of SDN with possibly improved drug exposure.

  8. A nanohybrid system for taste masking of sildenafil

    PubMed Central

    Lee, Ji-Hee; Choi, Goeun; Oh, Yeon-Ji; Park, Je Won; Choy, Young Bin; Park, Mung Chul; Yoon, Yeo Joon; Lee, Hwa Jeong; Chang, Hee Chul; Choy, Jin-Ho

    2012-01-01

    A nanohybrid was prepared with an inorganic clay material, montmorillonite (MMT), for taste masking of sildenafil (SDN). To further improve the taste-masking efficiency and enhance the drug-release rate, we coated the nanohybrid of SDN–MMT with a basic polymer, polyvinylacetal diethylaminoacetate (AEA). Powder X-ray diffraction and Fourier transform infrared experiments showed that SDN was successfully intercalated into the interlayer space of MMT. The AEA-coated SDN–MMT nanohybrid showed drug release was much suppressed at neutral pH (release rate, 4.70 ± 0.53%), suggesting a potential for drug taste masking at the buccal cavity. We also performed in vitro drug release experiments in a simulated gastric fluid (pH = 1.2) and compared the drug-release profiles of AEA-coated SDN–MMT and Viagra®, an approved dosage form of SDN. As a result, about 90% of SDN was released from the AEA-coated SDN–MMT during the first 2 hours while almost 100% of drug was released from Viagra®. However, an in vivo experiment showed that the AEA-coated SDN–MMT exhibited higher drug exposure than Viagra®. For the AEA-coated SDN–MMT, the area under the plasma concentration– time curve from 0 hours to infinity (AUC0-∞) and maximum concentration (Cmax) were 78.8 ± 2.32 μg · hour/mL and 12.4 ± 0.673 μg/mL, respectively, both of which were larger than those obtained with Viagra® (AUC0-∞ = 69.2 ± 3.19 μg · hour/mL; Cmax = 10.5 ± 0.641 μg/mL). Therefore, we concluded that the MMT-based nanohybrid is a promising delivery system for taste masking of SDN with possibly improved drug exposure. PMID:22619517

  9. Scatterometry on pelliclized masks: an option for wafer fabs

    NASA Astrophysics Data System (ADS)

    Gallagher, Emily; Benson, Craig; Higuchi, Masaru; Okumoto, Yasuhiro; Kwon, Michael; Yedur, Sanjay; Li, Shifang; Lee, Sangbong; Tabet, Milad

    2007-03-01

    Optical scatterometry-based metrology is now widely used in wafer fabs for lithography, etch, and CMP applications. This acceptance of a new metrology method occurred despite the abundance of wellestablished CD-SEM and AFM methods. It was driven by the desire to make measurements faster and with a lower cost of ownership. Over the last year, scatterometry has also been introduced in advanced mask shops for mask measurements. Binary and phase shift masks have been successfully measured at all desired points during photomask production before the pellicle is mounted. There is a significant benefit to measuring masks with the pellicle in place. From the wafer fab's perspective, through-pellicle metrology would verify mask effects on the same features that are characterized on wafer. On-site mask verification would enable quality control and trouble-shooting without returning the mask to a mask house. Another potential application is monitoring changes to mask films once the mask has been delivered to the fab (haze, oxide growth, etc.). Similar opportunities apply to the mask metrologist receiving line returns from a wafer fab. The ability to make line-return measurements without risking defect introduction is clearly attractive. This paper will evaluate the feasibility of collecting scatterometry data on pelliclized masks. We explore the effects of several different pellicle types on scatterometry measurements made with broadband light in the range of 320-780 nm. The complexity introduced by the pellicles' optical behavior will be studied.

  10. The Art of Japanese Masks and Kimonos

    ERIC Educational Resources Information Center

    Brooks, Nancy Johnston

    2009-01-01

    Japanese masks have been worn for a number of reasons. In the past they were often used in plays and celebrations. Today in Japan, social masks are essential in many party gatherings as a form of expressing one's personality. In this article, the author describes an art project wherein students created Japanese masks and kimonos.

  11. Method for mask repair using defect compensation

    DOEpatents

    Sweeney, Donald W.; Ray-Chaudhuri, Avijit K.

    2001-01-01

    A method for repair of amplitude and/or phase defects in lithographic masks. The method involves modifying or altering a portion of the absorber pattern on the surface of the mask blank proximate to the mask defect to compensate for the local disturbance (amplitude or phase) of the optical field due to the defect.

  12. Mask cost of ownership for advanced lithography

    NASA Astrophysics Data System (ADS)

    Muzio, Edward G.; Seidel, Philip K.

    2000-07-01

    As technology advances, becoming more difficult and more expensive, the cost of ownership (CoO) metric becomes increasingly important in evaluating technical strategies. The International SEMATECH CoC analysis has steadily gained visibility over the past year, as it attempts to level the playing field between technology choices, and create a fair relative comparison. In order to predict mask cots for advanced lithography, mask process flows are modeled using bets-known processing strategies, equipment cost, and yields. Using a newly revised yield mode, and updated mask manufacture flows, representative mask flows can be built. These flows are then used to calculate mask costs for advanced lithography down to the 50 nm node. It is never the goal of this type of work to provide absolute cost estimates for business planning purposes. However, the combination of a quantifiable yield model with a clearly defined set of mask processing flows and a cost model based upon them serves as an excellent starting point for cost driver analysis and process flow discussion.

  13. Mask aligner for ultrahigh vacuum with capacitive distance control

    NASA Astrophysics Data System (ADS)

    Bhaskar, Priyamvada; Mathioudakis, Simon; Olschewski, Tim; Muckel, Florian; Bindel, Jan Raphael; Pratzer, Marco; Liebmann, Marcus; Morgenstern, Markus

    2018-04-01

    We present a mask aligner driven by three piezomotors which guides and aligns a SiN shadow mask under capacitive control towards a sample surface. The three capacitors for read out are located at the backside of the thin mask such that the mask can be placed at a μm distance from the sample surface, while keeping it parallel to the surface, without touching the sample by the mask a priori. Samples and masks can be exchanged in-situ and the mask can additionally be displaced parallel to the surface. We demonstrate an edge sharpness of the deposited structures below 100 nm, which is likely limited by the diffusion of the deposited Au on Si(111).

  14. Masked Repetition Priming Using Magnetoencephalography

    ERIC Educational Resources Information Center

    Monahan, Philip J.; Fiorentino, Robert; Poeppel, David

    2008-01-01

    Masked priming is used in psycholinguistic studies to assess questions about lexical access and representation. We present two masked priming experiments using MEG. If the MEG signal elicited by words reflects specific aspects of lexical retrieval, then one expects to identify specific neural correlates of retrieval that are sensitive to priming.…

  15. Advanced EUV mask and imaging modeling

    NASA Astrophysics Data System (ADS)

    Evanschitzky, Peter; Erdmann, Andreas

    2017-10-01

    The exploration and optimization of image formation in partially coherent EUV projection systems with complex source shapes requires flexible, accurate, and efficient simulation models. This paper reviews advanced mask diffraction and imaging models for the highly accurate and fast simulation of EUV lithography systems, addressing important aspects of the current technical developments. The simulation of light diffraction from the mask employs an extended rigorous coupled wave analysis (RCWA) approach, which is optimized for EUV applications. In order to be able to deal with current EUV simulation requirements, several additional models are included in the extended RCWA approach: a field decomposition and a field stitching technique enable the simulation of larger complex structured mask areas. An EUV multilayer defect model including a database approach makes the fast and fully rigorous defect simulation and defect repair simulation possible. A hybrid mask simulation approach combining real and ideal mask parts allows the detailed investigation of the origin of different mask 3-D effects. The image computation is done with a fully vectorial Abbe-based approach. Arbitrary illumination and polarization schemes and adapted rigorous mask simulations guarantee a high accuracy. A fully vectorial sampling-free description of the pupil with Zernikes and Jones pupils and an optimized representation of the diffraction spectrum enable the computation of high-resolution images with high accuracy and short simulation times. A new pellicle model supports the simulation of arbitrary membrane stacks, pellicle distortions, and particles/defects on top of the pellicle. Finally, an extension for highly accurate anamorphic imaging simulations is included. The application of the models is demonstrated by typical use cases.

  16. Adaptation to different noninvasive ventilation masks in critically ill patients*

    PubMed Central

    da Silva, Renata Matos; Timenetsky, Karina Tavares; Neves, Renata Cristina Miranda; Shigemichi, Liane Hirano; Kanda, Sandra Sayuri; Maekawa, Carla; Silva, Eliezer; Eid, Raquel Afonso Caserta

    2013-01-01

    OBJECTIVE: To identify which noninvasive ventilation (NIV) masks are most commonly used and the problems related to the adaptation to such masks in critically ill patients admitted to a hospital in the city of São Paulo, Brazil. METHODS: An observational study involving patients ≥ 18 years of age admitted to intensive care units and submitted to NIV. The reason for NIV use, type of mask, NIV regimen, adaptation to the mask, and reasons for non-adaptation to the mask were investigated. RESULTS: We evaluated 245 patients, with a median age of 82 years. Acute respiratory failure was the most common reason for NIV use (in 71.3%). Total face masks were the most commonly used (in 74.7%), followed by full face masks and near-total face masks (in 24.5% and 0.8%, respectively). Intermittent NIV was used in 82.4% of the patients. Adequate adaptation to the mask was found in 76% of the patients. Masks had to be replaced by another type of mask in 24% of the patients. Adequate adaptation to total face masks and full face masks was found in 75.5% and 80.0% of the patients, respectively. Non-adaptation occurred in the 2 patients using near-total facial masks. The most common reason for non-adaptation was the shape of the face, in 30.5% of the patients. CONCLUSIONS: In our sample, acute respiratory failure was the most common reason for NIV use, and total face masks were the most commonly used. The most common reason for non-adaptation to the mask was the shape of the face, which was resolved by changing the type of mask employed. PMID:24068269

  17. Adaptation to different noninvasive ventilation masks in critically ill patients.

    PubMed

    Silva, Renata Matos da; Timenetsky, Karina Tavares; Neves, Renata Cristina Miranda; Shigemichi, Liane Hirano; Kanda, Sandra Sayuri; Maekawa, Carla; Silva, Eliezer; Eid, Raquel Afonso Caserta

    2013-01-01

    To identify which noninvasive ventilation (NIV) masks are most commonly used and the problems related to the adaptation to such masks in critically ill patients admitted to a hospital in the city of São Paulo, Brazil. An observational study involving patients ≥ 18 years of age admitted to intensive care units and submitted to NIV. The reason for NIV use, type of mask, NIV regimen, adaptation to the mask, and reasons for non-adaptation to the mask were investigated. We evaluated 245 patients, with a median age of 82 years. Acute respiratory failure was the most common reason for NIV use (in 71.3%). Total face masks were the most commonly used (in 74.7%), followed by full face masks and near-total face masks (in 24.5% and 0.8%, respectively). Intermittent NIV was used in 82.4% of the patients. Adequate adaptation to the mask was found in 76% of the patients. Masks had to be replaced by another type of mask in 24% of the patients. Adequate adaptation to total face masks and full face masks was found in 75.5% and 80.0% of the patients, respectively. Non-adaptation occurred in the 2 patients using near-total facial masks. The most common reason for non-adaptation was the shape of the face, in 30.5% of the patients. In our sample, acute respiratory failure was the most common reason for NIV use, and total face masks were the most commonly used. The most common reason for non-adaptation to the mask was the shape of the face, which was resolved by changing the type of mask employed.

  18. How to estimate hardness of crystals on a pocket calculator

    NASA Astrophysics Data System (ADS)

    Šimůnek, Antonín

    2007-05-01

    A generalization of the semiempirical microscopic model of hardness is presented and applied to currently studied borides, carbides, and nitrides of heavy transition metals. The hardness of OsB, OsC, OsN, PtN, RuC, RuB2 , ReB2 , OsB2 , IrN2 , PtN2 , and OsN2 crystals in various structural phases is predicted. It is found that none of the transition metal crystals is superhard, i.e., with hardness greater than 40GPa . The presented method provides materials researchers with a practical tool in the search for new hard materials.

  19. Attentional capture by masked colour singletons.

    PubMed

    Ansorge, Ulrich; Horstmann, Gernot; Worschech, Franziska

    2010-09-15

    We tested under which conditions a colour singleton of which an observer is unaware captures attention. To prevent visual awareness of the colour singleton, we used backward masking. We find that a masked colour singleton cue captures attention if it matches the observer's goal to search for target colours but not if it is task-irrelevant. This is also reflected in event-related potentials to the visible target: the masked goal-matching cue elicits an attentional potential (N2pc) in a target search task. By contrast, a non-matching but equally strong masked colour singleton cue failed to elicit a capture effect and an N2pc. Results are discussed with regard to currently pertaining conceptions of attentional capture by colour singletons. Copyright 2010 Elsevier Ltd. All rights reserved.

  20. Identification of a Suitable 3D Printing Material for Mimicking Brittle and Hard Rocks and Its Brittleness Enhancements

    NASA Astrophysics Data System (ADS)

    Zhou, T.; Zhu, J. B.

    2018-03-01

    Three-dimensional printing (3DP) is a computer-controlled additive manufacturing technique which is able to repeatedly and accurately fabricate objects with complicated geometry and internal structures. After 30 years of fast development, 3DP has become a mainstream manufacturing process in various fields. This study focuses on identifying the most suitable 3DP material from five targeted available 3DP materials, i.e. ceramics, gypsum, PMMA (poly(methyl methacrylate)), SR20 (acrylic copolymer) and resin (Accura® 60), to simulate brittle and hard rocks. Firstly, uniaxial compression tests were performed to determine the mechanical properties and failure patterns of the 3DP samples fabricated by those five materials. Experimental results indicate that among current 3DP techniques, the resin produced via stereolithography (SLA) is the most suitable 3DP material for mimicking brittle and hard rocks, although its brittleness needs to be improved. Subsequently, three methods including freezing, incorporation of internal macro-crack and addition of micro-defects were adopted to enhance the brittleness of the 3DP resin, followed by uniaxial compression tests on the treated samples. Experimental results reveal that 3DP resin samples with the suggested treatments exhibited brittle properties and behaved similarly to natural rocks. Finally, some prospective improvements which can be used to facilitate the application of 3DP techniques to rock mechanics were also discussed. The findings of this paper could contribute to promoting the application of 3DP technique in rock mechanics.

  1. Mask-less patterning of organic light emitting diodes using electrospray and selective biasing on pixel electrodes

    NASA Astrophysics Data System (ADS)

    Lee, Sangyeob; Koo, Hyun; Cho, Sunghwan

    2015-04-01

    Wet process of soluble organic light emitting diode (OLED) materials has attracted much attention due to its potential as a large-area manufacturing process with high productivity. Electrospray (ES) deposition is one of candidates of organic thin film formation process for OLED. However, to fabricate red, green, and blue emitters for color display, a fine metal mask is required during spraying emitter materials. We demonstrate a mask-less color pixel patterning process using ES of soluble OLED materials and selective biasing on pixel electrodes and a spray nozzle. We show red and green line patterns of OLED materials. It was found that selective patterning can be allowed by coulomb repulsion between nozzle and pixel. Furthermore, we fabricated blue fluorescent OLED devices by vacuum evaporation and ES processes. The device performance of ES processed OLED showed nearly identical current-voltage characteristics and slightly lower current efficiency compared to vacuum processed OLED.

  2. Objective measures of binaural masking level differences and comodulation masking release based on late auditory evoked potentials.

    PubMed

    Epp, Bastian; Yasin, Ifat; Verhey, Jesko L

    2013-12-01

    The audibility of important sounds is often hampered due to the presence of other masking sounds. The present study investigates if a correlate of the audibility of a tone masked by noise is found in late auditory evoked potentials measured from human listeners. The audibility of the target sound at a fixed physical intensity is varied by introducing auditory cues of (i) interaural target signal phase disparity and (ii) coherent masker level fluctuations in different frequency regions. In agreement with previous studies, psychoacoustical experiments showed that both stimulus manipulations result in a masking release (i: binaural masking level difference; ii: comodulation masking release) compared to a condition where those cues are not present. Late auditory evoked potentials (N1, P2) were recorded for the stimuli at a constant masker level, but different signal levels within the same set of listeners who participated in the psychoacoustical experiment. The data indicate differences in N1 and P2 between stimuli with and without interaural phase disparities. However, differences for stimuli with and without coherent masker modulation were only found for P2, i.e., only P2 is sensitive to the increase in audibility, irrespective of the cue that caused the masking release. The amplitude of P2 is consistent with the psychoacoustical finding of an addition of the masking releases when both cues are present. Even though it cannot be concluded where along the auditory pathway the audibility is represented, the P2 component of auditory evoked potentials is a candidate for an objective measure of audibility in the human auditory system. Copyright © 2013 Elsevier B.V. All rights reserved.

  3. Neonatal mannequin comparison of the Upright self-inflating bag and snap-fit mask versus standard resuscitators and masks: leak, applied load and tidal volumes.

    PubMed

    Rafferty, Anthony Richard; Johnson, Lucy; Davis, Peter G; Dawson, Jennifer Anne; Thio, Marta; Owen, Louise S

    2017-11-30

    Neonatal mask ventilation is a difficult skill to acquire and maintain. Mask leak is common and can lead to ineffective ventilation. The aim of this study was to determine whether newly available neonatal self-inflating bags and masks could reduce mask leak without additional load being applied to the face. Forty operators delivered 1 min episodes of mask ventilation to a mannequin using the Laerdal Upright Resuscitator, a standard Laerdal infant resuscitator (Laerdal Medical) and a T-Piece Resuscitator (Neopuff), using both the Laerdal snap-fit face mask and the standard Laerdal size 0/1 face mask (equivalent sizes). Participants were asked to use pressure sufficient to achieve 'appropriate' chest rise. Leak, applied load, airway pressure and tidal volume were measured continuously. Participants were unaware that load was being recorded. There was no difference in mask leak between resuscitation devices. Leak was significantly lower when the snap-fit mask was used with all resuscitation devices, compared with the standard mask (14% vs 37% leak, P<0.01). The snap-fit mask was preferred by 83% of participants. The device-mask combinations had no significant effect on applied load. The Laerdal Upright Resuscitator resulted in similar leak to the other resuscitation devices studied, and did not exert additional load to the face and head. The snap-fit mask significantly reduced overall leak with all resuscitation devices and was the mask preferred by participants. © Article author(s) (or their employer(s) unless otherwise stated in the text of the article) 2017. All rights reserved. No commercial use is permitted unless otherwise expressly granted.

  4. Effect of Ibuprofen on masking endodontic diagnosis.

    PubMed

    Read, Jason K; McClanahan, Scott B; Khan, Asma A; Lunos, Scott; Bowles, Walter R

    2014-08-01

    An accurate diagnosis is of upmost importance before initiating endodontic treatment; yet, there are occasions when the practitioner cannot reproduce the patient's chief complaint because the patient has become asymptomatic. Ibuprofen taken beforehand may "mask" or eliminate the patient's symptoms. In fact, 64%-83% of patients with dental pain take analgesics before seeing a dentist. The purpose of this study was to examine the possible "masking" effect of ibuprofen on endodontic diagnostic tests. Forty-two patients with endodontic pain underwent testing (cold, percussion, palpation, and bite force measurement) and then received either placebo or 800 mg ibuprofen. Both patients and operators were blinded to the medication received. One hour later, diagnostic testing was repeated and compared with pretreatment testing. Ibuprofen affected testing values for vital teeth by masking palpation 40%, percussion 25%, and cold 25% on affected teeth with symptomatic irreversible pulpitis and symptomatic apical periodontitis. There was no observed masking effect in the placebo group on palpation, percussion, or cold values. When nonvital teeth were included, the masking effect of ibuprofen was decreased. However, little masking occurred with the bite force measurement differences. Analgesics taken before the dental appointment can affect endodontic diagnostic testing results. Bite force measurements can assist in identifying the offending tooth in cases in which analgesics "mask" the endodontic diagnosis. Copyright © 2014 American Association of Endodontists. Published by Elsevier Inc. All rights reserved.

  5. Fabless company mask technology approach: fabless but not fab-careless

    NASA Astrophysics Data System (ADS)

    Hisamura, Toshiyuki; Wu, Xin

    2009-10-01

    There are two different foundry-fabless working models in the aspect of mask. Some foundries have in-house mask facility while others contract with merchant mask vendors. Significant progress has been made in both kinds of situations. Xilinx as one of the pioneers of fabless semiconductor companies has been continually working very closely with both merchant mask vendors and mask facilities of foundries in past many years, contributed well in both technology development and benefited from corporations. Our involvement in manufacturing is driven by the following three elements: The first element is to understand the new fabrication and mask technologies and then find a suitable design / layout style to better utilize these new technologies and avoid potential risks. Because Xilinx has always been involved in early stage of advanced technology nodes, this early understanding and adoption is especially important. The second element is time to market. Reduction in mask and wafer manufacturing cycle-time can ensure faster time to market. The third element is quality. Commitment to quality is our highest priority for our customers. We have enough visibility on any manufacturing issues affecting the device functionality. Good correlation has consistently been observed between FPGA speed uniformity and the poly mask Critical Dimension (CD) uniformity performance. To achieve FPGA speed uniformity requirement, the manufacturing process as well as the mask and wafer CD uniformity has to be monitored. Xilinx works closely with the wafer foundries and mask suppliers to improve productivity and the yield from initial development stage of mask making operations. As an example, defect density reduction is one of the biggest challenges for mask supplier in development stage to meet the yield target satisfying the mask cost and mask turn-around-time (TAT) requirement. Historically, masks were considered to be defect free but at these advanced process nodes, that assumption no longer

  6. High hardness in the biocompatible intermetallic compound β-Ti3Au

    PubMed Central

    Svanidze, Eteri; Besara, Tiglet; Ozaydin, M. Fevsi; Tiwary, Chandra Sekhar; Wang, Jiakui K.; Radhakrishnan, Sruthi; Mani, Sendurai; Xin, Yan; Han, Ke; Liang, Hong; Siegrist, Theo; Ajayan, Pulickel M.; Morosan, E.

    2016-01-01

    The search for new hard materials is often challenging, but strongly motivated by the vast application potential such materials hold. Ti3Au exhibits high hardness values (about four times those of pure Ti and most steel alloys), reduced coefficient of friction and wear rates, and biocompatibility, all of which are optimal traits for orthopedic, dental, and prosthetic applications. In addition, the ability of this compound to adhere to ceramic parts can reduce both the weight and the cost of medical components. The fourfold increase in the hardness of Ti3Au compared to other Ti–Au alloys and compounds can be attributed to the elevated valence electron density, the reduced bond length, and the pseudogap formation. Understanding the origin of hardness in this intermetallic compound provides an avenue toward designing superior biocompatible, hard materials. PMID:27453942

  7. Is tinnitus an early voice of masked hypertension? High masked hypertension rate in patients with tinnitus.

    PubMed

    Gun, Taylan; Özkan, Selçuk; Yavuz, Bunyamin

    2018-04-23

    Tinnitus is hearing a sound without any external acoustic stimulus. There are some clues of hypertension can cause tinnitus in different ways. The aim of the study was to evaluate the relationship between tinnitus and masked hypertension including echocardiographic parameters and severity of tinnitus. This study included 88 patients with tinnitus of at least 3 months duration and 85 age and gender-matched control subjects. Tinnitus severity index was used to classify the patients with tinnitus. After a complete medical history, all subjects underwent routine laboratory examination, office blood pressure measurement, hearing tests and ambulatory blood pressure monitoring. Masked hypertension is defined as normal office blood pressure measurement and high ambulatory blood pressure level. Baseline characteristics in patients and controls were similar. Prevalence of masked hypertension was significantly higher in patients with tinnitus than controls (18.2% vs 3.5%, p = 0.002). Office diastolic BP (76 ± 8.1 vs. 72.74 ± 8.68, p = 0.01), ambulatory 24-H diastolic BP (70.2 ± 9.6 vs. 66.9 ± 6.1, p = 0.07) and ambulatory daytime diastolic BP (73.7 ± 9.5 vs. 71.1 ± 6.2, p = 0.03) was significantly higher in patients with tinnitus than control group. Tinnitus severity index in patients without masked hypertension was 0 and tinnitus severity index in patients with masked hypertension were 2 (1-5). This study demonstrated that masked hypertension must be kept in mind if there is a complaint of tinnitus without any other obvious reason.

  8. Cyclic Hardness Test PHYBALCHT: A New Short-Time Procedure to Estimate Fatigue Properties of Metallic Materials

    NASA Astrophysics Data System (ADS)

    Kramer, Hendrik; Klein, Marcus; Eifler, Dietmar

    Conventional methods to characterize the fatigue behavior of metallic materials are very time and cost consuming. That is why the new short-time procedure PHYBALCHT was developed at the Institute of Materials Science and Engineering at the University of Kaiserslautern. This innovative method requires only a planar material surface to perform cyclic force-controlled hardness indentation tests. To characterize the cyclic elastic-plastic behavior of the test material the change of the force-indentation-depth-hysteresis is plotted versus the number of indentation cycles. In accordance to the plastic strain amplitude the indentation-depth width of the hysteresis loop is measured at half minimum force and is called plastic indentation-depth amplitude. Its change as a function of the number of cycles of indentation can be described by power-laws. One of these power-laws contains the hardening-exponentCHT e II , which correlates very well with the amount of cyclic hardening in conventional constant amplitude fatigue tests.

  9. Face mask use by patients in primary care.

    PubMed

    Tischendorf, Jessica S; Temte, Jonathan L

    2012-02-01

    Face masks are recommended for patients with respiratory symptoms to reduce influenza transmission. Little knowledge exists regarding actual utilization and acceptance of face masks in primary care. Compare distribution of face masks to clinic and community trends in respiratory infection (RI) and influenza-like illness (ILI); estimate the annual need for face masks in primary care. Retrospective observational study of practice data from a 31-week period starting in October 2009. Family practice clinic in Madison, Wis. Patients with fever, cough, or other respiratory symptoms as evaluated by reception staff. Age, sex, and weekly counts of individuals receiving a face mask, as well as counts of RI and ILI patients based on ICD-9 coding from 27 statewide clinics. Face mask counts were 80% of RI counts for the clinic and reflected the demographics of the clinic population. Distribution was correlated to prevalence of RI (R = 0.783, P < 0.001) and ILI (R = 0.632, P < 0.001). Annually, 8% of clinic visits were for RI. The high percentage of face mask use among RI patients reflects the feasibility of this intervention to help control influenza transmission in a primary care setting. Using the present data, clinics can estimate the annual need for face masks.

  10. The 2002 to 2010 mask survey trend analysis

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Chan, David

    2011-03-01

    Microelectronics industry leaders consistently cite the cost and cycle time of mask technology and mask supply as top critical issues. A survey was designed with input from semiconductor company mask technologists and merchant mask suppliers and support from SEMATECH to gather information about the mask industry as an objective assessment of its overall condition. This year's assessment was the ninth in the current series of annual reports. Its data were presented in detail at BACUS, and the detailed trend analysis is presented at EMLC. With continued industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. Results will be used to guide future investments in critical path issues. This year's survey is basically the same as the 2005 through 2010 surveys. Questions are grouped into six categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category are multiple questions that ultimately create a detailed profile of both the business and technical status of the critical mask industry.

  11. Monaural informational masking release in children and adults

    NASA Astrophysics Data System (ADS)

    Buss, Emily; Hall, Joseph W.; Grose, John H.

    2004-05-01

    Informational masking refers to an elevation in signal threshold due to stimulus uncertainty, rather than to energetic masking. This study assessed informational masking and utilization of cues to reduce that masking in children aged 5-9 and adults. We used a manipulation introduced by Kidd et al. [J. Acoust. Soc. Am. 95, 3475-3480 (1994)] in which the signal was a train of eight consecutive tone bursts, each at 1 kHz and 60 ms in duration. Maskers were comprised of a pair of synchronous tone-burst trains whose frequencies were selected from the range spanning 0.2-5 kHz, with a protected region 851-1175 Hz. In the reference condition, where informational masking is pronounced, these maskers were eight bursts and had a fixed frequency within each interval, with new frequencies chosen randomly prior to each interval. Two conditions of masking release were tested: random frequency selection for each masker burst and a masker leading fringe of two additional 60-ms bursts. Both children and adults showed a significant informational masking effect, with children showing a larger effect. Both groups also showed significant release from masking, though initial results suggest that this may have been reduced in the youngest children. [Work supported by NIH, RO1 DC00397.

  12. Visual Masking in Schizophrenia: Overview and Theoretical Implications

    PubMed Central

    Green, Michael F.; Lee, Junghee; Wynn, Jonathan K.; Mathis, Kristopher I.

    2011-01-01

    Visual masking provides several key advantages for exploring the earliest stages of visual processing in schizophrenia: it allows for control over timing at the millisecond level, there are several well-supported theories of the underlying neurobiology of visual masking, and it is amenable to examination by electroencephalogram (EEG) and functional magnetic resonance imaging (fMRI). In this paper, we provide an overview of the visual masking impairment schizophrenia, including the relevant theoretical mechanisms for masking impairment. We will discuss its relationship to clinical symptoms, antipsychotic medications, diagnostic specificity, and presence in at-risk populations. As part of this overview, we will cover the neural correlates of visual masking based on recent findings from EEG and fMRI. Finally, we will suggest a possible mechanism that could explain the patterns of masking findings and other visual processing findings in schizophrenia. PMID:21606322

  13. Influence of face mask design on bag-valve-mask ventilation performance: a randomized simulation study.

    PubMed

    Na, J U; Han, S K; Choi, P C; Cho, J H; Shin, D H

    2013-10-01

    Different face mask designs can influence bag-valve-mask (BVM) ventilation performance during resuscitation. We compared a single-use, air-cushioned face mask (AM) with a reusable silicone face mask (SM) for quality of BVM ventilation on a manikin simulating cardiac arrest. Thirty-two physicians were recruited, and a prospective, randomized, crossover observational study was conducted after an American Heart Association-accredited basic life support provider course and standardized practice time were completed. Participants performed 12 cycles of BVM ventilation with both the AM and SM on a SmartMan lung simulator. Mean tidal volume was significantly higher in ventilations performed using the AM vs. the SM (548 ± 159 ml vs. 439 ± 163 ml, P < 0.01). In addition, the proportion of low-volume ventilation was significantly lower with the AM than the SM [6/12 (2-11) vs. 9/12 (5-12), P = 0.03]. Bag-valve-AM ventilation volume was not affected by the physical characteristics of the rescuers, except for sex. In contrast, bag-valve-SM ventilation volume was affected by most of the characteristics tested, including sex, height, weight, hand width, hand length, and grip power. The AM seems to be a more efficient face mask than the SM at delivering sufficient ventilation volumes. The performance of the AM did not seem to be associated with the physical characteristics of the rescuers, whereas that of the SM was affected by these factors. The SM may not be an appropriate face mask for performing one-person BVM ventilation during resuscitation for rescuers who are smaller in stature, have a smaller hand size, or have weaker grip power. © 2013 The Acta Anaesthesiologica Scandinavica Foundation. Published by John Wiley & Sons Ltd.

  14. Noninvasive CPAP with face mask: comparison among new air-entrainment masks and the Boussignac valve.

    PubMed

    Mistraletti, Giovanni; Giacomini, Matteo; Sabbatini, Giovanni; Pinciroli, Riccardo; Mantovani, Elena S; Umbrello, Michele; Palmisano, Debora; Formenti, Paolo; Destrebecq, Anne L L; Iapichino, Gaetano

    2013-02-01

    The performances of 2 noninvasive CPAP systems (high flow and low flow air-entrainment masks) were compared to the Boussignac valve in 3 different scenarios. Scenario 1: pneumatic lung simulator with a tachypnea pattern (tidal volume 800 mL at 40 breaths/min). Scenario 2: Ten healthy subjects studied during tidal breaths and tachypnea. Scenario 3: Twenty ICU subjects enrolled for a noninvasive CPAP session. Differences between set and effective CPAP level and F(IO(2)), as well as the lowest airway pressure and the pressure swing around the imposed CPAP level, were analyzed. The lowest airway pressure and swing were correlated to the pressure-time product (area of the airway pressure curve below the CPAP level) measured with the simulator. P(aO(2)) was a subject's further performance index. Lung simulator: Boussignac F(IO(2)) was 0.54, even if supplied with pure oxygen. The air-entrainment masks had higher swing than the Boussignac (P = .007). Pressure-time product correlated better with pressure swing (Spearman correlation coefficient [ρ] = 0.97) than with lowest airway pressure (ρ = 0.92). In healthy subjects, the high-flow air-entrainment mask showed lower difference between set and effective F(IO(2)) (P < .001), and lowest airway pressure (P < .001), compared to the Boussignac valve. In all measurements the Boussignac valve showed higher than imposed CPAP level (P < .001). In ICU subjects the high-flow mask had lower swing than the Boussignac valve (P = .03) with similar P(aO(2)) increase. High-flow air-entrainment mask showed the best performance in human subjects. During high flow demand, the Boussignac valve delivered lower than expected F(IO(2)) and showed higher dynamic hyper-pressurization than the air-entrainment masks. © 2013 Daedalus Enterprises.

  15. A New MRI Masking Technique Based on Multi-Atlas Brain Segmentation in Controls and Schizophrenia: A Rapid and Viable Alternative to Manual Masking.

    PubMed

    Del Re, Elisabetta C; Gao, Yi; Eckbo, Ryan; Petryshen, Tracey L; Blokland, Gabriëlla A M; Seidman, Larry J; Konishi, Jun; Goldstein, Jill M; McCarley, Robert W; Shenton, Martha E; Bouix, Sylvain

    2016-01-01

    Brain masking of MRI images separates brain from surrounding tissue and its accuracy is important for further imaging analyses. We implemented a new brain masking technique based on multi-atlas brain segmentation (MABS) and compared MABS to masks generated using FreeSurfer (FS; version 5.3), Brain Extraction Tool (BET), and Brainwash, using manually defined masks (MM) as the gold standard. We further determined the effect of different masking techniques on cortical and subcortical volumes generated by FreeSurfer. Images were acquired on a 3-Tesla MR Echospeed system General Electric scanner on five control and five schizophrenia subjects matched on age, sex, and IQ. Automated masks were generated from MABS, FS, BET, and Brainwash, and compared to MM using these metrics: a) volume difference from MM; b) Dice coefficients; and c) intraclass correlation coefficients. Mean volume difference between MM and MABS masks was significantly less than the difference between MM and FS or BET masks. Dice coefficient between MM and MABS was significantly higher than Dice coefficients between MM and FS, BET, or Brainwash. For subcortical and left cortical regions, MABS volumes were closer to MM volumes than were BET or FS volumes. For right cortical regions, MABS volumes were closer to MM volumes than were BET volumes. Brain masks generated using FreeSurfer, BET, and Brainwash are rapidly obtained, but are less accurate than manually defined masks. Masks generated using MABS, in contrast, resemble more closely the gold standard of manual masking, thereby offering a rapid and viable alternative. Copyright © 2015 by the American Society of Neuroimaging.

  16. Exoplanet Coronagraph Shaped Pupil Masks and Laboratory Scale Star Shade Masks: Design, Fabrication and Characterization

    NASA Technical Reports Server (NTRS)

    Balasubramanian, Kunjithapatha; White, Victor; Yee, Karl; Echternach, Pierre; Muller, Richard; Dickie, Matthew; Cady, Eric; Mejia Prada, Camilo; Ryan, Daniel; Poberezhskiy, Ilya; hide

    2015-01-01

    Star light suppression technologies to find and characterize faint exoplanets include internal coronagraph instruments as well as external star shade occulters. Currently, the NASA WFIRST-AFTA mission study includes an internal coronagraph instrument to find and characterize exoplanets. Various types of masks could be employed to suppress the host star light to about 10 -9 level contrast over a broad spectrum to enable the coronagraph mission objectives. Such masks for high contrast internal coronagraphic imaging require various fabrication technologies to meet a wide range of specifications, including precise shapes, micron scale island features, ultra-low reflectivity regions, uniformity, wave front quality, achromaticity, etc. We present the approaches employed at JPL to produce pupil plane and image plane coronagraph masks by combining electron beam, deep reactive ion etching, and black silicon technologies with illustrative examples of each, highlighting milestone accomplishments from the High Contrast Imaging Testbed (HCIT) at JPL and from the High Contrast Imaging Lab (HCIL) at Princeton University. We also present briefly the technologies applied to fabricate laboratory scale star shade masks.

  17. Exoplanet coronagraph shaped pupil masks and laboratory scale star shade masks: design, fabrication and characterization

    NASA Astrophysics Data System (ADS)

    Balasubramanian, Kunjithapatham; White, Victor; Yee, Karl; Echternach, Pierre; Muller, Richard; Dickie, Matthew; Cady, Eric; Mejia Prada, Camilo; Ryan, Daniel; Poberezhskiy, Ilya; Zhou, Hanying; Kern, Brian; Riggs, A. J.; Zimmerman, Neil T.; Sirbu, Dan; Shaklan, Stuart; Kasdin, Jeremy

    2015-09-01

    Star light suppression technologies to find and characterize faint exoplanets include internal coronagraph instruments as well as external star shade occulters. Currently, the NASA WFIRST-AFTA mission study includes an internal coronagraph instrument to find and characterize exoplanets. Various types of masks could be employed to suppress the host star light to about 10-9 level contrast over a broad spectrum to enable the coronagraph mission objectives. Such masks for high contrast internal coronagraphic imaging require various fabrication technologies to meet a wide range of specifications, including precise shapes, micron scale island features, ultra-low reflectivity regions, uniformity, wave front quality, achromaticity, etc. We present the approaches employed at JPL to produce pupil plane and image plane coronagraph masks by combining electron beam, deep reactive ion etching, and black silicon technologies with illustrative examples of each, highlighting milestone accomplishments from the High Contrast Imaging Testbed (HCIT) at JPL and from the High Contrast Imaging Lab (HCIL) at Princeton University. We also present briefly the technologies applied to fabricate laboratory scale star shade masks.

  18. DUV mask writer for BEOL 90-nm technology layers

    NASA Astrophysics Data System (ADS)

    Hong, Dongsung; Krishnan, Prakash; Coburn, Dianna; Jeewakhan, Nazneen; Xie, Shengqi; Broussard, Joshua; Ferguson, Bradley; Green, Kent G.; Buck, Peter; Jackson, Curt A.; Martinez, Larry

    2003-12-01

    Mask CD resolution and uniformity requirements for back end of line (BEOL) layers for the 90nm Technology Node push the capability of I-line mask writers; yet, do not require the capability offered by more expensive 50KeV ebeam mask writers. This suite of mask layers seems to be a perfect match for the capabilities of the DUV mask writing tools, which offer a lower cost option to the 50KeV platforms. This paper will evaluate both the mask and wafer results from all three platforms of mask writers (50KeV VSB,ETEC Alta 4300TM DUV laser and ETEC Alta 3500TM I-line laser) for a Cypress 90nm node Metal 1 layer, and demonstrate the benefits of the DUV platform with no change to OPC for this layer.

  19. Phase-shifting point diffraction interferometer mask designs

    DOEpatents

    Goldberg, Kenneth Alan

    2001-01-01

    In a phase-shifting point diffraction interferometer, different image-plane mask designs can improve the operation of the interferometer. By keeping the test beam window of the mask small compared to the separation distance between the beams, the problem of energy from the reference beam leaking through the test beam window is reduced. By rotating the grating and mask 45.degree., only a single one-dimensional translation stage is required for phase-shifting. By keeping two reference pinholes in the same orientation about the test beam window, only a single grating orientation, and thus a single one-dimensional translation stage, is required. The use of a two-dimensional grating allows for a multiplicity of pinholes to be used about the pattern of diffracted orders of the grating at the mask. Orientation marks on the mask can be used to orient the device and indicate the position of the reference pinholes.

  20. Simulation based mask defect repair verification and disposition

    NASA Astrophysics Data System (ADS)

    Guo, Eric; Zhao, Shirley; Zhang, Skin; Qian, Sandy; Cheng, Guojie; Vikram, Abhishek; Li, Ling; Chen, Ye; Hsiang, Chingyun; Zhang, Gary; Su, Bo

    2009-10-01

    As the industry moves towards sub-65nm technology nodes, the mask inspection, with increased sensitivity and shrinking critical defect size, catches more and more nuisance and false defects. Increased defect counts pose great challenges in the post inspection defect classification and disposition: which defect is real defect, and among the real defects, which defect should be repaired and how to verify the post-repair defects. In this paper, we address the challenges in mask defect verification and disposition, in particular, in post repair defect verification by an efficient methodology, using SEM mask defect images, and optical inspection mask defects images (only for verification of phase and transmission related defects). We will demonstrate the flow using programmed mask defects in sub-65nm technology node design. In total 20 types of defects were designed including defects found in typical real circuit environments with 30 different sizes designed for each type. The SEM image was taken for each programmed defect after the test mask was made. Selected defects were repaired and SEM images from the test mask were taken again. Wafers were printed with the test mask before and after repair as defect printability references. A software tool SMDD-Simulation based Mask Defect Disposition-has been used in this study. The software is used to extract edges from the mask SEM images and convert them into polygons to save in GDSII format. Then, the converted polygons from the SEM images were filled with the correct tone to form mask patterns and were merged back into the original GDSII design file. This merge is for the purpose of contour simulation-since normally the SEM images cover only small area (~1 μm) and accurate simulation requires including larger area of optical proximity effect. With lithography process model, the resist contour of area of interest (AOI-the area surrounding a mask defect) can be simulated. If such complicated model is not available, a simple

  1. A closer look at four-dot masking of a foveated target

    PubMed Central

    Wilson, Hugh R.

    2016-01-01

    Four-dot masking with a common onset mask was recently demonstrated in a fully attended and foveated target (Filmer, Mattingley & Dux, 2015). Here, we replicate and extend this finding by directly comparing a four-dot mask with an annulus mask while probing masking as a function of mask duration, and target-mask separation. Our results suggest that while an annulus mask operates via spatially local contour interactions, a four-dot mask operates through spatially global mechanisms. We also measure how the visual system’s representation of an oriented bar is impacted by a four-dot mask, and find that masking here does not degrade the precision of perceived targets, but instead appears to be driven exclusively by rendering the target completely invisible. PMID:27280073

  2. Novel stable hard transparent conductors in TiO2-TiC system: Design materials from scratch

    PubMed Central

    Meng, Xiangying; Liu, Dongyan; Dai, Xuefeng; Pan, Haijun; Wen, Xiaohong; Zuo, Liang; Qin, Gaowu

    2014-01-01

    Two new ternary compounds in the TiO2-TiC system, Ti5C2O6 and Ti3C2O2, are reported for the first time based on ab initio evolutionary algorithm. Ti5C2O6 has a tube-structure in which sp1 hybridized carbon chains run through the lattice along the b-axis; while in the Ti3C2O2 lattice, double TiO6 polyhedral are separated by the non-coplanar sp2 hybridized hexagon graphite layers along the c-axis, forming a sandwich-like structure. At ambient conditions, the two compounds are found to be mechanically and dynamically stable and intrinsic transparent conductors with high hardness (about twice harder than the conventional transparent conducting oxides). These mechanical, electronic, and optical properties make Ti5C2O6 and Ti3C2O2 ternary compounds be promising robust, hard, transparent, and conductive materials. PMID:25511583

  3. Method for fabricating an ultra-low expansion mask blank having a crystalline silicon layer

    DOEpatents

    Cardinale, Gregory F.

    2002-01-01

    A method for fabricating masks for extreme ultraviolet lithography (EUVL) using Ultra-Low Expansion (ULE) substrates and crystalline silicon. ULE substrates are required for the necessary thermal management in EUVL mask blanks, and defect detection and classification have been obtained using crystalline silicon substrate materials. Thus, this method provides the advantages for both the ULE substrate and the crystalline silicon in an Extreme Ultra-Violet (EUV) mask blank. The method is carried out by bonding a crystalline silicon wafer or member to a ULE wafer or substrate and thinning the silicon to produce a 5-10 .mu.m thick crystalline silicon layer on the surface of the ULE substrate. The thinning of the crystalline silicon may be carried out, for example, by chemical mechanical polishing and if necessary or desired, oxidizing the silicon followed by etching to the desired thickness of the silicon.

  4. High peak power solid-state laser for micromachining of hard materials

    NASA Astrophysics Data System (ADS)

    Herbst, Ludolf; Quitter, John P.; Ray, Gregory M.; Kuntze, Thomas; Wiessner, Alexander O.; Govorkov, Sergei V.; Heglin, Mike

    2003-06-01

    Laser micromachining has become a key enabling technology in the ever-continuing trend of miniaturization in microelectronics, micro-optics, and micromechanics. New applications have become commercially viable due to the emergence of innovative laser sources, such as diode pumped solid-state lasers (DPSSL), and the progress in processing technology. Examples of industrial applications are laser-drilled micro-injection nozzles for highly efficient automobile engines, or manufacturing of complex spinnerets for production of synthetic fibers. The unique advantages of laser-based techniques stem from their ability to produce high aspect ratio holes, while yielding low heat affected zones with exceptional surface quality, roundness and taper tolerances. Additionally, the ability to drill blind holes and slots in very hard materials such as diamond, silicon, sapphire, ceramics and steel is of great interest for many applications in microelectronics, semiconductor and automotive industry. This kind of high quality, high aspect ratio micromachining requires high peak power and short pulse durations.

  5. Functional neuroanatomy of visual masking deficits in schizophrenia.

    PubMed

    Green, Michael F; Lee, Junghee; Cohen, Mark S; Engel, Steven A; Korb, Alexander S; Nuechterlein, Keith H; Wynn, Jonathan K; Glahn, David C

    2009-12-01

    Visual masking procedures assess the earliest stages of visual processing. Patients with schizophrenia reliably show deficits on visual masking, and these procedures have been used to explore vulnerability to schizophrenia, probe underlying neural circuits, and help explain functional outcome. To identify and compare regional brain activity associated with one form of visual masking (ie, backward masking) in schizophrenic patients and healthy controls. Subjects received functional magnetic resonance imaging scans. While in the scanner, subjects performed a backward masking task and were given 3 functional localizer activation scans to identify early visual processing regions of interest (ROIs). University of California, Los Angeles, and the Department of Veterans Affairs Greater Los Angeles Healthcare System. Nineteen patients with schizophrenia and 19 healthy control subjects. Main Outcome Measure The magnitude of the functional magnetic resonance imaging signal during backward masking. Two ROIs (lateral occipital complex [LO] and the human motion selective cortex [hMT+]) showed sensitivity to the effects of masking, meaning that signal in these areas increased as the target became more visible. Patients had lower activation than controls in LO across all levels of visibility but did not differ in other visual processing ROIs. Using whole-brain analyses, we also identified areas outside the ROIs that were sensitive to masking effects (including bilateral inferior parietal lobe and thalamus), but groups did not differ in signal magnitude in these areas. The study results support a key role in LO for visual masking, consistent with previous studies in healthy controls. The current results indicate that patients fail to activate LO to the same extent as controls during visual processing regardless of stimulus visibility, suggesting a neural basis for the visual masking deficit, and possibly other visual integration deficits, in schizophrenia.

  6. Modeling of the flow stress for AISI H13 Tool Steel during Hard Machining Processes

    NASA Astrophysics Data System (ADS)

    Umbrello, Domenico; Rizzuti, Stefania; Outeiro, José C.; Shivpuri, Rajiv

    2007-04-01

    In general, the flow stress models used in computer simulation of machining processes are a function of effective strain, effective strain rate and temperature developed during the cutting process. However, these models do not adequately describe the material behavior in hard machining, where a range of material hardness between 45 and 60 HRC are used. Thus, depending on the specific material hardness different material models must be used in modeling the cutting process. This paper describes the development of a hardness-based flow stress and fracture models for the AISI H13 tool steel, which can be applied for range of material hardness mentioned above. These models were implemented in a non-isothermal viscoplastic numerical model to simulate the machining process for AISI H13 with various hardness values and applying different cutting regime parameters. Predicted results are validated by comparing them with experimental results found in the literature. They are found to predict reasonably well the cutting forces as well as the change in chip morphology from continuous to segmented chip as the material hardness change.

  7. 46 CFR 197.322 - Surface-supplied helmets and masks.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 46 Shipping 7 2010-10-01 2010-10-01 false Surface-supplied helmets and masks. 197.322 Section 197... helmets and masks. (a) Each surface-supplied helmet or mask must have— (1) A nonreturn valve at the attachment point between helmet or mask and umbilical that closes readily and positively; (2) An exhaust...

  8. Extension of optical lithography by mask-litho integration with computational lithography

    NASA Astrophysics Data System (ADS)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  9. Individual differences in metacontrast masking regarding sensitivity and response bias.

    PubMed

    Albrecht, Thorsten; Mattler, Uwe

    2012-09-01

    In metacontrast masking target visibility is modulated by the time until a masking stimulus appears. The effect of this temporal delay differs across participants in such a way that individual human observers' performance shows distinguishable types of masking functions which remain largely unchanged for months. Here we examined whether individual differences in masking functions depend on different response criteria in addition to differences in discrimination sensitivity. To this end we reanalyzed previously published data and conducted a new experiment for further data analyses. Our analyses demonstrate that a distinction of masking functions based on the type of masking stimulus is superior to a distinction based on the target-mask congruency. Individually different masking functions are based on individual differences in discrimination sensitivities and in response criteria. Results suggest that individual differences in metacontrast masking result from individually different criterion contents. Copyright © 2012 Elsevier Inc. All rights reserved.

  10. Phase-field simulations of GaN growth by selective area epitaxy on complex mask geometries

    DOE PAGES

    Aagesen, Larry K.; Coltrin, Michael Elliott; Han, Jung; ...

    2015-05-15

    Three-dimensional phase-field simulations of GaN growth by selective area epitaxy were performed. Furthermore, this model includes a crystallographic-orientation-dependent deposition rate and arbitrarily complex mask geometries. The orientation-dependent deposition rate can be determined from experimental measurements of the relative growth rates of low-index crystallographic facets. Growth on various complex mask geometries was simulated on both c-plane and a-plane template layers. Agreement was observed between simulations and experiment, including complex phenomena occurring at the intersections between facets. The sources of the discrepancies between simulated and experimental morphologies were also investigated. We found that the model provides a route to optimize masks andmore » processing conditions during materials synthesis for solar cells, light-emitting diodes, and other electronic and opto-electronic applications.« less

  11. Development of EUV mask handling technology at MIRAI-Selete

    NASA Astrophysics Data System (ADS)

    Ota, Kazuya; Amemiya, Mitsuaki; Taguchi, Takao; Kamono, Takashi; Kubo, Hiroyoshi; Takikawa, Tadahiko; Usui, Yoichi; Suga, Osamu

    2007-03-01

    We, MIRAI-Selete, started a new EUV mask program in April, 2006. Development of EUV mask handling technology is one of the key areas of the program. We plan to develop mask handling technology and to evaluate EUV mask carriers using Lasertec M3350, a particle inspection tool with the defect sensitivity less than 50nm PSL, and Mask Protection Engineering Tool (named "MPE Tool"). M3350 is a newly developed tool based on a conventional M1350 for EUV blanks inspection. Since our M3350 has a blank flipping mechanism in it, we can inspect the front and the back surface of the blank automatically. We plan to use the M3350 for evaluating particle adders during mask shipping, storage and handling. MPE Tool is a special tool exclusively developed for demonstration of pellicleless mask handling. It can handle a mask within a protective enclosure, which Canon and Nikon have been jointly proposing1, and also, can be modified to handle other type of carrier as the need arises.

  12. Face mask ventilation--the dos and don'ts.

    PubMed

    Wood, Fiona E; Morley, Colin J

    2013-12-01

    Face mask ventilation provides respiratory support to newly born or sick infants. It is a challenging technique and difficult to ensure that an appropriate tidal volume is delivered because large and variable leaks occur between the mask and face; airway obstruction may also occur. Technique is more important than the mask shape although the size must appropriately fit the face. The essence of the technique is to roll the mask on to the face from the chin while avoiding the eyes, with a finger and thumb apply a strong even downward pressure to the top of the mask, away from the stem and sloped sides or skirt of the mask, place the other fingers under the jaw and apply a similar upward pressure. Preterm infants require continuous end-expiratory pressure to facilitate lung aeration and maintain lung volume. This is best done with a T-piece device, not a self-inflating or flow-inflating bag. Copyright © 2013 Elsevier Ltd. All rights reserved.

  13. Performance and stability of mask process correction for EBM-7000

    NASA Astrophysics Data System (ADS)

    Saito, Yasuko; Chen, George; Wang, Jen-Shiang; Bai, Shufeng; Howell, Rafael; Li, Jiangwei; Tao, Jun; VanDenBroeke, Doug; Wiley, Jim; Takigawa, Tadahiro; Ohnishi, Takayuki; Kamikubo, Takashi; Hara, Shigehiro; Anze, Hirohito; Hattori, Yoshiaki; Tamamushi, Shuichi

    2010-05-01

    In order to support complex optical masks today and EUV masks in the near future, it is critical to correct mask patterning errors with a magnitude of up to 20nm over a range of 2000nm at mask scale caused by short range mask process proximity effects. A new mask process correction technology, MPC+, has been developed to achieve the target requirements for the next generation node. In this paper, the accuracy and throughput performance of MPC+ technology is evaluated using the most advanced mask writing tool, the EBM-70001), and high quality mask metrology . The accuracy of MPC+ is achieved by using a new comprehensive mask model. The results of through-pitch and through-linewidth linearity curves and error statistics for multiple pattern layouts (including both 1D and 2D patterns) are demonstrated and show post-correction accuracy of 2.34nm 3σ for through-pitch/through-linewidth linearity. Implementing faster mask model simulation and more efficient correction recipes; full mask area (100cm2) processing run time is less than 7 hours for 32nm half-pitch technology node. From these results, it can be concluded that MPC+ with its higher precision and speed is a practical technology for the 32nm node and future technology generations, including EUV, when used with advance mask writing processes like the EBM-7000.

  14. Analysis of Hard Thin Film Coating

    NASA Technical Reports Server (NTRS)

    Shen, Dashen

    1998-01-01

    Marshall Space Flight Center (MSFC) is interested in developing hard thin film coating for bearings. The wearing of the bearing is an important problem for space flight engine. Hard thin film coating can drastically improve the surface of the bearing and improve the wear-endurance of the bearing. However, many fundamental problems in surface physics, plasma deposition, etc, need further research. The approach is using Electron Cyclotron Resonance Chemical Vapor Deposition (ECRCVD) to deposit hard thin film on stainless steel bearing. The thin films in consideration include SiC, SiN and other materials. An ECRCVD deposition system is being assembled at MSFC.

  15. Analysis of Hard Thin Film Coating

    NASA Technical Reports Server (NTRS)

    Shen, Dashen

    1998-01-01

    MSFC is interested in developing hard thin film coating for bearings. The wearing of the bearing is an important problem for space flight engine. Hard thin film coating can drastically improve the surface of the bearing and improve the wear-endurance of the bearing. However, many fundamental problems in surface physics, plasma deposition, etc, need further research. The approach is using electron cyclotron resonance chemical vapor deposition (ECRCVD) to deposit hard thin film an stainless steel bearing. The thin films in consideration include SiC, SiN and other materials. An ECRCVD deposition system is being assembled at MSFC.

  16. Effect of repeated cycles of chemical disinfection on the roughness and hardness of hard reline acrylic resins.

    PubMed

    Pinto, Luciana de Rezende; Acosta, Emílio José T Rodríguez; Távora, Flora Freitas Fernandes; da Silva, Paulo Maurício Batista; Porto, Vinícius Carvalho

    2010-06-01

    The aim of this study was to assess the effect of repeated cycles of five chemical disinfectant solutions on the roughness and hardness of three hard chairside reliners. A total of 180 circular specimens (30 mm x 6 mm) were fabricated using three hard chairside reliners (Jet; n = 60, Kooliner; n = 60, Tokuyama Rebase II Fast; n = 60), which were immersed in deionised water (control), and five disinfectant solutions (1%, 2%, 5.25% sodium hypochlorite; 2% glutaraldehyde; 4% chlorhexidine gluconate). They were tested for Knoop hardness (KHN) and surface roughness (microm), before and after 30 simulated disinfecting cycles. Data was analysed by the factorial scheme (6 x 2), two-way analysis of variance (anova), followed by Tukey's test. For Jet (from 18.74 to 13.86 KHN), Kooliner (from 14.09 to 8.72 KHN), Tokuyama (from 12.57 to 8.28 KHN) a significant decrease in hardness was observed irrespective of the solution used on all materials. For Jet (from 0.09 to 0.11 microm) there was a statistically significant increase in roughness. Kooliner (from 0.36 to 0.26 microm) presented a statistically significant decrease in roughness and Tokuyama (from 0.15 to 0.11 microm) presented no statistically significant difference after 30 days. This study showed that all disinfectant solutions promoted a statistically significant decrease in hardness, whereas with roughness, the materials tested showed a statistically significant increase, except for Tokuyama. Although statistically significant values were registered, these results could not be considered clinically significant.

  17. Investigating the intrinsic cleanliness of automated handling designed for EUV mask pod-in-pod systems

    NASA Astrophysics Data System (ADS)

    Brux, O.; van der Walle, P.; van der Donck, J. C. J.; Dress, P.

    2011-11-01

    Extreme Ultraviolet Lithography (EUVL) is the most promising solution for technology nodes 16nm (hp) and below. However, several unique EUV mask challenges must be resolved for a successful launch of the technology into the market. Uncontrolled introduction of particles and/or contamination into the EUV scanner significantly increases the risk for device yield loss and potentially scanner down-time. With the absence of a pellicle to protect the surface of the EUV mask, a zero particle adder regime between final clean and the point-of-exposure is critical for the active areas of the mask. A Dual Pod concept for handling EUV masks had been proposed by the industry as means to minimize the risk of mask contamination during transport and storage. SuSS-HamaTech introduces MaskTrackPro InSync as a fully automated solution for the handling of EUV masks in and out of this Dual Pod System and therefore constitutes an interface between various tools inside the Fab. The intrinsic cleanliness of each individual handling and storage step of the inner shell (EIP) of this Dual Pod and the EUV mask inside the InSync Tool has been investigated to confirm the capability for minimizing the risk of cross-contamination. An Entegris Dual Pod EUV-1000A-A110 has been used for the qualification. The particle detection for the qualification procedure was executed with the TNO's RapidNano Particle Scanner, qualified for particle sizes down to 50nm (PSL equivalent). It has been shown that the target specification of < 2 particles @ 60nm per 25 cycles has been achieved. In case where added particles were measured, the EIP has been identified as a potential root cause for Ni particle generation. Any direct Ni-Al contact has to be avoided to mitigate the risk of material abrasion.

  18. A respiratory mask for resting and exercising dogs.

    PubMed

    Stavert, D M; Reischl, P; O'Loughlin, B J

    1982-02-01

    A respiratory face mask has been developed for use with unsedated beagles trained to run on a treadmill. The latex rubber mask, shaped to fit the animal's muzzle, incorporates two modified, commercially available, pulmonary valves for separating inspiratory and expiratory flows. The mask has a dead space of 30 cm3 and a flow resistance below 1 cmH2O . 1(-1) . s. The flexible mask is used to measure breath-by-breath respiratory variables over extended periods of time during rest and exercise.

  19. Communication masking in marine mammals: A review and research strategy.

    PubMed

    Erbe, Christine; Reichmuth, Colleen; Cunningham, Kane; Lucke, Klaus; Dooling, Robert

    2016-02-15

    Underwater noise, whether of natural or anthropogenic origin, has the ability to interfere with the way in which marine mammals receive acoustic signals (i.e., for communication, social interaction, foraging, navigation, etc.). This phenomenon, termed auditory masking, has been well studied in humans and terrestrial vertebrates (in particular birds), but less so in marine mammals. Anthropogenic underwater noise seems to be increasing in parts of the world's oceans and concerns about associated bioacoustic effects, including masking, are growing. In this article, we review our understanding of masking in marine mammals, summarise data on marine mammal hearing as they relate to masking (including audiograms, critical ratios, critical bandwidths, and auditory integration times), discuss masking release processes of receivers (including comodulation masking release and spatial release from masking) and anti-masking strategies of signalers (e.g. Lombard effect), and set a research framework for improved assessment of potential masking in marine mammals. Copyright © 2015 The Authors. Published by Elsevier Ltd.. All rights reserved.

  20. Psychometric functions for informational masking

    NASA Astrophysics Data System (ADS)

    Lutfi, Robert A.; Kistler, Doris J.; Callahan, Michael R.; Wightman, Frederic L.

    2003-12-01

    The term informational masking has traditionally been used to refer to elevations in signal threshold resulting from masker uncertainty. In the present study, the method of constant stimuli was used to obtain complete psychometric functions (PFs) from 44 normal-hearing listeners in conditions known to produce varying amounts of informational masking. The listener's task was to detect a pure-tone signal in the presence of a broadband noise masker (low masker uncertainty) and in the presence of multitone maskers with frequencies and amplitudes that varied at random from one presentation to the next (high masker uncertainty). Relative to the broadband noise condition, significant reductions were observed in both the slope and the upper asymptote of the PF for multitone maskers producing large amounts of informational masking. Slope was affected more for some listeners and conditions while asymptote was affected more for others; consequently, neither parameter alone was highly predictive of individual thresholds or the amount of informational masking. Mean slopes and asymptotes varied nonmonotonically with the number of masker components in a manner similar to mean thresholds, particularly when the estimated effect of energetic masking on thresholds was subtracted out. As in past studies, the threshold data were well described by a model in which trial-by-trial judgments are based on a weighted sum of levels in dB at the output of independent auditory filters. The psychometric data, however, complicated the model's interpretation in two ways: First, they suggested that, depending on the listener and condition, the weights can either reflect a fixed influence of masker components on each trial or the effect of occasionally mistaking a masker component for the signal from trial to trial. Second, they indicated that in either case the variance of the underlying decision variable as estimated from PF slope is not by itself great enough to account for the observed changes

  1. Vector scattering analysis of TPF coronagraph pupil masks

    NASA Astrophysics Data System (ADS)

    Ceperley, Daniel P.; Neureuther, Andrew R.; Lieber, Michael D.; Kasdin, N. Jeremy; Shih, Ta-Ming

    2004-10-01

    Rigorous finite-difference time-domain electromagnetic simulation is used to simulate the scattering from proto-typical pupil mask cross-section geometries and to quantify the differences from the normally assumed ideal on-off behavior. Shaped pupil plane masks are a promising technology for the TPF coronagraph mission. However the stringent requirements placed on the optics require that the detailed behavior of the edge-effects of these masks be examined carefully. End-to-end optical system simulation is essential and an important aspect is the polarization and cross-section dependent edge-effects which are the subject of this paper. Pupil plane masks are similar in many respects to photomasks used in the integrated circuit industry. Simulation capabilities such as the FDTD simulator, TEMPEST, developed for analyzing polarization and intensity imbalance effects in nonplanar phase-shifting photomasks, offer a leg-up in analyzing coronagraph masks. However, the accuracy in magnitude and phase required for modeling a chronograph system is extremely demanding and previously inconsequential errors may be of the same order of magnitude as the physical phenomena under study. In this paper, effects of thick masks, finite conductivity metals, and various cross-section geometries on the transmission of pupil-plane masks are illustrated. Undercutting the edge shape of Cr masks improves the effective opening width to within λ/5 of the actual opening but TE and TM polarizations require opposite compensations. The deviation from ideal is examined at the reference plane of the mask opening. Numerical errors in TEMPEST, such as numerical dispersion, perfectly matched layer reflections, and source haze are also discussed along with techniques for mitigating their impacts.

  2. CSI: Hard Drive

    ERIC Educational Resources Information Center

    Sturgeon, Julie

    2008-01-01

    Acting on information from students who reported seeing a classmate looking at inappropriate material on a school computer, school officials used forensics software to plunge the depths of the PC's hard drive, searching for evidence of improper activity. Images were found in a deleted Internet Explorer cache as well as deleted file space.…

  3. Forward Masking of the Speech-Evoked Auditory Brainstem Response.

    PubMed

    Hodge, Sarah E; Menezes, Denise C; Brown, Kevin D; Grose, John H

    2018-02-01

    The hypothesis tested was that forward masking of the speech-evoked auditory brainstem response (sABR) increases peak latency as an inverse function of masker-signal interval (Δt), and that the overall persistence of forward masking is age dependent. Older listeners exhibit deficits in forward masking. If forward-masked sABRs provide an objective measure of the susceptibility of speech sounds to prior stimulation, then this provides a novel approach to examining the age dependence of temporal processing. A /da/ stimulus forward masked by speech-shaped noise (Δt = 4-64 ms) was used to measure sABRs in 10 younger and nine older participants. Forward masking of subsegments of the /da/ stimulus (Δt = 16 ms) and click trains (Δt = 0-64 ms) was also measured. Forward-masked sABRs from young participants showed an increase in latency with decreasing Δt for the initial peak. Latency shifts for later peaks were smaller and more uniform. None of the peak latencies returned to baseline by Δt = 64 ms. Forward-masked /da/ subsegments showed peak latency shifts that did not depend simply on peak position, while forward-masked click trains showed latency shifts that were dependent on click position. The sABRs from older adults were less robust but confirmed the viability of the approach. Forward masking of the sABR provides an objective measure of the susceptibility of the auditory system to prior stimulation. Failure of recovery functions to return to baseline suggests an interaction between forward masking by the prior masker and temporal effects within the stimulus itself.

  4. A novel approach to mask defect inspection

    NASA Astrophysics Data System (ADS)

    Sagiv, Amir; Shirman, Yuri; Mangan, Shmoolik

    2008-10-01

    Memory chips, now constituting a major part of semiconductor market, posit a special challenge for inspection, as they are generally produced with the smallest half-pitch available with today's technology. This is true, in particular, to photomasks of advanced memory devices, which are at the forefront of the "low-k1" regime. In this paper we present a novel photomask inspection approach, that is particularly suitable for low-k1 layers of advanced memory chips, owing to their typical dense and periodic structure. The method we present can produce a very strong signal for small mask defects, by suppression of the modulation of the pattern's image. Unlike dark-field detection, however, here a single diffraction order associated with the pattern generates a constant "gray" background image, that is used for signal enhancement. We define the theoretical basis for the new detection technique, and show, both analytically and numerically, that it can easily achieve a detection line past the printability spec, and that in cases it is at least as sensitive as high-resolution based detection. We also demonstrate this claim experimentally on a customer mask, using the platform of Applied Material's newly released Aera2TM mask inspection tool. The high sensitivity demonstrates the important and often overlooked concept that resolution is not synonymous with sensitivity. The novel detection method is advantageous in several other aspects, such as the very simple implementation, the high throughput, and the relatively simple pre- and post-processing algorithms required for signal extraction. These features, and in particular the very high sensitivity, make this novel detection method an attractive inspection option for advanced memory devices.

  5. The Effect of Pile-Up and Contact Area on Hardness Test by Nanoindentation

    NASA Astrophysics Data System (ADS)

    Miyake, Koji; Fujisawa, Satoru; Korenaga, Atsushi; Ishida, Takao; Sasaki, Shinya

    2004-07-01

    We used atomic force microscopy (AFM) for the indentation test evaluating the indentation hardness of materials in the nanometer range. BK7, fused silica, and single-crystal silicon were used as test sample materials. The data analysis processes used to determine the contact area were important in evaluating the indentation hardness of the materials. The direct measurement of the size of the residual hardness impression was useful in evaluating the contact area even in the nanometer region. The results led us to conclude that AFM indentation using a sharp indenter is a powerful method for estimating the indentation hardness in the nanometer range.

  6. The attentional blink is not affected by backward masking of T2, T2-mask SOA, or level of T2 impoverishment.

    PubMed

    Jannati, Ali; Spalek, Thomas M; Lagroix, Hayley E P; Di Lollo, Vincent

    2012-02-01

    Identification of the second of two targets (T2) is impaired when presented shortly after the first (T1). This attentional blink (AB) is thought to arise from a delay in T2 processing during which T2 is vulnerable to masking. Conventional studies have measured T2 accuracy which is constrained by the 100% ceiling. We avoided this problem by using a dynamic threshold-tracking procedure that is inherently free from ceiling constraints. In two experiments we examined how AB magnitude is affected by three masking-related factors: (a) presence/absence of T2 mask, (b) T2-mask stimulus onset asynchrony (SOA), and (c) level of T2 impoverishment (signal-to-noise ratio [SNR]). In Experiment 1, overall accuracy decreased with T2-mask SOA. The magnitude of the AB, however, was invariant with SOA and with mask presence/absence. Experiment 2 further showed that the AB was invariant with T2 SNR. The relationship among mask presence/absence, SOA, and T2 SNR and the AB is encompassed in a qualitative model.

  7. Fast synthesis of topographic mask effects based on rigorous solutions

    NASA Astrophysics Data System (ADS)

    Yan, Qiliang; Deng, Zhijie; Shiely, James

    2007-10-01

    Topographic mask effects can no longer be ignored at technology nodes of 45 nm, 32 nm and beyond. As feature sizes become comparable to the mask topographic dimensions and the exposure wavelength, the popular thin mask model breaks down, because the mask transmission no longer follows the layout. A reliable mask transmission function has to be derived from Maxwell equations. Unfortunately, rigorous solutions of Maxwell equations are only manageable for limited field sizes, but impractical for full-chip optical proximity corrections (OPC) due to the prohibitive runtime. Approximation algorithms are in demand to achieve a balance between acceptable computation time and tolerable errors. In this paper, a fast algorithm is proposed and demonstrated to model topographic mask effects for OPC applications. The ProGen Topographic Mask (POTOMAC) model synthesizes the mask transmission functions out of small-sized Maxwell solutions from a finite-difference-in-time-domain (FDTD) engine, an industry leading rigorous simulator of topographic mask effect from SOLID-E. The integral framework presents a seamless solution to the end user. Preliminary results indicate the overhead introduced by POTOMAC is contained within the same order of magnitude in comparison to the thin mask approach.

  8. Aerial image metrology for OPC modeling and mask qualification

    NASA Astrophysics Data System (ADS)

    Chen, Ao; Foong, Yee Mei; Thaler, Thomas; Buttgereit, Ute; Chung, Angeline; Burbine, Andrew; Sturtevant, John; Clifford, Chris; Adam, Kostas; De Bisschop, Peter

    2017-06-01

    As nodes become smaller and smaller, the OPC applied to enable these nodes becomes more and more sophisticated. This trend peaks today in curve-linear OPC approaches that are currently starting to appear on the roadmap. With this sophistication of OPC, the mask pattern complexity increases. CD-SEM based mask qualification strategies as they are used today are starting to struggle to provide a precise forecast of the printing behavior of a mask on wafer. An aerial image CD measurement performed on ZEISS Wafer-Level CD system (WLCD) is a complementary approach to mask CD-SEMs to judge the lithographical performance of the mask and its critical production features. The advantage of the aerial image is that it includes all optical effects of the mask such as OPC, SRAF, 3D mask effects, once the image is taken under scanner equivalent illumination conditions. Additionally, it reduces the feature complexity and analyzes the printing relevant CD.

  9. Masking the Feeling of Being Stupid.

    ERIC Educational Resources Information Center

    Smith, Sally L.

    1988-01-01

    Teaching experience at The Lab School of Washington has shown that learning-disabled children and adults cope with their lack of self-esteem and feelings of stupidity by developing masks to hide their hurt. These include masks of super-competence, helplessness, invisibility, clowning, injustice collecting, indifference, boredom, outrageousness,…

  10. Strategy optimization for mask rule check in wafer fab

    NASA Astrophysics Data System (ADS)

    Yang, Chuen Huei; Lin, Shaina; Lin, Roger; Wang, Alice; Lee, Rachel; Deng, Erwin

    2015-07-01

    Photolithography process is getting more and more sophisticated for wafer production following Moore's law. Therefore, for wafer fab, consolidated and close cooperation with mask house is a key to achieve silicon wafer success. However, generally speaking, it is not easy to preserve such partnership because many engineering efforts and frequent communication are indispensable. The inattentive connection is obvious in mask rule check (MRC). Mask houses will do their own MRC at job deck stage, but the checking is only for identification of mask process limitation including writing, etching, inspection, metrology, etc. No further checking in terms of wafer process concerned mask data errors will be implemented after data files of whole mask are composed in mask house. There are still many potential data errors even post-OPC verification has been done for main circuits. What mentioned here are the kinds of errors which will only occur as main circuits combined with frame and dummy patterns to form whole reticle. Therefore, strategy optimization is on-going in UMC to evaluate MRC especially for wafer fab concerned errors. The prerequisite is that no impact on mask delivery cycle time even adding this extra checking. A full-mask checking based on job deck in gds or oasis format is necessary in order to secure acceptable run time. Form of the summarized error report generated by this checking is also crucial because user friendly interface will shorten engineers' judgment time to release mask for writing. This paper will survey the key factors of MRC in wafer fab.

  11. New method of contour-based mask-shape compiler

    NASA Astrophysics Data System (ADS)

    Matsuoka, Ryoichi; Sugiyama, Akiyuki; Onizawa, Akira; Sato, Hidetoshi; Toyoda, Yasutaka

    2007-10-01

    We have developed a new method of accurately profiling a mask shape by utilizing a Mask CD-SEM. The method is intended to realize high accuracy, stability and reproducibility of the Mask CD-SEM adopting an edge detection algorithm as the key technology used in CD-SEM for high accuracy CD measurement. In comparison with a conventional image processing method for contour profiling, it is possible to create the profiles with much higher accuracy which is comparable with CD-SEM for semiconductor device CD measurement. In this report, we will introduce the algorithm in general, the experimental results and the application in practice. As shrinkage of design rule for semiconductor device has further advanced, an aggressive OPC (Optical Proximity Correction) is indispensable in RET (Resolution Enhancement Technology). From the view point of DFM (Design for Manufacturability), a dramatic increase of data processing cost for advanced MDP (Mask Data Preparation) for instance and surge of mask making cost have become a big concern to the device manufacturers. In a sense, it is a trade-off between the high accuracy RET and the mask production cost, while it gives a significant impact on the semiconductor market centered around the mask business. To cope with the problem, we propose the best method for a DFM solution in which two dimensional data are extracted for an error free practical simulation by precise reproduction of a real mask shape in addition to the mask data simulation. The flow centering around the design data is fully automated and provides an environment where optimization and verification for fully automated model calibration with much less error is available. It also allows complete consolidation of input and output functions with an EDA system by constructing a design data oriented system structure. This method therefore is regarded as a strategic DFM approach in the semiconductor metrology.

  12. In collaboration with mask suppliers for change management enhancement

    NASA Astrophysics Data System (ADS)

    Deng, Erwin; Lee, Chun Der; Lee, Rachel

    2013-06-01

    For those wafer fabs that have no their own maskshops, the main target of mask quality department is to gain stable mask quality performance through effective supplier management, and therefore achieves competitive business results. After dealing with lots of mask data preparation (MDP) quality problems with suppliers, we have found that incomplete change management procedures are one of major sources that induce incorrect mask data for writing. This article will share our experience in how to enhance change management flows with mask suppliers together and will also show the utility after a series of flow improvement actions.

  13. Developing a New Quantitative Account of Backward Masking

    ERIC Educational Resources Information Center

    Francis, Gregory

    2003-01-01

    A new general explanation for u-shaped backward masking is analyzed and found to predict shifts in the interstimulus interval (ISI) that produces strongest masking. This predicted shift is then compared to six sets of masking data. The resulting comparisons force the general explanation to make certain assumptions to account for the data. In this…

  14. Masking interrupts figure-ground signals in V1.

    PubMed

    Lamme, Victor A F; Zipser, Karl; Spekreijse, Henk

    2002-10-01

    In a backward masking paradigm, a target stimulus is rapidly (<100 msec) followed by a second stimulus. This typically results in a dramatic decrease in the visibility of the target stimulus. It has been shown that masking reduces responses in V1. It is not known, however, which process in V1 is affected by the mask. In the past, we have shown that in V1, modulations of neural activity that are specifically related to figure-ground segregation can be recorded. Here, we recorded from awake macaque monkeys, engaged in a task where they had to detect figures from background in a pattern backward masking paradigm. We show that the V1 figure-ground signals are selectively and fully suppressed at target-mask intervals that psychophysically result in the target being invisible. Initial response transients, signalling the features that make up the scene, are not affected. As figure-ground modulations depend on feedback from extrastriate areas, these results suggest that masking selectively interrupts the recurrent interactions between V1 and higher visual areas.

  15. Low-order aberration sensitivity of eighth-order coronagraph masks

    NASA Technical Reports Server (NTRS)

    Shaklan, Stuart B.; Green, Joseph J.

    2005-01-01

    In a recent paper, Kuchner, Crepp, and Ge describe new image-plane coronagraph mask designs that reject to eighth order the leakage of starlight caused by image motion at the mask, resulting in a substantial relaxation of image centroiding requirements compared to previous fourth-order and second-order masks. They also suggest that the new masks are effective at rejecting leakage caused by low-order aberrations (e.g., focus, coma, and astigmatism). In this paper, we derive the sensitivity of eighth-order masks to aberrations of any order and provide simulations of coronagraph behavior in the presence of optical aberrations.We find that the masks leak light as the fourth power of focus, astigmatism, coma, and trefoil. This has tremendous performance advantages for the Terrestrial Planet Finder Coronagraph.

  16. Improved techniques reduce face mask leak during simulated neonatal resuscitation: study 2.

    PubMed

    Wood, Fiona E; Morley, Colin J; Dawson, Jennifer A; Kamlin, C Omar F; Owen, Louise S; Donath, Susan; Davis, Peter G

    2008-05-01

    Techniques of positioning and holding neonatal face masks vary. Studies have shown that leak at the face mask is common and often substantial irrespective of operator experience. (1) To identify a technique for face mask placement and hold which will minimise mask leak. (2) To investigate the effect of written instruction and demonstration of the identified technique on mask leak for two round face masks. Three experienced neonatologists compared methods of placing and holding face masks to minimise the leak for Fisher & Paykel 60 mm and Laerdal size 0/1 masks. 50 clinical staff gave positive pressure ventilation to a modified manikin designed to measure leak at the face mask. They were provided with written instructions on how to position and hold each mask and then received a demonstration. Face mask leak was measured after each teaching intervention. A technique of positioning and holding the face masks was identified which minimised leak. The mean (SD) mask leaks before instruction, after instruction and after demonstration were 55% (31), 49% (30), 33% (26) for the Laerdal mask and 57% (25), 47% (28), 32% (30) for the Fisher & Paykel mask. There was no significant difference in mask leak between the two masks. Written instruction alone reduced leak by 8.8% (CI 1.4% to 16.2%) for either mask; when combined with a demonstration mask leak was reduced by 24.1% (CI 16.4% to 31.8%). Written instruction and demonstration of the identified optimal technique resulted in significantly reduced face mask leak.

  17. Comparison of Cloud Detection Using the CERES-MODIS Ed4 and LaRC AVHRR Cloud Masks and CALIPSO Vertical Feature Mask

    NASA Astrophysics Data System (ADS)

    Trepte, Q. Z.; Minnis, P.; Palikonda, R.; Bedka, K. M.; Sun-Mack, S.

    2011-12-01

    Accurate detection of cloud amount and distribution using satellite observations is crucial in determining cloud radiative forcing and earth energy budget. The CERES-MODIS (CM) Edition 4 cloud mask is a global cloud detection algorithm for application to Terra and Aqua MODIS data with the aid of other ancillary data sets. It is used operationally for the NASA's Cloud and Earth's Radiant Energy System (CERES) project. The LaRC AVHRR cloud mask, which uses only five spectral channels, is based on a subset of the CM cloud mask which employs twelve MODIS channels. The LaRC mask is applied to AVHRR data for the NOAA Climate Data Record Program. Comparisons among the CM Ed4, and LaRC AVHRR cloud masks and the CALIPSO Vertical Feature Mask (VFM) constitute a powerful means for validating and improving cloud detection globally. They also help us understand the strengths and limitations of the various cloud retrievals which use either active and passive satellite sensors. In this paper, individual comparisons will be presented for different types of clouds over various surfaces, including daytime and nighttime, and polar and non-polar regions. Additionally, the statistics of the global, regional, and zonal cloud occurrence and amount from the CERES Ed4, AVHRR cloud masks and CALIPSO VFM will be discussed.

  18. Atomic-absorption spectrometric determination of cobalt, nickel, and copper in geological materials with matrix masking and chelation-extraction

    USGS Publications Warehouse

    Sanzolone, R.F.; Chao, T.T.; Crenshaw, G.L.

    1979-01-01

    An atomic-absorption spectrometric method is reported for the determination of cobalt, nickel, and copper in a variety of geological materials including iron- and manganese-rich, and calcareous samples. The sample is decomposed with HP-HNO3 and the residue is dissolved in hydrochloric acid. Ammonium fluoride is added to mask iron and 'aluminum. After adjustment to pH 6, cobalt, nickel, and copper are chelated with sodium diethyl-dithiocarbamate and extracted into methyl isobutyl ketone. The sample is set aside for 24 h before analysis to remove interferences from manganese. For a 0.200-g sample, the limits of determination are 5-1000 ppm for Co, Ni, and Cu. As much as 50% Fe, 25% Mn or Ca, 20% Al and 10% Na, K, or Mg in the sample either individually or in various combinations do not interfere. Results obtained on five U.S. Geological Survey rock standards are in general agreement with values reported in the literature. ?? 1979.

  19. How Does Target Duration Affect Object Substitution Masking?

    ERIC Educational Resources Information Center

    Gellatly, Angus; Pilling, Michael; Carter, Wakefield; Guest, Duncan

    2010-01-01

    Object substitution masking (OSM) is typically studied using a brief search display. The target item may be indicated by a cue/mask surrounding but not overlapping it. Report of the target is reduced when mask offset trails target offset rather than being simultaneous with it. We report 5 experiments investigating whether OSM can be obtained if…

  20. Conceptual Masking: How One Picture Captures Attention from Another Picture.

    ERIC Educational Resources Information Center

    Loftus, Geoffrey R.; And Others

    1988-01-01

    Five experiments studied operations of conceptual masking--the reduction of conceptual memory performance for an initial stimulus when it is followed by a masking picture process. The subjects were 337 undergraduates at the University of Washington (Seattle). Conceptual masking is distinguished from perceptual masking. (TJH)

  1. 1995 mask industry quality assessment

    NASA Astrophysics Data System (ADS)

    Bishop, Chris; Strott, Al

    1995-12-01

    The third annual mask industry assessment will again survey various industry companies for key performance measurements in the areas of quality and delivery. This year's assessment is enhanced to include the area of safety and further breakdown of the data into 5-inch vs. 6- inch. The data compiled includes shipments, customer return rate, customer return reason, performance to schedule, plate survival yield, and throughput time (TPT) from 1988 through Q2, 1995. Contributor identities remain protected by utilizing Arthur Andersen & Company to ensure participant confidentiality. Participation in the past included representation of over 75% of the total merchant and captive mask volume in the United States. This year's assessment is expected to result in expanded participation by again inviting all mask suppliers domestically to participate as well as an impact from inviting international suppliers to participate.

  2. Design and development of next-generation bottom anti-reflective coatings for 45nm process with hyper NA lithography

    NASA Astrophysics Data System (ADS)

    Nakajima, Makoto; Sakaguchi, Takahiro; Hashimoto, Keisuke; Sakamoto, Rikimaru; Kishioka, Takahiro; Takei, Satoshi; Enomoto, Tomoyuki; Nakajima, Yasuyuki

    2006-03-01

    Integrated circuit manufacturers are consistently seeking to minimize device feature dimensions in order to reduce chip size and increase integration level. Feature sizes on chips are achieved sub 65nm with the advanced 193nm microlithography process. R&D activities of 45nm process have been started so far, and 193nm lithography is used for this technology. The key parameters for this lithography process are NA of exposure tool, resolution capability of resist, and reflectivity control with bottom anti-reflective coating (BARC). In the point of etching process, single-layer resist process can't be applied because resist thickness is too thin for getting suitable aspect ratio. Therefore, it is necessary to design novel BARC system and develop hard mask materials having high etching selectivity. This system and these materials can be used for 45nm generation lithography. Nissan Chemical Industries, Ltd. and Brewer Science, Inc. have been designed and developed the advanced BARCs for the above propose. In order to satisfy our target, we have developed novel BARC and hard mask materials. We investigated the multi-layer resist process stacked 4 layers (resist / thin BARC / silicon-contained BARC (Si-ARC) / spin on carbon hard mask (SOC)) (4 layers process). 4 layers process showed the excellent lithographic performance and pattern transfer performance. In this paper, we will discuss the detail of our approach and materials for 4 layers process.

  3. Development of movable mask system to cope with high beam current

    NASA Astrophysics Data System (ADS)

    Suetsugu, Y.; Shibata, K.; Sanami, T.; Kageyama, T.; Takeuchi, Y.

    2003-07-01

    The KEK B factory (KEKB), a high current electron-positron collider, has a movable mask (or collimator) system to reduce the background noise in the BELLE detector coming from spent particles. The early movable masks, however, had severe problems of heating, arcing, and vacuum leaks over the stored beam current of several hundred mA. The cause is intense trapped higher order modes (HOMs) excited at the mask head, where the cross section of the beam chamber changed drastically. The mask head, made of copper-tungsten alloy or pure copper, was frequently damaged by hitting of the high energy beam at the same time. Since the problems of the mask were revealed, several kinds of improved masks have been designed employing rf technologies in dealing with the HOM and installed to the ring step by step. Much progress has come from adopting a trapped-mode free structure, where the mask was a bent chamber itself. Recently the further improved mask with a reduced HOM design or HOM dampers was developed to suppress the heating of vacuum components near the mask due to the HOM traveling from the mask. To avoid damage to the mask head, on the other hand, a titanium mask head was tried. The latest masks are working as expected now at the stored beam current of 1.5 A. Presented are the problems and experiences on the movable mask system for the KEKB, which are characteristic of and common in a high intensity accelerator.

  4. Dynamic hardness of metals

    NASA Astrophysics Data System (ADS)

    Liang, Xuecheng

    Dynamic hardness (Pd) of 22 different pure metals and alloys having a wide range of elastic modulus, static hardness, and crystal structure were measured in a gas pulse system. The indentation contact diameter with an indenting sphere and the radius (r2) of curvature of the indentation were determined by the curve fitting of the indentation profile data. r 2 measured by the profilometer was compared with that calculated from Hertz equation in both dynamic and static conditions. The results indicated that the curvature change due to elastic recovery after unloading is approximately proportional to the parameters predicted by Hertz equation. However, r 2 is less than the radius of indenting sphere in many cases which is contradictory to Hertz analysis. This discrepancy is believed due to the difference between Hertzian and actual stress distributions underneath the indentation. Factors which influence indentation elastic recovery were also discussed. It was found that Tabor dynamic hardness formula always gives a lower value than that directly from dynamic hardness definition DeltaE/V because of errors mainly from Tabor's rebound equation and the assumption that dynamic hardness at the beginning of rebound process (Pr) is equal to kinetic energy change of an impact sphere over the formed crater volume (Pd) in the derivation process for Tabor's dynamic hardness formula. Experimental results also suggested that dynamic to static hardness ratio of a material is primarily determined by its crystal structure and static hardness. The effects of strain rate and temperature rise on this ratio were discussed. A vacuum rotating arm apparatus was built to measure Pd at 70, 127, and 381 mum sphere sizes, these results exhibited that Pd is highly depended on the sphere size due to the strain rate effects. P d was also used to substitute for static hardness to correlate with abrasion and erosion resistance of metals and alloys. The particle size effects observed in erosion were

  5. Force-dependent static dead space of face masks used with holding chambers.

    PubMed

    Shah, Samir A; Berlinski, Ariel B; Rubin, Bruce K

    2006-02-01

    Pressurized metered-dose inhalers with valved holding chambers and masks are commonly used for aerosol delivery in children. Drug delivery can decrease when the dead-space volume (DSV) of the valved holding chamber is increased, but there are no published data evaluating force-dependent DSV among different masks. Seven masks were studied. Masks were sealed at the valved holding chamber end and filled with water to measure mask volume. To measure mask DSV we used a mannequin of 2-year-old-size face and we applied the mask with forces of 1.5, 3.5, and 7 pounds. Mask seal was determined by direct observation. Intra-brand analysis was done via analysis of variance. At 3.5 pounds of force, the DSV ranged from 29 mL to 100 mL, with 3 masks having DSV of < 50 mL. The remaining masks all had DSV > 60 mL. At 3.5 pounds of force, DSV percent of mask volume ranged from 33.7% (Aerochamber, p < 0.01 compared with other masks) to 100% (Pocket Chamber). DSV decreased with increasing force with most of the masks, and the slope of this line was inversely proportional to mask flexibility. Mask fit was 100% at 1.5 pounds of force only with the Aerochamber and Optichamber. Mask fit was poorest with the Vortex, Pocket Chamber, and BreatheRite masks. Rigid masks with large DSV might not be not suitable for use in children, especially if discomfort from the stiff mask makes its use less acceptable to the child.

  6. Hardness and Microstructure of Binary and Ternary Nitinol Compounds

    NASA Technical Reports Server (NTRS)

    Stanford, Malcolm K.

    2016-01-01

    The hardness and microstructure of twenty-six binary and ternary Nitinol (nickel titanium, nickel titanium hafnium, nickel titanium zirconium and nickel titanium tantalum) compounds were studied. A small (50g) ingot of each compound was produced by vacuum arc remelting. Each ingot was homogenized in vacuum for 48 hr followed by furnace cooling. Specimens from the ingots were then heat treated at 800, 900, 1000 or 1100 degree C for 2 hr followed by water quenching. The hardness and microstructure of each specimen was compared to the baseline material (55-Nitinol, 55 at.% nickel - 45 at.% titanium, after heat treatment at 900 degC). The results show that eleven of the studied compounds had higher hardness values than the baseline material. Moreover, twelve of the studied compounds had measured hardness values greater 600HV at heat treatments from 800 to 900 degree C.

  7. Physiological functioning of the ear and masking

    NASA Technical Reports Server (NTRS)

    1984-01-01

    The physiological functions of the ear and the role masking plays in speech communication are examined. Topics under investigation include sound analysis of the ear, the aural reflex, and various types of noise masking.

  8. Masked Repetition Priming Treatment for Anomia

    ERIC Educational Resources Information Center

    Silkes, JoAnn P.

    2018-01-01

    Purpose: Masked priming has been suggested as a way to directly target implicit lexical retrieval processes in aphasia. This study was designed to investigate repeated use of masked repetition priming to improve picture naming in individuals with anomia due to aphasia. Method: A single-subject, multiple-baseline design was used across 6 people…

  9. Reusable High Aspect Ratio 3-D Nickel Shadow Mask

    PubMed Central

    Shandhi, M.M.H.; Leber, M.; Hogan, A.; Warren, D.J.; Bhandari, R.; Negi, S.

    2017-01-01

    Shadow Mask technology has been used over the years for resistless patterning and to pattern on unconventional surfaces, fragile substrate and biomaterial. In this work, we are presenting a novel method to fabricate high aspect ratio (15:1) three-dimensional (3D) Nickel (Ni) shadow mask with vertical pattern length and width of 1.2 mm and 40 μm respectively. The Ni shadow mask is 1.5 mm tall and 100 μm wide at the base. The aspect ratio of the shadow mask is 15. Ni shadow mask is mechanically robust and hence easy to handle. It is also reusable and used to pattern the sidewalls of unconventional and complex 3D geometries such as microneedles or neural electrodes (such as the Utah array). The standard Utah array has 100 active sites at the tip of the shaft. Using the proposed high aspect ratio Ni shadow mask, the Utah array can accommodate 300 active sites, 200 of which will be along and around the shaft. The robust Ni shadow mask is fabricated using laser patterning and electroplating techniques. The use of Ni 3D shadow mask will lower the fabrication cost, complexity and time for patterning out-of-plane structures. PMID:29056835

  10. [Patients' reaction to pharmacists wearing a mask during their consultations].

    PubMed

    Tamura, Eri; Kishimoto, Keiko; Fukushima, Noriko

    2013-01-01

      This study sought to determine the effect of pharmacists wearing a mask on the consultation intention of patients who do not have a trusting relationship with the pharmacists. We conducted a questionnaire survey of customers at a Tokyo drugstore in August 2012. Subjects answered a questionnaire after watching two medical teaching videos, one in which the pharmacist was wearing a mask and the other in which the pharmacist was not wearing a mask. Data analysis was performed using a paired t-test and multiple logistic regression. The paired t-test revealed a significant difference in 'Maintenance Problem' between the two pharmacist situations. After excluding factors not associated with wearing a mask, multiple logistic regression analysis identified three independent variables with a significant effect on participants not wanting to consult with a pharmacist wearing a mask. Positive factors were 'active-inactive' and 'frequency mask use', a negative factor was 'age'. Our study has shown that pharmacists wearing a mask may be a factor that prevents patients from consulting with pharmacist. Those patients whose intention to consult might be affected by the pharmacists wearing a mask tended to be younger, to have no habit of wearing masks preventively themselves, and to form a negative opinion of such pharmacists. Therefore, it was estimated that pharmacists who wear masks need to provide medical education by asking questions more positively than when they do not wear a mask in order to prevent the patient worrying about oneself.

  11. Masking disrupts reentrant processing in human visual cortex.

    PubMed

    Fahrenfort, J J; Scholte, H S; Lamme, V A F

    2007-09-01

    In masking, a stimulus is rendered invisible through the presentation of a second stimulus shortly after the first. Over the years, authors have typically explained masking by postulating some early disruption process. In these feedforward-type explanations, the mask somehow "catches up" with the target stimulus, disrupting its processing either through lateral or interchannel inhibition. However, studies from recent years indicate that visual perception--and most notably visual awareness itself--may depend strongly on cortico-cortical feedback connections from higher to lower visual areas. This has led some researchers to propose that masking derives its effectiveness from selectively interrupting these reentrant processes. In this experiment, we used electroencephalogram measurements to determine what happens in the human visual cortex during detection of a texture-defined square under nonmasked (seen) and masked (unseen) conditions. Electro-encephalogram derivatives that are typically associated with reentrant processing turn out to be absent in the masked condition. Moreover, extrastriate visual areas are still activated early on by both seen and unseen stimuli, as shown by scalp surface Laplacian current source-density maps. This conclusively shows that feedforward processing is preserved, even when subject performance is at chance as determined by objective measures. From these results, we conclude that masking derives its effectiveness, at least partly, from disrupting reentrant processing, thereby interfering with the neural mechanisms of figure-ground segmentation and visual awareness itself.

  12. Achromatic Focal Plane Mask for Exoplanet Imaging Coronagraphy

    NASA Technical Reports Server (NTRS)

    Newman, Kevin Edward; Belikov, Ruslan; Guyon, Olivier; Balasubramanian, Kunjithapatham; Wilson, Dan

    2013-01-01

    Recent advances in coronagraph technologies for exoplanet imaging have achieved contrasts close to 1e10 at 4 lambda/D and 1e-9 at 2 lambda/D in monochromatic light. A remaining technological challenge is to achieve high contrast in broadband light; a challenge that is largely limited by chromaticity of the focal plane mask. The size of a star image scales linearly with wavelength. Focal plane masks are typically the same size at all wavelengths, and must be sized for the longest wavelength in the observational band to avoid starlight leakage. However, this oversized mask blocks useful discovery space from the shorter wavelengths. We present here the design, development, and testing of an achromatic focal plane mask based on the concept of optical filtering by a diffractive optical element (DOE). The mask consists of an array of DOE cells, the combination of which functions as a wavelength filter with any desired amplitude and phase transmission. The effective size of the mask scales nearly linearly with wavelength, and allows significant improvement in the inner working angle of the coronagraph at shorter wavelengths. The design is applicable to almost any coronagraph configuration, and enables operation in a wider band of wavelengths than would otherwise be possible. We include initial results from a laboratory demonstration of the mask with the Phase Induced Amplitude Apodization coronagraph.

  13. Vertical Feature Mask Feature Classification Flag Extraction

    Atmospheric Science Data Center

    2013-03-28

      Vertical Feature Mask Feature Classification Flag Extraction This routine demonstrates extraction of the ... in a CALIPSO Lidar Level 2 Vertical Feature Mask feature classification flag value. It is written in Interactive Data Language (IDL) ...

  14. Rescue therapy by switching to total face mask after failure of face mask-delivered noninvasive ventilation in do-not-intubate patients in acute respiratory failure.

    PubMed

    Lemyze, Malcolm; Mallat, Jihad; Nigeon, Olivier; Barrailler, Stéphanie; Pepy, Florent; Gasan, Gaëlle; Vangrunderbeeck, Nicolas; Grosset, Philippe; Tronchon, Laurent; Thevenin, Didier

    2013-02-01

    To evaluate the impact of switching to total face mask in cases where face mask-delivered noninvasive mechanical ventilation has already failed in do-not-intubate patients in acute respiratory failure. Prospective observational study in an ICU and a respiratory stepdown unit over a 12-month study period. Switching to total face mask, which covers the entire face, when noninvasive mechanical ventilation using facial mask (oronasal mask) failed to reverse acute respiratory failure. Seventy-four patients with a do-not-intubate order and treated by noninvasive mechanical ventilation for acute respiratory failure. Failure of face mask-delivered noninvasive mechanical ventilation was associated with a three-fold increase in in-hospital mortality (36% vs. 10.5%; p = 0.009). Nevertheless, 23 out of 36 patients (64%) in whom face mask-delivered noninvasive mechanical ventilation failed to reverse acute respiratory failure and, therefore, switched to total face mask survived hospital discharge. Reasons for switching from facial mask to total face mask included refractory hypercapnic acute respiratory failure (n = 24, 66.7%), painful skin breakdown or facial mask intolerance (n = 11, 30%), and refractory hypoxemia (n = 1, 2.7%). In the 24 patients switched from facial mask to total face mask because of refractory hypercapnia, encephalopathy score (3 [3-4] vs. 2 [2-3]; p < 0.0001), PaCO2 (87 ± 25 mm Hg vs. 70 ± 17 mm Hg; p < 0.0001), and pH (7.24 ± 0.1 vs. 7.32 ± 0.09; p < 0.0001) significantly improved after 2 hrs of total face mask-delivered noninvasive ventilation. Patients switched early to total face mask (in the first 12 hrs) developed less pressure sores (n = 5, 24% vs. n = 13, 87%; p = 0.0002), despite greater length of noninvasive mechanical ventilation within the first 48 hrs (44 hrs vs. 34 hrs; p = 0.05) and less protective dressings (n = 2, 9.5% vs. n = 8, 53.3%; p = 0.007). The optimal cutoff value for face mask-delivered noninvasive mechanical ventilation

  15. On the hardness of high carbon ferrous martensite

    NASA Astrophysics Data System (ADS)

    Mola, J.; Ren, M.

    2018-06-01

    Due to the presence of retained austenite in martensitic steels, especially steels with high carbon concentrations, it is difficult to estimate the hardness of martensite independent of the hardness of the coexisting austenite. In the present work, the hardness of ferrous martensite with carbon concentrations in the range 0.23-1.46 mass-% was estimated by the regression analysis of hardnesses for hardened martensitic-austenitic steels containing various martensite fractions. For a given carbon concentration, the hardness of martensitic-austenitic steels was found to increase exponentially with an increase in the fraction of the martensitic constituent. The hardness of the martensitic constituent was subsequently estimated by the exponential extrapolation of the hardness of phase mixtures to 100 vol.% martensite. For martensite containing 1.46 mass-% carbon, the hardness was estimated to be 1791 HV. This estimate of martensite hardness is significantly higher than the experimental hardness of 822 HV for a phase mixture of 68 vol.% martensite and 32 vol.% austenite. The hardness obtained by exponential extrapolation is also much higher than the hardness of 1104 HV based on the rule of mixtures. The underestimated hardness of high carbon martensite in the presence of austenite is due to the non-linear dependence of hardness on the martensite fraction. The latter is also a common observation in composite materials with a soft matrix and hard reinforcing particles.

  16. Manufacturability study of masks created by inverse lithography technology (ILT)

    NASA Astrophysics Data System (ADS)

    Martin, Patrick M.; Progler, C. J.; Xiao, G.; Gray, R.; Pang, L.; Liu, Y.

    2005-11-01

    As photolithography is pushed to fabricate deep-sub wavelength devices for 90nm, 65nm and smaller technology nodes using available exposure tools (i.e., 248nm, 193nm steppers), photomask capability is becoming extremely critical. For example, PSM masks require more complicated processing; aggressive OPC makes the writing time longer and sometimes unpredictable; and, high MEEF imposes much more stringent demands on mask quality. Therefore, in order for any new lithography technology to be adopted into production, mask manufacturability must be studied thoroughly and carefully. In this paper we will present the mask manufacturability study on mask patterns created using Inverse Lithography Technology (ILT). Unlike conventional OPC methodologies, ILT uses a unique outcome-based technology to mathematically determine the mask features that produce the desired on-wafer results. ILT solves the most critical litho challenges of the deep sub-wavelength era. Potential benefits include: higher yield; expanded litho process windows; superb pattern fidelity at 90, 65 & 45-nm nodes; and reduced time-to-silicon - all without changing the existing lithography infrastructure and design-to-silicon flow. In this study a number of cell structures were selected and used as test patterns. "Luminized patterns" were generated for binary mask and attenuated phase-shift mask. Both conventional OPC patterns and "luminized patterns" were put on a test reticle side by side, and they all have a number of variations in term of correction aggressivity level and mask complexity. Mask manufacturability, including data fracturing, writing time, mask inspection, and metrology were studied. The results demonstrate that, by optimizing the inspection recipe, masks created using ILT technology can be made and qualified using current processes with a reasonable turn-around time.

  17. A comparison of the wear resistance and hardness of indirect composite resins.

    PubMed

    Mandikos, M N; McGivney, G P; Davis, E; Bush, P J; Carter, J M

    2001-04-01

    Various new, second-generation indirect composites have been developed with claimed advantages over existing tooth-colored restorative materials. To date, little independent research has been published on these materials, and the properties specified in the advertising materials are largely derived from in-house or contracted testing. Four second-generation indirect composites (Artglass, belleGlass, Sculpture, and Targis) were tested for wear resistance and hardness against 2 control materials with well-documented clinical application. Human enamel was also tested for comparison. Twelve specimens of each material were fabricated according to the manufacturers' directions and subjected to accelerated wear in a 3-body abrasion, toothbrushing apparatus. Vickers hardness was measured for each of the tested materials, and energy dispersive x-ray (EDX) spectroscopy was performed to determine the elemental composition of the composite fillers. The statistical tests used for wear and hardness were the Kruskal-Wallis 1-way ANOVA test with Mann-Whitney tests and 1-way ANOVA with multiple comparisons (Tukey HSD). The Pearson correlation coefficient was used to determine the existence of a relationship between the hardness of the materials and the degree to which they had worn. The level of statistical significance chosen was alpha=.05. The control material Concept was superior to the other composites in wear resistance and hardness and had the lowest surface roughness. Significant relationships were observed between depth of wear and hardness and between depth of wear and average surface roughness. Enamel specimens were harder and more wear resistant than any of the composites. EDX spectroscopy revealed that the elemental composition of the fillers of the 4 new composites was almost identical, as was the composition of the 2 control composites. The differences in wear, hardness, and average surface roughness may have been due to differences in the chemistry or method of

  18. Counteracting Power Analysis Attacks by Masking

    NASA Astrophysics Data System (ADS)

    Oswald, Elisabeth; Mangard, Stefan

    The publication of power analysis attacks [12] has triggered a lot of research activities. On the one hand these activities have been dedicated toward the development of secure and efficient countermeasures. On the other hand also new and improved attacks have been developed. In fact, there has been a continuous arms race between designers of countermeasures and attackers. This chapter provides a brief overview of the state-of-the art in the arms race in the context of a countermeasure called masking. Masking is a popular countermeasure that has been extensively discussed in the scientific community. Numerous articles have been published that explain different types of masking and that analyze weaknesses of this countermeasure.

  19. Pattern masking: the importance of remote spatial frequencies and their phase alignment.

    PubMed

    Huang, Pi-Chun; Maehara, Goro; May, Keith A; Hess, Robert F

    2012-02-16

    To assess the effects of spatial frequency and phase alignment of mask components in pattern masking, target threshold vs. mask contrast (TvC) functions for a sine-wave grating (S) target were measured for five types of mask: a sine-wave grating (S), a square-wave grating (Q), a missing fundamental square-wave grating (M), harmonic complexes consisting of phase-scrambled harmonics of a square wave (Qp), and harmonic complexes consisting of phase-scrambled harmonics of a missing fundamental square wave (Mp). Target and masks had the same fundamental frequency (0.46 cpd) and the target was added in phase with the fundamental frequency component of the mask. Under monocular viewing conditions, the strength of masking depends on phase relationships among mask spatial frequencies far removed from that of the target, at least 3 times the target frequency, only when there are common target and mask spatial frequencies. Under dichoptic viewing conditions, S and Q masks produced similar masking to each other and the phase-scrambled masks (Qp and Mp) produced less masking. The results suggest that pattern masking is spatial frequency broadband in nature and sensitive to the phase alignments of spatial components.

  20. Differential effect of visual masking in perceptual categorization.

    PubMed

    Hélie, Sébastien; Cousineau, Denis

    2015-06-01

    This article explores the visual information used to categorize stimuli drawn from a common stimulus space into verbal and nonverbal categories using 2 experiments. Experiment 1 explores the effect of target duration on verbal and nonverbal categorization using backward masking to interrupt visual processing. With categories equated for difficulty for long and short target durations, intermediate target duration shows an advantage for verbal categorization over nonverbal categorization. Experiment 2 tests whether the results of Experiment 1 can be explained by shorter target duration resulting in a smaller signal-to-noise ratio of the categorization stimulus. To test for this possibility, Experiment 2 used integration masking with the same stimuli, categories, and masks as Experiment 1 with a varying level of mask opacity. As predicted, low mask opacity yielded similar results to long target duration while high mask opacity yielded similar results to short target duration. Importantly, intermediate mask opacity produced an advantage for verbal categorization over nonverbal categorization, similar to intermediate target duration. These results suggest that verbal and nonverbal categorization are affected differently by manipulations affecting the signal-to-noise ratio of the stimulus, consistent with multiple-system theories of categorizations. The results further suggest that verbal categorization may be more digital (and more robust to low signal-to-noise ratio) while the information used in nonverbal categorization may be more analog (and less robust to lower signal-to-noise ratio). This article concludes with a discussion of how these new results affect the use of masking in perceptual categorization and multiple-system theories of perceptual category learning. (c) 2015 APA, all rights reserved).

  1. Propagation of resist heating mask error to wafer level

    NASA Astrophysics Data System (ADS)

    Babin, S. V.; Karklin, Linard

    2006-10-01

    As technology is approaching 45 nm and below the IC industry is experiencing a severe product yield hit due to rapidly shrinking process windows and unavoidable manufacturing process variations. Current EDA tools are unable by their nature to deliver optimized and process-centered designs that call for 'post design' localized layout optimization DFM tools. To evaluate the impact of different manufacturing process variations on final product it is important to trace and evaluate all errors through design to manufacturing flow. Photo mask is one of the critical parts of this flow, and special attention should be paid to photo mask manufacturing process and especially to mask tight CD control. Electron beam lithography (EBL) is a major technique which is used for fabrication of high-end photo masks. During the writing process, resist heating is one of the sources for mask CD variations. Electron energy is released in the mask body mainly as heat, leading to significant temperature fluctuations in local areas. The temperature fluctuations cause changes in resist sensitivity, which in turn leads to CD variations. These CD variations depend on mask writing speed, order of exposure, pattern density and its distribution. Recent measurements revealed up to 45 nm CD variation on the mask when using ZEP resist. The resist heating problem with CAR resists is significantly smaller compared to other types of resists. This is partially due to higher resist sensitivity and the lower exposure dose required. However, there is no data yet showing CD errors on the wafer induced by CAR resist heating on the mask. This effect can be amplified by high MEEF values and should be carefully evaluated at 45nm and below technology nodes where tight CD control is required. In this paper, we simulated CD variation on the mask due to resist heating; then a mask pattern with the heating error was transferred onto the wafer. So, a CD error on the wafer was evaluated subject to only one term of the

  2. Photolithography-free laser-patterned HF acid-resistant chromium-polyimide mask for rapid fabrication of microfluidic systems in glass

    NASA Astrophysics Data System (ADS)

    Zamuruyev, Konstantin O.; Zrodnikov, Yuriy; Davis, Cristina E.

    2017-01-01

    Excellent chemical and physical properties of glass, over a range of operating conditions, make it a preferred material for chemical detection systems in analytical chemistry, biology, and the environmental sciences. However, it is often compromised with SU8, PDMS, or Parylene materials due to the sophisticated mask preparation requirements for wet etching of glass. Here, we report our efforts toward developing a photolithography-free laser-patterned hydrofluoric acid-resistant chromium-polyimide tape mask for rapid prototyping of microfluidic systems in glass. The patterns are defined in masking layer with a diode-pumped solid-state laser. Minimum feature size is limited to the diameter of the laser beam, 30 µm minimum spacing between features is limited by the thermal shrinkage and adhesive contact of the polyimide tape to 40 µm. The patterned glass substrates are etched in 49% hydrofluoric acid at ambient temperature with soft agitation (in time increments, up to 60 min duration). In spite of the simplicity, our method demonstrates comparable results to the other current more sophisticated masking methods in terms of the etched depth (up to 300 µm in borosilicate glass), feature under etch ratio in isotropic etch (~1.36), and low mask hole density. The method demonstrates high yield and reliability. To our knowledge, this method is the first proposed technique for rapid prototyping of microfluidic systems in glass with such high performance parameters. The proposed method of fabrication can potentially be implemented in research institutions without access to a standard clean-room facility.

  3. Non-invasive primate head restraint using thermoplastic masks.

    PubMed

    Drucker, Caroline B; Carlson, Monica L; Toda, Koji; DeWind, Nicholas K; Platt, Michael L

    2015-09-30

    The success of many neuroscientific studies depends upon adequate head fixation of awake, behaving animals. Typically, this is achieved by surgically affixing a head-restraint prosthesis to the skull. Here we report the use of thermoplastic masks to non-invasively restrain monkeys' heads. Mesh thermoplastic sheets become pliable when heated and can then be molded to an individual monkey's head. After cooling, the custom mask retains this shape indefinitely for day-to-day use. We successfully trained rhesus macaques (Macaca mulatta) to perform cognitive tasks while wearing thermoplastic masks. Using these masks, we achieved a level of head stability sufficient for high-resolution eye-tracking and intracranial electrophysiology. Compared with traditional head-posts, we find that thermoplastic masks perform at least as well during infrared eye-tracking and single-neuron recordings, allow for clearer magnetic resonance image acquisition, enable freer placement of a transcranial magnetic stimulation coil, and impose lower financial and time costs on the lab. We conclude that thermoplastic masks are a viable non-invasive form of primate head restraint that enable a wide range of neuroscientific experiments. Copyright © 2015 Elsevier B.V. All rights reserved.

  4. Non-invasive primate head restraint using thermoplastic masks

    PubMed Central

    Drucker, Caroline B.; Carlson, Monica L.; Toda, Koji; DeWind, Nicholas K.; Platt, Michael L.

    2015-01-01

    Background The success of many neuroscientific studies depends upon adequate head fixation of awake, behaving animals. Typically, this is achieved by surgically affixing a head-restraint prosthesis to the skull. New Method Here we report the use of thermoplastic masks to non-invasively restrain monkeys’ heads. Mesh thermoplastic sheets become pliable when heated and can then be molded to an individual monkey’s head. After cooling, the custom mask retains this shape indefinitely for day-to-day use. Results We successfully trained rhesus macaques (Macaca mulatta) to perform cognitive tasks while wearing thermoplastic masks. Using these masks, we achieved a level of head stability sufficient for high-resolution eye-tracking and intracranial electrophysiology. Comparison with Existing Method Compared with traditional head-posts, we find that thermoplastic masks perform at least as well during infrared eye-tracking and single-neuron recordings, allow for clearer magnetic resonance image acquisition, enable freer placement of a transcranial magnetic stimulation coil, and impose lower financial and time costs on the lab. Conclusions We conclude that thermoplastic masks are a viable non-invasive form of primate head restraint that enable a wide range of neuroscientific experiments. PMID:26112334

  5. A conceptual approach to the masking effect of measures of disproportionality.

    PubMed

    Maignen, Francois; Hauben, Manfred; Hung, Eric; Holle, Lionel Van; Dogne, Jean-Michel

    2014-02-01

    Masking is a statistical issue by which true signals of disproportionate reporting are hidden by the presence of other products in the database. Masking is currently not perfectly understood. There is no algorithm to identify the potential masking drugs to remove them for subsequent analyses of disproportionality. The primary objective of our study is to develop a mathematical framework for assessing the extent and impact of the masking effect of measures of disproportionality. We have developed a masking ratio that quantifies the masking effect of a given product. We have conducted a simulation study to validate our algorithm. The masking ratio is a measure of the strength of the masking effect whether the analysis is performed at the report or event level, and the manner in which reports are allocated to cells in the contingency table significantly impact the masking mechanisms. The reports containing both the product of interest and the masking product need to be handled appropriately. The proposed algorithm can use simplified masking provided that underlying assumptions (in particular the size of the database) are verified. For any event, the strongest masking effect is associated with the drug with the highest number of records (reports excluding the product of interest). Our study provides significant insights with practical implications for real-world pharmacovigilance that are supported by both real and simulated data. The public health impact of masking is still unknown. Copyright © 2013 John Wiley & Sons, Ltd.

  6. Influence of auditory fatigue on masked speech intelligibility

    NASA Technical Reports Server (NTRS)

    Parker, D. E.; Martens, W. L.; Johnston, P. A.

    1980-01-01

    Intelligibility of PB word lists embedded in simultaneous masking noise was evaluated before and after fatiguing-noise exposure, which was determined by observing the number of words correctly repeated during a shadowing task. Both the speech signal and the masking noise were filtered to a 2825-3185-Hz band. Masking-noise leves were varied from 0- to 90-dB SL. Fatigue was produced by a 1500-3000-Hz octave band of noise at 115 dB (re 20 micron-Pa) presented continuously for 5 min. The results of three experiments indicated that speed intelligibility was reduced when the speech was presented against a background of silence but that the fatiguing-noise exposure had no effect on intelligibility when the speech was made more intense and embedded in masking noise of 40-90-dB SL. These observations are interpreted by considering the recruitment produced by fatigue and masking noise.

  7. New method of 2-dimensional metrology using mask contouring

    NASA Astrophysics Data System (ADS)

    Matsuoka, Ryoichi; Yamagata, Yoshikazu; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2008-10-01

    We have developed a new method of accurately profiling and measuring of a mask shape by utilizing a Mask CD-SEM. The method is intended to realize high accuracy, stability and reproducibility of the Mask CD-SEM adopting an edge detection algorithm as the key technology used in CD-SEM for high accuracy CD measurement. In comparison with a conventional image processing method for contour profiling, this edge detection method is possible to create the profiles with much higher accuracy which is comparable with CD-SEM for semiconductor device CD measurement. This method realizes two-dimensional metrology for refined pattern that had been difficult to measure conventionally by utilizing high precision contour profile. In this report, we will introduce the algorithm in general, the experimental results and the application in practice. As shrinkage of design rule for semiconductor device has further advanced, an aggressive OPC (Optical Proximity Correction) is indispensable in RET (Resolution Enhancement Technology). From the view point of DFM (Design for Manufacturability), a dramatic increase of data processing cost for advanced MDP (Mask Data Preparation) for instance and surge of mask making cost have become a big concern to the device manufacturers. This is to say, demands for quality is becoming strenuous because of enormous quantity of data growth with increasing of refined pattern on photo mask manufacture. In the result, massive amount of simulated error occurs on mask inspection that causes lengthening of mask production and inspection period, cost increasing, and long delivery time. In a sense, it is a trade-off between the high accuracy RET and the mask production cost, while it gives a significant impact on the semiconductor market centered around the mask business. To cope with the problem, we propose the best method of a DFM solution using two-dimensional metrology for refined pattern.

  8. Use of simulation to optimize the pinhole diameter and mask thickness for an x-ray backscatter imaging system

    NASA Astrophysics Data System (ADS)

    Vella, A.; Munoz, Andre; Healy, Matthew J. F.; Lane, David; Lockley, D.

    2017-08-01

    The PENELOPE Monte Carlo simulation code was used to determine the optimum thickness and aperture diameter of a pinhole mask for X-ray backscatter imaging in a security application. The mask material needs to be thick enough to absorb most X-rays, and the pinhole must be wide enough for sufficient field of view whilst narrow enough for sufficient image spatial resolution. The model consisted of a fixed geometry test object, various masks with and without pinholes, and a 1040 x 1340 pixels' area detector inside a lead lined camera housing. The photon energy distribution incident upon masks was flat up to selected energy limits. This artificial source was used to avoid the optimisation being specific to any particular X-ray source technology. The pixelated detector was modelled by digitising the surface area represented by the PENELOPE phase space file and integrating the energies of the photons impacting within each pixel; a MATLAB code was written for this. The image contrast, signal to background ratio, spatial resolution, and collimation effect were calculated at the simulated detector as a function of pinhole diameter and various thicknesses of mask made of tungsten, tungsten/epoxy composite or bismuth alloy. A process of elimination was applied to identify suitable masks for a viable X-ray backscattering security application.

  9. Face mask use and control of respiratory virus transmission in households.

    PubMed

    MacIntyre, C Raina; Cauchemez, Simon; Dwyer, Dominic E; Seale, Holly; Cheung, Pamela; Browne, Gary; Fasher, Michael; Wood, James; Gao, Zhanhai; Booy, Robert; Ferguson, Neil

    2009-02-01

    Many countries are stockpiling face masks for use as a nonpharmaceutical intervention to control virus transmission during an influenza pandemic. We conducted a prospective cluster-randomized trial comparing surgical masks, non-fit-tested P2 masks, and no masks in prevention of influenza-like illness (ILI) in households. Mask use adherence was self-reported. During the 2006 and 2007 winter seasons, 286 exposed adults from 143 households who had been exposed to a child with clinical respiratory illness were recruited. We found that adherence to mask use significantly reduced the risk for ILI-associated infection, but <50% of participants wore masks most of the time. We concluded that household use of face masks is associated with low adherence and is ineffective for controlling seasonal respiratory disease. However, during a severe pandemic when use of face masks might be greater, pandemic transmission in households could be reduced.

  10. Active mask segmentation of fluorescence microscope images.

    PubMed

    Srinivasa, Gowri; Fickus, Matthew C; Guo, Yusong; Linstedt, Adam D; Kovacević, Jelena

    2009-08-01

    We propose a new active mask algorithm for the segmentation of fluorescence microscope images of punctate patterns. It combines the (a) flexibility offered by active-contour methods, (b) speed offered by multiresolution methods, (c) smoothing offered by multiscale methods, and (d) statistical modeling offered by region-growing methods into a fast and accurate segmentation tool. The framework moves from the idea of the "contour" to that of "inside and outside," or masks, allowing for easy multidimensional segmentation. It adapts to the topology of the image through the use of multiple masks. The algorithm is almost invariant under initialization, allowing for random initialization, and uses a few easily tunable parameters. Experiments show that the active mask algorithm matches the ground truth well and outperforms the algorithm widely used in fluorescence microscopy, seeded watershed, both qualitatively, as well as quantitatively.

  11. Dead space variability of face masks for valved holding chambers.

    PubMed

    Amirav, Israel; Newhouse, Michael T

    2008-03-01

    Valved holding chambers with masks are commonly used to deliver inhaled medications to young children with asthma. Optimal mask properties such as their dead space volume have received little attention. The smaller the mask the more likely it is that a greater proportion of the dose in the VHC will be inhaled with each breath, thus speeding VHC emptying and improving overall aerosol delivery efficiency and dose. Masks may have different DSV and thus different performance. To compare both physical dead space and functional dead space of different face masks under various applied pressures. The DSV of three commonly used face masks of VHCs was measured by water displacement both under various pressures (to simulate real-life application, dynamic DSV) and under no pressure (static DSV). There was a great variability of both static and dynamic dead space among various face mask for VHCs, which is probably related to their flexibility. Different masks have different DSV characteristics. This variability should be taken into account when comparing the clinical efficacy of various VHCs.

  12. Scalable Failure Masking for Stencil Computations using Ghost Region Expansion and Cell to Rank Remapping

    DOE PAGES

    Gamell, Marc; Teranishi, Keita; Kolla, Hemanth; ...

    2017-10-26

    In order to achieve exascale systems, application resilience needs to be addressed. Some programming models, such as task-DAG (directed acyclic graphs) architectures, currently embed resilience features whereas traditional SPMD (single program, multiple data) and message-passing models do not. Since a large part of the community's code base follows the latter models, it is still required to take advantage of application characteristics to minimize the overheads of fault tolerance. To that end, this paper explores how recovering from hard process/node failures in a local manner is a natural approach for certain applications to obtain resilience at lower costs in faulty environments.more » In particular, this paper targets enabling online, semitransparent local recovery for stencil computations on current leadership-class systems as well as presents programming support and scalable runtime mechanisms. Also described and demonstrated in this paper is the effect of failure masking, which allows the effective reduction of impact on total time to solution due to multiple failures. Furthermore, we discuss, implement, and evaluate ghost region expansion and cell-to-rank remapping to increase the probability of failure masking. To conclude, this paper shows the integration of all aforementioned mechanisms with the S3D combustion simulation through an experimental demonstration (using the Titan system) of the ability to tolerate high failure rates (i.e., node failures every five seconds) with low overhead while sustaining performance at large scales. In addition, this demonstration also displays the failure masking probability increase resulting from the combination of both ghost region expansion and cell-to-rank remapping.« less

  13. Scalable Failure Masking for Stencil Computations using Ghost Region Expansion and Cell to Rank Remapping

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gamell, Marc; Teranishi, Keita; Kolla, Hemanth

    In order to achieve exascale systems, application resilience needs to be addressed. Some programming models, such as task-DAG (directed acyclic graphs) architectures, currently embed resilience features whereas traditional SPMD (single program, multiple data) and message-passing models do not. Since a large part of the community's code base follows the latter models, it is still required to take advantage of application characteristics to minimize the overheads of fault tolerance. To that end, this paper explores how recovering from hard process/node failures in a local manner is a natural approach for certain applications to obtain resilience at lower costs in faulty environments.more » In particular, this paper targets enabling online, semitransparent local recovery for stencil computations on current leadership-class systems as well as presents programming support and scalable runtime mechanisms. Also described and demonstrated in this paper is the effect of failure masking, which allows the effective reduction of impact on total time to solution due to multiple failures. Furthermore, we discuss, implement, and evaluate ghost region expansion and cell-to-rank remapping to increase the probability of failure masking. To conclude, this paper shows the integration of all aforementioned mechanisms with the S3D combustion simulation through an experimental demonstration (using the Titan system) of the ability to tolerate high failure rates (i.e., node failures every five seconds) with low overhead while sustaining performance at large scales. In addition, this demonstration also displays the failure masking probability increase resulting from the combination of both ghost region expansion and cell-to-rank remapping.« less

  14. Do Plant-Bound Masked Mycotoxins Contribute to Toxicity?

    PubMed Central

    Gratz, Silvia W.

    2017-01-01

    Masked mycotoxins are plant metabolites of mycotoxins which co-contaminate common cereal crops. Since their discovery, the question has arisen if they contribute to toxicity either directly or indirectly through the release of the parent mycotoxins. Research in this field is rapidly emerging and the aim of this review is to summarize the latest knowledge on the fate of masked mycotoxins upon ingestion. Fusarium mycotoxins are the most prevalent masked mycotoxins and evidence is mounting that DON3Glc and possibly other masked trichothecenes are stable in conditions prevailing in the upper gut and are not absorbed intact. DON3Glc is also not toxic per se, but is hydrolyzed by colonic microbes and further metabolized to DOM-1 in some individuals. Masked zearalenone is rather more bio-reactive with some evidence on gastric and small intestinal hydrolysis as well as hydrolysis by intestinal epithelium and components of blood. Microbial hydrolysis of ZEN14Glc is almost instantaneous and further metabolism also occurs. Identification of zearalenone metabolites and their fate in the colon are still missing as is further clarification on whether or not masked zearalenone is hydrolyzed by mammalian cells. New masked mycotoxins continuously emerge and it is crucial that we gain detailed understanding of their individual metabolic fate in the body before we can assess synergistic effects and extrapolate the additive risk of all mycotoxins present in food. PMID:28264486

  15. Integration of mask and silicon metrology in DFM

    NASA Astrophysics Data System (ADS)

    Matsuoka, Ryoichi; Mito, Hiroaki; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2009-03-01

    We have developed a highly integrated method of mask and silicon metrology. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. We have inspected the high accuracy, stability and reproducibility in the experiments of integration. The accuracy is comparable with that of the mask and silicon CD-SEM metrology. In this report, we introduce the experimental results and the application. As shrinkage of design rule for semiconductor device advances, OPC (Optical Proximity Correction) goes aggressively dense in RET (Resolution Enhancement Technology). However, from the view point of DFM (Design for Manufacturability), the cost of data process for advanced MDP (Mask Data Preparation) and mask producing is a problem. Such trade-off between RET and mask producing is a big issue in semiconductor market especially in mask business. Seeing silicon device production process, information sharing is not completely organized between design section and production section. Design data created with OPC and MDP should be linked to process control on production. But design data and process control data are optimized independently. Thus, we provided a solution of DFM: advanced integration of mask metrology and silicon metrology. The system we propose here is composed of followings. 1) Design based recipe creation: Specify patterns on the design data for metrology. This step is fully automated since they are interfaced with hot spot coordinate information detected by various verification methods. 2) Design based image acquisition: Acquire the images of mask and silicon automatically by a recipe based on the pattern design of CD-SEM.It is a robust automated step because a wide range of design data is used for the image acquisition. 3) Contour profiling and GDS data generation: An image profiling process is applied to the acquired image based

  16. Annotated Bibliography for Gas Mask and Chemical Defense Gear Related Papers

    DTIC Science & Technology

    1988-01-15

    protective clothing and equipment on combat efficiency (Technical Report No. AMSAA--TR-313). Aberdeen Proving Ground, MD: Army Material Systems Analysis ...atmosphere containing a known concentration of a harmless test aerosol or gas and subsequent analysis of the concentration inside the mask. Qualitative...exercise. Ergonomics , 21, 531-538. Eleven healthy males performed steady--s:ate bicycle exercise while breathing at each of 6 added inspiratory resist

  17. CD-measurement technique for hole patterns on stencil mask

    NASA Astrophysics Data System (ADS)

    Ishikawa, Mikio; Yusa, Satoshi; Takikawa, Tadahiko; Fujita, Hiroshi; Sano, Hisatake; Hoga, Morihisa; Hayashi, Naoya

    2004-12-01

    EB lithography has a potential to successfully form hole patterns as small as 80 nm with a stencil mask. In a previous paper we proposed a technique using a HOLON dual-mode critical dimension (CD) SEM ESPA-75S in the transmission mode for CD measurement of line-and-space patterns on a stencil mask. In this paper we extend our effort of developing a CD measurement technique to contact hole features and determine it in comparison of measured values between features on mask and those printed on wafer. We have evaluated the width method and the area methods using designed 80-500 nm wide contact hole patterns on a large area membrane mask and their resist images on wafer printed by a LEEPL3000. We find that 1) the width method and the area methods show an excellent mask-wafer correlation for holes over 110 nm, and 2) the area methods show a better mask-wafer correlation than the width method does for holes below 110 nm. We conclude that the area calculated from the transmission SEM image is more suitable in defining the hole dimensions than the width for contact holes on a stencil mask.

  18. Understanding and reduction of defects on finished EUV masks

    NASA Astrophysics Data System (ADS)

    Liang, Ted; Sanchez, Peter; Zhang, Guojing; Shu, Emily; Nagpal, Rajesh; Stivers, Alan

    2005-05-01

    To reduce the risk of EUV lithography adaptation for the 32nm technology node in 2009, Intel has operated a EUV mask Pilot Line since early 2004. The Pilot Line integrates all the necessary process modules including common tool sets shared with current photomask production as well as EUV specific tools. This integrated endeavor ensures a comprehensive understanding of any issues, and development of solutions for the eventual fabrication of defect-free EUV masks. Two enabling modules for "defect-free" masks are pattern inspection and repair, which have been integrated into the Pilot Line. This is the first time we are able to look at real defects originated from multilayer blanks and patterning process on finished masks over entire mask area. In this paper, we describe our efforts in the qualification of DUV pattern inspection and electron beam mask repair tools for Pilot Line operation, including inspection tool sensitivity, defect classification and characterization, and defect repair. We will discuss the origins of each of the five classes of defects as seen by DUV pattern inspection tool on finished masks, and present solutions of eliminating and mitigating them.

  19. Neopuff T-piece resuscitator mask ventilation: Does mask leak vary with different peak inspiratory pressures in a manikin model?

    PubMed

    Maheshwari, Rajesh; Tracy, Mark; Hinder, Murray; Wright, Audrey

    2017-08-01

    The aim of this study was to compare mask leak with three different peak inspiratory pressure (PIP) settings during T-piece resuscitator (TPR; Neopuff) mask ventilation on a neonatal manikin model. Participants were neonatal unit staff members. They were instructed to provide mask ventilation with a TPR with three PIP settings (20, 30, 40 cm H 2 O) chosen in a random order. Each episode was for 2 min with 2-min rest period. Flow rate and positive end-expiratory pressure (PEEP) were kept constant. Airway pressure, inspiratory and expiratory tidal volumes, mask leak, respiratory rate and inspiratory time were recorded. Repeated measures analysis of variance was used for statistical analysis. A total of 12 749 inflations delivered by 40 participants were analysed. There were no statistically significant differences (P > 0.05) in the mask leak with the three PIP settings. No statistically significant differences were seen in respiratory rate and inspiratory time with the three PIP settings. There was a significant rise in PEEP as the PIP increased. Failure to achieve the desired PIP was observed especially at the higher settings. In a neonatal manikin model, the mask leak does not vary as a function of the PIP when the flow rate is constant. With a fixed rate and inspiratory time, there seems to be a rise in PEEP with increasing PIP. © 2017 Paediatrics and Child Health Division (The Royal Australasian College of Physicians).

  20. Automated imprint mask cleaning for step-and-flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Singh, Sherjang; Chen, Ssuwei; Selinidis, Kosta; Fletcher, Brian; McMackin, Ian; Thompson, Ecron; Resnick, Douglas J.; Dress, Peter; Dietze, Uwe

    2009-03-01

    Step-and-Flash Imprint Lithography (S-FIL) is a promising lithography strategy for semiconductor manufacturing at device nodes below 32nm. The S-FIL 1:1 pattern transfer technology utilizes a field-by-field ink jet dispense of a low viscosity liquid resist to fill the relief pattern of the device layer etched into the glass mask. Compared to other sub 40nm CD lithography methods, the resulting high resolution, high throughput through clustering, 3D patterning capability, low process complexity, and low cost of ownership (CoO) of S-FIL makes it a widely accepted technology for patterned media as well as a promising mainstream option for future CMOS applications. Preservation of mask cleanliness is essential to avoid risk of repeated printing of defects. The development of mask cleaning processes capable of removing particles adhered to the mask surface without damaging the mask is critical to meet high volume manufacturing requirements. In this paper we have presented various methods of residual (cross-linked) resist removal and final imprint mask cleaning demonstrated on the HamaTech MaskTrack automated mask cleaning system. Conventional and non-conventional (acid free) methods of particle removal have been compared and the effect of mask cleaning on pattern damage and CD integrity is also studied.

  1. Novel hard compositions and methods of preparation

    DOEpatents

    Sheinberg, H.

    1981-02-03

    Novel very hard compositions of matter are prepared by using in all embodiments only a minor amount of a particular carbide (or materials which can form the carbide in situ when subjected to heat and pressure); and no strategic cobalt is needed. Under a particular range of conditions, densified compositions of matter of the invention are prepared having hardnesses on the Rockwell A test substantially equal to the hardness of pure tungsten carbide and to two of the hardest commercial cobalt-bonded tungsten carbides. Alternately, other compositions of the invention which have slightly lower hardnesses than those described above in one embodiment also possess the advantage of requiring no tungsten and in another embodiment possess the advantage of having a good fracture toughness value.

  2. Application of multi-tone mask technology in photolithographic fabrication of color filter components in LCD

    NASA Astrophysics Data System (ADS)

    Takada, Yoshihiro; Fukui, Matoko; Sai, Tsunehiro

    2008-11-01

    Recent progresses in the photoresists and photolithography for LCD industry applications have been primarily driven by the following two factors: advancement in the material performances (high resolution, high contrast ratio, low dielectric constant) for higher display quality, and cost reduction in the fabrication process. Along with crucial demand for cost competitiveness by improving production efficiency, environmental consciousness has been a major priority at fabrication process design to minimize the amount of waste produced. Having said the above, integration of two or more fabrication processes into a single process by using multi-tone mask technology has been the interest of research, due to its obvious advantage of reducing fabrication processes and cost. For example, multi-tone mask technology application has been widely employed on the TFT side to reduce the different types of photomasks being used. Similar trend has been employed on the CF side as well, where application of multi-tone mask technology is being investigated to integrate fabrication of multiple CF micro-components into a single process. In this presentation, we demonstrate a new approach of fabricating photospacer and peripheral CF components (MVA protrusion, sub-photospacers) in a single integrated process through multi-tone mask technology.

  3. Towards radiation hard converter material for SiC-based fast neutron detectors

    NASA Astrophysics Data System (ADS)

    Tripathi, S.; Upadhyay, C.; Nagaraj, C. P.; Venkatesan, A.; Devan, K.

    2018-05-01

    In the present work, Geant4 Monte-Carlo simulations have been carried out to study the neutron detection efficiency of the various neutron to other charge particle (recoil proton) converter materials. The converter material is placed over Silicon Carbide (SiC) in Fast Neutron detectors (FNDs) to achieve higher neutron detection efficiency as compared to bare SiC FNDs. Hydrogenous converter material such as High-Density Polyethylene (HDPE) is preferred over other converter materials due to the virtue of its high elastic scattering reaction cross-section for fast neutron detection at room temperature. Upon interaction with fast neutrons, hydrogenous converter material generates recoil protons which liberate e-hole pairs in the active region of SiC detector to provide a detector signal. The neutron detection efficiency offered by HDPE converter is compared with several other hydrogenous materials viz., 1) Lithium Hydride (LiH), 2) Perylene, 3) PTCDA . It is found that, HDPE, though providing highest efficiency among various studied materials, cannot withstand high temperature and harsh radiation environment. On the other hand, perylene and PTCDA can sustain harsh environments, but yields low efficiency. The analysis carried out reveals that LiH is a better material for neutron to other charge particle conversion with competent efficiency and desired radiation hardness. Further, the thickness of LiH has also been optimized for various mono-energetic neutron beams and Am-Be neutron source generating a neutron fluence of 109 neutrons/cm2. The optimized thickness of LiH converter for fast neutron detection is found to be ~ 500 μm. However, the estimated efficiency for fast neutron detection is only 0.1%, which is deemed to be inadequate for reliable detection of neutrons. A sensitivity study has also been done investigating the gamma background effect on the neutron detection efficiency for various energy threshold of Low-Level Discriminator (LLD). The detection

  4. Does "Darkness" Lead to "Happiness"? Masked Suffix Priming Effects

    ERIC Educational Resources Information Center

    Dunabeitia, Jon Andoni; Perea, Manuel; Carreiras, Manuel

    2008-01-01

    Masked affix priming effects have usually been obtained for words sharing the initial affix (e.g., "reaction"-"REFORM"). However, prior evidence on masked suffix priming effects (e.g., "baker"-"WALKER") is inconclusive. In the present series of masked priming lexical decision experiments, a target word was…

  5. The technical consideration of multi-beam mask writer for production

    NASA Astrophysics Data System (ADS)

    Lee, Sang Hee; Ahn, Byung-Sup; Choi, Jin; Shin, In Kyun; Tamamushi, Shuichi; Jeon, Chan-Uk

    2016-10-01

    Multi-beam mask writer is under development to solve the throughput and patterning resolution problems in VSB mask writer. Theoretically, the writing time is appropriate for future design node and the resolution is improved with multi-beam mask writer. Many previous studies show the feasible results of resolution, CD control and registration. Although such technical results of development tool seem to be enough for mass production, there are still many unexpected problems for real mass production. In this report, the technical challenges of multi-beam mask writer are discussed in terms of production and application. The problems and issues are defined based on the performance of current development tool compared with the requirements of mask quality. Using the simulation and experiment, we analyze the specific characteristics of electron beam in multi-beam mask writer scheme. Consequently, we suggest necessary specifications for mass production with multi-beam mask writer in the future.

  6. Gentle Masking of Low-Complexity Sequences Improves Homology Search

    PubMed Central

    Frith, Martin C.

    2011-01-01

    Detection of sequences that are homologous, i.e. descended from a common ancestor, is a fundamental task in computational biology. This task is confounded by low-complexity tracts (such as atatatatatat), which arise frequently and independently, causing strong similarities that are not homologies. There has been much research on identifying low-complexity tracts, but little research on how to treat them during homology search. We propose to find homologies by aligning sequences with “gentle” masking of low-complexity tracts. Gentle masking means that the match score involving a masked letter is , where is the unmasked score. Gentle masking slightly but noticeably improves the sensitivity of homology search (compared to “harsh” masking), without harming specificity. We show examples in three useful homology search problems: detection of NUMTs (nuclear copies of mitochondrial DNA), recruitment of metagenomic DNA reads to reference genomes, and pseudogene detection. Gentle masking is currently the best way to treat low-complexity tracts during homology search. PMID:22205972

  7. Secondary gamma-ray production in a coded aperture mask

    NASA Technical Reports Server (NTRS)

    Owens, A.; Frye, G. M., Jr.; Hall, C. J.; Jenkins, T. L.; Pendleton, G. N.; Carter, J. N.; Ramsden, D.; Agrinier, B.; Bonfand, E.; Gouiffes, C.

    1985-01-01

    The application of the coded aperture mask to high energy gamma-ray astronomy will provide the capability of locating a cosmic gamma-ray point source with a precision of a few arc-minutes above 20 MeV. Recent tests using a mask in conjunction with drift chamber detectors have shown that the expected point spread function is achieved over an acceptance cone of 25 deg. A telescope employing this technique differs from a conventional telescope only in that the presence of the mask modifies the radiation field in the vicinity of the detection plane. In addition to reducing the primary photon flux incident on the detector by absorption in the mask elements, the mask will also be a secondary radiator of gamma-rays. The various background components in a CAMTRAC (Coded Aperture Mask Track Chamber) telescope are considered. Monte-Carlo calculations are compared with recent measurements obtained using a prototype instrument in a tagged photon beam line.

  8. Optical performances of the FM JEM-X masks

    NASA Astrophysics Data System (ADS)

    Reglero, V.; Rodrigo, J.; Velasco, T.; Gasent, J. L.; Chato, R.; Alamo, J.; Suso, J.; Blay, P.; Martínez, S.; Doñate, M.; Reina, M.; Sabau, D.; Ruiz-Urien, I.; Santos, I.; Zarauz, J.; Vázquez, J.

    2001-09-01

    The JEM-X Signal Multiplexing Systems are large HURA codes "written" in a pure tungsten plate 0.5 mm thick. 24.247 hexagonal pixels (25% open) are spread over a total area of 535 mm diameter. The tungsten plate is embedded in a mechanical structure formed by a Ti ring, a pretensioning system (Cu-Be) and an exoskeleton structure that provides the required stiffness. The JEM-X masks differ from the SPI and IBIS masks on the absence of a code support structure covering the mask assembly. Open pixels are fully transparent to X-rays. The scope of this paper is to report the optical performances of the FM JEM-X masks defined by uncertainties on the pixel location (centroid) and size coming from the manufacturing and assembly processes. Stability of the code elements under thermoelastic deformations is also discussed. As a general statement, JEM-X Mask optical properties are nearly one order of magnitude better than specified in 1994 during the ESA instrument selection.

  9. Masking responses to light in period mutant mice.

    PubMed

    Pendergast, Julie S; Yamazaki, Shin

    2011-10-01

    Masking is an acute effect of an external signal on an overt rhythm and is distinct from the process of entrainment. In the current study, we investigated the phase dependence and molecular mechanisms regulating masking effects of light pulses on spontaneous locomotor activity in mice. The circadian genes, Period1 (Per1) and Per2, are necessary components of the timekeeping machinery and entrainment by light appears to involve the induction of the expression of Per1 and Per2 mRNAs in the suprachiasmatic nuclei (SCN). We assessed the roles of the Per genes in regulating masking by assessing the effects of light pulses on nocturnal locomotor activity in C57BL/6J Per mutant mice. We found that Per1(-/-) and Per2(-/-) mice had robust negative masking responses to light. In addition, the locomotor activity of Per1(-/-)/Per2(-/-) mice appeared to be rhythmic in the light-dark (LD) cycle, and the phase of activity onset was advanced (but varied among individual mice) relative to lights off. This rhythm persisted for 1 to 2 days in constant darkness in some Per1(-/-)/Per2(-/-) mice. Furthermore, Per1(-/-)/Per2(-/-) mice exhibited robust negative masking responses to light. Negative masking was phase dependent in wild-type mice such that maximal suppression was induced by light pulses at zeitgeber time 14 (ZT14) and gradually weaker suppression occurred during light pulses at ZT16 and ZT18. By measuring the phase shifts induced by the masking protocol (light pulses were administered to mice maintained in the LD cycle), we found that the phase responsiveness of Per mutant mice was altered compared to wild-types. Together, our data suggest that negative masking responses to light are robust in Per mutant mice and that the Per1(-/-)/Per2(-/-) SCN may be a light-driven, weak/damping oscillator.

  10. [Oxygenation: the impact of face mask coupling.].

    PubMed

    Gregori, Waldemar Montoya de; Mathias, Lígia Andrade da Silva Telles; Piccinini Filho, Luiz; Pena, Ernesto Leonardo de Carpio; Vicuna, Aníbal Heberto Mora; Vieira, Joaquim Edson

    2005-10-01

    Different oxygenation techniques aim at promoting denitrogenation before apnea during induction. The main reason why CIO2 = 100% cannot be reached is the lack of adequate face mask coupling, allowing the entry of room air. Although anesthesiologists know this principle, not all of them apply it correctly, facilitating the entry of air in fresh gases flow and consequently diluting CIO2. This prospective study was performed to comparatively evaluate, through the variation of oxygen expired concentration (CEO2), the efficacy of the oxygenation technique via face mask in the conditions routinely used by anesthesiologists, simulating situations of progressive leaks. Oxygen end-tidal concentrations of 15 volunteers, physical status ASA I, were studied with 8 deep breaths (vital capacity) in 60 s with fresh gas flow of 10 L.min-1. The face mask was: tightly fitted with 100% CIO2 (Tf100) or varying from 50% to 90%, (Tf50, Tf60, Tf70, Tf80, Tf90); gravity-coupled to face and 100% CIO2 (Grav) and moved 1 cm away from face with 100% CIO2 (Aw). CEO2 was recorded at 10 s intervals. P < 0.05 was considered statistically significant. CEO2 has increased for all groups (p < 0.001), but only Tf100 reached values close to ideal (82.20 - 87). Comparing mean CEO2 of Grav and Tf100 at the end of 60s, (82.20 and 65.87) there was a difference of approximately 20% between both techniques, since gravity-coupled mask only did not provide adequate oxygenation. There were no significant differences between groups Tf70 and Grav (65.87 and 62.67) in all studied moments, suggesting that the latter simulates a 70% CIO2 at 60 s. Mean Aw group CEO2 increased to 47.20 at 60s showing that this technique may be associated to unacceptable risk of hypoxemia. All situations of face mask coupling gradually increased CEO2, although with decreased oxygenation efficacy due to situations of face mask malposition. This study has shown the need for attention during oxygenation, using well coupled face mask and

  11. Parametric studies and characterization measurements of x-ray lithography mask membranes

    NASA Astrophysics Data System (ADS)

    Wells, Gregory M.; Chen, Hector T. H.; Engelstad, Roxann L.; Palmer, Shane R.

    1991-08-01

    The techniques used in the experimental characterization of thin membranes are considered for their potential use as mask blanks for x-ray lithography. Among the parameters of interest for this evaluation are the film's stress, fracture strength, uniformity of thickness, absorption in the x-ray and visible spectral regions and the modulus and grain structure of the material. The experimental techniques used for measuring these properties are described. The accuracy and applicability of the assumptions used to derive the formulas that relate the experimental measurements to the parameters of interest are considered. Experimental results for silicon carbide and diamond films are provided. Another characteristic needed for an x-ray mask carrier is radiation stability. The number of x-ray exposures expected to be performed in the lifetime of an x-ray mask on a production line is on the order of 107. The dimensional stability requirements placed on the membranes during this period are discussed. Interferometric techniques that provide sufficient sensitivity for these stability measurements are described. A comparison is made between the different techniques that have been developed in term of the information that each technique provides, the accuracy of the various techniques, and the implementation issues that are involved with each technique.

  12. Mask manufacturing improvement through capability definition and bottleneck line management

    NASA Astrophysics Data System (ADS)

    Strott, Al

    1994-02-01

    In 1989, Intel's internal mask operation limited itself to research and development activities and re-inspection and pellicle application of externally manufactured masks. Recognizing the rising capital cost of mask manufacturing at the leading edge, Intel's Mask Operation management decided to offset some of these costs by manufacturing more masks internally. This was the beginning of the challenge they set to manufacture at least 50% of Intel's mask volume internally, at world class performance levels. The first step in responding to this challenge was the completion of a comprehensive operation capability analysis. A series of bottleneck improvements by focus teams resulted in an average cycle time improvement to less than five days on all product and less than two days on critical products.

  13. Joint optimization of source, mask, and pupil in optical lithography

    NASA Astrophysics Data System (ADS)

    Li, Jia; Lam, Edmund Y.

    2014-03-01

    Mask topography effects need to be taken into consideration for more advanced resolution enhancement techniques in optical lithography. However, rigorous 3D mask model achieves high accuracy at a large computational cost. This work develops a combined source, mask and pupil optimization (SMPO) approach by taking advantage of the fact that pupil phase manipulation is capable of partially compensating for mask topography effects. We first design the pupil wavefront function by incorporating primary and secondary spherical aberration through the coefficients of the Zernike polynomials, and achieve optimal source-mask pair under the condition of aberrated pupil. Evaluations against conventional source mask optimization (SMO) without incorporating pupil aberrations show that SMPO provides improved performance in terms of pattern fidelity and process window sizes.

  14. Masked translation priming effects with low proficient bilinguals.

    PubMed

    Dimitropoulou, Maria; Duñabeitia, Jon Andoni; Carreiras, Manuel

    2011-02-01

    Non-cognate masked translation priming lexical decision studies with unbalanced bilinguals suggest that masked translation priming effects are asymmetric as a function of the translation direction (significant effects only in the dominant [L1] to nondominant [L2] language translation direction). However, in contrast to the predictions of most current accounts of masked translation priming effects, bidirectional effects have recently been reported with a group of low proficient bilinguals Duyck & Warlop 2009 (Experimental Psychology 56:173-179). In a series of masked translation priming lexical decision experiments we examined whether the same pattern of effects would emerge with late and low proficient Greek (L1)-Spanish (L2) bilinguals. Contrary to the results obtained by Duyck and Warlop, and in line with the results found in most studies in the masked priming literature, significant translation priming effects emerged only when the bilinguals performed the task with L1 primes and L2 targets. The existence of the masked translation priming asymmetry with low proficient bilinguals suggests that cross-linguistic automatic lexico-semantic links may be established very early in the process of L2 acquisition. These findings could help to define models of bilingualism that consider L2 proficiency level to be a determining factor.

  15. Maximizing noise energy for noise-masking studies.

    PubMed

    Jules Étienne, Cédric; Arleo, Angelo; Allard, Rémy

    2017-08-01

    Noise-masking experiments are widely used to investigate visual functions. To be useful, noise generally needs to be strong enough to noticeably impair performance, but under some conditions, noise does not impair performance even when its contrast approaches the maximal displayable limit of 100 %. To extend the usefulness of noise-masking paradigms over a wider range of conditions, the present study developed a noise with great masking strength. There are two typical ways of increasing masking strength without exceeding the limited contrast range: use binary noise instead of Gaussian noise or filter out frequencies that are not relevant to the task (i.e., which can be removed without affecting performance). The present study combined these two approaches to further increase masking strength. We show that binarizing the noise after the filtering process substantially increases the energy at frequencies within the pass-band of the filter given equated total contrast ranges. A validation experiment showed that similar performances were obtained using binarized-filtered noise and filtered noise (given equated noise energy at the frequencies within the pass-band) suggesting that the binarization operation, which substantially reduced the contrast range, had no significant impact on performance. We conclude that binarized-filtered noise (and more generally, truncated-filtered noise) can substantially increase the energy of the noise at frequencies within the pass-band. Thus, given a limited contrast range, binarized-filtered noise can display higher energy levels than Gaussian noise and thereby widen the range of conditions over which noise-masking paradigms can be useful.

  16. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    NASA Astrophysics Data System (ADS)

    Li, Yi-Gui; Yang, Chun-Sheng; Liu, Jing-Quan; Sugiyama, Susumu

    2011-03-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost.

  17. Masking Treatment and its Effect on Tinnitus Parameters.

    PubMed

    Aytac, Ismail; Baysal, Elif; Gulsen, Secaattin; Tumuklu, Koray; Durucu, Cengiz; Mumbuc, Lütfi Semih; Kanlikama, MUzaffer

    2017-12-01

    Tinnitus is described as the perception of sound without any external acoustic stimulation. Any pathology of auditory pathways or any system of the human body may result with tinnitus. The pathophysiology of tinnitus accompanying the disorders of auditory system is not fully understood and there is not any particular effective treatment method has been specified. Tinnitus masking therapy has been reported as an effective treatment modality in the treatment of tinnitus. In this study, the results of tinnitus masking treatment on the parameters were evaluated prospectively. Patients with normal physical examination was enrolled in the study. Blood tests (complete blood count, biochemical analysis of lipid profile, and thyroid hormones), pure tone audiometry, tympanometric measurement of the middle ear pressure and stapedial reflexes were performed, Sixty six patients with normal results of blood tests and normal hearing thresholds with type A tympanogram were included. Tinnitus sufferers questionnaires (socio-demographics, clinical information, Tinnitus Handicap Inventory (THI), Beck Depression Inventory (BDI) was filled, audiological tests were performed, tinnitus parameters (frequency, intensity, minimal masking levels, residual inhibition) were measured. After four weeks of the treatment the questionnaires were repeated. Masking treatment for tinnitus patients resulted with significant decrease in Tinnitus Handicap Inventory and VAS scores. After four weeks of the masking treatment the questionnaire was repeated. Twenty patients did not respond to treatment. Masking therapy is one of the most effective methods of treatment for tinnitus patients. Masking therapy, that is not invasive and cost-effective has an important place in the treatment of tinnitus. Especially in a short time provides a significant reduction in tinnitus parameters.

  18. Captive breeding and reintroduction of the endangered masked bobwhite

    USGS Publications Warehouse

    Carpenter, J.W.; Gabel, R.R.; Goodwin, J.G.

    1991-01-01

    Efforts to restore the endangered masked bobwhite (Colinus virginianus ridgwayi) to its former range have required 1) habitat acquisition, restoration, and preservation; 2) captive propagation; and 3) reintroduction .bf captive-bred stock. In its role to recover the masked bobwhite, the Patuxent Wildlife Research Center (U.S. Fish and Wildli e Service) has refined captive breeding techniques; provided captive-produced stock for release; conducted field research on the distribution, limiting factors, and habitat characteristics of this species; and developed release methods. Techniques for the husbandry and captive management, breeding, artificial incubation and hatching of eggs, and rearing of young of the masked bobwhite have been developed. Successful reintroduction techniques for the masked bobwhite have included prerelease conditioning and/or cross-fostering of captive-reared masked bobwhite chicks to a wild-caught, related, vasectomized bobwhite species and their release to the wild as family units. In addition, the establishment by the U. S. Fish and Wildlife Service of the Buenos Aires National Wildlife Refuge in 1985 has further enhanced the potential for establishing a self-sustaining population of the masked bobwhite in the U. S. Through continued releases and active management of habitat, therefore, it is believed that the masked bobwhite can become permanently established at the refuge to ensure its continued survival in the wild.

  19. Direct Measures of Character Mislocalizations with Masked/Unmasked Exposures.

    ERIC Educational Resources Information Center

    Chastain, Garvin; And Others

    Butler (1980) compared errors representing intrusions and mislocalizations on 3x3 letter displays under pattern-mask versus no-mask conditions and found that pattern masking increased character mislocalization errors (naming a character in the display but not in the target position as being the target) over intrusion errors (naming a character not…

  20. Temperature stability of Al(x)Ga(1-x)As (x = 0-1) thermal oxide masks for selective-area epitaxy

    NASA Technical Reports Server (NTRS)

    Jones, Stephen H.; Lau, Kei May; Pouch, John J.

    1988-01-01

    The use of thermal oxides of Al(x)Ga(1-x)As (x = 0-1) as masking materials for selective-area epitaxy by a organometallic chemical-vapor deposition has been investigated. It was found that the thermal oxide of GaAs is only applicable for low growth temperatures (less than or equal to 600 C), and the addition of aluminum significantly improves the thermal stability of the oxide. The oxide of Al(0.4)Ga(0.6)As is suitable for high-temperature deposition, but there are criteria for the thickness and oxidation temperature. Thin layers of AlAs oxidized at 475 C are excellent masks and allow precise thickness control. Promising results of selective-area deposition using these aluminum oxide masks have been obtained. High-quality single crystal grew in mask openings uniformly surrounded by dense and fine-grain polycrystalline deposits, producing a planar duplication of the original pattern.