Sample records for hydrogen plasma etching

  1. Near-infrared diode laser hydrogen fluoride monitor for dielectric etch

    NASA Astrophysics Data System (ADS)

    Xu, Ning; Pirkle, David R.; Jeffries, Jay B.; McMillin, Brian; Hanson, Ronald K.

    2004-11-01

    A hydrogen fluoride (HF) monitor, using a tunable diode laser, is designed and used to detect the etch endpoints for dielectric film etching in a commercial plasma reactor. The reactor plasma contains HF, a reaction product of feedstock gas CF4 and the hydrogen-containing films (photoresist, SiOCH) on the substrate. A near-infrared diode laser is used to scan the P(3) transition in the first overtone of HF near 1.31 μm to monitor changes in the level of HF concentration in the plasma. Using 200 ms averaging and a signal modulation technique, we estimate a minimum detectable HF absorbance of 6×10-5 in the etch plasma, corresponding to an HF partial pressure of 0.03 mTorr. The sensor could indicate, in situ, the SiOCH over tetraethoxysilane oxide (TEOS) trench endpoint, which was not readily discerned by optical emission. These measurements demonstrate the feasibility of a real-time diode laser-based sensor for etch endpoint monitoring and a potential for process control.

  2. Low resistivity of graphene nanoribbons with zigzag-dominated edge fabricated by hydrogen plasma etching combined with Zn/HCl pretreatment

    NASA Astrophysics Data System (ADS)

    Liu, Fengkui; Li, Qi; Wang, Rubing; Xu, Jianbao; Hu, Junxiong; Li, Weiwei; Guo, Yufen; Qian, Yuting; Deng, Wei; Ullah, Zaka; Zeng, Zhongming; Sun, Mengtao; Liu, Liwei

    2017-11-01

    Graphene nanoribbons (GNRs) have attracted intensive research interest owing to their potential applications in high performance graphene-based electronics. However, the deterioration of electrical performance caused by edge disorder is still an important obstacle to the applications. Here, we report the fabrication of low resistivity GNRs with a zigzag-dominated edge through hydrogen plasma etching combined with the Zn/HCl pretreatment method. This method is based on the anisotropic etching properties of hydrogen plasma in the vicinity of defects created by sputtering zinc (Zn) onto planar graphene. The polarized Raman spectra measurement of GNRs exhibits highly polarization dependence, which reveals the appearance of the zigzag-dominated edge. The as-prepared GNRs exhibit high carrier mobility (˜1332.4 cm2 v-1 s-1) and low resistivity (˜0.7 kΩ) at room temperature. Particularly, the GNRs can carry large current density (5.02 × 108 A cm-2) at high voltage (20.0 V) in the air atmosphere. Our study develops a controllable method to fabricate zigzag edge dominated GNRs for promising applications in transistors, sensors, nanoelectronics, and interconnects.

  3. Anisotropic Hydrogen Etching of Chemical Vapor Deposited Graphene

    NASA Astrophysics Data System (ADS)

    Zhang, Yi; Li, Zhen; Zhang, Luyao; Kim, Pyojae; Zhou, Chongwu

    2012-02-01

    In terms of the preparation of graphene, chemical vapor deposition (CVD) has raised its popularity as a scalable and cost effective approach for graphene synthesis. While the formation of graphene on copper foil has been intensively studied, the reverse reaction of graphene reacts with hydrogen has not been systematically studied. In this talk we will present a simple, clean, and highly anisotropic hydrogen etching method for CVD graphene catalyzed by the copper substrate. By exposing CVD graphene on copper foil to hydrogen flow around 800 ^oC, we observed that the initially continuous graphene can be etched to have many hexagonal openings. In addition, we found that the etching is temperature dependent and the etching of graphene at 800 oC is most efficient and anisotropic. 80% of the angles of graphene edges after etching are 120^o, indicating the etching is highly anisotropic. No increase of D band along the etched edges indicates that the crystallographic orientation of etching is zigzag direction. Furthermore, we observed that copper played an important role in catalyzing the etching reaction, as no etching was observed for graphene transferred to Si/SiO2 under similar conditions. This highly anisotropic hydrogen etching technology may work as a simple and convenient way to determine graphene crystal orientation and grain size, and may enable the etching of graphene into nanoribbons for electronic applications.

  4. New frontiers of atomic layer etching

    NASA Astrophysics Data System (ADS)

    Sherpa, Sonam D.; Ranjan, Alok

    2018-03-01

    Interest in atomic layer etching (ALE) has surged recently because it offers several advantages over continuous or quasicontinuous plasma etching. These benefits include (1) independent control of ion energy, ion flux, and radical flux, (2) flux-independent etch rate that mitigates the iso-dense loading effects, and (3) ability to control the etch rate with atomic or nanoscale precision. In addition to these benefits, we demonstrate an area-selective etching for maskless lithography as a new frontier of ALE. In this paper, area-selective etching refers to the confinement of etching into the specific areas of the substrate. The concept of area-selective etching originated during our studies on quasi-ALE of silicon nitride which consists of sequential exposure of silicon nitride to hydrogen and fluorinated plasma. The findings of our studies reported in this paper suggest that it may be possible to confine the etching into specific areas of silicon nitride without using any mask by replacing conventional hydrogen plasma with a localized source of hydrogen ions.

  5. Advanced plasma etch technologies for nanopatterning

    NASA Astrophysics Data System (ADS)

    Wise, Rich

    2013-10-01

    Advances in patterning techniques have enabled the extension of immersion lithography from 65/45 nm through 14/10 nm device technologies. A key to this increase in patterning capability has been innovation in the subsequent dry plasma etch processing steps. Multiple exposure techniques, such as litho-etch-litho-etch, sidewall image transfer, line/cut mask, and self-aligned structures, have been implemented to solution required device scaling. Advances in dry plasma etch process control across wafer uniformity and etch selectivity to both masking materials have enabled adoption of vertical devices and thin film scaling for increased device performance at a given pitch. Plasma etch processes, such as trilayer etches, aggressive critical dimension shrink techniques, and the extension of resist trim processes, have increased the attainable device dimensions at a given imaging capability. Precise control of the plasma etch parameters affecting across-design variation, defectivity, profile stability within wafer, within lot, and across tools has been successfully implemented to provide manufacturable patterning technology solutions. IBM has addressed these patterning challenges through an integrated total patterning solutions team to provide seamless and synergistic patterning processes to device and integration internal customers. We will discuss these challenges and the innovative plasma etch solutions pioneered by IBM and our alliance partners.

  6. Advanced plasma etch technologies for nanopatterning

    NASA Astrophysics Data System (ADS)

    Wise, Rich

    2012-03-01

    Advances in patterning techniques have enabled the extension of immersion lithography from 65/45nm through 14/10nm device technologies. A key to this increase in patterning capability has been innovation in the subsequent dry plasma etch processing steps. Multiple exposure techniques such as litho-etch-litho-etch, sidewall image transfer, line/cut mask and self-aligned structures have been implemented to solution required device scaling. Advances in dry plasma etch process control, across wafer uniformity and etch selectivity to both masking materials and have enabled adoption of vertical devices and thin film scaling for increased device performance at a given pitch. Plasma etch processes such as trilayer etches, aggressive CD shrink techniques, and the extension of resist trim processes have increased the attainable device dimensions at a given imaging capability. Precise control of the plasma etch parameters affecting across design variation, defectivity, profile stability within wafer, within lot, and across tools have been successfully implemented to provide manufacturable patterning technology solutions. IBM has addressed these patterning challenges through an integrated Total Patterning Solutions team to provide seamless and synergistic patterning processes to device and integration internal customers. This paper will discuss these challenges and the innovative plasma etch solutions pioneered by IBM and our alliance partners.

  7. Thermal atomic layer etching of crystalline aluminum nitride using sequential, self-limiting hydrogen fluoride and Sn(acac){sub 2} reactions and enhancement by H{sub 2} and Ar plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Johnson, Nicholas R.; Sun, Huaxing; Sharma, Kashish

    2016-09-15

    Thermal atomic layer etching (ALE) of crystalline aluminum nitride (AlN) films was demonstrated using sequential, self-limiting reactions with hydrogen fluoride (HF) and tin(II) acetylacetonate [Sn(acac){sub 2}] as the reactants. Film thicknesses were monitored versus number of ALE reaction cycles at 275 °C using in situ spectroscopic ellipsometry (SE). A low etch rate of ∼0.07 Å/cycle was measured during etching of the first 40 Å of the film. This small etch rate corresponded with the AlO{sub x}N{sub y} layer on the AlN film. The etch rate then increased to ∼0.36 Å/cycle for the pure AlN films. In situ SE experiments established the HF and Sn(acac){submore » 2} exposures that were necessary for self-limiting surface reactions. In the proposed reaction mechanism for thermal AlN ALE, HF fluorinates the AlN film and produces an AlF{sub 3} layer on the surface. The metal precursor, Sn(acac){sub 2}, then accepts fluorine from the AlF{sub 3} layer and transfers an acac ligand to the AlF{sub 3} layer in a ligand-exchange reaction. The possible volatile etch products are SnF(acac) and either Al(acac){sub 3} or AlF(acac){sub 2}. Adding a H{sub 2} plasma exposure after each Sn(acac){sub 2} exposure dramatically increased the AlN etch rate from 0.36 to 1.96 Å/cycle. This enhanced etch rate is believed to result from the ability of the H{sub 2} plasma to remove acac surface species that may limit the AlN etch rate. The active agent from the H{sub 2} plasma is either hydrogen radicals or radiation. Adding an Ar plasma exposure after each Sn(acac){sub 2} exposure increased the AlN etch rate from 0.36 to 0.66 Å/cycle. This enhanced etch rate is attributed to either ions or radiation from the Ar plasma that may also lead to the desorption of acac surface species.« less

  8. Plasma/Neutral-Beam Etching Apparatus

    NASA Technical Reports Server (NTRS)

    Langer, William; Cohen, Samuel; Cuthbertson, John; Manos, Dennis; Motley, Robert

    1989-01-01

    Energies of neutral particles controllable. Apparatus developed to produce intense beams of reactant atoms for simulating low-Earth-orbit oxygen erosion, for studying beam-gas collisions, and for etching semiconductor substrates. Neutral beam formed by neutralization and reflection of accelerated plasma on metal plate. Plasma ejected from coaxial plasma gun toward neutralizing plate, where turned into beam of atoms or molecules and aimed at substrate to be etched.

  9. Hafnium Oxide Film Etching Using Hydrogen Chloride Gas

    NASA Astrophysics Data System (ADS)

    Habuka, Hitoshi; Yamaji, Masahiko; Kobori, Yoshitsugu; Horii, Sadayoshi; Kunii, Yasuo

    2009-12-01

    Hydrogen chloride gas removes the hafnium oxide film formed by atomic layer deposition at the etch rate of about 1 nm/min. A 100 nm-thick hafnium oxide film was perfectly etched off at 1173 K for 60 min by 100% hydrogen chloride gas at 100 sccm. A weight decrease in the hafnium oxide film was observed at temperatures higher than ca. 600 K, which corresponds to the sublimation point of hafnium tetrachloride. The etching by-product is considered to be hafnium tetrachloride. The etching technique developed in this study is expected to be applicable to various processes, such as the cleaning of a hafnium oxide film deposition reactor.

  10. More vertical etch profile using a Faraday cage in plasma etching

    NASA Astrophysics Data System (ADS)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  11. Anisotropic selective etching between SiGe and Si

    NASA Astrophysics Data System (ADS)

    Ishii, Yohei; Scott-McCabe, Ritchie; Yu, Alex; Okuma, Kazumasa; Maeda, Kenji; Sebastian, Joseph; Manos, Jim

    2018-06-01

    In Si/SiGe dual-channel FinFETs, it is necessary to simultaneously control the etched amounts of SiGe and Si. However, the SiGe etch rate is higher than the Si etch rate in not only halogen plasmas but also physical sputtering. In this study, we found that hydrogen plasma selectively etches Si over SiGe. The result shows that the selectivity of Si over SiGe can be up to 38 with increasing Ge concentration in SiGe. Attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR) results indicate that hydrogen selectively bonds with Si rather than with Ge in SiGe. During the etching, hydrogen-induced Si surface segregation is also observed. It is also observed that the difference in etched amount between SiGe and Si can be controlled from positive to negative values even in Si/SiGe dual-channel fin patterning while maintaining the vertical profiles. Furthermore, no plasma-induced lattice damage was observed by transmission electron microscopy for both Si and SiGe fin sidewalls.

  12. High density plasma etching of magnetic devices

    NASA Astrophysics Data System (ADS)

    Jung, Kee Bum

    Magnetic materials such as NiFe (permalloy) or NiFeCo are widely used in the data storage industry. Techniques for submicron patterning are required to develop next generation magnetic devices. The relative chemical inertness of most magnetic materials means they are hard to etch using conventional RIE (Reactive Ion Etching). Therefore ion milling has generally been used across the industry, but this has limitations for magnetic structures with submicron dimensions. In this dissertation, we suggest high density plasmas such as ECR (Electron Cyclotron Resonance) and ICP (Inductively Coupled Plasma) for the etching of magnetic materials (NiFe, NiFeCo, CoFeB, CoSm, CoZr) and other related materials (TaN, CrSi, FeMn), which are employed for magnetic devices like magnetoresistive random access memories (MRAM), magnetic read/write heads, magnetic sensors and microactuators. This research examined the fundamental etch mechanisms occurring in high density plasma processing of magnetic materials by measuring etch rate, surface morphology and surface stoichiometry. However, one concern with using Cl2-based plasma chemistry is the effect of residual chlorine or chlorinated etch residues remaining on the sidewalls of etched features, leading to a degradation of the magnetic properties. To avoid this problem, we employed two different processing methods. The first one is applying several different cleaning procedures, including de-ionized water rinsing or in-situ exposure to H2, O2 or SF6 plasmas. Very stable magnetic properties were achieved over a period of ˜6 months except O2 plasma treated structures, with no evidence of corrosion, provided chlorinated etch residues were removed by post-etch cleaning. The second method is using non-corrosive gas chemistries such as CO/NH3 or CO2/NH3. There is a small chemical contribution to the etch mechanism (i.e. formation of metal carbonyls) as determined by a comparison with Ar and N2 physical sputtering. The discharge should be NH3

  13. Atomic precision etch using a low-electron temperature plasma

    NASA Astrophysics Data System (ADS)

    Dorf, L.; Wang, J.-C.; Rauf, S.; Zhang, Y.; Agarwal, A.; Kenney, J.; Ramaswamy, K.; Collins, K.

    2016-03-01

    Sub-nm precision is increasingly being required of many critical plasma etching processes in the semiconductor industry. Accurate control over ion energy and ion/radical composition is needed during plasma processing to meet these stringent requirements. Described in this work is a new plasma etch system which has been designed with the requirements of atomic precision plasma processing in mind. In this system, an electron sheet beam parallel to the substrate surface produces a plasma with an order of magnitude lower electron temperature Te (~ 0.3 eV) and ion energy Ei (< 3 eV without applied bias) compared to conventional radio-frequency (RF) plasma technologies. Electron beam plasmas are characterized by higher ion-to-radical fraction compared to RF plasmas, so a separate radical source is used to provide accurate control over relative ion and radical concentrations. Another important element in this plasma system is low frequency RF bias capability which allows control of ion energy in the 2-50 eV range. Presented in this work are the results of etching of a variety of materials and structures performed in this system. In addition to high selectivity and low controllable etch rate, an important requirement of atomic precision etch processes is no (or minimal) damage to the remaining material surface. It has traditionally not been possible to avoid damage in RF plasma processing systems, even during atomic layer etch. The experiments for Si etch in Cl2 based plasmas in the aforementioned etch system show that damage can be minimized if the ion energy is kept below 10 eV. Layer-by-layer etch of Si is also demonstrated in this etch system using electrical and gas pulsing.

  14. Ultradeep electron cyclotron resonance plasma etching of GaN

    DOE PAGES

    Harrison, Sara E.; Voss, Lars F.; Torres, Andrea M.; ...

    2017-07-25

    Here, ultradeep (≥5 μm) electron cyclotron resonance plasma etching of GaN micropillars was investigated. Parametric studies on the influence of the applied radio-frequency power, chlorine content in a Cl 2/Ar etch plasma, and operating pressure on the etch depth, GaN-to-SiO 2 selectivity, and surface morphology were performed. Etch depths of >10 μm were achieved over a wide range of parameters. Etch rates and sidewall roughness were found to be most sensitive to variations in RF power and % Cl 2 in the etch plasma. Selectivities of >20:1 GaN:SiO 2 were achieved under several chemically driven etch conditions where a maximummore » selectivity of ~39:1 was obtained using a 100% Cl 2 plasma. The etch profile and (0001) surface morphology were significantly influenced by operating pressure and the chlorine content in the plasma. Optimized etch conditions yielded >10 μm tall micropillars with nanometer-scale sidewall roughness, high GaN:SiO 2 selectivity, and nearly vertical etch profiles. These results provide a promising route for the fabrication of ultradeep GaN microstructures for use in electronic and optoelectronic device applications. In addition, dry etch induced preferential crystallographic etching in GaN microstructures is also demonstrated, which may be of great interest for applications requiring access to non- or semipolar GaN surfaces.« less

  15. Cyclic etching of tin-doped indium oxide using hydrogen-induced modified layer

    NASA Astrophysics Data System (ADS)

    Hirata, Akiko; Fukasawa, Masanaga; Nagahata, Kazunori; Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi; Tatsumi, Tetsuya

    2018-06-01

    The rate of etching of tin-doped indium oxide (ITO) and the effects of a hydrogen-induced modified layer on cyclic, multistep thin-layer etching were investigated. It was found that ITO cyclic etching is possible by precisely controlling the hydrogen-induced modified layer. Highly selective etching of ITO/SiO2 was also investigated, and it was suggested that cyclic etching by selective surface adsorption of Si can precisely control the etch rates of ITO and SiO2, resulting in an almost infinite selectivity for ITO over SiO2 and in improved profile controllability.

  16. Plasma etching of polymers like SU8 and BCB

    NASA Astrophysics Data System (ADS)

    Mischke, Helge; Gruetzner, Gabi; Shaw, Mark

    2003-01-01

    Polymers with high viscosity, like SU8 and BCB, play a dominant role in MEMS application. Their behavior in a well defined etching plasma environment in a RIE mode was investigated. The 40.68 MHz driven bottom electrode generates higher etch rates combined with much lower bias voltages by a factor of ten or a higher efficiency of the plasma with lower damaging of the probe material. The goal was to obtain a well-defined process for the removal and structuring of SU8 and BCB using fluorine/oxygen chemistry, defined using variables like electron density and collision rate. The plasma parameters are measured and varied using a production proven technology called SEERS (Self Excited Electron Resonance Spectroscopy). Depending on application and on Polymer several metals are possible (e.g., gold, aluminum). The characteristic of SU8 and BCB was examined in the case of patterning by dry etching in a CF4/O2 chemistry. Etch profile and etch rate correlate surprisingly well with plasma parameters like electron density and electron collision rate, thus allowing to define to adjust etch structure in situ with the help of plasma parameters.

  17. Prediction of plasma-induced damage distribution during silicon nitride etching using advanced three-dimensional voxel model

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kuboi, Nobuyuki, E-mail: Nobuyuki.Kuboi@jp.sony.com; Tatsumi, Tetsuya; Kinoshita, Takashi

    2015-11-15

    The authors modeled SiN film etching with hydrofluorocarbon (CH{sub x}F{sub y}/Ar/O{sub 2}) plasma considering physical (ion bombardment) and chemical reactions in detail, including the reactivity of radicals (C, F, O, N, and H), the area ratio of Si dangling bonds, the outflux of N and H, the dependence of the H/N ratio on the polymer layer, and generation of by-products (HCN, C{sub 2}N{sub 2}, NH, HF, OH, and CH, in addition to CO, CF{sub 2}, SiF{sub 2}, and SiF{sub 4}) as ion assistance process parameters for the first time. The model was consistent with the measured C-F polymer layer thickness,more » etch rate, and selectivity dependence on process variation for SiN, SiO{sub 2}, and Si film etching. To analyze the three-dimensional (3D) damage distribution affected by the etched profile, the authors developed an advanced 3D voxel model that can predict the time-evolution of the etched profile and damage distribution. The model includes some new concepts for gas transportation in the pattern using a fluid model and the property of voxels called “smart voxels,” which contain details of the history of the etching situation. Using this 3D model, the authors demonstrated metal–oxide–semiconductor field-effect transistor SiN side-wall etching that consisted of the main-etch step with CF{sub 4}/Ar/O{sub 2} plasma and an over-etch step with CH{sub 3}F/Ar/O{sub 2} plasma under the assumption of a realistic process and pattern size. A large amount of Si damage induced by irradiated hydrogen occurred in the source/drain region, a Si recess depth of 5 nm was generated, and the dislocated Si was distributed in a 10 nm deeper region than the Si recess, which was consistent with experimental data for a capacitively coupled plasma. An especially large amount of Si damage was also found at the bottom edge region of the metal–oxide–semiconductor field-effect transistors. Furthermore, our simulation results for bulk fin-type field-effect transistor side

  18. Spent nuclear fuel recycling with plasma reduction and etching

    DOEpatents

    Kim, Yong Ho

    2012-06-05

    A method of extracting uranium from spent nuclear fuel (SNF) particles is disclosed. Spent nuclear fuel (SNF) (containing oxides of uranium, oxides of fission products (FP) and oxides of transuranic (TRU) elements (including plutonium)) are subjected to a hydrogen plasma and a fluorine plasma. The hydrogen plasma reduces the uranium and plutonium oxides from their oxide state. The fluorine plasma etches the SNF metals to form UF6 and PuF4. During subjection of the SNF particles to the fluorine plasma, the temperature is maintained in the range of 1200-2000 deg K to: a) allow any PuF6 (gas) that is formed to decompose back to PuF4 (solid), and b) to maintain stability of the UF6. Uranium (in the form of gaseous UF6) is easily extracted and separated from the plutonium (in the form of solid PuF4). The use of plasmas instead of high temperature reactors or flames mitigates the high temperature corrosive atmosphere and the production of PuF6 (as a final product). Use of plasmas provide faster reaction rates, greater control over the individual electron and ion temperatures, and allow the use of CF4 or NF3 as the fluorine sources instead of F2 or HF.

  19. A comparative study of capacitively coupled HBr/He, HBr/Ar plasmas for etching applications: Numerical investigation by fluid model

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gul, Banat, E-mail: banatgul@gmail.com; Research Group PLASMANT, Department of Chemistry, University of Antwerp, Universiteitsplein 1, B-2610 Antwerp; Aman-ur-Rehman, E-mail: amansadiq@gmail.com

    Fluid model has been applied to perform a comparative study of hydrogen bromide (HBr)/He and HBr/Ar capacitively coupled plasma discharges that are being used for anisotropic etching process. This model has been used to identify the most dominant species in HBr based plasmas. Our simulation results show that the neutral species like H and Br, which are the key player in chemical etching, have bell shape distribution, while ions like HBr{sup +}, Br{sup +}, which play a dominant rule in the physical etching, have double humped distribution and show peaks near electrodes. It was found that the dilution of HBrmore » by Ar and/or He results in an increase in electron density and electron temperature, which results in more ionization and dissociation and hence higher densities of neutral and charged species can be achieved. The ratio of positive ion flux to the neutral flux increases with an increase in additive gas fraction. Compare to HBr/He plasma, the HBr/Ar plasma shows a maximum change in the ion density and flux and hence the etching rate can be considered in the ion-assisted and in the ion-flux etch regime in HBr/Ar discharge. The densities of electron and other dominant species in HBr/Ar plasma are higher than those of HBr/He plasma. The densities and fluxes of the active neutrals and positive ions for etching and subsequently chemical etching versus physical sputtering in HBr/Ar and HBr/He plasmas discharge can be controlled by tuning gas mixture ratio and the desire etching can be achieved.« less

  20. A review on plasma-etch-process induced damage of HgCdTe

    NASA Astrophysics Data System (ADS)

    Liu, Lingfeng; Chen, Yiyu; Ye, Zhenhua; Ding, Ruijun

    2018-05-01

    Dry etching techniques with minimal etch induced damage are required to develop highly anisotropic etch for pixel delineation of HgCdTe infrared focal plane arrays (IRFPAs). High density plasma process has become the main etching technique for HgCdTe in the past twenty years, In this paper, high density plasma electron cyclotron resonance (ECR) and inductively coupled plasma (ICP) etching of HgCdTe are summarized. Common plasma-etch-process induced type conversion and related mechanisms are reviewed particularly.

  1. Bi/In thermal resist for both Si anisotropic wet etching and Si/SiO2 plasma etching

    NASA Astrophysics Data System (ADS)

    Chapman, Glenn H.; Tu, Yuqiang; Peng, Jun

    2004-01-01

    Bi/In thermal resist is a bilayer structure of Bi over In films which can be exposed by laser with a wide range of wavelengths and can be developed by diluted RCA2 solutions. Current research shows bimetallic resist can work as etch masking layer for both dry plasma etching and wet anisotropic etching. It can act as both patterning and masking layers for Si and SiO2 with plasma "dry" etch using CF4/CHF3. The etching condition is CF4 flow rate 50 sccm, pressure 150 mTorr, and RF power 100 - 600W. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1 nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In. Bi/In also creates etch masking layers for alkaline-based (KOH, TMAH and EDP) "wet" anisotropic bulk Si etch without the need of SiO2 masking steps. The laser exposed Bi/In etches two times more slowly than SiO2. Experiment result shows that single metal Indium film exhibits thermal resist characteristics but at twice the exposure levels. It can be developed in diluted RCA2 solution and used as an etch mask layer for Si anisotropic etch. X-ray diffraction analysis shows that laser exposure causes both Bi and In single film to oxidize. In film may become amorphous when exposed to high laser power.

  2. Silicon etching of difluoromethane atmospheric pressure plasma jet combined with its spectroscopic analysis

    NASA Astrophysics Data System (ADS)

    Sung, Yu-Ching; Wei, Ta-Chin; Liu, You-Chia; Huang, Chun

    2018-06-01

    A capacitivly coupled radio-frequency double-pipe atmospheric-pressure plasma jet is used for etching. An argon carrier gas is supplied to the plasma discharge jet; and CH2F2 etch gas is inserted into the plasma discharge jet, near the silicon substrate. Silicon etchings rate can be efficiently-controlled by adjusting the feeding etching gas composition and plasma jet operating parameters. The features of silicon etched by the plasma discharge jet are discussed in order to spatially spreading plasma species. Electronic excitation temperature and electron density are detected by increasing plasma power. The etched silicon profile exhibited an anisotropic shape and the etching rate was maximum at the total gas flow rate of 4500 sccm and CH2F2 concentration of 11.1%. An etching rate of 17 µm/min was obtained at a plasma power of 100 W.

  3. Mechanism for Plasma Etching of Shallow Trench Isolation Features in an Inductively Coupled Plasma

    NASA Astrophysics Data System (ADS)

    Agarwal, Ankur; Rauf, Shahid; He, Jim; Choi, Jinhan; Collins, Ken

    2011-10-01

    Plasma etching for microelectronics fabrication is facing extreme challenges as processes are developed for advanced technological nodes. As device sizes shrink, control of shallow trench isolation (STI) features become more important in both logic and memory devices. Halogen-based inductively coupled plasmas in a pressure range of 20-60 mTorr are typically used to etch STI features. The need for improved performance and shorter development cycles are placing greater emphasis on understanding the underlying mechanisms to meet process specifications. In this work, a surface mechanism for STI etch process will be discussed that couples a fundamental plasma model to experimental etch process measurements. This model utilizes ion/neutral fluxes and energy distributions calculated using the Hybrid Plasma Equipment Model. Experiments are for blanket Si wafers in a Cl2/HBr/O2/N2 plasma over a range of pressures, bias powers, and flow rates of feedstock gases. We found that kinetic treatment of electron transport was critical to achieve good agreement with experiments. The calibrated plasma model is then coupled to a string-based feature scale model to quantify the effect of varying process parameters on the etch profile. We found that the operating parameters strongly influence critical dimensions but have only a subtle impact on the etch depths.

  4. Plasma etching a ceramic composite. [evaluating microstructure

    NASA Technical Reports Server (NTRS)

    Hull, David R.; Leonhardt, Todd A.; Sanders, William A.

    1992-01-01

    Plasma etching is found to be a superior metallographic technique for evaluating the microstructure of a ceramic matrix composite. The ceramic composite studied is composed of silicon carbide whiskers (SiC(sub W)) in a matrix of silicon nitride (Si3N4), glass, and pores. All four constituents are important in evaluating the microstructure of the composite. Conventionally prepared samples, both as-polished or polished and etched with molten salt, do not allow all four constituents to be observed in one specimen. As-polished specimens allow examination of the glass phase and porosity, while molten salt etching reveals the Si3N4 grain size by removing the glass phase. However, the latter obscures the porosity. Neither technique allows the SiC(sub W) to be distinguished from the Si3N4. Plasma etching with CF4 + 4 percent O2 selectively attacks the Si3N4 grains, leaving SiC(sub W) and glass in relief, while not disturbing the pores. An artifact of the plasma etching reaction is the deposition of a thin layer of carbon on Si3N4, allowing Si3N4 grains to be distinguished from SiC(sub W) by back scattered electron imaging.

  5. Note: Dissolved hydrogen detection in power transformer oil based on chemically etched fiber Bragg grating.

    PubMed

    Jiang, Jun; Ma, Guo-ming; Song, Hong-tu; Zhou, Hong-yang; Li, Cheng-rong; Luo, Ying-ting; Wang, Hong-bin

    2015-10-01

    A fiber Bragg grating (FBG) sensor based on chemically etched cladding to detect dissolved hydrogen is proposed and studied in this paper. Low hydrogen concentration tests have been carried out in mixed gases and transformer oil to investigate the repeatability and sensitivity. Moreover, to estimate the influence of etched cladding thickness, a physical model of FBG-based hydrogen sensor is analyzed. Experimental results prove that thin cladding chemically etched by HF acid solution improves the response to hydrogen detection in oil effectively. At last, the sensitivity of FBG sensor chemically etched 16 μm could be as high as 0.060 pm/(μl/l), increased by more than 30% in comparison to un-etched FBG.

  6. Rapid recipe formulation for plasma etching of new materials

    NASA Astrophysics Data System (ADS)

    Chopra, Meghali; Zhang, Zizhuo; Ekerdt, John; Bonnecaze, Roger T.

    2016-03-01

    A fast and inexpensive scheme for etch rate prediction using flexible continuum models and Bayesian statistics is demonstrated. Bulk etch rates of MgO are predicted using a steady-state model with volume-averaged plasma parameters and classical Langmuir surface kinetics. Plasma particle and surface kinetics are modeled within a global plasma framework using single component Metropolis Hastings methods and limited data. The accuracy of these predictions is evaluated with synthetic and experimental etch rate data for magnesium oxide in an ICP-RIE system. This approach is compared and superior to factorial models generated from JMP, a software package frequently employed for recipe creation and optimization.

  7. Low-loss, submicron chalcogenide integrated photonics with chlorine plasma etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chiles, Jeff; Malinowski, Marcin; Rao, Ashutosh

    A chlorine plasma etching-based method for the fabrication of high-performance chalcogenide-based integrated photonics on silicon substrates is presented. By optimizing the etching conditions, chlorine plasma is employed to produce extremely low-roughness etched sidewalls on waveguides with minimal penalty to propagation loss. Using this fabrication method, microring resonators with record-high intrinsic Q-factors as high as 450 000 and a corresponding propagation loss as low as 0.42 dB/cm are demonstrated in submicron chalcogenide waveguides. Furthermore, the developed chlorine plasma etching process is utilized to demonstrate fiber-to-waveguide grating couplers in chalcogenide photonics with high power coupling efficiency of 37% for transverse-electric polarized modes.

  8. The endpoint detection technique for deep submicrometer plasma etching

    NASA Astrophysics Data System (ADS)

    Wang, Wei; Du, Zhi-yun; Zeng, Yong; Lan, Zhong-went

    2009-07-01

    The availability of reliable optical sensor technology provides opportunities to better characterize and control plasma etching processes in real time, they could play a important role in endpoint detection, fault diagnostics and processes feedback control and so on. The optical emission spectroscopy (OES) method becomes deficient in the case of deep submicrometer gate etching. In the newly developed high density inductively coupled plasma (HD-ICP) etching system, Interferometry endpoint (IEP) is introduced to get the EPD. The IEP fringe count algorithm is investigated to predict the end point, and then its signal is used to control etching rate and to call end point with OES signal in over etching (OE) processes step. The experiment results show that IEP together with OES provide extra process control margin for advanced device with thinner gate oxide.

  9. On-site SiH4 generator using hydrogen plasma generated in slit-type narrow gap

    NASA Astrophysics Data System (ADS)

    Takei, Norihisa; Shinoda, Fumiya; Kakiuchi, Hiroaki; Yasutake, Kiyoshi; Ohmi, Hiromasa

    2018-06-01

    We have been developing an on-site silane (SiH4) generator based on use of the chemical etching reaction between solid silicon (Si) and the high-density H atoms that are generated in high-pressure H2 plasma. In this study, we have developed a slit-type plasma source for high-efficiency SiH4 generation. High-density H2 plasma was generated in a narrow slit-type discharge gap using a 2.45 GHz microwave power supply. The plasma’s optical emission intensity distribution along the slit was measured and the resulting distribution was reflected by both the electric power distribution and the hydrogen gas flow. Because the Si etching rate strongly affects the SiH4 generation rate, the Si etching behavior was investigated with respect to variations in the experimental parameters. The weight etch rate increased monotonically with increasing input microwave power. However, the weight etch rate decreased with increasing H2 pressure and an increasing plasma gap. This reduction in the etch rate appears to be related to shrinkage of the plasma generation area because increased input power is required to maintain a constant plasma area with increasing H2 pressure and the increasing plasma gap. Additionally, the weight etch rate also increases with increasing H2 flow rate. The SiH4 generation rate of the slit-type plasma source was also evaluated using gas-phase Fourier transform infrared absorption spectroscopy and the material utilization efficiencies of both Si and the H2 gas for SiH4 gas formation were discussed. The main etch product was determined to be SiH4 and the developed plasma source achieved a SiH4 generation rate of 10 sccm (standard cubic centimeters per minute) at an input power of 900 W. In addition, the Si utilization efficiency exceeded 60%.

  10. Determination of atomic hydrogen in non-thermal hydrogen plasmas by means of molecular beam threshold ionization mass spectrometry.

    PubMed

    Wang, Wei-Guo; Xu, Yong; Yang, Xue-Feng; Wang, Wen-Chun; Zhu, Ai-Min

    2005-01-01

    Atomic hydrogen plays important roles in chemical vapor deposition of functional materials, plasma etching and new approaches to chemical synthesis of hydrogen-containing compounds. The present work reports experimental determinations of atomic hydrogen near the grounded electrode in medium-pressure dielectric barrier discharge hydrogen plasmas by means of molecular beam threshold ionization mass spectrometry (MB-TIMS). At certain discharge conditions (a.c. frequency of 24 kHz, 28 kV of peak-to-peak voltage), the measured hydrogen dissociation fraction is decreased from approximately 0.83% to approximately 0.14% as the hydrogen pressure increases from 2.0 to 14.0 Torr. A simulation method for extraction of the approximate electron beam energy distribution function in the mass spectrometer ionizer and a semi-quantitative approach to calibrate the mass discrimination effect caused by the supersonic beam formation and the mass spectrometer measurement are reported. Copyright 2005 John Wiley & Sons, Ltd.

  11. Isotropic plasma etching of Ge Si and SiN x films

    DOE PAGES

    Henry, Michael David; Douglas, Erica Ann

    2016-08-31

    This study reports on selective isotropic dry etching of chemically vapor deposited (CVD) Ge thin film, release layers using a Shibaura chemical downstream etcher (CDE) with NF 3 and Ar based plasma chemistry. Relative etch rates between Ge, Si and SiN x are described with etch rate reductions achieved by adjusting plasma chemistry with O 2. Formation of oxides reducing etch rates were measured for both Ge and Si, but nitrides or oxy-nitrides created using direct injection of NO into the process chamber were measured to increase Si and SiN x etch rates while retarding Ge etching.

  12. Plasma & reactive ion etching to prepare ohmic contacts

    DOEpatents

    Gessert, Timothy A.

    2002-01-01

    A method of making a low-resistance electrical contact between a metal and a layer of p-type CdTe surface by plasma etching and reactive ion etching comprising: a) placing a CdS/CdTe layer into a chamber and evacuating said chamber; b) backfilling the chamber with Argon or a reactive gas to a pressure sufficient for plasma ignition; and c) generating plasma ignition by energizing a cathode which is connected to a power supply to enable the plasma to interact argon ions alone or in the presence of a radio-frequency DC self-bias voltage with the p-CdTe surface.

  13. Inorganic Bi/In thermal resist as a high-etch-ratio patterning layer for CF4/CHF3/O2 plasma etch

    NASA Astrophysics Data System (ADS)

    Tu, Yuqiang; Chapman, Glenn H.; Peng, Jun

    2004-05-01

    Bimetallic thin films containing indium and with low eutectic points, such as Bi/In, have been found to form highly sensitive thermal resists. They can be exposed by lasers with a wide range of wavelengths and be developed by diluted RCA2 solutions. The exposed bimetallic resist Bi/In can work as an etch masking layer for alkaline-based (KOH, TMAH and EDP) "wet" Si anisotropic etching. Current research shows that it can also act as a patterning and masking layer for Si and SiO2 plasma "dry" etch using CF4/CHF3. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In, indicating that laser exposure is an oxidation process. Experiment result shows that single metal Indium film and bilayer Sn/In exhibit thermal resist characteristics but at higher exposure levels. They can be developed in diluted RCA2 solution and used as etch mask layers for Si anisotropic etch and plasma etch.

  14. Wafer scale oblique angle plasma etching

    DOEpatents

    Burckel, David Bruce; Jarecki, Jr., Robert L.; Finnegan, Patrick Sean

    2017-05-23

    Wafer scale oblique angle etching of a semiconductor substrate is performed in a conventional plasma etch chamber by using a fixture that supports a multiple number of separate Faraday cages. Each cage is formed to include an angled grid surface and is positioned such that it will be positioned over a separate one of the die locations on the wafer surface when the fixture is placed over the wafer. The presence of the Faraday cages influences the local electric field surrounding each wafer die, re-shaping the local field to be disposed in alignment with the angled grid surface. The re-shaped plasma causes the reactive ions to follow a linear trajectory through the plasma sheath and angled grid surface, ultimately impinging the wafer surface at an angle. The selected geometry of the Faraday cage angled grid surface thus determines the angle at with the reactive ions will impinge the wafer.

  15. Study of Gallium Arsenide Etching in a DC Discharge in Low-Pressure HCl-Containing Mixtures

    NASA Astrophysics Data System (ADS)

    Dunaev, A. V.; Murin, D. B.

    2018-04-01

    Halogen-containing plasmas are often used to form topological structures on semiconductor surfaces; therefore, spectral monitoring of the etching process is an important diagnostic tool in modern electronics. In this work, the emission spectra of gas discharges in mixtures of hydrogen chloride with argon, chlorine, and hydrogen in the presence of a semiconducting gallium arsenide plate were studied. Spectral lines and bands of the GaAs etching products appropriate for monitoring the etching rate were determined. It is shown that the emission intensity of the etching products is proportional to the GaAs etching rate in plasmas of HCl mixtures with Ar and Cl2, which makes it possible to monitor the etching process in real time by means of spectral methods.

  16. Etching and oxidation of InAs in planar inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Dultsev, F. N.; Kesler, V. G.

    2009-10-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4/H 2/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  17. Photo-assisted etching of silicon in chlorine- and bromine-containing plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhu, Weiye; Sridhar, Shyam; Liu, Lei

    2014-05-28

    Cl{sub 2}, Br{sub 2}, HBr, Br{sub 2}/Cl{sub 2}, and HBr/Cl{sub 2} feed gases diluted in Ar (50%–50% by volume) were used to study etching of p-type Si(100) in a rf inductively coupled, Faraday-shielded plasma, with a focus on the photo-assisted etching component. Etching rates were measured as a function of ion energy. Etching at ion energies below the threshold for ion-assisted etching was observed in all cases, with Br{sub 2}/Ar and HBr/Cl{sub 2}/Ar plasmas having the lowest and highest sub-threshold etching rates, respectively. Sub-threshold etching rates scaled with the product of surface halogen coverage (measured by X-ray photoelectron spectroscopy) andmore » Ar emission intensity (7504 Å). Etching rates measured under MgF{sub 2}, quartz, and opaque windows showed that sub-threshold etching is due to photon-stimulated processes on the surface, with vacuum ultraviolet photons being much more effective than longer wavelengths. Scanning electron and atomic force microscopy revealed that photo-etched surfaces were very rough, quite likely due to the inability of the photo-assisted process to remove contaminants from the surface. Photo-assisted etching in Cl{sub 2}/Ar plasmas resulted in the formation of 4-sided pyramidal features with bases that formed an angle of 45° with respect to 〈110〉 cleavage planes, suggesting that photo-assisted etching can be sensitive to crystal orientation.« less

  18. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the

  19. Fiber post etching with hydrogen peroxide: effect of concentration and application time.

    PubMed

    de Sousa Menezes, Murilo; Queiroz, Ellyne Cavalcanti; Soares, Paulo Vinícius; Faria-e-Silva, André Luis; Soares, Carlos José; Martins, Luis Roberto Marcondes

    2011-03-01

    Etching is necessary to expose the fibers and enable both mechanical and chemical bonding of the resin core to the fiber post. This study evaluated the effect of concentration and application time of hydrogen peroxide on the surface topography and bond strength of glass fiber posts to resin cores. Fiber posts were etched with 24% or 50% hydrogen peroxide for 1, 5, or 10 min (n = 10). Posts without any treatment were used as a control. After etching, the posts were silanated and adhesive resin was applied. The posts were positioned into a mold to allow a self-cured resin core to be inserted. The post/resin assembly was serially sectioned into five beams that were subjected to a tensile bond strength test. Data were subjected to two-way ANOVA and Tukey test (α = 0.05). The surface topography was analyzed using scanning electronic microscopy. Non-etched post presents a relatively smooth surface without fiber exposure. Application of hydrogen peroxide increased the surface roughness and exposed the fibers. All experimental conditions yielded similar bond strength values that were higher than those obtained in the control group. Both 24% and 50% hydrogen peroxide exposure increased the bond strength of resin to the posts, irrespective of the application time. Copyright © 2011 American Association of Endodontists. Published by Elsevier Inc. All rights reserved.

  20. Correlation of III/V semiconductor etch results with physical parameters of high-density reactive plasmas excited by electron cyclotron resonance

    NASA Astrophysics Data System (ADS)

    Gerhard, FRANZ; Ralf, MEYER; Markus-Christian, AMANN

    2017-12-01

    Reactive ion etching is the interaction of reactive plasmas with surfaces. To obtain a detailed understanding of this process, significant properties of reactive composite low-pressure plasmas driven by electron cyclotron resonance (ECR) were investigated and compared with the radial uniformity of the etch rate. The determination of the electronic properties of chlorine- and hydrogen-containing plasmas enabled the understanding of the pressure-dependent behavior of the plasma density and provided better insights into the electronic parameters of reactive etch gases. From the electrical evaluation of I(V) characteristics obtained using a Langmuir probe, plasmas of different compositions were investigated. The standard method of Druyvesteyn to derive the electron energy distribution functions by the second derivative of the I(V) characteristics was replaced by a mathematical model which has been evolved to be more robust against noise, mainly, because the first derivative of the I(V) characteristics is used. Special attention was given to the power of the energy dependence in the exponent. In particular, for plasmas that are generated by ECR with EM modes, the existence of Maxwellian distribution functions is not to be taken as a self-evident fact, but the bi-Maxwellian distribution was proven for Ar- and Kr-stabilized plasmas. In addition to the electron temperature, the global uniform discharge model has been shown to be useful for calculating the neutral gas temperature. To what extent the invasive method of using a Langmuir probe could be replaced with the non-invasive optical method of emission spectroscopy, particularly actinometry, was investigated, and the resulting data exhibited the same relative behavior as the Langmuir data. The correlation with etchrate data reveals the large chemical part of the removal process—most striking when the data is compared with etching in pure argon. Although the relative amount of the radial variation of plasma density and

  1. Selective Plasma Etching of Polymeric Substrates for Advanced Applications

    PubMed Central

    Puliyalil, Harinarayanan; Cvelbar, Uroš

    2016-01-01

    In today’s nanoworld, there is a strong need to manipulate and process materials on an atom-by-atom scale with new tools such as reactive plasma, which in some states enables high selectivity of interaction between plasma species and materials. These interactions first involve preferential interactions with precise bonds in materials and later cause etching. This typically occurs based on material stability, which leads to preferential etching of one material over other. This process is especially interesting for polymeric substrates with increasing complexity and a “zoo” of bonds, which are used in numerous applications. In this comprehensive summary, we encompass the complete selective etching of polymers and polymer matrix micro-/nanocomposites with plasma and unravel the mechanisms behind the scenes, which ultimately leads to the enhancement of surface properties and device performance. PMID:28335238

  2. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    PubMed

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  3. Dry etching method for compound semiconductors

    DOEpatents

    Shul, Randy J.; Constantine, Christopher

    1997-01-01

    A dry etching method. According to the present invention, a gaseous plasma comprising, at least in part, boron trichloride, methane, and hydrogen may be used for dry etching of a compound semiconductor material containing layers including aluminum, or indium, or both. Material layers of a compound semiconductor alloy such as AlGaInP or the like may be anisotropically etched for forming electronic devices including field-effect transistors and heterojunction bipolar transistors and for forming photonic devices including vertical-cavity surface-emitting lasers, edge-emitting lasers, and reflectance modulators.

  4. Dry etching method for compound semiconductors

    DOEpatents

    Shul, R.J.; Constantine, C.

    1997-04-29

    A dry etching method is disclosed. According to the present invention, a gaseous plasma comprising, at least in part, boron trichloride, methane, and hydrogen may be used for dry etching of a compound semiconductor material containing layers including aluminum, or indium, or both. Material layers of a compound semiconductor alloy such as AlGaInP or the like may be anisotropically etched for forming electronic devices including field-effect transistors and heterojunction bipolar transistors and for forming photonic devices including vertical-cavity surface-emitting lasers, edge-emitting lasers, and reflectance modulators. 1 fig.

  5. Plasma-Etching of Spray-Coated Single-Walled Carbon Nanotube Films for Biointerfaces

    NASA Astrophysics Data System (ADS)

    Kim, Joon Hyub; Lee, Jun-Yong; Min, Nam Ki

    2012-08-01

    We present an effective method for the batch fabrication of miniaturized single-walled carbon nanotube (SWCNT) film electrodes using oxygen plasma etching. We adopted the approach of spray-coating for good adhesion of the SWCNT film onto a pre-patterned Pt support and used O2 plasma patterning of the coated films to realize efficient biointerfaces between SWCNT surfaces and biomolecules. By these approaches, the SWCNT film can be easily integrated into miniaturized electrode systems. To demonstrate the effectiveness of plasma-etched SWCNT film electrodes as biointerfaces, Legionella antibody was selected as analysis model owing to its considerable importance to electrochemical biosensors and was detected using plasma-etched SWCNT film electrodes and a 3,3',5,5'-tetramethyl-benzidine dihydrochloride/horseradish peroxidase (TMB/HRP) catalytic system. The response currents increased with increasing concentration of Legionella antibody. This result indicates that antibodies were effectively immobilized on plasma-etched and activated SWCNT surfaces.

  6. Surface Passivation of CdZnTe Detector by Hydrogen Peroxide Solution Etching

    NASA Technical Reports Server (NTRS)

    Hayes, M.; Chen, H.; Chattopadhyay, K.; Burger, A.; James, R. B.

    1998-01-01

    The spectral resolution of room temperature nuclear radiation detectors such as CdZnTe is usually limited by the presence of conducting surface species that increase the surface leakage current. Studies have shown that the leakage current can be reduced by proper surface preparation. In this study, we try to optimize the performance of CdZnTe detector by etching the detector with hydrogen peroxide solution as function of concentration and etching time. The passivation effect that hydrogen peroxide introduces have been investigated by current-voltage (I-V) measurement on both parallel strips and metal-semiconductor-metal configurations. The improvements on the spectral response of Fe-55 and 241Am due to hydrogen peroxide treatment are presented and discussed.

  7. Inductively Coupled Plasma-Induced Electrical Damage on HgCdTe Etched Surface at Cryogenic Temperatures

    NASA Astrophysics Data System (ADS)

    Liu, L. F.; Chen, Y. Y.; Ye, Z. H.; Hu, X. N.; Ding, R. J.; He, L.

    2018-03-01

    Plasma etching is a powerful technique for transferring high-resolution lithographic patterns into HgCdTe material with low etch-induced damage, and it is important for fabricating small-pixel-size HgCdTe infrared focal plane array (IRFPA) detectors. P- to n-type conversion is known to occur during plasma etching of vacancy-doped HgCdTe; however, it is usually unwanted and its removal requires extra steps. Etching at cryogenic temperatures can reduce the etch-induced type conversion depth in HgCdTe via the electrical damage mechanism. Laser beam-induced current (LBIC) is a nondestructive photoelectric characterization technique which can provide information regarding the vertical and lateral electrical field distribution, such as defects and p-n junctions. In this work, inductively coupled plasma (ICP) etching of HgCdTe was implemented at cryogenic temperatures. For an Ar/CH4 (30:1 in SCCM) plasma with ICP input power of 1000 W and RF-coupled DC bias of ˜ 25 V, a HgCdTe sample was dry-etched at 123 K for 5 min using ICP. The sample was then processed to remove a thin layer of the plasma-etched region while maintaining a ladder-like damaged layer by continuously controlling the wet chemical etching time. Combining the ladder etching method and LBIC measurement, the ICP etching-induced electrical damage depth was measured and estimated to be about 20 nm. The results indicate that ICP etching at cryogenic temperatures can significantly suppress plasma etching-induced electrical damage, which is beneficial for defining HgCdTe mesa arrays.

  8. 3-Dimensional Modeling of Capacitively and Inductively Coupled Plasma Etching Systems

    NASA Astrophysics Data System (ADS)

    Rauf, Shahid

    2008-10-01

    Low temperature plasmas are widely used for thin film etching during micro and nano-electronic device fabrication. Fluid and hybrid plasma models were developed 15-20 years ago to understand the fundamentals of these plasmas and plasma etching. These models have significantly evolved since then, and are now a major tool used for new plasma hardware design and problem resolution. Plasma etching is a complex physical phenomenon, where inter-coupled plasma, electromagnetic, fluid dynamics, and thermal effects all have a major influence. The next frontier in the evolution of fluid-based plasma models is where these models are able to self-consistently treat the inter-coupling of plasma physics with fluid dynamics, electromagnetics, heat transfer and magnetostatics. We describe one such model in this paper and illustrate its use in solving engineering problems of interest for next generation plasma etcher design. Our 3-dimensional plasma model includes the full set of Maxwell equations, transport equations for all charged and neutral species in the plasma, the Navier-Stokes equation for fluid flow, and Kirchhoff's equations for the lumped external circuit. This model also includes Monte Carlo based kinetic models for secondary electrons and stochastic heating, and can take account of plasma chemistry. This modeling formalism allows us to self-consistently treat the dynamics in commercial inductively and capacitively coupled plasma etching reactors with realistic plasma chemistries, magnetic fields, and reactor geometries. We are also able to investigate the influence of the distributed electromagnetic circuit at very high frequencies (VHF) on the plasma dynamics. The model is used to assess the impact of azimuthal asymmetries in plasma reactor design (e.g., off-center pump, 3D magnetic field, slit valve, flow restrictor) on plasma characteristics at frequencies from 2 -- 180 MHz. With Jason Kenney, Ankur Agarwal, Ajit Balakrishna, Kallol Bera, and Ken Collins.

  9. Normally-off p-GaN/AlGaN/GaN high electron mobility transistors using hydrogen plasma treatment

    NASA Astrophysics Data System (ADS)

    Hao, Ronghui; Fu, Kai; Yu, Guohao; Li, Weiyi; Yuan, Jie; Song, Liang; Zhang, Zhili; Sun, Shichuang; Li, Xiajun; Cai, Yong; Zhang, Xinping; Zhang, Baoshun

    2016-10-01

    In this letter, we report a method by introducing hydrogen plasma treatment to realize normally-off p-GaN/AlGaN/GaN HEMT devices. Instead of using etching technology, hydrogen plasma was adopted to compensate holes in the p-GaN above the two dimensional electron gas (2DEG) channel to release electrons in the 2DEG channel and form high-resistivity area to reduce leakage current and increase gate control capability. The fabricated p-GaN/AlGaN/GaN HEMT exhibits normally-off operation with a threshold voltage of 1.75 V, a subthreshold swing of 90 mV/dec, a maximum transconductance of 73.1 mS/mm, an ON/OFF ratio of 1 × 107, a breakdown voltage of 393 V, and a maximum drain current density of 188 mA/mm at a gate bias of 6 V. The comparison of the two processes of hydrogen plasma treatment and p-GaN etching has also been made in this work.

  10. A study of GaN-based LED structure etching using inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Wang, Pei; Cao, Bin; Gan, Zhiyin; Liu, Sheng

    2011-02-01

    GaN as a wide band gap semiconductor has been employed to fabricate optoelectronic devices such as light-emitting diodes (LEDs) and laser diodes (LDs). Recently several different dry etching techniques for GaN-based materials have been developed. ICP etching is attractive because of its superior plasma uniformity and strong controllability. Most previous reports emphasized on the ICP etching characteristics of single GaN film. In this study dry etching of GaN-based LED structure was performed by inductively coupled plasmas (ICP) etching with Cl2 as the base gas and BCl3 as the additive gas. The effects of the key process parameters such as etching gases flow rate, ICP power, RF power and chamber pressure on the etching properties of GaN-based LED structure including etching rate, selectivity, etched surface morphology and sidewall was investigated. Etch depths were measured using a depth profilometer and used to calculate the etch rates. The etch profiles were observed with a scanning electron microscope (SEM).

  11. Etching in Chlorine Discharges Using an Integrated Feature Evolution-Plasma Model

    NASA Technical Reports Server (NTRS)

    Hwang, Helen H.; Bose, Deepak; Govindan, T. R.; Meyyappan, M.; Biegel, Bryan (Technical Monitor)

    2001-01-01

    Etching of semiconductor materials is reliant on plasma properties. Quantities such as ion and neutral fluxes, both in magnitude and in direction, are often determined by reactor geometry (height, radius, position of the coils, etc.) In order to obtain accurate etching profiles, one must also model the plasma as a whole to obtain local fluxes and distributions. We have developed a set of three models that simulates C12 plasmas for etching of silicon, ion and neutral trajectories in the plasma, and feature profile evolution. We have found that the location of the peak in the ion densities in the reactor plays a major role in determining etching uniformity across the wafer. For a stove top coil inductively coupled plasma (ICP), the ion density is peaked at the top of the reactor. This leads to nearly uniform neutral and ion fluxes across the wafer. A side coil configuration causes the ion density to peak near the sidewalls. Ion fluxes are thus greater toward the wall's and decrease toward the center. In addition, the ions bombard the wafer at a slight angle. This angle is sufficient to cause slanted profiles, which is highly undesirable.

  12. Enhanced etching of tin-doped indium oxide due to surface modification by hydrogen ion injection

    NASA Astrophysics Data System (ADS)

    Li, Hu; Karahashi, Kazuhiro; Friederich, Pascal; Fink, Karin; Fukasawa, Masanaga; Hirata, Akiko; Nagahata, Kazunori; Tatsumi, Tetsuya; Wenzel, Wolfgang; Hamaguchi, Satoshi

    2018-06-01

    It is known that the etching yield (i.e., sputtering yield) of tin-doped indium oxide (ITO) by hydrocarbon ions (CH x +) is higher than its corresponding physical sputtering yield [H. Li et al., J. Vac. Sci. Technol. A 33, 060606 (2015)]. In this study, the effects of hydrogen in the incident hydrocarbon ion beam on the etching yield of ITO have been examined experimentally and theoretically with the use of a mass-selected ion beam system and by first-principles quantum mechanical (QM) simulation. As in the case of ZnO [H. Li et al., J. Vac. Sci. Technol. A 35, 05C303 (2017)], mass-selected ion beam experiments have shown that the physical sputtering yield of ITO by chemically inert Ne ions increases after a pretreatment of the ITO film by energetic hydrogen ion injection. First-principles QM simulation of the interaction of In2O3 with hydrogen atoms shows that hydrogen atoms embedded in In2O3 readily form hydroxyl (OH) groups and weaken or break In–O bonds around the hydrogen atoms, making the In2O3 film less resistant to physical sputtering. This is consistent with experimental observation of the enhanced etching yields of ITO by CH x + ions, considering the fact that hydrogen atoms of the incident CH x + ions are embedded into ITO during the etching process.

  13. Method of plasma etching Ga-based compound semiconductors

    DOEpatents

    Qiu, Weibin; Goddard, Lynford L.

    2012-12-25

    A method of plasma etching Ga-based compound semiconductors includes providing a process chamber and a source electrode adjacent to the process chamber. The process chamber contains a sample comprising a Ga-based compound semiconductor. The sample is in contact with a platen which is electrically connected to a first power supply, and the source electrode is electrically connected to a second power supply. The method includes flowing SiCl.sub.4 gas into the chamber, flowing Ar gas into the chamber, and flowing H.sub.2 gas into the chamber. RF power is supplied independently to the source electrode and the platen. A plasma is generated based on the gases in the process chamber, and regions of a surface of the sample adjacent to one or more masked portions of the surface are etched to create a substantially smooth etched surface including features having substantially vertical walls beneath the masked portions.

  14. Method of plasma etching GA-based compound semiconductors

    DOEpatents

    Qiu, Weibin; Goddard, Lynford L.

    2013-01-01

    A method of plasma etching Ga-based compound semiconductors includes providing a process chamber and a source electrode adjacent thereto. The chamber contains a Ga-based compound semiconductor sample in contact with a platen which is electrically connected to a first power supply, and the source electrode is electrically connected to a second power supply. SiCl.sub.4 and Ar gases are flowed into the chamber. RF power is supplied to the platen at a first power level, and RF power is supplied to the source electrode. A plasma is generated. Then, RF power is supplied to the platen at a second power level lower than the first power level and no greater than about 30 W. Regions of a surface of the sample adjacent to one or more masked portions of the surface are etched at a rate of no more than about 25 nm/min to create a substantially smooth etched surface.

  15. Surface morphology evolution during plasma etching of silicon: roughening, smoothing and ripple formation

    NASA Astrophysics Data System (ADS)

    Ono, Kouichi; Nakazaki, Nobuya; Tsuda, Hirotaka; Takao, Yoshinori; Eriguchi, Koji

    2017-10-01

    Atomic- or nanometer-scale roughness on feature surfaces has become an important issue to be resolved in the fabrication of nanoscale devices in industry. Moreover, in some cases, smoothing of initially rough surfaces is required for planarization of film surfaces, and controlled surface roughening is required for maskless fabrication of organized nanostructures on surfaces. An understanding, under what conditions plasma etching results in surface roughening and/or smoothing and what are the mechanisms concerned, is of great technological as well as fundamental interest. In this article, we review recent developments in the experimental and numerical study of the formation and evolution of surface roughness (or surface morphology evolution such as roughening, smoothing, and ripple formation) during plasma etching of Si, with emphasis being placed on a deeper understanding of the mechanisms or plasma-surface interactions that are responsible for. Starting with an overview of the experimental and theoretical/numerical aspects concerned, selected relevant mechanisms are illustrated and discussed primarily on the basis of systematic/mechanistic studies of Si etching in Cl-based plasmas, including noise (or stochastic roughening), geometrical shadowing, surface reemission of etchants, micromasking by etch inhibitors, and ion scattering/chanelling. A comparison of experiments (etching and plasma diagnostics) and numerical simulations (Monte Carlo and classical molecular dynamics) indicates a crucial role of the ion scattering or reflection from microscopically roughened feature surfaces on incidence in the evolution of surface roughness (and ripples) during plasma etching; in effect, the smoothing/non-roughening condition is characterized by reduced effects of the ion reflection, and the roughening-smoothing transition results from reduced ion reflections caused by a change in the predominant ion flux due to that in plasma conditions. Smoothing of initially rough

  16. Etching Characteristics of VO2 Thin Films Using Inductively Coupled Cl2/Ar Plasma

    NASA Astrophysics Data System (ADS)

    Ham, Yong-Hyun; Efremov, Alexander; Min, Nam-Ki; Lee, Hyun Woo; Yun, Sun Jin; Kwon, Kwang-Ho

    2009-08-01

    A study on both etching characteristics and mechanism of VO2 thin films in the Cl2/Ar inductively coupled plasma was carried. The variable parameters were gas pressure (4-10 mTorr) and input power (400-700 W) at fixed bias power of 150 W and initial mixture composition of 25% Cl2 + 75% Ar. It was found that an increase in both gas pressure and input power results in increasing VO2 etch rate while the etch selectivity over photoresist keeps a near to constant values. Plasma diagnostics by Langmuir probes and zero-dimensional plasma model provided the data on plasma parameters, steady-state densities and fluxes of active species on the etched surface. The model-based analysis of the etch mechanism showed that, for the given ranges of operating conditions, the VO2 etch kinetics corresponds to the transitional regime of ion-assisted chemical reaction and is influenced by both neutral and ion fluxes with a higher sensitivity to the neutral flux.

  17. Diode laser sensor to monitor HCL in a plasma etch reactor

    NASA Astrophysics Data System (ADS)

    Kim, Suhong; Klimecky, Pete; Chou, Shang-I.; Jeffries, Jay B.; Terry, Fred L., Jr.; Hanson, Ronald K.

    2002-09-01

    Absorption measurements of HCl during plasma etching of poly-silicon are made using the P(4) transition in the first vibrational overtone band near 1.79 μm. Single path absorption provides a real-time HCl monitor during etching of six-inch wafers in a commercial Lam Research 9400SE reactor at the University of Michigan. Wavelength modulation at 10.7 MHz is used to distinguish the absorption signal from the strong plasma emission. The laser center frequency is ramp-tuned at 500 Hz providing an HCl measurement every 2ms. Direct absorption measurements without the plasma are used to calibrate the wavelength modulation signal. The minimum detectable absorbance was 5x(10)-6 with 50 ms averaging, leading to an HCl detection limit of ~(10)12cm-3. For a given ratio of the feedstock HBr/Cl2, the measured HCl concentration tracks the average etch rate. These measurements demonstrate the feasibility of a real-time diode laser-based etch rate sensor.

  18. Selective etching of TiN over TaN and vice versa in chlorine-containing plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shin, Hyungjoo; Zhu Weiye; Liu Lei

    2013-05-15

    Selectivity of etching between physical vapor-deposited TiN and TaN was studied in chlorine-containing plasmas, under isotropic etching conditions. Etching rates for blanket films were measured in-situ using optical emission of the N{sub 2} (C{sup 3}{Pi}{sub u}{yields}B{sup 3}{Pi}{sub g}) bandhead at 337 nm to determine the etching time, and transmission electron microscopy to determine the starting film thickness. The etching selectivity in Cl{sub 2}/He or HCl/He plasmas was poor (<2:1). There was a window of very high selectivity of etching TiN over TaN by adding small amounts (<1%) of O{sub 2} in the Cl{sub 2}/He plasma. Reverse selectivity (10:1 of TaNmore » etching over TiN) was observed when adding small amounts of O{sub 2} to the HCl/He plasma. Results are explained on the basis of the volatility of plausible reaction products.« less

  19. Simulation of SiO2 etching in an inductively coupled CF4 plasma

    NASA Astrophysics Data System (ADS)

    Xu, Qing; Li, Yu-Xing; Li, Xiao-Ning; Wang, Jia-Bin; Yang, Fan; Yang, Yi; Ren, Tian-Ling

    2017-02-01

    Plasma etching technology is an indispensable processing method in the manufacturing process of semiconductor devices. Because of the high fluorine/carbon ratio of CF4, the CF4 gas is often used for etching SiO2. A commercial software ESI-CFD is used to simulate the process of plasma etching with an inductively coupled plasma model. For the simulation part, CFD-ACE is used to simulate the chamber, and CFD-TOPO is used to simulate the surface of the sample. The effects of chamber pressure, bias voltage and ICP power on the reactant particles were investigated, and the etching profiles of SiO2 were obtained. Simulation can be used to predict the effects of reaction conditions on the density, energy and angular distributions of reactant particles, which can play a good role in guiding the etching process.

  20. Neutral beam and ICP etching of HKMG MOS capacitors: Observations and a plasma-induced damage model

    NASA Astrophysics Data System (ADS)

    Kuo, Tai-Chen; Shih, Tzu-Lang; Su, Yin-Hsien; Lee, Wen-Hsi; Current, Michael Ira; Samukawa, Seiji

    2018-04-01

    In this study, TiN/HfO2/Si metal-oxide-semiconductor (MOS) capacitors were etched by a neutral beam etching technique under two contrasting conditions. The configurations of neutral beam etching technique were specially designed to demonstrate a "damage-free" condition or to approximate "reactive-ion-etching-like" conditions to verify the effect of plasma-induced damage on electrical characteristics of MOS capacitors. The results show that by neutral beam etching (NBE), the interface state density (Dit) and the oxide trapped charge (Qot) were lower than routine plasma etching. Furthermore, the decrease in capacitor size does not lead to an increase in leakage current density, indicating less plasma induced side-wall damage. We present a plasma-induced gate stack damage model which we demonstrate by using these two different etching configurations. These results show that NBE is effective in preventing plasma-induced damage at the high-k/Si interface and on the high-k oxide sidewall and thus improve the electrical performance of the gate structure.

  1. High rate dry etching of InGaZnO by BCl3/O2 plasma

    NASA Astrophysics Data System (ADS)

    Park, Wanjae; Whang, Ki-Woong; Gwang Yoon, Young; Hwan Kim, Jeong; Rha, Sang-Ho; Seong Hwang, Cheol

    2011-08-01

    This paper reports the results of the high-rate dry etching of indium gallium zinc oxide (IGZO) at room temperature using BCl3/O2 plasma. We achieved an etch rate of 250 nm/min. We inferred from the x-ray photoelectron spectroscopy analysis that BOx or BOClx radicals generated from BCl3/O2 plasma cause the etching of the IGZO material. O2 initiates the etching of IGZO, and Ar removes nonvolatile byproducts from the surface during the etching process. Consequently, a smooth etched surface results when these gases are added to the etch gas.

  2. Modeling and measurement of hydrogen radical densities of in situ plasma-based Sn cleaning source

    NASA Astrophysics Data System (ADS)

    Elg, Daniel T.; Panici, Gianluca A.; Peck, Jason A.; Srivastava, Shailendra N.; Ruzic, David N.

    2017-04-01

    Extreme ultraviolet (EUV) lithography sources expel Sn debris. This debris deposits on the collector optic used to focus the EUV light, lowering its reflectivity and EUV throughput to the wafer. Consequently, the collector must be cleaned, causing source downtime. To solve this, a hydrogen plasma source was developed to clean the collector in situ by using the collector as an antenna to create a hydrogen plasma and create H radicals, which etch Sn as SnH4. This technique has been shown to remove Sn from a 300-mm-diameter stainless steel dummy collector. The H radical density is of key importance in Sn etching. The effects of power, pressure, and flow on radical density are explored. A catalytic probe has been used to measure radical density, and a zero-dimensional model is used to provide the fundamental science behind radical creation and predict radical densities. Model predictions and experimental measurements are in good agreement. The trends observed in radical density, contrasted with measured Sn removal rates, show that radical density is not the limiting factor in this etching system; other factors, such as SnH4 redeposition and energetic ion bombardment, must be more fully understood in order to predict removal rates.

  3. Atomic-layer soft plasma etching of MoS2

    PubMed Central

    Xiao, Shaoqing; Xiao, Peng; Zhang, Xuecheng; Yan, Dawei; Gu, Xiaofeng; Qin, Fang; Ni, Zhenhua; Han, Zhao Jun; Ostrikov, Kostya (Ken)

    2016-01-01

    Transition from multi-layer to monolayer and sub-monolayer thickness leads to the many exotic properties and distinctive applications of two-dimensional (2D) MoS2. This transition requires atomic-layer-precision thinning of bulk MoS2 without damaging the remaining layers, which presently remains elusive. Here we report a soft, selective and high-throughput atomic-layer-precision etching of MoS2 in SF6 + N2 plasmas with low-energy (<0.4 eV) electrons and minimized ion-bombardment-related damage. Equal numbers of MoS2 layers are removed uniformly across domains with vastly different initial thickness, without affecting the underlying SiO2 substrate and the remaining MoS2 layers. The etching rates can be tuned to achieve complete MoS2 removal and any desired number of MoS2 layers including monolayer. Layer-dependent vibrational and photoluminescence spectra of the etched MoS2 are also demonstrated. This soft plasma etching technique is versatile, scalable, compatible with the semiconductor manufacturing processes, and may be applicable for a broader range of 2D materials and intended device applications. PMID:26813335

  4. Inductively Coupled Plasma and Electron Cyclotron Resonance Plasma Etching of InGaAlP Compound Semiconductor System

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Abernathy, C.R.; Hobson, W.S.; Hong, J.

    1998-11-04

    Current and future generations of sophisticated compound semiconductor devices require the ability for submicron scale patterning. The situation is being complicated since some of the new devices are based on a wider diversity of materials to be etched. Conventional IUE (Reactive Ion Etching) has been prevalent across the industry so far, but has limitations for materials with high bond strengths or multiple elements. IrI this paper, we suggest high density plasmas such as ECR (Electron Cyclotron Resonance) and ICP (Inductively Coupled Plasma), for the etching of ternary compound semiconductors (InGaP, AIInP, AlGaP) which are employed for electronic devices like heterojunctionmore » bipolar transistors (HBTs) or high electron mobility transistors (HEMTs), and photonic devices such as light-emitting diodes (LEDs) and lasers. High density plasma sources, opeiating at lower pressure, are expected to meet target goals determined in terms of etch rate, surface morphology, surface stoichiometry, selectivity, etc. The etching mechanisms, which are described in this paper, can also be applied to other III-V (GaAs-based, InP-based) as well as III-Nitride since the InGaAIP system shares many of the same properties.« less

  5. Deep inductively coupled plasma etching of ELO-GaN grown with high fill factor

    NASA Astrophysics Data System (ADS)

    Gao, Haiyong; Lee, Jaesoong; Ni, Xianfeng; Leach, Jacob; Özgür, Ümit; Morkoç, Hadis

    2011-02-01

    The epitaxial lateral overgrowth (ELO) gallium nitride (GaN) was grown with high fill factor using metal organic chemical vapor deposition (MOCVD). The inductively coupled plasma (ICP) etching of ELO-GaN based on Cl2/Ar/SiCl4 gas mixture was performed. Surface properties of ELO-GaN subjected to ICP etching have been investigated and optimized etching condition in ELO-GaN with ICP etching is presented. Radiofrequency (RF) power and the flow rate of Cl2 gas were modified during the experiments. The window region, wing region and the edge region of ELO-GaN pattern present different etching characteristics. Different etching conditions were studied to get the minimized plasma-induced damage, relatively high etching rates, and excellent surface profiles. Etch depths of the etched ELO-GaN with smooth surface up to about 19 μm were achieved. The most suitable three-step etching condition is discussed with the assessment based on the morphology observation of the etched surface of ELO-GaN patterns.

  6. Formation and metrology of dual scale nano-morphology on SF(6) plasma etched silicon surfaces.

    PubMed

    Boulousis, G; Constantoudis, V; Kokkoris, G; Gogolides, E

    2008-06-25

    Surface roughness and nano-morphology in SF(6) plasma etched silicon substrates are investigated in a helicon type plasma reactor as a function of etching time and process parameters. The plasma etched surfaces are analyzed by atomic force microscopy. It is found that dual scale nano-roughness is formatted on the silicon surface comprising an underlying nano-roughness and superimposed nano-mounds. Detailed metrological quantification is proposed for the characterization of dual scale surface morphology. As etching proceeds, the mounds become higher, fewer and wider, and the underlying nano-roughness also increases. Increase in wafer temperature leads to smoother surfaces with lower, fewer and wider nano-mounds. A mechanism based on the deposition of etch inhibiting particles during the etching process is proposed for the explanation of the experimental behavior. In addition, appropriately designed experiments are conducted, and they confirm the presence of this mechanism.

  7. Suboxide/subnitride formation on Ta masks during magnetic material etching by reactive plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Hu; Muraki, Yu; Karahashi, Kazuhiro

    2015-07-15

    Etching characteristics of tantalum (Ta) masks used in magnetoresistive random-access memory etching processes by carbon monoxide and ammonium (CO/NH{sub 3}) or methanol (CH{sub 3}OH) plasmas have been examined by mass-selected ion beam experiments with in-situ surface analyses. It has been suggested in earlier studies that etching of magnetic materials, i.e., Fe, Ni, Co, and their alloys, by such plasmas is mostly due to physical sputtering and etch selectivity of the process arises from etch resistance (i.e., low-sputtering yield) of the hard mask materials such as Ta. In this study, it is shown that, during Ta etching by energetic CO{sup +}more » or N{sup +} ions, suboxides or subnitrides are formed on the Ta surface, which reduces the apparent sputtering yield of Ta. It is also shown that the sputtering yield of Ta by energetic CO{sup +} or N{sup +} ions has a strong dependence on the angle of ion incidence, which suggests a correlation between the sputtering yield and the oxidation states of Ta in the suboxide or subnitride; the higher the oxidation state of Ta, the lower is the sputtering yield. These data account for the observed etch selectivity by CO/NH{sub 3} and CH{sub 3}OH plasmas.« less

  8. GPU based 3D feature profile simulation of high-aspect ratio contact hole etch process under fluorocarbon plasmas

    NASA Astrophysics Data System (ADS)

    Chun, Poo-Reum; Lee, Se-Ah; Yook, Yeong-Geun; Choi, Kwang-Sung; Cho, Deog-Geun; Yu, Dong-Hun; Chang, Won-Seok; Kwon, Deuk-Chul; Im, Yeon-Ho

    2013-09-01

    Although plasma etch profile simulation has been attracted much interest for developing reliable plasma etching, there still exist big gaps between current research status and predictable modeling due to the inherent complexity of plasma process. As an effort to address this issue, we present 3D feature profile simulation coupled with well-defined plasma-surface kinetic model for silicon dioxide etching process under fluorocarbon plasmas. To capture the realistic plasma surface reaction behaviors, a polymer layer based surface kinetic model was proposed to consider the simultaneous polymer deposition and oxide etching. Finally, the realistic plasma surface model was used for calculation of speed function for 3D topology simulation, which consists of multiple level set based moving algorithm, and ballistic transport module. In addition, the time consumable computations in the ballistic transport calculation were improved drastically by GPU based numerical computation, leading to the real time computation. Finally, we demonstrated that the surface kinetic model could be coupled successfully for 3D etch profile simulations in high-aspect ratio contact hole plasma etching.

  9. Evolution of titanium residue on the walls of a plasma-etching reactor and its effect on the polysilicon etching rate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hirota, Kosa, E-mail: hirota-kousa@sme.hitachi-hitec.com; Itabashi, Naoshi; Tanaka, Junichi

    2014-11-01

    The variation in polysilicon plasma etching rates caused by Ti residue on the reactor walls was investigated. The amount of Ti residue was measured using attenuated total reflection Fourier transform infrared spectroscopy with the HgCdTe (MCT) detector installed on the side of the reactor. As the amount of Ti residue increased, the number of fluorine radicals and the polysilicon etching rate increased. However, a maximum limit in the etching rate was observed. A mechanism of rate variation was proposed, whereby F radical consumption on the quartz reactor wall is suppressed by the Ti residue. The authors also investigated a plasma-cleaningmore » method for the removal of Ti residue without using a BCl{sub 3} gas, because the reaction products (e.g., boron oxide) on the reactor walls frequently cause contamination of the product wafers during etching. CH-assisted chlorine cleaning, which is a combination of CHF{sub 3} and Cl{sub 2} plasma treatment, was found to effectively remove Ti residue from the reactor walls. This result shows that CH radicals play an important role in deoxidizing and/or defluorinating Ti residue on the reactor walls.« less

  10. Qualitative modeling of silica plasma etching using neural network

    NASA Astrophysics Data System (ADS)

    Kim, Byungwhan; Kwon, Kwang Ho

    2003-01-01

    An etching of silica thin film is qualitatively modeled by using a neural network. The process was characterized by a 23 full factorial experiment plus one center point, in which the experimental factors and ranges include 100-800 W radio-frequency source power, 100-400 W bias power and gas flow rate ratio CHF3/CF4. The gas flow rate ratio varied from 0.2 to 5.0. The backpropagation neural network (BPNN) was trained on nine experiments and tested on six experiments, not pertaining to the original training data. The prediction ability of the BPNN was optimized as a function of the training parameters. Prediction errors are 180 Å/min and 1.33, for the etch rate and anisotropy models, respectively. Physical etch mechanisms were estimated from the three-dimensional plots generated from the optimized models. Predicted response surfaces were consistent with experimentally measured etch data. The dc bias was correlated to the etch responses to evaluate its contribution. Both the source power (plasma density) and bias power (ion directionality) strongly affected the etch rate. The source power was the most influential factor for the etch rate. A conflicting effect between the source and bias powers was noticed with respect to the anisotropy. The dc bias played an important role in understanding or separating physical etch mechanisms.

  11. Anisotropic etching of amorphous perfluoropolymer films in oxygen-based inductively coupled plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ono, Takao; Akagi, Takanori; Center for NanoBio Integration, University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-8656

    2009-01-01

    An amorphous perfluoropolymer, 'Cytop' (Asahi Glass Co., Ltd.), is a preferable material for the fabrication of micro total analysis system devices because of its superior optical transparency over a wide wavelength range and low refractive index of 1.34, which is almost the same as that of water, as well as excellent chemical stability. To establish the precise microfabrication technology for this unique resin, the dry etching of the amorphous perfluoropolymer in Ar/O{sub 2} low-pressure inductively coupled plasma has been studied. A relatively high etch rate of approximately 6.3 {mu}m/min at maximum and highly anisotropic etched features was attained. Plasma measurementsmore » by a single Langmuir probe technique and actinometry revealed that etching is dominated by ion-assisted surface desorption above a 10%O{sub 2} mixing ratio, whereas the supply of active oxygen species is the rate-limiting process below 10%. Moreover, angled x-ray photoelectron spectroscopy measurements of an etched trench pattern revealed that a high anisotropy is attributed to the formation of a carbon-rich sidewall protection layer.« less

  12. A method to accelerate creation of plasma etch recipes using physics and Bayesian statistics

    NASA Astrophysics Data System (ADS)

    Chopra, Meghali J.; Verma, Rahul; Lane, Austin; Willson, C. G.; Bonnecaze, Roger T.

    2017-03-01

    Next generation semiconductor technologies like high density memory storage require precise 2D and 3D nanopatterns. Plasma etching processes are essential to achieving the nanoscale precision required for these structures. Current plasma process development methods rely primarily on iterative trial and error or factorial design of experiment (DOE) to define the plasma process space. Here we evaluate the efficacy of the software tool Recipe Optimization for Deposition and Etching (RODEo) against standard industry methods at determining the process parameters of a high density O2 plasma system with three case studies. In the first case study, we demonstrate that RODEo is able to predict etch rates more accurately than a regression model based on a full factorial design while using 40% fewer experiments. In the second case study, we demonstrate that RODEo performs significantly better than a full factorial DOE at identifying optimal process conditions to maximize anisotropy. In the third case study we experimentally show how RODEo maximizes etch rates while using half the experiments of a full factorial DOE method. With enhanced process predictions and more accurate maps of the process space, RODEo reduces the number of experiments required to develop and optimize plasma processes.

  13. Reactive ion etching of indium-tin oxide films by CCl4-based Inductivity Coupled Plasma

    NASA Astrophysics Data System (ADS)

    Juneja, Sucheta; Poletayev, Sergey D.; Fomchenkov, Sergey; Khonina, Svetlana N.; Skidanov, Roman V.; Kazanskiy, Nikolay L.

    2016-08-01

    Indium tin oxide (ITO) films have been a subject of extensive studies in fabrication of micro-electronic devices for opto-electronic applications ranging from anti-reflection coatings to transparent contacts in photovoltaic devices. In this paper, a new and effective way of reactive ion etching of a conducting indium-tin oxide (ITO) film with Carbon tetrachloride (CCl4) has been investigated. CCl4 plasma containing an addition of gases mixture of dissociated argon and oxygen were used. Oxygen is added to increase the etchant percentage whereas argon was used for stabilization of plasma. The etching characteristics obtained with these gaseous mixtures were explained based on plasma etch chemistry and etching regime of ITO films. An etch rate as high as ∼20 nm/min can be achieved with a controlled process parameter such as power density, total flow rate, composition of reactive gases gas and pressure. Our Investigation represents some of the extensive work in this area.

  14. Tantalum Etching with an Atmospheric Pressure Plasma Jet

    NASA Astrophysics Data System (ADS)

    Teslow, Hilary; Herrmann, Hans; Rosocha, Louis

    2002-10-01

    The APPJ is a non-thermal, atmospheric-pressure, glow discharge. A feedgas, composed of an inert carrier gas (e.g., He) and small concentrations of additives (e.g., O2, or CF4), flows between closely spaced electrodes powered at 13.56 MHz rf in a coaxial or parallel plate arrangement. The plasma has Te ˜ 2 eV and ne ˜ 10^11 cm-3. Electrons are not in thermal equilibrium with ions and neutrals: the electrons are ``hot", while the overall gas temperature is quite ``cold", typically 50-300 C. In the plasma, the gas is excited, dissociated or ionized by energetic electron impact. As the gas exits the discharge volume, ions and electrons are rapidly lost by recombination, leaving metastables (e.g. O2*, He*) and radicals (e.g. O, F, OF, O2F, CFO). These reactive species are then directed onto a surface to be processed. The APPJ has been developed for decontaminating nuclear, chemical, and biological agents. Atomic fluorine, and possibly other reactive species, can be used to convert actinides (e.g., U and Pu), into volatile fluorides (e.g., UF6, PuF6) that can be trapped, resulting in significant volume reduction of radioactive waste. In this talk, we will present results on using Ta as a surrogate for Pu in He/O2/CF4 etching plasmas. Results of experimental measurements of Ta etch rates for various gas mixtures and plasma jet standoff distance will be compared with plasma chemistry modeling of the concentrations of several active species produced in the plasma.

  15. Use of hydrogen etching to remove existing dislocations in GaN epitaxial layers

    NASA Astrophysics Data System (ADS)

    Yeh, Yen-Hsien; Chu, Chung-Ming; Wu, Yin-Hao; Hsu, Ying-Chia; Yu, Tzu-Yi; Lee, Wei-I.

    2015-08-01

    In this paper, based on the anisotropic nature of hydrogen (H2) etching on GaN, we describe a new approach to the removal of threading dislocations in GaN layers. The top surfaces of c-plane (Ga-face) and a-plane GaNs are considered stable in H2; therefore, H2 etches only crystal imperfections such as dislocation and basal plane stacking fault (BSF) sites. We used H2 to etch undoped c-plane GaN, n-type c-plane GaN, a-plane GaN, and an InGaN/GaN multiple quantum well structure. Several examinations were performed, indicating deep cavities on the c-plane GaN samples after H2 etching; furthermore, gorge-like grooves were observed on the a-plane GaN samples. The deep cavities on the c-plane GaN were considered the etched dislocation sites, and the gorge-like grooves on the a-plane GaN were considered the etched BSF sites. Photoluminescence measurements were performed and the results indicated that the H2-etched samples demonstrate superior optoelectronic properties, probably because of the elimination of dislocations.

  16. The effect of SF6 addition in a Cl2/Ar inductively coupled plasma for deep titanium etching

    NASA Astrophysics Data System (ADS)

    Laudrel, E.; Tillocher, T.; Meric, Y.; Lefaucheux, P.; Boutaud, B.; Dussart, R.

    2018-05-01

    Titanium is a material of interest for the biomedical field and more particularly for body implantable devices. Titanium deep etching by plasma was carried out in an inductively coupled plasma with a chlorine-based chemistry for the fabrication of titanium-based microdevices. Bulk titanium etch rate was first studied in Cl2/Ar plasma mixture versus the source power and the self-bias voltage. The plasma was characterized by Langmuir probe and by optical emission spectroscopy. The addition of SF6 in the plasma mixture was investigated. Titanium etch rate was optimized and reached a value of 2.4 µm · min-1. The nickel hard mask selectivity was also enhanced. The etched titanium surface roughness was reduced significantly.

  17. Model polymer etching and surface modification by a time modulated RF plasma jet: role of atomic oxygen and water vapor

    NASA Astrophysics Data System (ADS)

    Luan, P.; Knoll, A. J.; Wang, H.; Kondeti, V. S. S. K.; Bruggeman, P. J.; Oehrlein, G. S.

    2017-01-01

    The surface interaction of a well-characterized time modulated radio frequency (RF) plasma jet with polystyrene, poly(methyl methacrylate) and poly(vinyl alcohol) as model polymers is investigated. The RF plasma jet shows fast polymer etching but mild chemical modification with a characteristic carbonate ester and NO formation on the etched surface. By varying the plasma treatment conditions including feed gas composition, environment gaseous composition, and treatment distance, we find that short lived species, especially atomic O for Ar/1% O2 and 1% air plasma and OH for Ar/1% H2O plasma, play an essential role for polymer etching. For O2 containing plasma, we find that atomic O initiates polymer etching and the etching depth mirrors the measured decay of O atoms in the gas phase as the nozzle-surface distance increases. The etching reaction probability of an O atom ranging from 10-4 to 10-3 is consistent with low pressure plasma research. We also find that adding O2 and H2O simultaneously into Ar feed gas quenches polymer etching compared to adding them separately which suggests the reduction of O and OH density in Ar/O2/H2O plasma.

  18. Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

    NASA Astrophysics Data System (ADS)

    Karecki, Simon; Chatterjee, Ritwik; Pruette, Laura; Reif, Rafael; Sparks, Terry; Beu, Laurie; Vartanian, Victor

    2000-07-01

    In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H-CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.

  19. Etching of polymers, proteins and bacterial spores by atmospheric pressure DBD plasma in air

    NASA Astrophysics Data System (ADS)

    Kuzminova, A.; Kretková, T.; Kylián, O.; Hanuš, J.; Khalakhan, I.; Prukner, V.; Doležalová, E.; Šimek, M.; Biederman, H.

    2017-04-01

    Many studies proved that non-equilibrium discharges generated at atmospheric pressure are highly effective for the bio-decontamination of surfaces of various materials. One of the key processes that leads to a desired result is plasma etching and thus the evaluation of etching rates of organic materials is of high importance. However, the comparison of reported results is rather difficult if impossible as different authors use diverse sources of atmospheric plasma that are operated at significantly different operational parameters. Therefore, we report here on the systematic study of the etching of nine different common polymers that mimic the different structures of more complicated biological systems, bovine serum albumin (BSA) selected as the model protein and spores of Bacillus subtilis taken as a representative of highly resistant micro-organisms. The treatment of these materials was performed by means of atmospheric pressure dielectric barrier discharge (DBD) sustained in open air at constant conditions. All tested polymers, BSA and spores, were readily etched by DBD plasma. However, the measured etching rates were found to be dependent on the chemical structure of treated materials, namely on the presence of oxygen in the structure of polymers.

  20. Plasma surface modification of polypropylene track-etched membrane to improve its performance properties

    NASA Astrophysics Data System (ADS)

    Kravets, L. I.; Elinson, V. M.; Ibragimov, R. G.; Mitu, B.; Dinescu, G.

    2018-02-01

    The surface and electrochemical properties of polypropylene track-etched membrane treated by plasma of nitrogen, air and oxygen are studied. The effect of the plasma-forming gas composition on the surface morphology is considered. It has been found that the micro-relief of the membrane surface formed under the gas-discharge etching, changes. Moreover, the effect of the non-polymerizing gas plasma leads to formation of oxygen-containing functional groups, mostly carbonyl and carboxyl. It is shown that due to the formation of polar groups on the surface and its higher roughness, the wettability of the plasma-modified membranes improves. In addition, the presence of polar groups on the membrane surface layer modifies its electrochemical properties so that conductivity of plasma-treated membranes increase.

  1. Etching of Silicon in HBr Plasmas for High Aspect Ratio Features

    NASA Technical Reports Server (NTRS)

    Hwang, Helen H.; Meyyappan, M.; Mathad, G. S.; Ranade, R.

    2002-01-01

    Etching in semiconductor processing typically involves using halides because of the relatively fast rates. Bromine containing plasmas can generate high aspect ratio trenches, desirable for DRAM and MEMS applications, with relatively straight sidewalk We present scanning electron microscope images for silicon-etched trenches in a HBr plasma. Using a feature profile simulation, we show that the removal yield parameter, or number of neutrals removed per incident ion due to all processes (sputtering, spontaneous desorption, etc.), dictates the profile shape. We find that the profile becomes pinched off when the removal yield is a constant, with a maximum aspect ratio (AR) of about 5 to 1 (depth to height). When the removal yield decreases with increasing ion angle, the etch rate increases at the comers and the trench bottom broadens. The profiles have ARs of over 9:1 for yields that vary with ion angle. To match the experimentally observed etched time of 250 s for an AR of 9:1 with a trench width of 0.135 microns, we find that the neutral flux must be 3.336 x 10(exp 17)sq cm/s.

  2. Effect of source frequency and pulsing on the SiO2 etching characteristics of dual-frequency capacitive coupled plasma

    NASA Astrophysics Data System (ADS)

    Kim, Hoe Jun; Jeon, Min Hwan; Mishra, Anurag Kumar; Kim, In Jun; Sin, Tae Ho; Yeom, Geun Young

    2015-01-01

    A SiO2 layer masked with an amorphous carbon layer (ACL) has been etched in an Ar/C4F8 gas mixture with dual frequency capacitively coupled plasmas under variable frequency (13.56-60 MHz)/pulsed rf source power and 2 MHz continuous wave (CW) rf bias power, the effects of the frequency and pulsing of the source rf power on the SiO2 etch characteristics were investigated. By pulsing the rf power, an increased SiO2 etch selectivity was observed with decreasing SiO2 etch rate. However, when the rf power frequency was increased, not only a higher SiO2 etch rate but also higher SiO2 etch selectivity was observed for both CW and pulse modes. A higher CF2/F ratio and lower electron temperature were observed for both a higher source frequency mode and a pulsed plasma mode. Therefore, when the C 1s binding states of the etched SiO2 surfaces were investigated using X-ray photoelectron spectroscopy (XPS), the increase of C-Fx bonding on the SiO2 surface was observed for a higher source frequency operation similar to a pulsed plasma condition indicating the increase of SiO2 etch selectivity over the ACL. The increase of the SiO2 etch rate with increasing etch selectivity for the higher source frequency operation appears to be related to the increase of the total plasma density with increasing CF2/F ratio in the plasma. The SiO2 etch profile was also improved not only by using the pulsed plasma but also by increasing the source frequency.

  3. High-density plasma etching of III-nitrides: Process development, device applications and damage remediation

    NASA Astrophysics Data System (ADS)

    Singh, Rajwinder

    Plasma-assisted etching is a key technology for III-nitride device fabrication. The inevitable etch damage resulting from energetic pattern transfer is a challenge that needs to be addressed in order to optimize device performance and reliability. This dissertation focuses on the development of a high-density inductively-coupled plasma (ICP) etch process for III-nitrides, the demonstration of its applicability to practical device fabrication using a custom built ICP reactor, and development of techniques for remediation of etch damage. A chlorine-based standard dry etch process has been developed and utilized in fabrication of a number of electronic and optoelectronic III-nitride devices. Annealing studies carried out at 700°C have yielded the important insight that the annealing time necessary for making good-quality metal contacts to etch processed n-GaN is very short (<30 sec), comparable with the annealing times necessary for dopant activation of p-GaN films and provides an opportunity for streamlining process flow. Plasma etching degrades contact quality on n-GaN films and this degradation has been found to increase with the rf bias levels (ion energies) used, most notably in films with higher doping levels. Immersion in 1:1 mixture of hydrochloric acid and de-ionized water, prior to metallization, removes some of the etch damage and is helpful in recovering contact quality. In-situ treatment consisting of a slow ramp-down of rf bias at the end of the etch is found to achieve the same effect as the ex-situ treatment. This insitu technique is significantly advantageous in a large-scale production environment because it eliminates a process step, particularly one involving treatment in hydrochloric acid. ICP equipment customization for scaling up the process to full 2-inch wafer size is described. Results on etching of state of the art 256 x 256 AlGaN focal plane arrays of ultraviolet photodetectors are reported, with excellent etch uniformity over the wafer

  4. Uniformity studies of inductively coupled plasma etching in fabrication of HgCdTe detector arrays

    NASA Astrophysics Data System (ADS)

    Bommena, R.; Velicu, S.; Boieriu, P.; Lee, T. S.; Grein, C. H.; Tedjojuwono, K. K.

    2007-04-01

    Inductively coupled plasma (ICP) chemistry based on a mixture of CH 4, Ar, and H II was investigated for the purpose of delineating HgCdTe mesa structures and vias typically used in the fabrication of second and third generation infrared photo detector arrays. We report on ICP etching uniformity results and correlate them with plasma controlling parameters (gas flow rates, total chamber pressure, ICP power and RF power). The etching rate and surface morphology of In-doped MWIR and LWIR HgCdTe showed distinct dependences on the plasma chemistry, total pressure and RF power. Contact stylus profilometry and cross-section scanning electron microscopy (SEM) were used to characterize the anisotropy of the etched profiles obtained after various processes and a standard deviation of 0.06 μm was obtained for etch depth on 128 x 128 format array vias. The surface morphology and the uniformity of the etched surfaces were studied by plan view SEM. Atomic force microscopy was used to make precise assessments of surface roughness.

  5. Reactive ion etching of GaN using BCl 3, BCl 3/Ar and BCl 3/ N 2 gas plasmas

    NASA Astrophysics Data System (ADS)

    Basak, D.; Nakanishi, T.; Sakai, S.

    2000-04-01

    Reactive ion etching (RIE) of GaN has been performed using BCl 3 and additives, Ar and N 2, to BCl 3 plasma. The etch rate, surface roughness and the etch profile have been investigated. The etch rate of GaN is found to be 104 nm/min at rf power of 200 W, pressure of 2 Pa, with 9.5 sccm flow rate of BCl 3. The addition of 5 sccm of Ar to 9.5 sccm of BCl 3 reduces the etch rate of GaN while the addition of N 2 does not influence the etch rate significantly. The RIE of GaN layer with BCl 3/Ar and BCl 3/N 2 results in a smoother surface compared to surfaces etched with BCl 3 only. The etched side-wall in BCl 3 plasma makes an angle of 60° with the normal surface, and the angle of inclination is more in cases of BCl 3/Ar and BCl 3/N 2 plasmas. The RIE induced damage to the surface is measured qualitatively by PL measurements. It is observed that the damage to the etched surfaces is similar for all the plasmas.

  6. Comparative analysis of barium titanate thin films dry etching using inductively coupled plasmas by different fluorine-based mixture gas

    PubMed Central

    2014-01-01

    In this work, the inductively coupled plasma etching technique was applied to etch the barium titanate thin film. A comparative study of etch characteristics of the barium titanate thin film has been investigated in fluorine-based (CF4/O2, C4F8/O2 and SF6/O2) plasmas. The etch rates were measured using focused ion beam in order to ensure the accuracy of measurement. The surface morphology of etched barium titanate thin film was characterized by atomic force microscope. The chemical state of the etched surfaces was investigated by X-ray photoelectron spectroscopy. According to the experimental result, we monitored that a higher barium titanate thin film etch rate was achieved with SF6/O2 due to minimum amount of necessary ion energy and its higher volatility of etching byproducts as compared with CF4/O2 and C4F8/O2. Low-volatile C-F compound etching byproducts from C4F8/O2 were observed on the etched surface and resulted in the reduction of etch rate. As a result, the barium titanate films can be effectively etched by the plasma with the composition of SF6/O2, which has an etch rate of over than 46.7 nm/min at RF power/inductively coupled plasma (ICP) power of 150/1,000 W under gas pressure of 7.5 mTorr with a better surface morphology. PMID:25278821

  7. Modeling Cl2/O2/Ar inductively coupled plasmas used for silicon etching: effects of SiO2 chamber wall coating

    NASA Astrophysics Data System (ADS)

    Tinck, S.; Boullart, W.; Bogaerts, A.

    2011-08-01

    In this paper, simulations are performed to gain a better insight into the properties of a Cl2/Ar plasma, with and without O2, during plasma etching of Si. Both plasma and surface properties are calculated in a self-consistent manner. Special attention is paid to the behavior of etch products coming from the wafer or the walls, and how the chamber walls can affect the plasma and the resulting etch process. Two modeling cases are considered. In the first case, the reactor walls are defined as clean (Al2O3), whereas in the second case a SiO2 coating is introduced on the reactor walls before the etching process, so that oxygen will be sputtered from the walls and introduced into the plasma. For this reason, a detailed reaction set is presented for a Cl2/O2/Ar plasma containing etched species, as well as an extensive reaction set for surface processes, including physical and chemical sputtering, chemical etching and deposition processes. Density and flux profiles of various species are presented for a better understanding of the bulk plasma during the etching process. Detailed information is also given on the composition of the surfaces at various locations of the reactor, on the etch products in the plasma and on the surface loss probabilities of the plasma species at the walls, with different compositions. It is found that in the clean chamber, walls are mostly chlorinated (Al2Cl3), with a thin layer of etch products residing on the wall. In the coated chamber, an oxy-chloride layer is grown on the walls for a few nanometers during the etching process. The Cl atom wall loss probability is found to decrease significantly in the coated chamber, hence increasing the etch rate. SiCl2, SiCl4 and SiCl3 are found to be the main etch products in the plasma, with the fraction of SiCl2 being always slightly higher. The simulation results compare well with experimental data available from the literature.

  8. Acid Etching and Plasma Sterilization Fail to Improve Osseointegration of Grit Blasted Titanium Implants

    PubMed Central

    Saksø, Mikkel; Jakobsen, Stig S; Saksø, Henrik; Baas, Jørgen; Jakobsen, Thomas; Søballe, Kjeld

    2012-01-01

    Interaction between implant surface and surrounding bone influences implant fixation. We attempted to improve the bone-implant interaction by 1) adding surface micro scale topography by acid etching, and 2) removing surface-adherent pro-inflammatory agents by plasma cleaning. Implant fixation was evaluated by implant osseointegration and biomechanical fixation. The study consisted of two paired animal sub-studies where 10 skeletally mature Labrador dogs were used. Grit blasted titanium alloy implants were inserted press fit in each proximal tibia. In the first study grit blasted implants were compared with acid etched grit blasted implants. In the second study grit blasted implants were compared with acid etched grit blasted implants that were further treated with plasma sterilization. Implant performance was evaluated by histomorphometrical investigation (tissue-to-implant contact, peri-implant tissue density) and mechanical push-out testing after four weeks observation time. Neither acid etching nor plasma sterilization of the grit blasted implants enhanced osseointegration or mechanical fixation in this press-fit canine implant model in a statistically significant manner. PMID:22962567

  9. Diagnostic for Plasma Enhanced Chemical Vapor Deposition and Etch Systems

    NASA Technical Reports Server (NTRS)

    Cappelli, Mark A.

    1999-01-01

    In order to meet NASA's requirements for the rapid development and validation of future generation electronic devices as well as associated materials and processes, enabling technologies ion the processing of semiconductor materials arising from understanding etch chemistries are being developed through a research collaboration between Stanford University and NASA-Ames Research Center, Although a great deal of laboratory-scale research has been performed on many of materials processing plasmas, little is known about the gas-phase and surface chemical reactions that are critical in many etch and deposition processes, and how these reactions are influenced by the variation in operating conditions. In addition, many plasma-based processes suffer from stability and reliability problems leading to a compromise in performance and a potentially increased cost for the semiconductor manufacturing industry. Such a lack of understanding has hindered the development of process models that can aid in the scaling and improvement of plasma etch and deposition systems. The research described involves the study of plasmas used in semiconductor processes. An inductively coupled plasma (ICP) source in place of the standard upper electrode assembly of the Gaseous Electronics Conference (GEC) radio-frequency (RF) Reference Cell is used to investigate the discharge characteristics and chemistries. This ICP source generates plasmas with higher electron densities (approximately 10(exp 12)/cu cm) and lower operating pressures (approximately 7 mTorr) than obtainable with the original parallel-plate version of the GEC Cell. This expanded operating regime is more relevant to new generations of industrial plasma systems being used by the microelectronics industry. The motivation for this study is to develop an understanding of the physical phenomena involved in plasma processing and to measure much needed fundamental parameters, such as gas-phase and surface reaction rates. species

  10. Oxygen and nitrogen plasma etching of three-dimensional hydroxyapatite/chitosan scaffolds fabricated by additive manufacturing

    NASA Astrophysics Data System (ADS)

    Myung, Sung-Woon; Kim, Byung-Hoon

    2016-01-01

    Three-dimensional (3D) chitosan and hydroxyapatite (HAp)/chitosan (CH) scaffolds were fabricated by additive manufacturing, then their surfaces were etched with oxygen (O2) and nitrogen (N2) plasma. O2 and N2 plasma etching was performed to increase surface properties such as hydrophilicity, roughness, and surface chemistry on the scaffolds. After etching, hydroxyapatite was exposed on the surface of 3D HAp/CH scaffolds. The surface morphology and chemical properties were characterized by contact angle measurement, scanning electron microscopy, X-ray diffraction, and attenuated total reflection Fourier infrared spectroscopy. The cell viability of 3D chitosan scaffolds was examined by 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide assay. The differentiation of preosteoblast cells was evaluated by alkaline phosphatase assay. The cell viability was improved by O2 and N2 plasma etching of 3D chitosan scaffolds. The present fabrication process for 3D scaffolds might be applied to a potential tool for preparing biocompatible scaffolds.

  11. The chemistry screening for ultra low-k dielectrics plasma etching

    NASA Astrophysics Data System (ADS)

    Zotovich, A.; Krishtab, M.; Lazzarino, F.; Baklanov, M. R.

    2014-12-01

    Nowadays, some of the important problems in microelectronics technological node scaling down are related to interconnect delay, dynamic power consumption and crosstalk. This compels introduction and integration of new materials with low dielectric permittivity (low-k materials) as insulator in interconnects. One of such materials under consideration for sub 10 nm technology node is a spin-coated organosilicate glass layer with ordered porosity (37-40%) and a k-value of 2.2 (OSG 2.2). High porosity leads to significant challenges during the integration and one of them is a material degradation during the plasma etching. The low-k samples have been etched in a CCP double frequency plasma chamber from TEL. Standard recipes developed for microporous materials with k<2.5 and based on mixture of C4F8 and CF4 with N2, O2 and Ar were found significantly damaging for high-porous ULK materials. The standard etch recipe was compared with oxygen free etch chemistries based on mixture CF4 with CH2F2 and Ar assuming that the presence of oxygen in the first recipe will have significant negative impact in high porous ULK materials. The film damage has been analyzed using FTIR spectroscopy and the k-value has been extracted by capacitance CV-measurements. There was indirectly shown that vacuum ultraviolet photons cause the main damage of low-k, whereas radicals and ions are not so harmful. Trench structures have been etched in low-k film and cross-SEM analysis with and without HF dipping has been performed to reveal patterning capability and visualize the sidewall damage and. The bottom roughness was analyzed by AFM.

  12. Consequences of atomic layer etching on wafer scale uniformity in inductively coupled plasmas

    NASA Astrophysics Data System (ADS)

    Huard, Chad M.; Lanham, Steven J.; Kushner, Mark J.

    2018-04-01

    Atomic layer etching (ALE) typically divides the etching process into two self-limited reactions. One reaction passivates a single layer of material while the second preferentially removes the passivated layer. As such, under ideal conditions the wafer scale uniformity of ALE should be independent of the uniformity of the reactant fluxes onto the wafers, provided all surface reactions are saturated. The passivation and etch steps should individually asymptotically saturate after a characteristic fluence of reactants has been delivered to each site. In this paper, results from a computational investigation are discussed regarding the uniformity of ALE of Si in Cl2 containing inductively coupled plasmas when the reactant fluxes are both non-uniform and non-ideal. In the parameter space investigated for inductively coupled plasmas, the local etch rate for continuous processing was proportional to the ion flux. When operated with saturated conditions (that is, both ALE steps are allowed to self-terminate), the ALE process is less sensitive to non-uniformities in the incoming ion flux than continuous etching. Operating ALE in a sub-saturation regime resulted in less uniform etching. It was also found that ALE processing with saturated steps requires a larger total ion fluence than continuous etching to achieve the same etch depth. This condition may result in increased resist erosion and/or damage to stopping layers using ALE. While these results demonstrate that ALE provides increased etch depth uniformity, they do not show an improved critical dimension uniformity in all cases. These possible limitations to ALE processing, as well as increased processing time, will be part of the process optimization that includes the benefits of atomic resolution and improved uniformity.

  13. Deep anisotropic ICP plasma etching designed for high-volume MEMS manufacturing

    NASA Astrophysics Data System (ADS)

    Yu, Keven; Feldbaum, Michael; Pandhumsoporn, Tam; Gadgil, Prashant

    1999-08-01

    ICP plasma etching is gaining widespread acceptance as an enabling micromachining technology for advanced MEMS fabrication. Whereas this technology has shown a capability of delivering multiple novel applications for R and D, its acceptance by industry for high volume production has been limited. This acceptance into production will only occur when the plasma etching equipment with this technology offers the device performance, throughput, reliability, and uptime criteria required by a production facility. The design of the plasma etcher using this technology and the process capability it consequently delivers, has significant implications in making this a reality. Alcatel has been supplying such a technology to this MEMS industry for over 5 years and in the interim has evolved its product and process to make this technology production worthy. Alcatel's next generation etcher, the Alcatel 601E, offers multiple advantages to MEMS manufacturers in realizing their production goals.

  14. Dry etching of metallization

    NASA Technical Reports Server (NTRS)

    Bollinger, D.

    1983-01-01

    The production dry etch processes are reviewed from the perspective of microelectronic fabrication applications. The major dry etch processes used in the fabrication of microelectronic devices can be divided into two categories - plasma processes in which samples are directly exposed to an electrical discharge, and ion beam processes in which samples are etched by a beam of ions extracted from a discharge. The plasma etch processes can be distinguished by the degree to which ion bombardment contributes to the etch process. This, in turn is related to capability for anisotropic etching. Reactive Ion Etching (RIE) and Ion Beam Etching are of most interest for etching of thin film metals. RIE is generally considered the best process for large volume, anisotropic aluminum etching.

  15. Quantum cascade laser based monitoring of CF{sub 2} radical concentration as a diagnostic tool of dielectric etching plasma processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hübner, M.; Lang, N.; Röpcke, J.

    2015-01-19

    Dielectric etching plasma processes for modern interlevel dielectrics become more and more complex by the introduction of new ultra low-k dielectrics. One challenge is the minimization of sidewall damage, while etching ultra low-k porous SiCOH by fluorocarbon plasmas. The optimization of this process requires a deeper understanding of the concentration of the CF{sub 2} radical, which acts as precursor in the polymerization of the etch sample surfaces. In an industrial dielectric etching plasma reactor, the CF{sub 2} radical was measured in situ using a continuous wave quantum cascade laser (cw-QCL) around 1106.2 cm{sup −1}. We measured Doppler-resolved ro-vibrational absorption lines andmore » determined absolute densities using transitions in the ν{sub 3} fundamental band of CF{sub 2} with the aid of an improved simulation of the line strengths. We found that the CF{sub 2} radical concentration during the etching plasma process directly correlates to the layer structure of the etched wafer. Hence, this correlation can serve as a diagnostic tool of dielectric etching plasma processes. Applying QCL based absorption spectroscopy opens up the way for advanced process monitoring and etching controlling in semiconductor manufacturing.« less

  16. Hydrogen Plasma Processing of Iron Ore

    NASA Astrophysics Data System (ADS)

    Sabat, Kali Charan; Murphy, Anthony B.

    2017-06-01

    Iron is currently produced by carbothermic reduction of oxide ores. This is a multiple-stage process that requires large-scale equipment and high capital investment, and produces large amounts of CO2. An alternative to carbothermic reduction is reduction using a hydrogen plasma, which comprises vibrationally excited molecular, atomic, and ionic states of hydrogen, all of which can reduce iron oxides, even at low temperatures. Besides the thermodynamic and kinetic advantages of a hydrogen plasma, the byproduct of the reaction is water, which does not pose any environmental problems. A review of the theory and practice of iron ore reduction using a hydrogen plasma is presented. The thermodynamic and kinetic aspects are considered, with molecular, atomic and ionic hydrogen considered separately. The importance of vibrationally excited hydrogen molecules in overcoming the activation energy barriers, and in transferring energy to the iron oxide, is emphasized. Both thermal and nonthermal plasmas are considered. The thermophysical properties of hydrogen and argon-hydrogen plasmas are discussed, and their influence on the constriction and flow in the of arc plasmas is considered. The published R&D on hydrogen plasma reduction of iron oxide is reviewed, with both the reduction of molten iron ore and in-flight reduction of iron ore particles being considered. Finally, the technical and economic feasibility of the process are discussed. It is shown that hydrogen plasma processing requires less energy than carbothermic reduction, mainly because pelletization, sintering, and cokemaking are not required. Moreover, the formation of the greenhouse gas CO2 as a byproduct is avoided. In-flight reduction has the potential for a throughput at least equivalent to the blast furnace process. It is concluded that hydrogen plasma reduction of iron ore is a potentially attractive alternative to standard methods.

  17. Roughness transitions of diamond(100) induced by hydrogen-plasma treatment

    NASA Astrophysics Data System (ADS)

    Koslowski, B.; Strobel, S.; Wenig, M. J.; Ziemann, P.

    To investigate the influence of hydrogen-plasma treatment on diamond(100) surfaces, heavily boron (B)-doped HPHT diamond crystals were mechanically and chemo-mechanically polished, and exposed to a microwave-assisted hydrogen plasma on a time scale of several minutes. The resulting surface morphology was analyzed on macroscopic scales by stylus profilometry (PFM) and on microscopic scales by STM and AFM. The polished samples have a roughness of typically 100 pmrms (PFM), with no obvious anisotropic structures at the surface. After exposure of the B-doped diamond(100) to the H-plasma, the roughness increases dramatically, and pronounced anisotropic structures appear, these being closely aligned with the crystallographic axis' and planes. An exposure for 3 minutes to the plasma leads to an increase of the roughness to 2-4 nmrms (STM), and a `brick-wall' pattern appears, formed by weak cusps running along <110>. Very frequently, the cusps are replaced by `negative' pyramids that are bordered by {11X} facets. After an exposure of an additional 5 minutes, the surface roughness of the B-doped samples increases further to 20-40 nmrms (STM), and frequently exhibits a regular pattern with structures at a characteristic length scale of about 100 nm. Those structures are aligned approximately with <110> and they are faceted with faces of approximately {XX1}. These results will be discussed in terms of strain relaxation, similar to the surface roughening observed on SiGe/Si and anisotropic etching.

  18. Effect of nonsinusoidal bias waveforms on ion energy distributions and fluorocarbon plasma etch selectivity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Agarwal, Ankur; Kushner, Mark J.; Iowa State University, Department of Electrical and Computer Engineering, 104 Marston Hall, Ames, Iowa 50011-2151

    2005-09-15

    The distributions of ion energies incident on the wafer significantly influence feature profiles and selectivity during plasma etching. Control of ion energies is typically obtained by varying the amplitude or frequency of a radio frequency sinusoidal bias voltage applied to the substrate. The resulting ion energy distribution (IED), though, is generally broad. Controlling the width and shape of the IED can potentially improve etch selectivity by distinguishing between threshold energies of surface processes. In this article, control of the IED was computationally investigated by applying a tailored, nonsinusoidal bias waveform to the substrate of an inductively coupled plasma. The waveformmore » we investigated, a quasi-dc negative bias having a short positive pulse each cycle, produced a narrow IED whose width was controllable based on the length of the positive spike and frequency. We found that the selectivity between etching Si and SiO{sub 2} in fluorocarbon plasmas could be controlled by adjusting the width and energy of the IED. Control of the energy of a narrow IED enables etching recipes that transition between speed and selectivity without change of gas mixture.« less

  19. Plasma etching of superconducting Niobium tips for scanning tunneling microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Roychowdhury, A.; Center for Nanophysics and Advanced Materials, Department of Physics, University of Maryland, College Park, Maryland 20742; Dana, R.

    We have developed a reproducible technique for the fabrication of sharp superconducting Nb tips for scanning tunneling microscopy (STM) and scanning tunneling spectroscopy. Sections of Nb wire with 250 μm diameter are dry etched in an SF₆ plasma in a Reactive Ion Etcher. The gas pressure, etching time, and applied power are chosen to control the ratio of isotropic to anisotropic etch rates and produce the desired tip shape. The resulting tips are atomically sharp, with radii of less than 100 nm, mechanically stable, and superconducting. They generate good STM images and spectroscopy on single crystal samples of Au(111), Au(100),more » and Nb(100), as well as a doped topological insulator Bi₂Se₃ at temperatures ranging from 30 mK to 9 K.« less

  20. O2 Plasma Etching and Antistatic Gun Surface Modifications for CNT Yarn Microelectrode Improve Sensitivity and Antifouling Properties.

    PubMed

    Yang, Cheng; Wang, Ying; Jacobs, Christopher B; Ivanov, Ilia N; Venton, B Jill

    2017-05-16

    Carbon nanotube (CNT) based microelectrodes exhibit rapid and selective detection of neurotransmitters. While different fabrication strategies and geometries of CNT microelectrodes have been characterized, relatively little research has investigated ways to selectively enhance their electrochemical properties. In this work, we introduce two simple, reproducible, low-cost, and efficient surface modification methods for carbon nanotube yarn microelectrodes (CNTYMEs): O 2 plasma etching and antistatic gun treatment. O 2 plasma etching was performed by a microwave plasma system with oxygen gas flow and the optimized time for treatment was 1 min. The antistatic gun treatment flows ions by the electrode surface; two triggers of the antistatic gun was the optimized number on the CNTYME surface. Current for dopamine at CNTYMEs increased 3-fold after O 2 plasma etching and 4-fold after antistatic gun treatment. When the two treatments were combined, the current increased 12-fold, showing the two effects are due to independent mechanisms that tune the surface properties. O 2 plasma etching increased the sensitivity due to increased surface oxygen content but did not affect surface roughness while the antistatic gun treatment increased surface roughness but not oxygen content. The effect of tissue fouling on CNT yarns was studied for the first time, and the relatively hydrophilic surface after O 2 plasma etching provided better resistance to fouling than unmodified or antistatic gun treated CNTYMEs. Overall, O 2 plasma etching and antistatic gun treatment improve the sensitivity of CNTYMEs by different mechanisms, providing the possibility to tune the CNTYME surface and enhance sensitivity.

  1. Optimized plasma etch window of block copolymers and neutral brush layers for enhanced direct self-assembly pattern transfer into a hardmask layer

    NASA Astrophysics Data System (ADS)

    Brakensiek, Nickolas; Xu, Kui; Sweat, Daniel; Hockey, Mary Ann

    2018-03-01

    Directed self-assembly (DSA) of block copolymers (BCPs) is one of the most promising patterning technologies for future lithography nodes. However, one of the biggest challenges to DSA is the pattern transfer by plasma etching from BCP to hardmask (HM) because the etch selectivity between BCP and neutral brush layer underneath is usually not high enough to enable robust pattern transfer. This paper will explore the plasma etch conditions of both BCPs and neutral brush layers that may improve selectivity and allow a more robust pattern transfer of DSA patterns into the hardmask layer. The plasma etching parameters that are under investigation include the selection of oxidative or reductive etch chemistries, as well as plasma gas pressure, power, and gas mixture fractions. Investigation into the relationship between BCP/neutral brush layer materials with varying chemical compositions and the plasma etching conditions will be highlighted. The culmination of this work will demonstrate important etch parameters that allow BCPs and neutral brush layers to be etched into the underlying hardmask layer with a large process window.

  2. Combining retraction edge lithography and plasma etching for arbitrary contour nanoridge fabrication

    NASA Astrophysics Data System (ADS)

    Zhao, Yiping; Jansen, Henri; de Boer, Meint; Berenschot, Erwin; Bouwes, Dominique; Gironès, Miriam; Huskens, Jurriaan; Tas, Niels

    2010-09-01

    Edge lithography in combination with fluorine-based plasma etching is employed to avoid the dependence on crystal orientation in single crystal silicon to create monolithic nanoridges with arbitrary contours. This is demonstrated by using a mask with circular structures and Si etching at cryogenic temperature with SF6+O2 plasma mixtures. Initially, the explored etch recipe was used with Cr as the masking material. Although nanoridges with perfect vertical sidewalls have been achieved, Cr causes severe sidewall roughness due to line edge roughness. Therefore, an SU-8 polymer is used instead. Although the SU-8 pattern definition needs further improvement, we demonstrate the possibility of fabricating Si nanoridges of arbitrary contours providing a width below 50 nm and a height between 25 and 500 nm with smooth surface finish. Artifacts in the ridge profile are observed and are mainly caused by the bird's beak phenomenon which is characteristic for the used LOCOS process.

  3. Interactions of chlorine plasmas with silicon chloride-coated reactor walls during and after silicon etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Khare, Rohit; Srivastava, Ashutosh; Donnelly, Vincent M.

    2012-09-15

    The interplay between chlorine inductively coupled plasmas (ICP) and reactor walls coated with silicon etching products has been studied in situ by Auger electron spectroscopy and line-of-sight mass spectrometry using the spinning wall method. A bare silicon wafer mounted on a radio frequency powered electrode (-108 V dc self-bias) was etched in a 13.56 MHz, 400 W ICP. Etching products, along with some oxygen due to erosion of the discharge tube, deposit a Si-oxychloride layer on the plasma reactor walls, including the rotating substrate surface. Without Si-substrate bias, the layer that was previously deposited on the walls with Si-substrate biasmore » reacts with Cl-atoms in the chlorine plasma, forming products that desorb, fragment in the plasma, stick on the spinning wall and sometimes react, and then desorb and are detected by the mass spectrometer. In addition to mass-to-charge (m/e) signals at 63, 98, 133, and 168, corresponding to SiCl{sub x} (x = 1 - 4), many Si-oxychloride fragments with m/e = 107, 177, 196, 212, 231, 247, 275, 291, 294, 307, 329, 345, 361, and 392 were also observed from what appear to be major products desorbing from the spinning wall. It is shown that the evolution of etching products is a complex 'recycling' process in which these species deposit and desorb from the walls many times, and repeatedly fragment in the plasma before being detected by the mass spectrometer. SiCl{sub 3} sticks on the walls and appears to desorb for at least milliseconds after exposure to the chlorine plasma. Notably absent are signals at m/e = 70 and 72, indicating little or no Langmuir-Hinshelwood recombination of Cl on this surface, in contrast to previous studies done in the absence of Si etching.« less

  4. Development and evaluation of magnesium oxide-based ceramics for chamber parts in mass-production plasma etching equipment

    NASA Astrophysics Data System (ADS)

    Kasashima, Yuji; Tsutsumi, Kota; Mitomi, Shinzo; Uesugi, Fumihiko

    2017-06-01

    In mass-production plasma etching equipment, the corrosion of ceramic chamber parts reduces the production yield of LSI and overall equipment effectiveness (OEE) owing to contamination, short useful life, and particle generation. Novel ceramics that can improve the production yield and OEE are highly required. We develop magnesium oxide (MgO)-based ceramics and evaluate them under mass-production plasma etching conditions. The results of this study indicate that the developed MgO-based ceramics with high mechanical properties and low electric resistivity have a higher resistance to corrosion in plasma etching using CF4 gas than Si and conventional ceramic materials such as aluminum oxide and yttrium oxide.

  5. Minimum reaction network necessary to describe Ar/CF4 plasma etch

    NASA Astrophysics Data System (ADS)

    Helpert, Sofia; Chopra, Meghali; Bonnecaze, Roger T.

    2018-03-01

    Predicting the etch and deposition profiles created using plasma processes is challenging due to the complexity of plasma discharges and plasma-surface interactions. Volume-averaged global models allow for efficient prediction of important processing parameters and provide a means to quickly determine the effect of a variety of process inputs on the plasma discharge. However, global models are limited based on simplifying assumptions to describe the chemical reaction network. Here a database of 128 reactions is compiled and their corresponding rate constants collected from 24 sources for an Ar/CF4 plasma using the platform RODEo (Recipe Optimization for Deposition and Etching). Six different reaction sets were tested which employed anywhere from 12 to all 128 reactions to evaluate the impact of the reaction database on particle species densities and electron temperature. Because many the reactions used in our database had conflicting rate constants as reported in literature, we also present a method to deal with those uncertainties when constructing the model which includes weighting each reaction rate and filtering outliers. By analyzing the link between a reaction's rate constant and its impact on the predicted plasma densities and electron temperatures, we determine the conditions at which a reaction is deemed necessary to the plasma model. The results of this study provide a foundation for determining which minimal set of reactions must be included in the reaction set of the plasma model.

  6. Large scale, highly dense nanoholes on metal surfaces by underwater laser assisted hydrogen etching near nanocrystalline boundary

    NASA Astrophysics Data System (ADS)

    Lin, Dong; Zhang, Martin Yi; Ye, Chang; Liu, Zhikun; Liu, C. Richard; Cheng, Gary J.

    2012-03-01

    A new method to generate large scale and highly dense nanoholes is presented in this paper. By the pulsed laser irradiation under water, the hydrogen etching is introduced to form high density nanoholes on the surfaces of AISI 4140 steel and Ti. In order to achieve higher nanohole density, laser shock peening (LSP) followed by recrystallization is used for grain refinement. It is found that the nanohole density does not increase until recrystallization of the substructures after laser shock peening. The mechanism of nanohole generation is studied in detail. This method can be also applied to generate nanoholes on other materials with hydrogen etching effect.

  7. Insight into hydrogenation of graphene: Effect of hydrogen plasma chemistry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Felten, A.; Nittler, L.; Pireaux, J.-J.

    2014-11-03

    Plasma hydrogenation of graphene has been proposed as a tool to modify the properties of graphene. However, hydrogen plasma is a complex system and controlled hydrogenation of graphene suffers from a lack of understanding of the plasma chemistry. Here, we correlate the modifications induced on monolayer graphene studied by Raman spectroscopy with the hydrogen ions energy distributions obtained by mass spectrometry. We measure the energy distribution of H{sup +}, H{sub 2}{sup +}, and H{sub 3}{sup +} ions for different plasma conditions showing that their energy strongly depends on the sample position, pressure, and plasma power and can reach values asmore » high as 45 eV. Based on these measurements, we speculate that under specific plasma parameters, protons should possess enough energy to penetrate the graphene sheet. Therefore, a graphene membrane could become, under certain conditions, transparent to both protons and electrons.« less

  8. Transport of a helicon plasma by a convergent magnetic field for high speed and compact plasma etching

    NASA Astrophysics Data System (ADS)

    Takahashi, Kazunori; Motomura, Taisei; Ando, Akira; Kasashima, Yuji; Kikunaga, Kazuya; Uesugi, Fumihiko; Hara, Shiro

    2014-10-01

    A high density argon plasma produced in a compact helicon source is transported by a convergent magnetic field to the central region of a substrate located downstream of the source. The magnetic field converging near the source exit is applied by a solenoid and further converged by installing a permanent magnet (PM) behind the substrate, which is located downstream of the source exit. Then a higher plasma density above 5 × 1012 cm-3 can be obtained in 0.2 Pa argon near the substrate, compared with the case without the PM. As no noticeable changes in the radially integrated density near the substrate and the power transfer efficiency are detected when testing the source with and without the PM, it can be deduced that the convergent field provided by the PM plays a role in constricting the plasma rather than in improving the plasma production. Furthermore it is applied to physical ion etching of silicon and aluminum substrates; then high etching rates of 6.5 µm min-1 and 8 µm min-1 are obtained, respectively.

  9. Uniform lateral etching of tungsten in deep trenches utilizing reaction-limited NF3 plasma process

    NASA Astrophysics Data System (ADS)

    Kofuji, Naoyuki; Mori, Masahito; Nishida, Toshiaki

    2017-06-01

    The reaction-limited etching of tungsten (W) with NF3 plasma was performed in an attempt to achieve the uniform lateral etching of W in a deep trench, a capability required by manufacturing processes for three-dimensional NAND flash memory. Reaction-limited etching was found to be possible at high pressures without ion irradiation. An almost constant etching rate that showed no dependence on NF3 pressure was obtained. The effect of varying the wafer temperature was also examined. A higher wafer temperature reduced the threshold pressure for reaction-limited etching and also increased the etching rate in the reaction-limited region. Therefore, the control of the wafer temperature is crucial to controlling the etching amount by this method. We found that the uniform lateral etching of W was possible even in a deep trench where the F radical concentration was low.

  10. Effect of the addition of SF6 and N2 in inductively coupled SiCl4 plasma for GaN etching

    NASA Astrophysics Data System (ADS)

    Oubensaid, E. H.; Duluard, C. Y.; Pichon, L. E.; Pereira, J.; Boufnichel, M.; Lefaucheux, P.; Dussart, R.; Ranson, P.

    2009-07-01

    The GaN etching by SiCl4 plasma is considered in an ICP tool. By respecting some material limitations, it has been possible to etch the gallium nitride in pure SiCl4 plasma, with an etch rate of 19 nm min-1. This result is comparable to other reported results. Thereafter, the combination of SiCl4 with SF6 and N2 was tested in order to increase the etch rate. The addition of SF6 in the plasma has enabled us to reach an etch rate of 53 nm min-1. However, best results were obtained with the addition of N2, with an increase of the etch rate by a factor of 6. Mass spectrometry was also performed in order to determine the effects of the additional gases. The surface morphology of the GaN was also analysed by scanning electron microscope after etching.

  11. Microfabrication of high performance optical diaphragm by plasma ion beam etching technology

    NASA Astrophysics Data System (ADS)

    Mestreau, Agnes; Bernardet, Henri; Dancoing, Guy; Godechot, Xavier; Pezant, Christian; Stenger, Vincent; Cousin, Bernard; Etcheto, Pierre; Otrio, Georges

    2018-04-01

    This paper, "Microfabrication of high performance optical diaphragm by plasma ion beam etching technology," was presented as part of International Conference on Space Optics—ICSO 1997, held in Toulouse, France.

  12. Alternating SiCl4/O2 passivation steps with SF6 etch steps for silicon deep etching

    NASA Astrophysics Data System (ADS)

    Duluard, C. Y.; Ranson, P.; Pichon, L. E.; Pereira, J.; Oubensaid, E. H.; Lefaucheux, P.; Puech, M.; Dussart, R.

    2011-06-01

    Deep etching of silicon has been investigated in an inductively coupled plasma etch reactor using short SiCl4/O2 plasma steps to passivate the sidewalls of the etched structures. A study was first carried out to define the appropriate parameters to create, at a substrate temperature of -20 °C, a passivation layer by SiCl4/O2 plasma that resists lateral chemical etching in SF6 plasma. The most efficient passivation layer was obtained for a SiCl4/O2 gas flow ratio of 2:1, a pressure of 1 Pa and a source power of 1000 W. Ex situ analyses on a film deposited with these parameters show that it is very rich in oxygen. Silicon etching processes that alternate SF6 plasma etch steps with SiCl4/O2 plasma passivation steps were then developed. Preliminary tests in pulsed-mode conditions have enabled etch rates greater than 2 µm min-1 with selectivities higher than 220. These results show that it is possible to develop a silicon deep etching process at substrate temperatures around -20 °C that uses low SiCl4 and O2 gas flows instead of conventional fluorocarbon gases for sidewall protection.

  13. Reduction of chlorine radical chemical etching of GaN under simultaneous plasma-emitted photon irradiation

    NASA Astrophysics Data System (ADS)

    Liu, Zecheng; Imamura, Masato; Asano, Atsuki; Ishikawa, Kenji; Takeda, Keigo; Kondo, Hiroki; Oda, Osamu; Sekine, Makoto; Hori, Masaru

    2017-08-01

    Surface chemical reactions on the GaN surface with Cl radicals are thermally enhanced in the high-temperature Cl2 plasma etching of GaN, resulting in the formation of etch pits and thereby, a roughened surface. Simultaneous irradiation of ultraviolet (UV) photons in Cl2 plasma emissions with wavelengths of 258 and 306 nm reduces the surface chemical reactions because of the photodissociation of both Ga and N chlorides, which leads to a suppression of the increase in surface roughness. Compared with Si-related materials, we point out that photon-induced reactions should be taken into account during the plasma processing of wide-bandgap semiconductors.

  14. High electronegativity multi-dipolar electron cyclotron resonance plasma source for etching by negative ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stamate, E.; Draghici, M.

    2012-04-15

    A large area plasma source based on 12 multi-dipolar ECR plasma cells arranged in a 3 x 4 matrix configuration was built and optimized for silicon etching by negative ions. The density ratio of negative ions to electrons has exceeded 300 in Ar/SF{sub 6} gas mixture when a magnetic filter was used to reduce the electron temperature to about 1.2 eV. Mass spectrometry and electrostatic probe were used for plasma diagnostics. The new source is free of density jumps and instabilities and shows a very good stability for plasma potential, and the dominant negative ion species is F{sup -}. Themore » magnetic field in plasma volume is negligible and there is no contamination by filaments. The etching rate by negative ions measured in Ar/SF{sub 6}/O{sub 2} mixtures was almost similar with that by positive ions reaching 700 nm/min.« less

  15. Thermal Atomic Layer Etching of SiO2 by a "Conversion-Etch" Mechanism Using Sequential Reactions of Trimethylaluminum and Hydrogen Fluoride.

    PubMed

    DuMont, Jaime W; Marquardt, Amy E; Cano, Austin M; George, Steven M

    2017-03-22

    The thermal atomic layer etching (ALE) of SiO 2 was performed using sequential reactions of trimethylaluminum (TMA) and hydrogen fluoride (HF) at 300 °C. Ex situ X-ray reflectivity (XRR) measurements revealed that the etch rate during SiO 2 ALE was dependent on reactant pressure. SiO 2 etch rates of 0.027, 0.15, 0.20, and 0.31 Å/cycle were observed at static reactant pressures of 0.1, 0.5, 1.0, and 4.0 Torr, respectively. Ex situ spectroscopic ellipsometry (SE) measurements were in agreement with these etch rates versus reactant pressure. In situ Fourier transform infrared (FTIR) spectroscopy investigations also observed SiO 2 etching that was dependent on the static reactant pressures. The FTIR studies showed that the TMA and HF reactions displayed self-limiting behavior at the various reactant pressures. In addition, the FTIR spectra revealed that an Al 2 O 3 /aluminosilicate intermediate was present after the TMA exposures. The Al 2 O 3 /aluminosilicate intermediate is consistent with a "conversion-etch" mechanism where SiO 2 is converted by TMA to Al 2 O 3 , aluminosilicates, and reduced silicon species following a family of reactions represented by 3SiO 2 + 4Al(CH 3 ) 3 → 2Al 2 O 3 + 3Si(CH 3 ) 4 . Ex situ X-ray photoelectron spectroscopy (XPS) studies confirmed the reduction of silicon species after TMA exposures. Following the conversion reactions, HF can fluorinate the Al 2 O 3 and aluminosilicates to species such as AlF 3 and SiO x F y . Subsequently, TMA can remove the AlF 3 and SiO x F y species by ligand-exchange transmetalation reactions and then convert additional SiO 2 to Al 2 O 3 . The pressure-dependent conversion reaction of SiO 2 to Al 2 O 3 and aluminosilicates by TMA is critical for thermal SiO 2 ALE. The "conversion-etch" mechanism may also provide pathways for additional materials to be etched using thermal ALE.

  16. Direct comparison of the performance of commonly used e-beam resists during nano-scale plasma etching of Si, SiO2, and Cr

    NASA Astrophysics Data System (ADS)

    Goodyear, Andy; Boettcher, Monika; Stolberg, Ines; Cooke, Mike

    2015-03-01

    Electron beam writing remains one of the reference pattern generation techniques, and plasma etching continues to underpin pattern transfer. We report a systematic study of the plasma etch resistance of several e-beam resists, both negative and positive as well as classical and Chemically Amplified Resists: HSQ[1,2] (Dow Corning), PMMA[3] (Allresist GmbH), AR-P6200 (Allresist GmbH), ZEP520 (Zeon Corporation), CAN028 (TOK), CAP164 (TOK), and an additional pCAR (non-disclosed provider). Their behaviour under plasma exposure to various nano-scale plasma etch chemistries was examined (SF6/C4F8 ICP silicon etch, CHF3/Ar RIE SiO2 etch, Cl2/O2 RIE and ICP chrome etch, and HBr ICP silicon etch). Samples of each resist type were etched simultaneously to provide a direct comparison of their etch resistance. Resist thicknesses (and hence resist erosion rates) were measured by spectroscopic ellipsometer in order to provide the highest accuracy for the resist comparison. Etch selectivities (substrate:mask etch rate ratio) are given, with recommendations for the optimum resist choice for each type of etch chemistry. Silicon etch profiles are also presented, along with the exposure and etch conditions to obtain the most vertical nano-scale pattern transfer. We identify one resist that gave an unusually high selectivity for chlorinated and brominated etches which could enable pattern transfer below 10nm without an additional hard mask. In this case the resist itself acts as a hard mask. We also highlight the differing effects of fluorine and bromine-based Silicon etch chemistries on resist profile evolution and hence etch fidelity.

  17. Beam Simulation Studies of Plasma-Surface Interactions in Fluorocarbon Etching of Silicon and Silicon Dioxide

    NASA Astrophysics Data System (ADS)

    Gray, David C.

    1992-01-01

    A molecular beam apparatus has been constructed which allows the synthesis of dominant species fluxes to a wafer surface during fluorocarbon plasma etching. These species include atomic F as the primary etchant, CF _2 as a potential polymer forming precursor, and Ar^{+} or CF _{rm x}^{+} type ions. Ionic and neutral fluxes employed are within an order of magnitude of those typical of fluorocarbon plasmas and are well characterized through the use of in -situ probes. Etching yields and product distributions have been measured through the use of in-situ laser interferometry and line-of-sight mass spectrometry. XPS studies of etched surfaces were performed to assess surface chemical bonding states and average surface stoichiometry. A useful design guide was developed which allows optimal design of straight -tube molecular beam dosers in the collisionally-opaque regime. Ion-enhanced surface reaction kinetics have been studied as a function of the independently variable fluxes of free radicals and ions, as well as ion energy and substrate temperature. We have investigated the role of Ar ^{+} ions in enhancing the chemistries of F and CF_2 separately, and in combination on undoped silicon and silicon dioxide surfaces. We have employed both reactive and inert ions in the energy range most relevant to plasma etching processes, 20-500 eV, through the use of Kaufman and ECR type ion sources. The effect of increasing ion energy on the etching of fluorine saturated silicon and silicon dioxide surfaces was quantified through extensions of available low energy physical sputtering theory. Simple "site"-occupation models were developed for the quantification of the ion-enhanced fluorine etching kinetics in these systems. These models are suitable for use in topography evolution simulators (e.g. SAMPLE) for the predictive modeling of profile evolution in non-depositing fluorine-based plasmas such as NF_3 and SF_6. (Copies available exclusively from MIT Libraries, Rm. 14

  18. Effect of oxygen plasma etching on pore size-controlled 3D polycaprolactone scaffolds for enhancing the early new bone formation in rabbit calvaria.

    PubMed

    Kook, Min-Suk; Roh, Hee-Sang; Kim, Byung-Hoon

    2018-05-02

    This study was to investigate the effects of O 2 plasma-etching of the 3D polycaprolactone (PCL) scaffold surface on preosteoblast cell proliferation and differentiation, and early new bone formation. The PCL scaffolds were fabricated by 3D printing technique. After O 2 plasma treatment, surface characterizations were examined by scanning electron microscopy, atomic force microscopy, and contact angle. MTT assay was used to determine cell proliferation. To investigate the early new bone formation, rabbits were sacrificed at 2 weeks for histological analyses. As the O 2 plasma etching time is increased, roughness and hydrophilicity of the PCL scaffold surface increased. The cell proliferation and differentiation on plasma-etched samples was significantly increased than on untreated samples. At 2 weeks, early new bone formation in O 2 plasma-etched PCL scaffolds was the higher than that of untreated scaffolds. The O 2 plasma-etched PCL scaffolds showed increased preosteoblast differentiation as well as increased new bone formation.

  19. Etching Enhancement Followed by Nitridation on Low-k SiOCH Film in Ar/C5F10O Plasma

    NASA Astrophysics Data System (ADS)

    Miyawaki, Yudai; Shibata, Emi; Kondo, Yusuke; Takeda, Keigo; Kondo, Hiroki; Ishikawa, Kenji; Okamoto, Hidekazu; Sekine, Makoto; Hori, Masaru

    2013-02-01

    The etching rates of low-dielectric-constant (low-k), porous SiOCH (p-SiOCH) films were increased by nitrogen-added Ar/C5F10O plasma etching in dual-frequency (60 MHz/2 MHz)-excited parallel plate capacitively coupled plasma. Previously, perfluoropropyl vinyl ether [C5F10O] provided a very high density of CF3+ ions [Nagai et al.: Jpn. J. Appl. Phys. 45 (2006) 7100]. Surface nitridation on the p-SiOCH surface exposed to Ar/N2 plasma led to the etching of larger amounts of p-SiOCH in Ar/C5F10O plasma, which depended on the formation of bonds such as =C(sp2)=N(sp2)- and -C(sp)≡N(sp).

  20. Effect of microwave argon plasma on the glycosidic and hydrogen bonding system of cotton cellulose.

    PubMed

    Prabhu, S; Vaideki, K; Anitha, S

    2017-01-20

    Cotton fabric was processed with microwave (Ar) plasma to alter its hydrophilicity. The process parameters namely microwave power, process gas pressure and processing time were optimized using Box-Behnken method available in the Design Expert software. It was observed that certain combinations of process parameters improved existing hydrophilicity while the other combinations decreased it. ATR-FTIR spectral analysis was used to identify the strain induced in inter chain, intra chain, and inter sheet hydrogen bond and glycosidic covalent bond due to plasma treatment. X-ray diffraction (XRD) studies was used to analyze the effect of plasma on unit cell parameters and degree of crystallinity. Fabric surface etching was identified using FESEM analysis. Thus, it can be concluded that the increase/decrease in the hydrophilicity of the plasma treated fabric was due to these structural and physical changes. Copyright © 2016 Elsevier Ltd. All rights reserved.

  1. Low-k SiOCH Film Etching Process and Its Diagnostics Employing Ar/C5F10O/N2 Plasma

    NASA Astrophysics Data System (ADS)

    Nagai, Mikio; Hayashi, Takayuki; Hori, Masaru; Okamoto, Hidekazu

    2006-09-01

    We proposed an environmental harmonic etching gas of C5F10O (CF3CF2CF2OCFCF2), and demonstrated the etching of low-k SiOCH films employing a dual-frequency capacitively coupled etching system. Dissociative ionization cross sections for the electron impact ionizations of C5F10O and c-C4F8 gases have been measured by quadrupole mass spectroscopy (QMS). The dissociative ionization cross section of CF3+ from C5F10O gas was much higher than those of other ionic species, and 10 times higher than that of CF3+ from C4F8 gas. CF3+ is effective for increasing the etching rate of SiO2. As a result, the etching rate of SiOCH films using Ar/C5F10O/N2 plasma was about 1000 nm/min, which is much higher than that using Ar/C4F8/N2 plasma. The behaviours of fluorocarbon radicals in Ar/C5F10O/N2 plasma, which were measured by infrared diode laser absorption spectroscopy, were similar to those in Ar/C4F8/N2 plasma. The densities of CF and CF3 radicals were markedly decreased with increasing N2 flow rate. Etching rate was controlled by N2 flow rate. A vertical profile of SiOCH with a high etching rate and less microloading was realized using Ar/C5F10O/N2 plasma chemistry.

  2. Temperature dependence on plasma-induced damage and chemical reactions in GaN etching processes using chlorine plasma

    NASA Astrophysics Data System (ADS)

    Liu, Zecheng; Ishikawa, Kenji; Imamura, Masato; Tsutsumi, Takayoshi; Kondo, Hiroki; Oda, Osamu; Sekine, Makoto; Hori, Masaru

    2018-06-01

    Plasma-induced damage (PID) on GaN was optimally reduced by high-temperature chlorine plasma etching. Energetic ion bombardments primarily induced PID involving stoichiometry, surface roughness, and photoluminescence (PL) degradation. Chemical reactions under ultraviolet (UV) irradiation and chlorine radical exposure at temperatures higher than 400 °C can be controlled by taking into account the synergism of simultaneous photon and radical irradiations to effectively reduce PID.

  3. Graphene nanoribbons: Relevance of etching process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Simonet, P., E-mail: psimonet@phys.ethz.ch; Bischoff, D.; Moser, A.

    2015-05-14

    Most graphene nanoribbons in the experimental literature are patterned using plasma etching. Various etching processes induce different types of defects and do not necessarily result in the same electronic and structural ribbon properties. This study focuses on two frequently used etching techniques, namely, O{sub 2} plasma ashing and O{sub 2 }+ Ar reactive ion etching (RIE). O{sub 2} plasma ashing represents an alternative to RIE physical etching for sensitive substrates, as it is a more gentle chemical process. We find that plasma ashing creates defective graphene in the exposed trenches, resulting in instabilities in the ribbon transport. These are probably caused bymore » more or larger localized states at the edges of the ashed device compared to the RIE defined device.« less

  4. Inductively coupled BCl 3/Cl 2 /Ar plasma etching of Al-rich AlGaN

    DOE PAGES

    Douglas, Erica A.; Sanchez, Carlos A.; Kaplar, Robert J.; ...

    2016-12-01

    Varying atomic ratios in compound semiconductors is well known to have large effects on the etching properties of the material. The use of thin device barrier layers, down to 25 nm, adds to the fabrication complexity by requiring precise control over etch rates and surface morphology. The effects of bias power and gas ratio of BCl 3 to Cl 2 for inductively coupled plasma etching of high Al content AlGaN were contrasted with AlN in this study for etch rate, selectivity, and surface morphology. Etch rates were greatly affected by both bias power and gas chemistry. Here we detail themore » effects of small variations in Al composition for AlGaN and show substantial changes in etch rate with regards to bias power as compared to AlN.« less

  5. Optimization of plasma etching of SiO2 as hard mask for HgCdTe dry etching

    NASA Astrophysics Data System (ADS)

    Chen, Yiyu; Ye, Zhenhua; Sun, Changhong; Zhang, Shan; Xin, Wen; Hu, Xiaoning; Ding, Ruijun; He, Li

    2016-10-01

    HgCdTe is one of the dominating materials for infrared detection. To pattern this material, our group has proven the feasibility of SiO2 as a hard mask in dry etching process. In recent years, the SiO2 mask patterned by plasma with an auto-stopping layer of ZnS sandwiched between HgCdTe and SiO2 has been developed by our group. In this article, we will report the optimization of SiO2 etching on HgCdTe. The etching of SiO2 is very mature nowadays. Multiple etching recipes with deferent gas mixtures can be used. We utilized a recipe containing Ar and CHF3. With strictly controlled photolithography, the high aspect-ratio profile of SiO2 was firstly achieved on GaAs substrate. However, the same recipe could not work well on MCT because of the low thermal conductivity of HgCdTe and CdTe, resulting in overheated and deteriorated photoresist. By decreasing the temperature, the photoresist maintained its good profile. A starting table temperature around -5°C worked well enough. And a steep profile was achieved as checked by the SEM. Further decreasing of temperature introduced profile with beveled corner. The process window of the temperature is around 10°C. Reproducibility and uniformity were also confirmed for this recipe.

  6. Bi-stage time evolution of nano-morphology on inductively coupled plasma etched fused silica surface caused by surface morphological transformation

    NASA Astrophysics Data System (ADS)

    Jiang, Xiaolong; Zhang, Lijuan; Bai, Yang; Liu, Ying; Liu, Zhengkun; Qiu, Keqiang; Liao, Wei; Zhang, Chuanchao; Yang, Ke; Chen, Jing; Jiang, Yilan; Yuan, Xiaodong

    2017-07-01

    In this work, we experimentally investigate the surface nano-roughness during the inductively coupled plasma etching of fused silica, and discover a novel bi-stage time evolution of surface nano-morphology. At the beginning, the rms roughness, correlation length and nano-mound dimensions increase linearly and rapidly with etching time. At the second stage, the roughening process slows down dramatically. The switch of evolution stage synchronizes with the morphological change from dual-scale roughness comprising long wavelength underlying surface and superimposed nano-mounds to one scale of nano-mounds. A theoretical model based on surface morphological change is proposed. The key idea is that at the beginning, etched surface is dual-scale, and both larger deposition rate of etch inhibitors and better plasma etching resistance at the surface peaks than surface valleys contribute to the roughness development. After surface morphology transforming into one-scale, the difference of plasma resistance between surface peaks and valleys vanishes, thus the roughening process slows down.

  7. Ripple formation on Si surfaces during plasma etching in Cl2

    NASA Astrophysics Data System (ADS)

    Nakazaki, Nobuya; Matsumoto, Haruka; Sonobe, Soma; Hatsuse, Takumi; Tsuda, Hirotaka; Takao, Yoshinori; Eriguchi, Koji; Ono, Kouichi

    2018-05-01

    Nanoscale surface roughening and ripple formation in response to ion incidence angle has been investigated during inductively coupled plasma etching of Si in Cl2, using sheath control plates to achieve the off-normal ion incidence on blank substrate surfaces. The sheath control plate consisted of an array of inclined trenches, being set into place on the rf-biased electrode, where their widths and depths were chosen in such a way that the sheath edge was pushed out of the trenches. The distortion of potential distributions and the consequent deflection of ion trajectories above and in the trenches were then analyzed based on electrostatic particle-in-cell simulations of the plasma sheath, to evaluate the angular distributions of ion fluxes incident on substrates pasted on sidewalls and/or at the bottom of the trenches. Experiments showed well-defined periodic sawtooth-like ripples with their wave vector oriented parallel to the direction of ion incidence at intermediate off-normal angles, while relatively weak corrugations or ripplelike structures with the wave vector perpendicular to it at high off-normal angles. Possible mechanisms for the formation of surface ripples during plasma etching are discussed with the help of Monte Carlo simulations of plasma-surface interactions and feature profile evolution. The results indicate the possibility of providing an alternative to ion beam sputtering for self-organized formation of ordered surface nanostructures.

  8. Titanium hydride and hydrogen concentration in acid-etched commercially pure titanium and titanium alloy implants: a comparative analysis of five implant systems.

    PubMed

    Szmukler-Moncler, S; Bischof, M; Nedir, R; Ermrich, M

    2010-09-01

    Acid etching is a popular method to texture the surface of dental implants. During etching, the titanium oxide protective layer is dissolved and small native hydrogen ions diffuse into the unprotected implant surface. They enrich the implant surface with hydrogen and precipitate into titanium hydride (TiH). The aim of this study was to measure the concentration of TiH at the implant surface and the total concentration of Hydrogen at five commercially available implant systems, made of either commercially pure (cp) titanium or titanium alloy. X-Ray diffraction (XRD) was conducted on each implant system to determine the compounds present at the implant surface. Following a TiH(2)/Ti calibration curve, the concentration of TiH was determined. Concentration of hydrogen in the implants was measured by the inert gas fusion thermal conductivity/infrared detection method. XRD data showed that TiH was present on all cp titanium implants but not on the alloyed implants. TiH concentration varied between 5% and 37%. Hydrogen concentration varied between 43 and 108 ppm, no difference in uptake was found between the cp titanium and alloyed implants. Low solubility of hydrogen in alpha-titanium is responsible for precipitation into TiH. Stronger etching conditions led to higher concentration of TiH2-x. High solubility of hydrogen in the beta-phase of the alloy is preventing hydrogen from precipitating into TiH. All implants, even those lacking TiH at the surface, were enriched with hydrogen. In all implants, hydrogen concentration was within the normative limit of 130 ppm.

  9. Cl 2-based dry etching of the AlGaInN system in inductively coupled plasmas

    NASA Astrophysics Data System (ADS)

    Cho, Hyun; Vartuli, C. B.; Abernathy, C. R.; Donovan, S. M.; Pearton, S. J.; Shul, R. J.; Han, J.

    1998-12-01

    Cl 2-Based inductively coupled plasmas with low additional d.c. self-biases (-100 V) produce convenient etch rates (500-1500 Å·min -1) for GaN, AlN, InN, InAlN and InGaN. A systematic study of the effects of additive gas (Ar, N 2, H 2), discharge composition and ICP source power and chuck power on etch rate and surface morphology has been performed. The general trends are to go through a maximum in etch rate with percent Cl 2 in the discharge for all three mixtures and to have an increase (decrease) in etch rate with source power (pressure). Since the etching is strongly ion-assisted, anisotropic pattern transfer is readily achieved. Maximum etch selectivities of approximately 6 for InN over the other nitrides were obtained.

  10. Self-formation of a nanonet of fluorinated carbon nanowires on the Si surface by combined etching in fluorine-containing plasma

    NASA Astrophysics Data System (ADS)

    Amirov, I. I.; Gorlachev, E. S.; Mazaletskiy, L. A.; Izyumov, M. O.; Alov, N. V.

    2018-03-01

    In this work, we report a technique of the self-formation of a nanonet of fluorinated carbon nanowires on the Si surface using a combined etching in fluorine-containing C4F8/Ar and SF6 plasmas. Using scanning electron microscopy, atomic force microscopy and x-ray photoelectron spectroscopy, we show that after the etching of Si in the C4F8/Ar plasma, a fluorinated carbon film of nanometer-scale thickness is formed on its surface and its formation accelerates at elevated temperatures. After a subsequent short-term etching in the SF6 plasma, the film is modified into a nanonet of self-formed fluorinated carbon nanowires.

  11. Prediction of silicon oxynitride plasma etching using a generalized regression neural network

    NASA Astrophysics Data System (ADS)

    Kim, Byungwhan; Lee, Byung Teak

    2005-08-01

    A prediction model of silicon oxynitride (SiON) etching was constructed using a neural network. Model prediction performance was improved by means of genetic algorithm. The etching was conducted in a C2F6 inductively coupled plasma. A 24 full factorial experiment was employed to systematically characterize parameter effects on SiON etching. The process parameters include radio frequency source power, bias power, pressure, and C2F6 flow rate. To test the appropriateness of the trained model, additional 16 experiments were conducted. For comparison, four types of statistical regression models were built. Compared to the best regression model, the optimized neural network model demonstrated an improvement of about 52%. The optimized model was used to infer etch mechanisms as a function of parameters. The pressure effect was noticeably large only as relatively large ion bombardment was maintained in the process chamber. Ion-bombardment-activated polymer deposition played the most significant role in interpreting the complex effect of bias power or C2F6 flow rate. Moreover, [CF2] was expected to be the predominant precursor to polymer deposition.

  12. Three-dimensional photonic crystals created by single-step multi-directional plasma etching.

    PubMed

    Suzuki, Katsuyoshi; Kitano, Keisuke; Ishizaki, Kenji; Noda, Susumu

    2014-07-14

    We fabricate 3D photonic nanostructures by simultaneous multi-directional plasma etching. This simple and flexible method is enabled by controlling the ion-sheath in reactive-ion-etching equipment. We realize 3D photonic crystals on single-crystalline silicon wafers and show high reflectance (>95%) and low transmittance (<-15dB) at optical communication wavelengths, suggesting the formation of a complete photonic bandgap. Moreover, our method simply demonstrates Si-based 3D photonic crystals that show the photonic bandgap effect in a shorter wavelength range around 0.6 μm, where further fine structures are required.

  13. Plasma treatment of polymers for improved adhesion

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kelber, J.A.

    1988-01-01

    A variety of plasma treatments of polymer surfaces for improved adhesion are reviewed: noble and reactive gas treatment of fluoropolymers; noble and reactive treatment of polyolefins, and plasma-induced amination of polymer fibers. The plasma induced surface chemical and morphological changes are discussed, as are the mechanisms of adhesion to polymeric adhesives, particularly epoxy. Noble gas plasma etching of flouropolymers produces a partially defluorinated, textured surface. The mechanical interlocking of this textured surface is the primary cause of improved adhesion to epoxy. Reactive gas plasmas also induce defluorination, but oxygen containing gases cause continual ablation of the fluoropolymer surface. Noble andmore » reactive gas (exept for hydrogen) etching of polyolefins results in surface oxidation and improved adhesion via hydrogen bonding of these oxygen containing groups across the interface. The introduction of amine groups to a polymer surface by amonia or amine plasma treatment generally results in improved adhesion to epoxy. However, amine-epoxy ring interactions can be severely effected by steric factors due to chemical groups surrounding the amine. 41 refs.« less

  14. Correlation between surface chemistry and ion energy dependence of the etch yield in multicomponent oxides etching

    NASA Astrophysics Data System (ADS)

    Bérubé, P.-M.; Poirier, J.-S.; Margot, J.; Stafford, L.; Ndione, P. F.; Chaker, M.; Morandotti, R.

    2009-09-01

    The influence of surface chemistry in plasma etching of multicomponent oxides was investigated through measurements of the ion energy dependence of the etch yield. Using pulsed-laser-deposited CaxBa(1-x)Nb2O6 (CBN) and SrTiO3 thin films as examples, it was found that the etching energy threshold shifts toward values larger or smaller than the sputtering threshold depending on whether or not ion-assisted chemical etching is the dominant etching pathway and whether surface chemistry is enhancing or inhibiting desorption of the film atoms. In the case of CBN films etched in an inductively coupled Cl2 plasma, it is found that the chlorine uptake is inhibiting the etching reaction, with the desorption of nonvolatile NbCl2 and BaCl2 compounds being the rate-limiting step.

  15. Fourier Transform Infrared Absorption Spectroscopy of Gas-Phase and Surface Reaction Products during Si Etching in Inductively Coupled Cl2 Plasmas

    NASA Astrophysics Data System (ADS)

    Miyata, Hiroki; Tsuda, Hirotaka; Fukushima, Daisuke; Takao, Yoshinori; Eriguchi, Koji; Ono, Kouichi

    2011-10-01

    A better understanding of plasma-surface interactions is indispensable during etching, including the behavior of reaction or etch products, because the products on surfaces and in the plasma are important in passivation layer formation through their redeposition on surfaces. In practice, the nanometer-scale control of plasma etching would still rely largely on such passivation layer formation as well as ion-enhanced etching on feature surfaces. This paper presents in situ Fourier transform infrared (FTIR) absorption spectroscopy of gas-phase and surface reaction products during inductively coupled plasma (ICP) etching of Si in Cl2. The observation was made in the gas phase by transmission absorption spectroscopy (TAS), and also on the substrate surface by reflection absorption spectroscopy (RAS). The quantum chemical calculation was also made of the vibrational frequency of silicon chloride molecules. The deconvolution of the TAS spectrum revealed absorption features of Si2Cl6 and SiClx (x = 1-3) as well as SiCl4, while that of the RAS spectrum revealed relatively increased absorption features of unsaturated silicon chlorides. A different behavior was also observed in bias power dependence between the TAS and RAS spectra.

  16. High rate dry etching of (BiSb)2Te3 film by CH4/H2-based plasma

    NASA Astrophysics Data System (ADS)

    Song, Junqiang; Shi, Xun; Chen, Lidong

    2014-10-01

    Etching characteristics of p-type (BiSb)2Te3 films were studied with CH4/H2/Ar gas mixture using an inductively coupled plasma (ICP)-reactive ion etching (RIE) system. The effects of gas mixing ratio, working pressure and gas flow rate on the etch rate and the surface morphology were investigated. The vertical etched profile with the etch rate of 600 nm/min was achieved at the optimized processing parameters. X-ray photoelectron spectroscopy (XPS) analysis revealed the non-uniform etching of (BiSb)2Te3 films due to disparate volatility of the etching products. Micro-masking effects caused by polymer deposition and Bi-rich residues resulted in roughly etched surfaces. Smooth surfaces can be obtained by optimizing the CH4/H2/Ar mixing ratio.

  17. Characterization of the high density plasma etching process of CCTO thin films for the fabrication of very high density capacitors

    NASA Astrophysics Data System (ADS)

    Altamore, C.; Tringali, C.; Sparta', N.; Di Marco, S.; Grasso, A.; Ravesi, S.

    2010-02-01

    In this work the feasibility of CCTO (Calcium Copper Titanate) patterning by etching process is demonstrated and fully characterized in a hard to etch materials etcher. CCTO sintered in powder shows a giant relative dielectric constant (105) measured at 1 MHz at room temperature. This feature is furthermore coupled with stability from 101 Hz to 106 Hz in a wide temperature range (100K - 600K). In principle, this property can allow to fabricate very high capacitance density condenser. Due to its perovskite multi-component structure, CCTO can be considered a hard to etch material. For high density capacitor fabrication, CCTO anisotropic etching is requested by using high density plasma. The behavior of etched CCTO was studied in a HRe- (High Density Reflected electron) plasma etcher using Cl2/Ar chemistry. The relationship between the etch rate and the Cl2/Ar ratio was also studied. The effects of RF MHz, KHz Power and pressure variation, the impact of HBr addiction to the Cl2/Ar chemistry on the CCTO etch rate and on its selectivity to Pt and photo resist was investigated.

  18. Effect of the chamber wall on fluorocarbon-assisted atomic layer etching of SiO2 using cyclic Ar/C4F8 plasma

    PubMed Central

    Kawakami, Masatoshi; Metzler, Dominik; Li, Chen; Oehrlein, Gottlieb S.

    2016-01-01

    The authors studied the effect of the temperature and chemical state of the chamber wall on process performance for atomic layer etching of SiO2 using a steady-state Ar plasma, periodic injection of a defined number of C4F8 molecules, and synchronized plasma-based Ar+ ion bombardment. To evaluate these effects, the authors measured the quartz coupling window temperature. The plasma gas phase chemistry was characterized using optical emission spectroscopy. It was found that although the thickness of the polymer film deposited in each cycle is constant, the etching behavior changed, which is likely related to a change in the plasma gas phase chemistry. The authors found that the main gas phase changes occur after C4F8 injection. The C4F8 and the quartz window react and generate SiF and CO. The emission intensity changes with wall surface state and temperature. Therefore, changes in the plasma gas species generation can lead to a shift in etching performance during processing. During initial cycles, minimal etching is observed, while etching gradually increases with cycle number. PMID:27375342

  19. Effect of surface etching on the oxidation behavior of plasma chromizing-treated AISI440B stainless steel

    NASA Astrophysics Data System (ADS)

    Meng, T. X.; Guo, Q.; Xi, W.; Ding, W. Q.; Liu, X. Z.; Lin, N. M.; Yu, S. W.; Liu, X. P.

    2018-03-01

    Double glow plasma surface alloying was applied to prepare chromizing layer in the surface of AISI440B stainless steel. Prior to chromizing, the stainless steel was etched by microwave plasma chemical vapor deposition to change the surface morphology and composition, and then heated for chromizing at 950 °C for 3 h. The cyclical oxidation of steel after chromizing was carried out at 900 °C for 100 h. Scanning electron microscopy, glow discharge optical emission spectrometer and X-ray diffractometer were used to characterize microstructure, composition and phase structure of alloyed and oxidized samples. The results show that the surface was composed of the Cr-rich top layer and Cr23C6, Cr7C3 and {Cr,Fe}7C3 below layer after chromizing. The bonding between the chromizing layer and the substrate after etching treatment was obviously strengthened. AISI440B steel shows a poor oxidation resistance and the weight gain oxidized for 100 h was up to 31.1 mg/cm2. Weight gains for chromizing and etching + chromizing treated samples were 0.67 mg/cm2 and 8 mg/cm2, respectively. Both oxidized surfaces of chromizing and etching + chromizing were composed of Cr2O3, but the oxide scale of etching + chromizing treated samples was more compact than that of samples without etching.

  20. Method for dry etching of transition metals

    DOEpatents

    Ashby, Carol I. H.; Baca, Albert G.; Esherick, Peter; Parmeter, John E.; Rieger, Dennis J.; Shul, Randy J.

    1998-01-01

    A method for dry etching of transition metals. The method for dry etching of a transition metal (or a transition metal alloy such as a silicide) on a substrate comprises providing at least one nitrogen- or phosphorous-containing .pi.-acceptor ligand in proximity to the transition metal, and etching the transition metal to form a volatile transition metal/.pi.-acceptor ligand complex. The dry etching may be performed in a plasma etching system such as a reactive ion etching (RIE) system, a downstream plasma etching system (i.e. a plasma afterglow), a chemically-assisted ion beam etching (CAIBE) system or the like. The dry etching may also be performed by generating the .pi.-acceptor ligands directly from a ligand source gas (e.g. nitrosyl ligands generated from nitric oxide), or from contact with energized particles such as photons, electrons, ions, atoms, or molecules. In some preferred embodiments of the present invention, an intermediary reactant species such as carbonyl or a halide ligand is used for an initial chemical reaction with the transition metal, with the intermediary reactant species being replaced at least in part by the .pi.-acceptor ligand for forming the volatile transition metal/.pi.-acceptor ligand complex.

  1. Surface chemistry of InP ridge structures etched in Cl{sub 2}-based plasma analyzed with angular XPS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bouchoule, Sophie, E-mail: sophie.bouchoule@lpn.cnrs.fr; Cambril, Edmond; Guilet, Stephane

    2015-09-15

    Two x-ray photoelectron spectroscopy configurations are proposed to analyze the surface chemistry of micron-scale InP ridge structures etched in chlorine-based inductively coupled plasma (ICP). Either a classical or a grazing configuration allows to retrieve information about the surface chemistry of the bottom surface and sidewalls of the etched features. The procedure is used to study the stoichiometry of the etched surface as a function of ridge aspect ratio for Cl{sub 2}/Ar and Cl{sub 2}/H{sub 2} plasma chemistries. The results show that the bottom surface and the etched sidewalls are P-rich, and indicate that the P-enrichment mechanism is rather chemically driven.more » Results also evidence that adding H{sub 2} to Cl{sub 2} does not necessarily leads to a more balanced surface stoichiometry. This is in contrast with recent experimental results obtained with the HBr ICP chemistry for which fairly stoichiometric surfaces have been obtained.« less

  2. Characteristics of n-GaN After Cl2/Ar and Cl2/N2 Inductively Coupled Plasma Etching

    NASA Astrophysics Data System (ADS)

    Han, Yan-Jun; Xue, Song; Guo, Wen-Ping; Sun, Chang-Zheng; Hao, Zhi-Biao; Luo, Yi

    2003-10-01

    A systematic study on the effect of inductively coupled plasma (ICP) etching on n-type GaN is presented. The optical and electrical properties and surface stoichiometry of n-type GaN are evaluated using room-temperature photoluminescence (PL) and current-voltage (I-V) characteristic measurements, and X-ray photoelectron spectroscopy (XPS), respectively. Investigation of the effect of additive gas (N2 and Ar) and RF power on these characteristics has also been carried out. It is shown that the decrease in the O/Ga ratio after ICP etching can suppress the deterioration of the near-band-edge emission intensity. Furthermore, N vacancy (VN) with a shallow donor nature and Ga vacancy (VGa) with a deep acceptor nature are generated after ICP etching upon the addition of Ar and N2 to Cl2 plasma, respectively. Lower ohmic contact resistance could be obtained when VN or ion-bombardment-induced defect is dominant at the surface. Improved etching conditions have been obtained based on these results.

  3. Etching nano-holes in silicon carbide using catalytic platinum nano-particles

    NASA Astrophysics Data System (ADS)

    Moyen, E.; Wulfhekel, W.; Lee, W.; Leycuras, A.; Nielsch, K.; Gösele, U.; Hanbücken, M.

    2006-09-01

    The catalytic reaction of platinum during a hydrogen etching process has been used to perform controlled vertical nanopatterning of silicon carbide substrates. A first set of experiments was performed with platinum powder randomly distributed on the SiC surface. Subsequent hydrogen etching in a hot wall reactor caused local atomic hydrogen production at the catalyst resulting in local SiC etching and hole formation. Secondly, a highly regular and monosized distribution of Pt was obtained by sputter deposition of Pt through an Au membrane serving as a contact mask. After the lift-off of the mask, the hydrogen etching revealed the onset of well-controlled vertical patterned holes on the SiC surface.

  4. Surface etching technologies for monocrystalline silicon wafer solar cells

    NASA Astrophysics Data System (ADS)

    Tang, Muzhi

    With more than 200 GW of accumulated installations in 2015, photovoltaics (PV) has become an important green energy harvesting method. The PV market is dominated by solar cells made from crystalline silicon wafers. The engineering of the wafer surfaces is critical to the solar cell cost reduction and performance enhancement. Therefore, this thesis focuses on the development of surface etching technologies for monocrystalline silicon wafer solar cells. It aims to develop a more efficient alkaline texturing method and more effective surface cleaning processes. Firstly, a rapid, isopropanol alcohol free texturing method is successfully demonstrated to shorten the process time and reduce the consumption of chemicals. This method utilizes the special chemical properties of triethylamine, which can form Si-N bonds with wafer surface atoms. Secondly, a room-temperature anisotropic emitter etch-back process is developed to improve the n+ emitter passivation. Using this method, 19.0% efficient screen-printed aluminium back surface field solar cells are developed that show an efficiency gain of 0.15% (absolute) compared with conventionally made solar cells. Finally, state-of-the-art silicon surface passivation results are achieved using hydrogen plasma etching as a dry alternative to the classical hydrofluoric acid wet-chemical process. The effective native oxide removal and the hydrogenation of the silicon surface are shown to be the reasons for the excellent level of surface passivation achieved with this novel method.

  5. Antifouling enhancement of polysulfone/TiO2 nanocomposite separation membrane by plasma etching

    NASA Astrophysics Data System (ADS)

    Chen, Z.; Yin, C.; Wang, S.; Ito, K.; Fu, Q. M.; Deng, Q. R.; Fu, P.; Lin, Z. D.; Zhang, Y.

    2017-01-01

    A polysulfone/TiO2 nanocomposite membrane was prepared via casting method, followed by the plasma etching of the membrane surface. Doppler broadened energy spectra vs. positron incident energy were employed to elucidate depth profiles of the nanostructure for the as-prepared and treated membranes. The results confirmed that the near-surface of the membrane was modified by the plasma treatment. The antifouling characteristics for the membranes, evaluated using the degradation of Rhodamin B, indicated that the plasma treatment enhances the photo catalytic ability of the membrane, suggesting that more TiO2 nanoparticles are exposed at the membrane surface after the plasma treatment as supported by the positron result.

  6. Alternative process for thin layer etching: Application to nitride spacer etching stopping on silicon germanium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Posseme, N., E-mail: nicolas.posseme@cea.fr; Pollet, O.; Barnola, S.

    2014-08-04

    Silicon nitride spacer etching realization is considered today as one of the most challenging of the etch process for the new devices realization. For this step, the atomic etch precision to stop on silicon or silicon germanium with a perfect anisotropy (no foot formation) is required. The situation is that none of the current plasma technologies can meet all these requirements. To overcome these issues and meet the highly complex requirements imposed by device fabrication processes, we recently proposed an alternative etching process to the current plasma etch chemistries. This process is based on thin film modification by light ionsmore » implantation followed by a selective removal of the modified layer with respect to the non-modified material. In this Letter, we demonstrate the benefit of this alternative etch method in term of film damage control (silicon germanium recess obtained is less than 6 A), anisotropy (no foot formation), and its compatibility with other integration steps like epitaxial. The etch mechanisms of this approach are also addressed.« less

  7. Method for dry etching of transition metals

    DOEpatents

    Ashby, C.I.H.; Baca, A.G.; Esherick, P.; Parmeter, J.E.; Rieger, D.J.; Shul, R.J.

    1998-09-29

    A method for dry etching of transition metals is disclosed. The method for dry etching of a transition metal (or a transition metal alloy such as a silicide) on a substrate comprises providing at least one nitrogen- or phosphorus-containing {pi}-acceptor ligand in proximity to the transition metal, and etching the transition metal to form a volatile transition metal/{pi}-acceptor ligand complex. The dry etching may be performed in a plasma etching system such as a reactive ion etching (RIE) system, a downstream plasma etching system (i.e. a plasma afterglow), a chemically-assisted ion beam etching (CAIBE) system or the like. The dry etching may also be performed by generating the {pi}-acceptor ligands directly from a ligand source gas (e.g. nitrosyl ligands generated from nitric oxide), or from contact with energized particles such as photons, electrons, ions, atoms, or molecules. In some preferred embodiments of the present invention, an intermediary reactant species such as carbonyl or a halide ligand is used for an initial chemical reaction with the transition metal, with the intermediary reactant species being replaced at least in part by the {pi}-acceptor ligand for forming the volatile transition metal/{pi}-acceptor ligand complex.

  8. Etching method for photoresists or polymers

    NASA Technical Reports Server (NTRS)

    Lerner, Narcinda R. (Inventor); Wydeven, Theodore J., Jr. (Inventor)

    1991-01-01

    A method for etching or removing polymers, photoresists, and organic contaminants from a substrate is disclosed. The method includes creating a more reactive gas species by producing a plasma discharge in a reactive gas such as oxygen and contacting the resulting gas species with a sacrificial solid organic material such as polyethylene or polyvinyl fluoride, reproducing a highly reactive gas species, which in turn etches the starting polymer, organic contaminant, or photoresist. The sample to be etched is located away from the plasma glow discharge region so as to avoid damaging the substrate by exposure to high energy particles and electric fields encountered in that region. Greatly increased etching rates are obtained. This method is highly effective for etching polymers such as polyimides and photoresists that are otherwise difficult or slow to etch downstream from an electric discharge in a reactive gas.

  9. ScAlN etch mask for highly selective silicon etching

    DOE PAGES

    Henry, Michael David; Young, Travis R.; Griffin, Ben

    2017-09-08

    Here, this work reports the utilization of a recently developed film, ScAlN, as a silicon etch mask offering significant improvements in high etch selectivity to silicon. Utilization of ScAlN as a fluorine chemistry based deep reactive ion etch mask demonstrated etch selectivity at 23 550:1, four times better than AlN, 11 times better than Al 2O 3, and 148 times better than silicon dioxide with significantly less resputtering at high bias voltage than either Al 2O 3 or AlN. Ellipsometry film thickness measurements show less than 0.3 nm/min mask erosion rates for ScAlN. Micromasking of resputtered Al for Al 2Omore » 3, AlN, and ScAlN etch masks is also reported here, utilizing cross-sectional scanning electron microscope and confocal microscope roughness measurements. With lower etch bias, the reduced etch rate can be optimized to achieve a trench bottom surface roughness that is comparable to SiO 2 etch masks. Etch mask selectivity enabled by ScAlN is likely to make significant improvements in microelectromechanical systems, wafer level packaging, and plasma dicing of silicon.« less

  10. Growth and etching characteristics of (001) β-Ga2O3 by plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Oshima, Yuichi; Ahmadi, Elaheh; Kaun, Stephen; Wu, Feng; Speck, James S.

    2018-01-01

    We investigated the homoepitaxial growth and etching characteristics of (001) β-Ga2O3 by plasma-assisted molecular beam epitaxy. The growth rate of β-Ga2O3 increased with increasing Ga-flux, reaching a clear plateau of 56 nm h-1, and then decreased at higher Ga-flux. The growth rate decreased from 56 to 42 nm h-1 when the substrate temperature was increased from 750 °C to 800 °C. The growth rate was negative (net etching) when only Ga-flux was supplied. The etching rate proportionally increased with increasing the Ga-flux, reaching 84 nm h-1. The etching was enhanced at higher temperatures. It was found that Ga-etching of (001) β-Ga2O3 substrates prior to the homoepitaxial growth markedly improved the surface roughness of the film.

  11. Effect of sulfur hexafluoride gas and post-annealing treatment for inductively coupled plasma etched barium titanate thin films

    PubMed Central

    2014-01-01

    Aerosol deposition- (AD) derived barium titanate (BTO) micropatterns are etched via SF6/O2/Ar plasmas using inductively coupled plasma (ICP) etching technology. The reaction mechanisms of the sulfur hexafluoride on BTO thin films and the effects of annealing treatment are verified through X-ray photoelectron spectroscopy (XPS) analysis, which confirms the accumulation of reaction products on the etched surface due to the low volatility of the reaction products, such as Ba and Ti fluorides, and these residues could be completely removed by the post-annealing treatment. The exact peak positions and chemicals shifts of Ba 3d, Ti 2p, O 1 s, and F 1 s are deduced by fitting the XPS narrow-scan spectra on as-deposited, etched, and post-annealed BTO surfaces. Compared to the as-deposited BTOs, the etched Ba 3d 5/2 , Ba 3d 3/2 , Ti 2p 3/2 , Ti 2p 1/2 , and O 1 s peaks shift towards higher binding energy regions by amounts of 0.55, 0.45, 0.4, 0.35, and 0.85 eV, respectively. A comparison of the as-deposited film with the post-annealed film after etching revealed that there are no significant differences in the fitted XPS narrow-scan spectra except for the slight chemical shift in the O 1 s peak due to the oxygen vacancy compensation in O2-excessive atmosphere. It is inferred that the electrical properties of the etched BTO film can be restored by post-annealing treatment after the etching process. Moreover, the relative permittivity and loss tangent of the post-annealed BTO thin films are remarkably improved by 232% and 2,695%, respectively. PMID:25249824

  12. Electronegativity-dependent tin etching from thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pachecka, M., E-mail: m.pachecka@utwente.nl; Sturm, J. M.; Kruijs, R. W. E. van de

    2016-07-15

    The influence of a thin film substrate material on the etching of a thin layer of deposited tin (Sn) by hydrogen radicals was studied. The amount of remaining Sn was quantified for materials that cover a range of electronegativities. We show that, for metals, etching depends on the relative electronegativity of the surface material and Sn. Tin is chemically etched from surfaces with an electronegativity smaller than Sn, while incomplete Sn etching is observed for materials with an electronegativity larger than Sn. Furthermore, the amount of remaining Sn increases as the electronegativity of the surface material increases. We speculate, that,more » due to Fermi level differences in the material’s electronic structure, the energy of the two conduction bands shift such that the availability of electrons for binding with hydrogen is significantly reduced.« less

  13. Plasma promoted manufacturing of hydrogen and vehicular applications

    NASA Astrophysics Data System (ADS)

    Bromberg, Leslie

    2003-10-01

    Plasmas can be used for promoting reformation of fuels. Plasma-based reformers developed at MIT use a low temperature, low power, low current electrical discharge to promote partial oxidation conversion of hydrocarbon fuels into hydrogen and CO. The very fuel rich mixture is hard to ignite, and the plasmatron provides a volume-ignition. To minimize erosion and to simplify the power supply, a low current high voltage discharge is used, with wide area electrodes. The plasmatron fuel reformer operates at or slightly above atmospheric pressure. The plasma-based reformer technology provides the advantages of rapid startup and transient response; efficient conversion of the fuel to hydrogen rich gas; compact size; relaxation or elimination of reformer catalyst requirements; and capability to process difficult to reform fuels. These advantages enable use of hydrogen-manufacturing reformation technology in cars using available fuels, such as gasoline and diesel. This plasma-based reformer technology can provide substantial throughputs even without the use of a catalyst. The electrical power consumption of the device is minimized by design and operational characteristics (less than 500 W peak and 200 W average). The product from these plasma reactors is a hydrogen rich mixture that can be used for combustion enhancement and emissions aftertreatment in vehicular applications. By converting a small fraction of the fuel to hydrogen rich gas, in-cylinder combustion can be improved. With minor modification of the engine, use of hydrogen rich gas results in increased fuel efficiency and decreased emissions of smog producing gases. The status of plasma based reformer technology and its application to vehicles will be described.

  14. Effect of the chamber wall on fluorocarbon-assisted atomic layer etching of SiO{sub 2} using cyclic Ar/C{sub 4}F{sub 8} plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kawakami, Masatoshi; Metzler, Dominik; Oehrlein, Gottlieb S., E-mail: oehrlein@umd.edu

    2016-07-15

    The authors studied the effect of the temperature and chemical state of the chamber wall on process performance for atomic layer etching of SiO{sub 2} using a steady-state Ar plasma, periodic injection of a defined number of C{sub 4}F{sub 8} molecules, and synchronized plasma-based Ar{sup +} ion bombardment. To evaluate these effects, the authors measured the quartz coupling window temperature. The plasma gas phase chemistry was characterized using optical emission spectroscopy. It was found that although the thickness of the polymer film deposited in each cycle is constant, the etching behavior changed, which is likely related to a change inmore » the plasma gas phase chemistry. The authors found that the main gas phase changes occur after C{sub 4}F{sub 8} injection. The C{sub 4}F{sub 8} and the quartz window react and generate SiF and CO. The emission intensity changes with wall surface state and temperature. Therefore, changes in the plasma gas species generation can lead to a shift in etching performance during processing. During initial cycles, minimal etching is observed, while etching gradually increases with cycle number.« less

  15. Characterizing Fluorocarbon Assisted Atomic Layer Etching of Si Using Cyclic Ar/C 4F 8 and Ar/CHF 3 Plasma

    DOE PAGES

    Metzler, Dominik; Li, Chen; Engelmann, Sebastian; ...

    2016-09-08

    With the increasing interest in establishing directional etching methods capable of atomic scale resolution for fabricating highly scaled electronic devices, the need for development and characterization of atomic layer etching (ALE) processes, or generally etch processes with atomic layer precision, is growing. In this work, a flux-controlled cyclic plasma process is used for etching of SiO 2 and Si at the Angstrom-level. This is based on steady-state Ar plasma, with periodic, precise injection of a fluorocarbon (FC) precursor (C 4F 8 and CHF 3), and synchronized, plasma-based Ar+ ion bombardment [D. Metzler et al., J Vac Sci Technol A 32,more » 020603 (2014), and D. Metzler et al., J Vac Sci Technol A 34, 01B101 (2016)]. For low energy Ar+ ion bombardment conditions, physical sputter rates are minimized, whereas material can be etched when FC reactants are present at the surface. This cyclic approach offers a large parameter space for process optimization. Etch depth per cycle, removal rates, and self-limitation of removal, along with material dependence of these aspects, were examined as a function of FC surface coverage, ion energy, and etch step length using in situ real time ellipsometry. The deposited FC thickness per cycle is found to have a strong impact on etch depth per cycle of SiO 2 and Si, but is limited with regard to control over material etching selectivity. Ion energy over the 20 to 30 eV range strongly impacts material selectivity. The choice of precursor can have a significant impact on the surface chemistry and chemically enhanced etching. CHF 3 has a lower FC deposition yield for both SiO 2 and Si, and also exhibits a strong substrate dependence of FC deposition yield, in contrast to C4F 8. The thickness of deposited FC layers using CHF 3 is found to be greater for Si than for SiO 2. X-ray photoelectron spectroscopy was used to study surface chemistry. When thicker FC films of 11 Å are employed, strong changes of FC film chemistry during a

  16. Characterizing fluorocarbon assisted atomic layer etching of Si using cyclic Ar/C4F8 and Ar/CHF3 plasma

    NASA Astrophysics Data System (ADS)

    Metzler, Dominik; Li, Chen; Engelmann, Sebastian; Bruce, Robert L.; Joseph, Eric A.; Oehrlein, Gottlieb S.

    2017-02-01

    With the increasing interest in establishing directional etching methods capable of atomic scale resolution for fabricating highly scaled electronic devices, the need for development and characterization of atomic layer etching processes, or generally etch processes with atomic layer precision, is growing. In this work, a flux-controlled cyclic plasma process is used for etching of SiO2 and Si at the Angstrom-level. This is based on steady-state Ar plasma, with periodic, precise injection of a fluorocarbon (FC) precursor (C4F8 and CHF3) and synchronized, plasma-based Ar+ ion bombardment [D. Metzler et al., J. Vac. Sci. Technol., A 32, 020603 (2014) and D. Metzler et al., J. Vac. Sci. Technol., A 34, 01B101 (2016)]. For low energy Ar+ ion bombardment conditions, physical sputter rates are minimized, whereas material can be etched when FC reactants are present at the surface. This cyclic approach offers a large parameter space for process optimization. Etch depth per cycle, removal rates, and self-limitation of removal, along with material dependence of these aspects, were examined as a function of FC surface coverage, ion energy, and etch step length using in situ real time ellipsometry. The deposited FC thickness per cycle is found to have a strong impact on etch depth per cycle of SiO2 and Si but is limited with regard to control over material etching selectivity. Ion energy over the 20-30 eV range strongly impacts material selectivity. The choice of precursor can have a significant impact on the surface chemistry and chemically enhanced etching. CHF3 has a lower FC deposition yield for both SiO2 and Si and also exhibits a strong substrate dependence of FC deposition yield, in contrast to C4F8. The thickness of deposited FC layers using CHF3 is found to be greater for Si than for SiO2. X-ray photoelectron spectroscopy was used to study surface chemistry. When thicker FC films of 11 Å are employed, strong changes of FC film chemistry during a cycle are seen

  17. Addition of Si-Containing Gases for Anisotropic Etching of III-V Materials in Chlorine-Based Inductively Coupled Plasma

    NASA Astrophysics Data System (ADS)

    Gatilova, Lina; Bouchoule, Sophie; Patriarche, Gilles; Guilet, Stephane

    2011-08-01

    We discuss the possibility of obtaining high-aspect-ratio etching of InP materials in Cl2- and HBr-based inductively coupled plasmas (ICP) with the addition of Si-containing gases (SiH4 or SiCl4). A vertical and smooth etching profile is demonstrated in SiCl4/H2 plasma. The effect of adding of a small amount of SiH4 to a previously optimised Cl2/H2 chemistry is presented, and new SiH4/Cl2 and SiH4/HBr chemistries are proposed. Ex-situ energy-dispersive X-ray spectroscopy coupled to transmission electron microscopy (EDX-TEM) is used to analyze the composition of the thin passivation layer deposited on the etched sidewalls. We show that it consists of a Si-rich silicon oxide (Si/O˜1) in Cl2/H2/SiH4 chemistry, and is changed to nano-crystalline (nc-) Si in SiH4/Cl2 chemistry depending on the SiH4 percentage. Moreover, we show that deep anisotropic etching of InP independent of the electrode coverplate material can be obtained via a SiOx passivation mechanism with the addition of Si-containing gases.

  18. Characteristics of n-GaN after ICP etching

    NASA Astrophysics Data System (ADS)

    Han, Yanjun; Xue, Song; Guo, Wenping; Hao, Zhi-Biao; Sun, Changzheng; Luo, Yi

    2002-09-01

    In this work, a systematic study on the plasma-induced damage on n-type GaN by inductively coupled plasma (ICP) etching is presented. After n-contact metal formation and annealing, electrical property is evaluated by the I-V characteristics. Room temperature photoluminescence (PL) measurement of etched GaN surfaces is performed to investigate the etching damage on the optical properties of n-type GaN. Investigation of the effect of additive gas RF chuck power on these characteristics has also been carried out. The better etching conditions have been obtained based on these results.

  19. Study on the performance of 2.6 μm In0.83Ga0.17As detector with different etch gases

    NASA Astrophysics Data System (ADS)

    Li, Ping; Tang, Hengjing; Li, Tao; Li, Xue; Shao, Xiumei; Ma, Yingjie; Gong, Haimei

    2017-09-01

    In order to obtain a low-damage recipe in the ICP processing, ICP-induced damage using Cl2/CH4 etch gases in extended wavelength In0.83Ga0.17As detector materials was studied in this paper. The effect of ICP etching on In0.83Ga0.17As samples was characterized qualitatively by the photoluminescence (PL) technology. The etch damage of In0.83Ga0.17As samples was characterized quantitatively by the Transmission Line Model (TLM), current voltage (IV) measurement, signal and noise testing and the Fourier Transform Infrared Spectroscopy (FTIR) technologies. The results showed that the Cl2/CH4 etching processing could lead better detector performance than that Cl2/N2, such as a larger square resistance, a lower dark current, a lower noise voltage and a higher peak detectivity. The lower PL signal intensity and lower dark current could be attributed to the hydrogen decomposed by the CH4 etch gases in the plasma etching process. These hydrogen particles generated non-radiative recombination centers in inner materials to weaken the PL intensity and passivated dangling bond at the surface to reduce the dark current. The larger square resistance resulted from the lower etch damage. The lower dark current meant that the detectors have less dangling bonds and leakage channels.

  20. Selective dry etching of silicon containing anti-reflective coating

    NASA Astrophysics Data System (ADS)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  1. Tuning the thickness of exfoliated quasi-two-dimensional β-Ga2O3 flakes by plasma etching

    NASA Astrophysics Data System (ADS)

    Kwon, Yongbeom; Lee, Geonyeop; Oh, Sooyeoun; Kim, Jihyun; Pearton, Stephen J.; Ren, Fan

    2017-03-01

    We demonstrated the thinning of exfoliated quasi-two-dimensional β-Ga2O3 flakes by using a reactive ion etching technique. Mechanical exfoliation of the bulk β-Ga2O3 by using an adhesive tape was followed by plasma etching to tune its thickness. Since β-Ga2O3 is not a van der Waals material, it is challenging to obtain ultra-thin flakes below a thickness of 100 nm. In this study, an etch rate of approximately 16 nm/min was achieved at a power of 200 W with a flow of 50 sccm of SF6, and under these conditions, thinning of β-Ga2O3 flakes from 300 nm down to ˜60 nm was achieved with smooth morphology. We believe that the reaction between SF6 and Ga2O3 results in oxygen and volatile oxygen fluoride compounds, and non-volatile compounds such as GaFX that can be removed by ion bombardment. The opto-electrical properties were also characterized by fabricating solar-blind photodetectors using the plasma-thinned β-Ga2O3 flakes; these detectors showed fast response and decay with excellent responsivity and selectivity. Our results pave the way for tuning the thickness of two-dimensional materials by using this scalable, industry-compatible dry etching technique.

  2. Trends in Dielectric Etch for Microelectronics Processing

    NASA Astrophysics Data System (ADS)

    Hudson, Eric A.

    2003-10-01

    Dielectric etch technology faces many challenges to meet the requirements for leading-edge microelectronics processing. The move to sub 100-nm device design rules increases the aspect ratios of certain features, imposes tighter restrictions on etched features' critical dimensions, and increases the density of closely packed arrays of features. Changes in photolithography are driving transitions to new photoresist materials and novel multilayer resist methods. The increasing use of copper metallization and low-k interlayer dielectric materials has introduced dual-damascene integration methods, with specialized dielectric etch applications. A common need is the selective removal of multiple layers which have very different compositions, while maintaining close control of the etched features' profiles. To increase productivity, there is a growing trend toward in-situ processing, which allows several films to be successively etched during a single pass through the process module. Dielectric etch systems mainly utilize capacitively coupled etch reactors, operating with medium-density plasmas and low gas residence time. Commercial technology development increasingly relies upon plasma diagnostics and modeling to reduce development cycle time and maximize performance.

  3. Fluorocarbon assisted atomic layer etching of SiO 2 and Si using cyclic Ar/C 4F 8 and Ar/CHF 3 plasma

    DOE PAGES

    Metzler, Dominik; Li, Chen; Engelmann, Sebastian; ...

    2015-11-11

    The need for atomic layer etching (ALE) is steadily increasing as smaller critical dimensions and pitches are required in device patterning. A flux-control based cyclic Ar/C 4F 8 ALE based on steady-state Ar plasma in conjunction with periodic, precise C 4F 8 injection and synchronized plasma-based low energy Ar + ion bombardment has been established for SiO 2. 1 In this work, the cyclic process is further characterized and extended to ALE of silicon under similar process conditions. The use of CHF 3 as a precursor is examined and compared to C 4F 8. CHF 3 is shown to enablemore » selective SiO 2/Si etching using a fluorocarbon (FC) film build up. Other critical process parameters investigated are the FC film thickness deposited per cycle, the ion energy, and the etch step length. Etching behavior and mechanisms are studied using in situ real time ellipsometry and X-ray photoelectron spectroscopy. Silicon ALE shows less self-limitation than silicon oxide due to higher physical sputtering rates for the maximum ion energies used in this work, ranged from 20 to 30 eV. The surface chemistry is found to contain fluorinated silicon oxide during the etching of silicon. As a result, plasma parameters during ALE are studied using a Langmuir probe and establish the impact of precursor addition on plasma properties.« less

  4. Surface changes of biopolymers PHB and PLLA induced by Ar+ plasma treatment and wet etching

    NASA Astrophysics Data System (ADS)

    Slepičková Kasálková, N.; Slepička, P.; Sajdl, P.; Švorčík, V.

    2014-08-01

    Polymers, especially group of biopolymers find potential application in a wide range of disciplines due to their biodegradability. In biomedical applications these materials can be used as a scaffold or matrix. In this work, the influence of the Ar+ plasma treatment and subsequent wet etching (acetone/water) on the surface properties of polymers were studied. Two biopolymers - polyhydroxybutyrate with 8% polyhydroxyvalerate (PHB) and poly-L-lactic acid (PLLA) were used in these experiments. Modified surface layers were analyzed by different methods. Surface wettability was characterized by determination of water contact angle. Changes in elemental composition of modified surfaces were performed by X-ray Photoelectron Spectroscopy (XPS). Surface morphology and roughness was examined using Atomic Force Microscopy (AFM). Gravimetry method was used to study the mass loss. It was found that the modification from both with plasma and wet etching leads to dramatic changes of surface properties (surface chemistry, morphology and roughness). Rate of changes of these features strongly depends on the modification parameters.

  5. Microwave plasma generation of hydrogen atoms for rocket propulsion

    NASA Technical Reports Server (NTRS)

    Chapman, R.; Filpus, J.; Morin, T.; Snellenberger, R.; Asmussen, J.; Hawley, M.; Kerber, R.

    1981-01-01

    A flow microwave plasma reaction system is used to study the conversion of hydrogen to hydrogen atoms as a function of pressure, power density, cavity tuning, cavity mode, and time in the plasma zone. Hydrogen atom concentration is measured down-stream from the plasma by NOCl titration. Extensive modeling of the plasma and recombination zones is performed with the plasma zone treated as a backmix reaction system and the recombination zone treated as a plug flow. The thermodynamics and kinetics of the recombination process are examined in detail to provide an understanding of the conversion of recombination energy to gas kinetic energy. It is found that cavity tuning, discharge stability, and optimum power coupling are critically dependent on the system pressure, but nearly independent of the flow rate.

  6. Environmentally benign semiconductor processing for dielectric etch

    NASA Astrophysics Data System (ADS)

    Liao, Marci Yi-Ting

    Semiconductor processing requires intensive usage of chemicals, electricity, and water. Such intensive resource usage leaves a large impact on the environment. For instance, in Silicon Valley, the semiconductor industry is responsible for 80% of the hazardous waste sites contaminated enough to require government assistance. Research on environmentally benign semiconductor processing is needed to reduce the environmental impact of the semiconductor industry. The focus of this dissertation is on the environmental impact of one aspect of semiconductor processing: patterning of dielectric materials. Plasma etching of silicon dioxide emits perfluorocarbons (PFCs) gases, like C2F6 and CF4, into the atmosphere. These gases are super global warming/greenhouse gases because of their extremely long atmospheric lifetimes and excellent infrared absorption properties. We developed the first inductively coupled plasma (ICP) abatement device for destroying PFCs downstream of a plasma etcher. Destruction efficiencies of 99% and 94% can be obtained for the above mentioned PFCs, by using O 2 as an additive gas. Our results have lead to extensive modeling in academia as well as commercialization of the ICP abatement system. Dielectric patterning of hi-k materials for future device technology brings different environment challenges. The uncertainty of the hi-k material selection and the patterning method need to be addressed. We have evaluated the environmental impact of three different dielectric patterning methods (plasma etch, wet etch and chemical-mechanical polishing), as well as, the transistor device performances associated with the patterning methods. Plasma etching was found to be the most environmentally benign patterning method, which also gives the best device performance. However, the environmental concern for plasma etching is the possibility of cross-contamination from low volatility etch by-products. Therefore, mass transfer in a plasma etcher for a promising hi

  7. Deep Etching Process Developed for the Fabrication of Silicon Carbide Microsystems

    NASA Technical Reports Server (NTRS)

    Beheim, Glenn M.

    2000-01-01

    Silicon carbide (SiC), because of its superior electrical and mechanical properties at elevated temperatures, is a nearly ideal material for the microminiature sensors and actuators that are used in harsh environments where temperatures may reach 600 C or greater. Deep etching using plasma methods is one of the key processes used to fabricate silicon microsystems for more benign environments, but SiC has proven to be a more difficult material to etch, and etch depths in SiC have been limited to several micrometers. Recently, the Sensors and Electronics Technology Branch at the NASA Glenn Research Center at Lewis Field developed a plasma etching process that was shown to be capable of etching SiC to a depth of 60 mm. Deep etching of SiC is achieved by inductive coupling of radiofrequency electrical energy to a sulfur hexafluoride (SF6) plasma to direct a high flux of energetic ions and reactive fluorine atoms to the SiC surface. The plasma etch is performed at a low pressure, 5 mtorr, which together with a high gas throughput, provides for rapid removal of the gaseous etch products. The lateral topology of the SiC microstructure is defined by a thin film of etch-resistant material, such as indium-tin-oxide, which is patterned using conventional photolithographic processes. Ions from the plasma bombard the exposed SiC surfaces and supply the energy needed to initiate a reaction between SiC and atomic fluorine. In the absence of ion bombardment, no reaction occurs, so surfaces perpendicular to the wafer surface (the etch sidewalls) are etched slowly, yielding the desired vertical sidewalls.

  8. Same-Side Platinum Electrodes for Metal Assisted Etching of Porous Silicon

    DTIC Science & Technology

    2015-11-01

    hydrogen peroxide (H2O2), and ethanol etch solution. The H2O2 reacts with hydrogen ions from the HF at the catalytic metal surface to become water...order to measure the combustion rates of the PSi, bridge wires were photolithographically deposited onto the wafers, prior to PSi etching, using a...

  9. Effects of Bias Pulsing on Etching of SiO2 Pattern in Capacitively-Coupled Plasmas for Nano-Scale Patterning of Multi-Level Hard Masks.

    PubMed

    Kim, Sechan; Choi, Gyuhyun; Chae, Heeyeop; Lee, Nae-Eung

    2016-05-01

    In order to study the effects of bias pulsing on the etching characteristics of a silicon dioxide (SiO2) layer using multi-level hard mask (MLHM) structures of ArF photoresist/bottom anti-reflected coating/SiO2/amorphous carbon layer (ACL)/SiO2, the effects of bias pulsing conditions on the etch characteristics of a SiO2 layer with an ACL mask pattern in C4F8/CH2F2/O2/Ar etch chemistries were investigated in a dual-frequency capacitively-coupled plasma (CCP) etcher. The effects of the pulse frequency, duty ratio, and pulse-bias power in the 2 MHz low-frequency (LF) power source were investigated in plasmas generated by a 27.12 MHz high-frequency (HF) power source. The etch rates of ACL and SiO2 decreased, but the etch selectivity of SiO2/ACL increased with decreasing duty ratio. When the ACL and SiO2 layers were etched with increasing pulse frequency, no significant change was observed in the etch rates and etch selectivity. With increasing LF pulse-bias power, the etch rate of ACL and SiO2 slightly increased, but the etch selectivity of SiO2/ACL decreased. Also, the precise control of the critical dimension (CD) values with decreasing duty ratio can be explained by the protection of sidewall etching of SiO2 by increased passivation. Pulse-biased etching was successfully applied to the patterning of the nano-scale line and space of SiO2 using an ACL pattern.

  10. In-situ etch rate study of Hf{sub x}La{sub y}O{sub z} in Cl{sub 2}/BCl{sub 3} plasmas using the quartz crystal microbalance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Marchack, Nathan; Kim, Taeseung; Chang, Jane P., E-mail: jpchang@seas.ucla.edu

    2015-05-15

    The etch rate of Hf{sub x}La{sub y}O{sub z} films in Cl{sub 2}/BCl{sub 3} plasmas was measured in-situ in an inductively coupled plasma reactor using a quartz crystal microbalance and corroborated by cross-sectional SEM measurements. The etch rate depended on the ion energy as well as the plasma chemistry. In contrast to other Hf-based ternary oxides, the etch rate of Hf{sub x}La{sub y}O{sub z} films was higher in Cl{sub 2} than in BCl{sub 3}. In the etching of Hf{sub 0.25}La{sub 0.12}O{sub 0.63}, Hf appeared to be preferentially removed in Cl{sub 2} plasmas, per surface compositional analysis by x-ray photoelectron spectroscopy andmore » the detection of HfCl{sub 3} generation in mass spectroscopy. These findings were consistent with the higher etch rate of Hf{sub 0.25}La{sub 0.12}O{sub 0.63} than that of La{sub 2}O{sub 3}.« less

  11. Mechanical Stress in InP Structures Etched in an Inductively Coupled Plasma Reactor with Ar/Cl2/CH4 Plasma Chemistry

    NASA Astrophysics Data System (ADS)

    Landesman, Jean-Pierre; Cassidy, Daniel T.; Fouchier, Marc; Pargon, Erwine; Levallois, Christophe; Mokhtari, Merwan; Jimenez, Juan; Torres, Alfredo

    2018-02-01

    We investigated the crystal lattice deformation that can occur during the etching of structures in bulk InP using SiNx hard masks with Ar/Cl2/CH4 chemistries in an inductively coupled plasma reactor. Two techniques were used: degree of polarization (DOP) of the photo-luminescence, which gives information on the state of mechanical stress present in the structures, and spectrally resolved cathodo-luminescence (CL) mapping. This second technique also provides elements on the mechanical stress in the samples through analysis of the spectral shift of the CL intrinsic emission lines. Preliminary DOP mapping experiments have been conducted on the SiNx hard mask patterns without etching the underlying InP. This preliminary study demonstrated the potential of DOP to map mechanical stress quantitatively in the structures. In a second step, InP patterns with various widths between 1 μm and 20 μm, and various depths between 1 μm and 6 μm, were analyzed by the 2 techniques. DOP measurements were made both on the (100) top surface of the samples and on the (110) cleaved cross section. CL measurements were made only from the (100) surface. We observed that inside the etched features, close to the vertical etched walls, there is always some compressive deformation, while it is tensile just outside the etched features. The magnitude of these effects depends on the lateral and depth dimensions of the etched structures, and on the separation between them (the tensile deformation increases between them due to some kind of proximity effect when separation decreases).

  12. The influence of surface properties of plasma-etched polydimethylsiloxane (PDMS) on cell growth and morphology.

    PubMed

    Pennisi, Cristian P; Zachar, Vladimir; Gurevich, Leonid; Patriciu, Andrei; Struijk, Johannes J

    2010-01-01

    Polydimethylsiloxane (PDMS) or silicone rubber is a widely used implant material. Approaches to promote tissue integration to PDMS are desirable to avoid clinical problems associated with sliding and friction between tissue and implant. Plasma-etching is a useful way to control cell behavior on PDMS without additional coatings. In this work, different plasma processing conditions were used to modify the surface properties of PDMS substrates. Surface nanotopography and wettability were measured to study their effect on in vitro growth and morphology of fibroblasts. While fluorinated plasma treatments produced nanorough hydrophobic and superhydrophobic surfaces that had negative or little influences on cellular behavior, water vapor/oxygen plasma produced smooth hydrophillic surfaces that enhanced cell growth.

  13. Cryogenic rf test of the first SRF cavity etched in an rf Ar/Cl2 plasma

    NASA Astrophysics Data System (ADS)

    Upadhyay, J.; Palczewski, A.; Popović, S.; Valente-Feliciano, A.-M.; Im, Do; Phillips, H. L.; Vušković, L.

    2017-12-01

    An apparatus and a method for etching of the inner surfaces of superconducting radio frequency (SRF) accelerator cavities are described. The apparatus is based on the reactive ion etching performed in an Ar/Cl2 cylindrical capacitive discharge with reversed asymmetry. To test the effect of the plasma etching on the cavity rf performance, a 1497 MHz single cell SRF cavity was used. The single cell cavity was mechanically polished and buffer chemically etched and then rf tested at cryogenic temperatures to provide a baseline characterization. The cavity's inner wall was then exposed to the capacitive discharge in a mixture of Argon and Chlorine. The inner wall acted as the grounded electrode, while kept at elevated temperature. The processing was accomplished by axially moving the dc-biased, corrugated inner electrode and the gas flow inlet in a step-wise manner to establish a sequence of longitudinally segmented discharges. The cavity was then tested in a standard vertical test stand at cryogenic temperatures. The rf tests and surface condition results, including the electron field emission elimination, are presented.

  14. Plasma-surface interaction in negative hydrogen ion sources

    NASA Astrophysics Data System (ADS)

    Wada, Motoi

    2018-05-01

    A negative hydrogen ion source delivers more beam current when Cs is introduced to the discharge, but a continuous operation of the source reduces the beam current until more Cs is added to the source. This behavior can be explained by adsorption and ion induced desorption of Cs atoms on the plasma grid surface of the ion source. The interaction between the ion source plasma and the plasma grid surface of a negative hydrogen ion source is discussed in correlation to the Cs consumption of the ion source. The results show that operation with deuterium instead of hydrogen should require more Cs consumption and the presence of medium mass impurities as well as ions of the source wall materials in the arc discharge enlarges the Cs removal rate during an ion source discharge.

  15. Extreme wettability of nanostructured glass fabricated by non-lithographic, anisotropic etching

    PubMed Central

    Yu, Eusun; Kim, Seul-Cham; Lee, Heon Ju; Oh, Kyu Hwan; Moon, Myoung-Woon

    2015-01-01

    Functional glass surfaces with the properties of superhydrophobicity/or superhydrohydrophilicity, anti-condensation or low reflectance require nano- or micro-scale roughness, which is difficult to fabricate directly on glass surfaces. Here, we report a novel non-lithographic method for the fabrication of nanostructures on glass; this method introduces a sacrificial SiO2 layer for anisotropic plasma etching. The first step was to form nanopillars on SiO2 layer-coated glass by using preferential CF4 plasma etching. With continuous plasma etching, the SiO2 pillars become etch-resistant masks on the glass; thus, the glass regions covered by the SiO2 pillars are etched slowly, and the regions with no SiO2 pillars are etched rapidly, resulting in nanopatterned glass. The glass surface that is etched with CF4 plasma becomes superhydrophilic because of its high surface energy, as well as its nano-scale roughness and high aspect ratio. Upon applying a subsequent hydrophobic coating to the nanostructured glass, a superhydrophobic surface was achieved. The light transmission of the glass was relatively unaffected by the nanostructures, whereas the reflectance was significantly reduced by the increase in nanopattern roughness on the glass. PMID:25791414

  16. Patterning monolayer graphene with zigzag edges on hexagonal boron nitride by anisotropic etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Guole; Wu, Shuang; Zhang, Tingting

    2016-08-01

    Graphene nanostructures are potential building blocks for nanoelectronic and spintronic devices. However, the production of monolayer graphene nanostructures with well-defined zigzag edges remains a challenge. In this paper, we report the patterning of monolayer graphene nanostructures with zigzag edges on hexagonal boron nitride (h-BN) substrates by an anisotropic etching technique. We found that hydrogen plasma etching of monolayer graphene on h-BN is highly anisotropic due to the inert and ultra-flat nature of the h-BN surface, resulting in zigzag edge formation. The as-fabricated zigzag-edged monolayer graphene nanoribbons (Z-GNRs) with widths below 30 nm show high carrier mobility and width-dependent energy gaps atmore » liquid helium temperature. These high quality Z-GNRs are thus ideal structures for exploring their valleytronic or spintronic properties.« less

  17. Anisotropic diamond etching through thermochemical reaction between Ni and diamond in high-temperature water vapour.

    PubMed

    Nagai, Masatsugu; Nakanishi, Kazuhiro; Takahashi, Hiraku; Kato, Hiromitsu; Makino, Toshiharu; Yamasaki, Satoshi; Matsumoto, Tsubasa; Inokuma, Takao; Tokuda, Norio

    2018-04-27

    Diamond possesses excellent physical and electronic properties, and thus various applications that use diamond are under development. Additionally, the control of diamond geometry by etching technique is essential for such applications. However, conventional wet processes used for etching other materials are ineffective for diamond. Moreover, plasma processes currently employed for diamond etching are not selective, and plasma-induced damage to diamond deteriorates the device-performances. Here, we report a non-plasma etching process for single crystal diamond using thermochemical reaction between Ni and diamond in high-temperature water vapour. Diamond under Ni films was selectively etched, with no etching at other locations. A diamond-etching rate of approximately 8.7 μm/min (1000 °C) was successfully achieved. To the best of our knowledge, this rate is considerably greater than those reported so far for other diamond-etching processes, including plasma processes. The anisotropy observed for this diamond etching was considerably similar to that observed for Si etching using KOH.

  18. Barium-strontium-titanate etching characteristics in chlorinated discharges

    NASA Astrophysics Data System (ADS)

    Stafford, Luc; Margot, Joëlle; Langlois, Olivier; Chaker, Mohamed

    2003-07-01

    The etching characteristics of barium-strontium-titanate (BST) were investigated using a high-density plasma sustained by surface waves at 190 MHz in Ar/Cl2 gas mixtures. The etch rate was examined as a function of both the total gas pressure and the Cl2 fraction in Ar/Cl2 using a wafer temperature of 10 °C. The results were correlated to positive ion density and plasma composition obtained from Langmuir probes and mass spectrometry. The BST etch rate was found to increase linearly with the positive ion density and to decrease with increasing chlorine atom concentration. This result indicates that for the temperature conditions used, the interaction between chlorine and BST yields compounds having a volatility that is lower than the original material. As a consequence, the contribution of neutral atomic Cl atoms to the etch mechanism is detrimental, thereby reducing the etch rate. As the wafer temperature increases, the role of chemistry in the etching process is enhanced.

  19. Anisotropic Etching Using Reactive Cluster Beams

    NASA Astrophysics Data System (ADS)

    Koike, Kunihiko; Yoshino, Yu; Senoo, Takehiko; Seki, Toshio; Ninomiya, Satoshi; Aoki, Takaaki; Matsuo, Jiro

    2010-12-01

    The characteristics of Si etching using nonionic cluster beams with highly reactive chlorine-trifluoride (ClF3) gas were examined. An etching rate of 40 µm/min or higher was obtained even at room temperature when a ClF3 molecular cluster was formed and irradiated on a single-crystal Si substrate in high vacuum. The etching selectivity of Si with respect to a photoresist and SiO2 was at least 1:1000. We also succeeded in highly anisotropic etching with an aspect ratio of 10 or higher. Moreover, this etching method has a great advantage of low damage, compared with the conventional plasma process.

  20. Sputtering yields and surface chemical modification of tin-doped indium oxide in hydrocarbon-based plasma etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi, E-mail: hamaguch@ppl.eng.osaka-u.ac.jp

    2015-11-15

    Sputtering yields and surface chemical compositions of tin-doped indium oxide (or indium tin oxide, ITO) by CH{sup +}, CH{sub 3}{sup +}, and inert-gas ion (He{sup +}, Ne{sup +}, and Ar{sup +}) incidence have been obtained experimentally with the use of a mass-selected ion beam system and in-situ x-ray photoelectron spectroscopy. It has been found that etching of ITO is chemically enhanced by energetic incidence of hydrocarbon (CH{sub x}{sup +}) ions. At high incident energy incidence, it appears that carbon of incident ions predominantly reduce indium (In) of ITO and the ITO sputtering yields by CH{sup +} and CH{sub 3}{sup +}more » ions are found to be essentially equal. At lower incident energy (less than 500 eV or so), however, a hydrogen effect on ITO reduction is more pronounced and the ITO surface is more reduced by CH{sub 3}{sup +} ions than CH{sup +} ions. Although the surface is covered more with metallic In by low-energy incident CH{sub 3}{sup +} ions than CH{sup +} ions and metallic In is in general less resistant against physical sputtering than its oxide, the ITO sputtering yield by incident CH{sub 3}{sup +} ions is found to be lower than that by incident CH{sup +} ions in this energy range. A postulation to account for the relation between the observed sputtering yield and reduction of the ITO surface is also presented. The results presented here offer a better understanding of elementary surface reactions observed in reactive ion etching processes of ITO by hydrocarbon plasmas.« less

  1. Radiative transition of hydrogen-like ions in quantum plasma

    NASA Astrophysics Data System (ADS)

    Hu, Hongwei; Chen, Zhanbin; Chen, Wencong

    2016-12-01

    At fusion plasma electron temperature and number density regimes of 1 × 103-1 × 107 K and 1 × 1028-1 × 1031/m3, respectively, the excited states and radiative transition of hydrogen-like ions in fusion plasmas are studied. The results show that quantum plasma model is more suitable to describe the fusion plasma than the Debye screening model. Relativistic correction to bound-state energies of the low-Z hydrogen-like ions is so small that it can be ignored. The transition probability decreases with plasma density, but the transition probabilities have the same order of magnitude in the same number density regime.

  2. CoPt/TiN films nanopatterned by RF plasma etching towards dot-patterned magnetic media

    NASA Astrophysics Data System (ADS)

    Szívós, János; Pothorszky, Szilárd; Soltys, Jan; Serényi, Miklós; An, Hongyu; Gao, Tenghua; Deák, András; Shi, Ji; Sáfrán, György

    2018-03-01

    CoPt thin films as possible candidates for Bit Patterned magnetic Media (BPM) were prepared and investigated by electron microscopy techniques and magnetic measurements. The structure and morphology of the Direct Current (DC) sputtered films with N incorporation were revealed in both as-prepared and annealed state. Nanopatterning of the samples was carried out by means of Radio Frequency (RF) plasma etching through a Langmuir-Blodgett film of silica nanospheres that is a fast and high throughput technique. As a result, the samples with hexagonally arranged 100 nm size separated dots of fct-phase CoPt were obtained. The influence of the order of nanopatterning and anneling on the nanostructure formation was revealed. The magnetic properties of the nanopatterned fct CoPt films were investigated by Vibrating Sample Magnetometer (VSM) and Magnetic Force Microscopy (MFM). The results show that CoPt thin film nanopatterned by means of the RF plasma etching technique is promising candidate to a possible realization of BPM. Furthermore, this technique is versatile and suitable for scaling up to technological and industrial applications.

  3. Effects of oxygen plasma etching on Sb{sub 2}Te{sub 3} explored by torque detected quantum oscillations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yan, Yuan, E-mail: yuan.yan@pi1.physik.uni-stuttgart.de, E-mail: martin.dressel@pi1.physik.uni-stuttgart.de; Heintze, Eric; Pracht, Uwe S.

    2016-04-25

    De Haas–van Alphen measurements evidence that oxygen plasma etching strongly affects the properties of the three-dimensional topological insulator Sb{sub 2}Te{sub 3}. The quantum oscillations in magnetization down to low temperature (T ≥ 2 K) and high magnetic field (B ≤ 7 T) have been systematically investigated using a high-sensitive cantilever torque magnetometer. The effective mass and the oscillation frequency obtained from de Haas–van Alphen measurements first increase and then decrease as the oxygen plasma etching time increases from 0 to 12 min, corresponding to an up- and down-shift of the Dirac point. We establish the cantilever torque magnetometer as a powerful contactless tool to investigate themore » oxygen sensitivity of the surface state in topological insulators.« less

  4. Nanoparticle-based etching of silicon surfaces

    DOEpatents

    Branz, Howard [Boulder, CO; Duda, Anna [Denver, CO; Ginley, David S [Evergreen, CO; Yost, Vernon [Littleton, CO; Meier, Daniel [Atlanta, GA; Ward, James S [Golden, CO

    2011-12-13

    A method (300) of texturing silicon surfaces (116) such to reduce reflectivity of a silicon wafer (110) for use in solar cells. The method (300) includes filling (330, 340) a vessel (122) with a volume of an etching solution (124) so as to cover the silicon surface 116) of a wafer or substrate (112). The etching solution (124) is made up of a catalytic nanomaterial (140) and an oxidant-etchant solution (146). The catalytic nanomaterial (140) may include gold or silver nanoparticles or noble metal nanoparticles, each of which may be a colloidal solution. The oxidant-etchant solution (146) includes an etching agent (142), such as hydrofluoric acid, and an oxidizing agent (144), such as hydrogen peroxide. Etching (350) is performed for a period of time including agitating or stirring the etching solution (124). The etch time may be selected such that the etched silicon surface (116) has a reflectivity of less than about 15 percent such as 1 to 10 percent in a 350 to 1000 nanometer wavelength range.

  5. Selective etching of silicon carbide films

    DOEpatents

    Gao, Di; Howe, Roger T.; Maboudian, Roya

    2006-12-19

    A method of etching silicon carbide using a nonmetallic mask layer. The method includes providing a silicon carbide substrate; forming a non-metallic mask layer by applying a layer of material on the substrate; patterning the mask layer to expose underlying areas of the substrate; and etching the underlying areas of the substrate with a plasma at a first rate, while etching the mask layer at a rate lower than the first rate.

  6. Aerosol chemistry in Titan's ionosphere: simultaneous growth and etching processes

    NASA Astrophysics Data System (ADS)

    Carrasco, Nathalie; Cernogora, Guy; Jomard, François; Etcheberry, Arnaud; Vigneron, Jackie

    2016-10-01

    Since the Cassini-CAPS measurements, organic aerosols are known to be present and formed at high altitudes in the diluted and partially ionized medium that is Titan's ionosphere [1]. This unexpected chemistry can be further investigated in the laboratory with plasma experiments simulating the complex ion-neutral chemistry starting from N2-CH4 [2]. Two sorts of solid organic samples can be produced in laboratory experiments simulating Titan's atmospheric reactivity: grains in the volume and thin films on the reactor walls. We expect that grains are more representative of Titan's atmospheric aerosols, but films are used to provide optical indices for radiative models of Titan's atmosphere.The aim of the present study is to address if these two sorts of analogues are chemically equivalent or not, when produced in the same N2-CH4 plasma discharge. The chemical compositions of both these materials are measured by using elemental analysis, XPS analysis and Secondary Ion Mass Spectrometry. We find that films are homogeneous but significantly less rich in nitrogen and hydrogen than grains produced in the same experimental conditions. This surprising difference in their chemical compositions is explained by the efficient etching occurring on the films, which stay in the discharge during the whole plasma duration, whereas the grains are ejected after a few minutes [3]. The impact for our understanding of Titan's aerosols chemical composition is important. Our study shows that chemical growth and etching process are simultaneously at stake in Titan's ionosphere. The more the aerosols stay in the ionosphere, the more graphitized they get through etching process. In order to infer Titan's aerosols composition, our work highlights a need for constraints on the residence time of aerosols in Titan's ionosphere. [1] Waite et al. (2009) Science , 316, p. 870[2] Szopa et al. (2006) PSS, 54, p. 394[3] Carrasco et al. (2016) PSS, 128, p. 52

  7. Oxygen plasma etching of graphene: A first-principles dynamical inspection of the reaction mechanisms and related activation barriers

    NASA Astrophysics Data System (ADS)

    Koizumi, Kenichi; Boero, Mauro; Shigeta, Yasuteru; Oshiyama, Atsushi; Dept. of Applied Physics Team; Institute of Physics and Chemistry of Strasbourg (IPCMS) Collaboration; Department Of Materials Engineering Science Collaboration

    2013-03-01

    Oxygen plasma etching is a crucial step in the fabrication of electronic circuits and has recently received a renovated interest in view of the realization of carbon-based nanodevices. In an attempt at unraveling the atomic-scale details and to provide guidelines for the control of the etching processes mechanisms, we inspected the possible reaction pathways via reactive first principles simulations. These processes involve breaking and formation of several chemical bonds and are characterized by different free-energy barriers. Free-energy sampling techniques (metadynamics and blue moon), used to enhance the standard Car-Parrinello molecular dynamics, provide us a detailed microscopic picture of the etching of graphene surfaces and a comprehensive scenario of the activation barriers involved in the various steps. MEXT, Japan - contract N. 22104005

  8. Copper-assisted, anti-reflection etching of silicon surfaces

    DOEpatents

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  9. Silicon cells made by self-aligned selective-emitter plasma-etchback process

    DOEpatents

    Ruby, Douglas S.; Schubert, William K.; Gee, James M.; Zaidi, Saleem H.

    2000-01-01

    Photovoltaic cells and methods for making them are disclosed wherein the metallized grids of the cells are used to mask portions of cell emitter regions to allow selective etching of phosphorus-doped emitter regions. The preferred etchant is SF.sub.6 or a combination of SF.sub.6 and O.sub.2. This self-aligned selective etching allows for enhanced blue response (versus cells with uniform heavy doping of the emitter) while preserving heavier doping in the region beneath the gridlines needed for low contact resistance. Embodiments are disclosed for making cells with or without textured surfaces. Optional steps include plasma hydrogenation and PECVD nitride deposition, each of which are suited to customized applications for requirements of given cells to be manufactured. The techniques disclosed could replace expensive and difficult alignment methodologies used to obtain selectively etched emitters, and they may be easily integrated with existing plasma processing methods and techniques of the invention may be accomplished in a single plasma-processing chamber.

  10. Hydrogen in tungsten as plasma-facing material

    NASA Astrophysics Data System (ADS)

    Roth, Joachim; Schmid, Klaus

    2011-12-01

    Materials facing plasmas in fusion experiments and future reactors are loaded with high fluxes (1020-1024 m-2 s-1) of H, D and T fuel particles at energies ranging from a few eV to keV. In this respect, the evolution of the radioactive T inventory in the first wall, the permeation of T through the armour into the coolant and the thermo-mechanical stability after long-term exposure are key parameters determining the applicability of a first wall material. Tungsten exhibits fast hydrogen diffusion, but an extremely low solubility limit. Due to the fast diffusion of hydrogen and the short ion range, most of the incident ions will quickly reach the surface and recycle into the plasma chamber. For steady-state operation the solute hydrogen for the typical fusion reactor geometry and wall conditions can reach an inventory of about 1 kg. However, in short-pulse operation typical of ITER, solute hydrogen will diffuse out after each pulse and the remaining inventory will consist of hydrogen trapped in lattice defects, such as dislocations, grain boundaries and irradiation-induced traps. In high-flux areas the hydrogen energies are too low to create displacement damage. However, under these conditions the solubility limit will be exceeded within the ion range and the formation of gas bubbles and stress-induced damage occurs. In addition, simultaneous neutron fluxes from the nuclear fusion reaction D(T,n)α will lead to damage in the materials and produce trapping sites for diffusing hydrogen atoms throughout the bulk. The formation and diffusive filling of these different traps will determine the evolution of the retained T inventory. This paper will concentrate on experimental evidence for the influence different trapping sites have on the hydrogen inventory in W as studied in ion beam experiments and low-temperature plasmas. Based on the extensive experimental data, models are validated and applied to estimate the contribution of different traps to the tritium inventory in

  11. Hydrogen-dominated plasma, due to silane depletion, for microcrystalline silicon deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Howling, A. A.; Sobbia, R.; Hollenstein, Ch.

    2010-07-15

    Plasma conditions for microcrystalline silicon deposition generally require a high flux of atomic hydrogen, relative to SiH{sub {alpha}=0{yields}3} radicals, on the growing film. The necessary dominant partial pressure of hydrogen in the plasma is conventionally obtained by hydrogen dilution of silane in the inlet flow. However, a hydrogen-dominated plasma environment can also be obtained due to plasma depletion of the silane in the gas mixture, even up to the limit of pure silane inlet flow, provided that the silane depletion is strong enough. At first sight, it may seem surprising that the composition of a strongly depleted pure silane plasmamore » consists principally of molecular hydrogen, without significant contribution from the partial pressure of silane radicals. The aim here is to bring some physical insight by means of a zero-dimensional, analytical plasma chemistry model. The model is appropriate for uniform large-area showerhead reactors, as shown by comparison with a three-dimensional numerical simulations. The SiH{sub {alpha}} densities remain very low because of their rapid diffusion and surface reactivity, contributing to film growth which is the desired scenario for efficient silane utilization. Significant SiH{sub {alpha}} densities due to poor design of reactor and gas flow, on the other hand, would result in powder formation wasting silane. Conversely, hydrogen atoms are not deposited, but recombine on the film surface and reappear as molecular hydrogen in the plasma. Therefore, in the limit of extremely high silane depletion fraction (>99.9%), the silane density falls below the low SiH{sub {alpha}} densities, but only the H radical can eventually reach significant concentrations in the hydrogen-dominated plasma.« less

  12. Automated process control for plasma etching

    NASA Astrophysics Data System (ADS)

    McGeown, Margaret; Arshak, Khalil I.; Murphy, Eamonn

    1992-06-01

    This paper discusses the development and implementation of a rule-based system which assists in providing automated process control for plasma etching. The heart of the system is to establish a correspondence between a particular data pattern -- sensor or data signals -- and one or more modes of failure, i.e., a data-driven monitoring approach. The objective of this rule based system, PLETCHSY, is to create a program combining statistical process control (SPC) and fault diagnosis to help control a manufacturing process which varies over time. This can be achieved by building a process control system (PCS) with the following characteristics. A facility to monitor the performance of the process by obtaining and analyzing the data relating to the appropriate process variables. Process sensor/status signals are input into an SPC module. If trends are present, the SPC module outputs the last seven control points, a pattern which is represented by either regression or scoring. The pattern is passed to the rule-based module. When the rule-based system recognizes a pattern, it starts the diagnostic process using the pattern. If the process is considered to be going out of control, advice is provided about actions which should be taken to bring the process back into control.

  13. Single-Run Single-Mask Inductively-Coupled-Plasma Reactive-Ion-Etching Process for Fabricating Suspended High-Aspect-Ratio Microstructures

    NASA Astrophysics Data System (ADS)

    Yang, Yao-Joe; Kuo, Wen-Cheng; Fan, Kuang-Chao

    2006-01-01

    In this work, we present a single-run single-mask (SRM) process for fabricating suspended high-aspect-ratio structures on standard silicon wafers using an inductively coupled plasma-reactive ion etching (ICP-RIE) etcher. This process eliminates extra fabrication steps which are required for structure release after trench etching. Released microstructures with 120 μm thickness are obtained by this process. The corresponding maximum aspect ratio of the trench is 28. The SRM process is an extended version of the standard process proposed by BOSCH GmbH (BOSCH process). The first step of the SRM process is a standard BOSCH process for trench etching, then a polymer layer is deposited on trench sidewalls as a protective layer for the subsequent structure-releasing step. The structure is released by dry isotropic etching after the polymer layer on the trench floor is removed. All the steps can be integrated into a single-run ICP process. Also, only one mask is required. Therefore, the process complexity and fabrication cost can be effectively reduced. Discussions on each SRM step and considerations for avoiding undesired etching of the silicon structures during the release process are also presented.

  14. Elemental depth profiles and plasma etching rates of positive-tone electron beam resists after sequential infiltration synthesis of alumina

    NASA Astrophysics Data System (ADS)

    Ozaki, Yuki; Ito, Shunya; Hiroshiba, Nobuya; Nakamura, Takahiro; Nakagawa, Masaru

    2018-06-01

    By scanning transmission electron microscopy and energy dispersive X-ray spectroscopy (STEM–EDS), we investigated the elemental depth profiles of organic electron beam resist films after the sequential infiltration synthesis (SIS) of inorganic alumina. Although a 40-nm-thick poly(methyl methacrylate) (PMMA) film was entirely hybridized with alumina, an uneven distribution was observed near the interface between the substrate and the resist as well as near the resist surface. The uneven distribution was observed around the center of a 100-nm-thick PMMA film. The thicknesses of the PMMA and CSAR62 resist films decreased almost linearly as functions of plasma etching period. The comparison of etching rate among oxygen reactive ion etching, C3F8 reactive ion beam etching (RIBE), and Ar ion beam milling suggested that the SIS treatment enhanced the etching resistance of the electron beam resists to chemical reactions rather than to ion collisions. We proposed oxygen- and Ar-assisted C3F8 RIBE for the fabrication of silica imprint molds by electron beam lithography.

  15. Subtractive Plasma-Assisted-Etch Process for Developing High Performance Nanocrystalline Zinc-Oxide Thin-Film-Transistors

    DTIC Science & Technology

    2015-03-26

    THIN - FILM - TRANSISTORS THESIS Thomas M. Donigan, First Lieutenant, USAF AFIT-ENG-MS-15-M-027 DEPARTMENT OF THE AIR FORCE AIR UNIVERSITY AIR...DEVELOPING HIGH PERFORMANCE NANOCRYSTALLINE ZINC-OXIDE THIN - FILM - TRANSISTORS THESIS Presented to the Faculty Department of Electrical and...15-M-027 SUBTRACTIVE PLASMA-ASSISTED-ETCH PROCESS FOR DEVELOPING HIGH PERFORMANCE NANOCRYSTALLINE ZINC-OXIDE THIN - FILM - TRANSISTORS

  16. Hydrogen Chemical Configuration and Thermal Stability in Tungsten Disulfide Nanoparticles Exposed to Hydrogen Plasma

    PubMed Central

    Laikhtman, Alex; Makrinich, Gennady; Sezen, Meltem; Yildizhan, Melike Mercan; Martinez, Jose I.; Dinescu, Doru; Prodana, Mariana; Enachescu, Marius; Alonso, Julio A.; Zak, Alla

    2017-01-01

    The chemical configuration and interaction mechanism of hydrogen adsorbed in inorganic nanoparticles of WS2 are investigated. Our recent approaches of using hydrogen activated by either microwave or radiofrequency plasma dramatically increased the efficiency of its adsorption on the nanoparticles surface. In the current work we make an emphasis on elucidation of the chemical configuration of the adsorbed hydrogen. This configuration is of primary importance as it affects its adsorption stability and possibility of release. To get insight on the chemical configuration, we combined the experimental analysis methods with theoretical modeling based on the density functional theory (DFT). Micro-Raman spectroscopy was used as a primary tool to elucidate chemical bonding of hydrogen and to distinguish between chemi- and physisorption. Hydrogen adsorbed in molecular form (H2) was clearly identified in all the plasma-hydrogenated WS2 nanoparticles samples. It was shown that the adsorbed hydrogen is generally stable under high vacuum conditions at room temperature, which implies its stability at the ambient atmosphere. A DFT model was developed to simulate the adsorption of hydrogen in the WS2 nanoparticles. This model considers various adsorption sites and identifies the preferential locations of the adsorbed hydrogen in several WS2 structures, demonstrating good concordance between theory and experiment and providing tools for optimizing of hydrogen exposure conditions and the type of substrate materials. PMID:28596812

  17. Smoothing single-crystalline SiC surfaces by reactive ion etching using pure NF{sub 3} and NF{sub 3}/Ar mixture gas plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tasaka, Akimasa, E-mail: aki-tasaka-load@yahoo.co.jp; Kotaka, Yuki; Oda, Atsushi

    2014-09-01

    In pure NF{sub 3} plasma, the etching rates of four kinds of single-crystalline SiC wafer etched at NF{sub 3} pressure of 2 Pa were the highest and it decreased with an increase in NF{sub 3} pressure. On the other hand, they increased with an increase in radio frequency (RF) power and were the highest at RF power of 200 W. A smooth surface was obtained on the single-crystalline 4H-SiC after reactive ion etching at NF{sub 3}/Ar gas pressure of 2 Pa and addition of Ar to NF{sub 3} plasma increased the smoothness of SiC surface. Scanning electron microscopy observation revealed that the numbermore » of pillars decreased with an increase in the Ar-concentration in the NF{sub 3}/Ar mixture gas. The roughness factor (R{sub a}) values were decreased from 51.5 nm to 25.5 nm for the As-cut SiC, from 0.25 nm to 0.20 nm for the Epi-SiC, from 5.0 nm to 0.7 nm for the Si-face mirror-polished SiC, and from 0.20 nm to 0.16 nm for the C-face mirror-polished SiC by adding 60% Ar to the NF{sub 3} gas. Both the R{sub a} values of the Epi- and the C-face mirror-polished wafer surfaces etched using the NF{sub 3}/Ar (40:60) plasma were similar to that treated with mirror polishing, so-called the Catalyst-Referred Etching (CARE) method, with which the lowest roughness of surface was obtained among the chemical mirror polishing methods. Etching duration for smoothing the single-crystalline SiC surface using its treatment was one third of that with the CARE method.« less

  18. Dry etched SiO2 Mask for HgCdTe Etching Process

    NASA Astrophysics Data System (ADS)

    Chen, Y. Y.; Ye, Z. H.; Sun, C. H.; Deng, L. G.; Zhang, S.; Xing, W.; Hu, X. N.; Ding, R. J.; He, L.

    2016-09-01

    A highly anisotropic etching process with low etch-induced damage is indispensable for advanced HgCdTe (MCT) infrared focal plane array (IRFPA) detectors. The inductively coupled plasma (ICP) enhanced reactive ion etching technique has been widely adopted in manufacturing HgCdTe IRFPA devices. An accurately patterned mask with sharp edges is decisive to accomplish pattern duplication. It has been reported by our group that the SiO2 mask functions well in etching HgCdTe with high selectivity. However, the wet process in defining the SiO2 mask is limited by ambiguous edges and nonuniform patterns. In this report, we patterned SiO2 with a mature ICP etching technique, prior to which a thin ZnS film was deposited by thermal evaporation. The SiO2 film etching can be terminated at the auto-stopping point of the ZnS layer thanks to the high selectivity of SiO2/ZnS in SF6 based etchant. Consequently, MCT etching was directly performed without any other treatment. This mask showed acceptable profile due to the maturity of the SiO2 etching process. The well-defined SiO2 pattern and the etched smooth surfaces were investigated with scanning electron microscopy and atomic force microscope. This new mask process could transfer the patterns exactly with very small etch-bias. A cavity with aspect-ratio (AR) of 1.2 and root mean square roughness of 1.77 nm was achieved first, slightly higher AR of 1.67 was also get with better mask profile. This masking process ensures good uniformity and surely benefits the delineation of shrinking pixels with its high resolution.

  19. Wide band laser-plasma soft X-ray source using a gas puff target for direct photo-etching of polymers

    NASA Astrophysics Data System (ADS)

    Bartnik, Andrzej; Fiedorowicz, Henryk; Jarocki, Roman; Kostecki, Jerzy; Rakowski, Rafał; Szczurek, Mirosław

    2005-09-01

    Organic polymers (PMMA, PTFE, PET, and PI) are considered as the important materials in microengineering, especially for biological and medical applications. Micromachining of such materials is possible with the use of different techniques that involve electromagnetic radiation or charged particle beams. Another possibility of high aspect ratio micromachining of PTFE is direct photo-etching using synchrotron radiation. X-ray and ultraviolet radiation from other sources, for micromachining of materials by direct photo-etching can be also applied. In this paper we present the results of investigation of a wide band soft X-ray source and its application for direct photo-etching of organic polymers. X-ray radiation in the wavelength range from about 3 nm to 20 nm was produced as a result of irradiation of a double-stream gas puff target with laser pulses of energy 0.8 J and time duration of about 3 ns. The spectra, plasma size and absolute energies of soft X-ray pulses for different gas puff targets were measured. Photo-etching process of polymers irradiated with the use of the soft X-ray radiation was analyzed and investigated. Samples of organic polymers were placed inside a vacuum chamber of the x-ray source, close to the gas puff target at the distance of about 2 cm from plasmas created by focused laser pulses. A fine metal grid placed in front of the samples was used as a mask to form structures by x-ray ablation. The results of photo-etching process for several minutes exposition with l0Hz repetition rate were presented. High ablation efficiency was obtained with the use of the gas puff target containing xenon surrounded by helium.

  20. Novel Cyclosilazane-Type Silicon Precursor and Two-Step Plasma for Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride.

    PubMed

    Park, Jae-Min; Jang, Se Jin; Lee, Sang-Ick; Lee, Won-Jun

    2018-03-14

    We designed cyclosilazane-type silicon precursors and proposed a three-step plasma-enhanced atomic layer deposition (PEALD) process to prepare silicon nitride films with high quality and excellent step coverage. The cyclosilazane-type precursor, 1,3-di-isopropylamino-2,4-dimethylcyclosilazane (CSN-2), has a closed ring structure for good thermal stability and high reactivity. CSN-2 showed thermal stability up to 450 °C and a sufficient vapor pressure of 4 Torr at 60 °C. The energy for the chemisorption of CSN-2 on the undercoordinated silicon nitride surface as calculated by density functional theory method was -7.38 eV. The PEALD process window was between 200 and 500 °C, with a growth rate of 0.43 Å/cycle. The best film quality was obtained at 500 °C, with hydrogen impurity of ∼7 atom %, oxygen impurity less than 2 atom %, low wet etching rate, and excellent step coverage of ∼95%. At 300 °C and lower temperatures, the wet etching rate was high especially at the lower sidewall of the trench pattern. We introduced the three-step PEALD process to improve the film quality and the step coverage on the lower sidewall. The sequence of the three-step PEALD process consists of the CSN-2 feeding step, the NH 3 /N 2 plasma step, and the N 2 plasma step. The H radicals in NH 3 /N 2 plasma efficiently remove the ligands from the precursor, and the N 2 plasma after the NH 3 plasma removes the surface hydrogen atoms to activate the adsorption of the precursor. The films deposited at 300 °C using the novel precursor and the three-step PEALD process showed a significantly improved step coverage of ∼95% and an excellent wet etching resistance at the lower sidewall, which is only twice as high as that of the blanket film prepared by low-pressure chemical vapor deposition.

  1. Physics and chemistry of complex oxide etching and redeposition control

    NASA Astrophysics Data System (ADS)

    Margot, Joëlle

    2012-10-01

    Since its introduction in the 1970s, plasma etching has become the universal method for fine-line pattern transfer onto thin films and is anticipated to remain so in foreseeable future. Despite many success stories, plasma etching processes fail to meet the needs for several of the newest materials involved in advanced devices for photonic, electronic and RF applications like ferroelectrics, electro-optic materials, high-k dielectrics, giant magnetoresistance materials and unconventional conductors. In this context, the work achieved over the last decade on the etching of multicomponent oxides thin films such as barium strontium titanate (BST), strontium titanate (STO) and niobate of calcium and barium (CBN) will be reviewed. These materials present a low reactivity with usual etching gases such as fluorinated and chlorinated gases, their etching is mainly governed by ion sputtering and reactive gases sometimes interact with surface materials to form compounds that inhibit etching. The etching of platinum will also be presented as an example of unconventional conductor materials for which severe redeposition limits the achievable etching quality. Finally, it will be shown how simulation can help to understand the etching mechanisms and to define avenues for higher quality patterning.

  2. Two different carbon-hydrogen complexes in silicon with closely spaced energy levels

    NASA Astrophysics Data System (ADS)

    Stübner, R.; Kolkovsky, Vl.; Weber, J.

    2015-08-01

    An acceptor and a single donor state of carbon-hydrogen defects (CHA and CHB) are observed by Laplace deep level transient spectroscopy at 90 K. CHA appears directly after hydrogenation by wet chemical etching or hydrogen plasma treatment, whereas CHB can be observed only after a successive annealing under reverse bias at about 320 K. The activation enthalpies of these states are 0.16 eV for CHA and 0.14 eV for CHB. Our results reconcile previous controversial experimental results. We attribute CHA to the configuration where substitutional carbon binds a hydrogen atom on a bond centered position between carbon and the neighboring silicon and CHB to another carbon-hydrogen defect.

  3. Vertical and bevel-structured SiC etching techniques incorporating different gas mixture plasmas for various microelectronic applications.

    PubMed

    Sung, Ho-Kun; Qiang, Tian; Yao, Zhao; Li, Yang; Wu, Qun; Lee, Hee-Kwan; Park, Bum-Doo; Lim, Woong-Sun; Park, Kyung-Ho; Wang, Cong

    2017-06-20

    This study presents a detailed fabrication method, together with validation, discussion, and analysis, for state-of-the-art silicon carbide (SiC) etching of vertical and bevelled structures by using inductively coupled plasma reactive ion etching (ICP-RIE) for microelectronic applications. Applying different gas mixtures, a maximum bevel angle of 87° (almost vertical), large-angle bevels ranging from 40° to 80°, and small-angel bevels ranging from 7° to 17° were achieved separately using distinct gas mixtures at different ratios. We found that SF 6 with additive O 2 was effective for vertical etching, with a best etching rate of 3050 Å/min. As for the large-angle bevel structures, BCl 3  + N 2 gas mixtures show better characteristics, exhibiting a controllable and large etching angle range from 40° to 80° through the adjustment of the mixture ratio. Additionally, a Cl 2  + O 2 mixture at different ratios is applied to achieve a small-angel bevels ranging from 7° to 17°. A minimum bevel angel of approximately 7° was achieved under the specific volume of 2.4 sccm Cl 2 and 3.6 sccm O 2 . These results can be used to improve performance in various microelectronic applications including MMIC via holes, PIN diodes, Schottky diodes, JFETs' bevel mesa, and avalanche photodiode fabrication.

  4. Highly selective dry etching of GaP in the presence of AlxGa1–xP with a SiCl4/SF6 plasma

    NASA Astrophysics Data System (ADS)

    Hönl, Simon; Hahn, Herwig; Baumgartner, Yannick; Czornomaz, Lukas; Seidler, Paul

    2018-05-01

    We present an inductively coupled-plasma reactive-ion etching process that simultaneously provides both a high etch rate and unprecedented selectivity for gallium phosphide (GaP) in the presence of aluminum gallium phosphide (AlxGa1–xP). Utilizing mixtures of silicon tetrachloride (SiCl4) and sulfur hexafluoride (SF6), selectivities exceeding 2700:1 are achieved at GaP etch rates above 3000 nm min‑1. A design of experiments has been employed to investigate the influence of the inductively coupled-plasma power, the chamber pressure, the DC bias and the ratio of SiCl4 to SF6. The process enables the use of thin AlxGa1–xP stop layers even at aluminum contents of a few percent.

  5. Optimization of etching and reading procedures for the Autoscan 60 track etch system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McKeever, R.; Devine, R.; Coennen, C.

    1997-02-11

    The Los Alamos National Laboratory is charged with measuring the occupational exposure to radiological workers and contractors throughout the Laboratory, which includes many different sites with multiple and varied radiation fields. Of concern here are the high energy neutrons such as those generated during accelerator operations at Los Alamos Neutron Science Center (LANSCE). In 1993, the Los Alamos National Laboratory purchased an Autoscan 60 automated reader for use with chemically etched CR39 detectors. The dosimeter design employed at LANL uses a plastic, hemispherical case, encompassing a polystyrene pyramidal detector holder. The pyramidal holder supports three detectors at a 35{degree} angle.more » Averaging the results of the three detectors minimizes the angular dependence normally associated with a planar dosimeter. The Autoscan 60 is an automated reading system for use with CR39 chemical etch detectors. The detectors are immersed in an etch solution to enhance the visibility of the damage sites caused by recoil proton impact with the hydrogen atoms in the detector. The authors decided to increase the etch time from six hours to 15 hours, while retaining the 70 C temperature. The reason for the change in the etch is to enhance the sensitivity and precision of the CR39 detector as indicated by this study.« less

  6. SiO2 Hole Etching Using Perfluorocarbon Alternative Gas with Small Global Greenhouse Effect

    NASA Astrophysics Data System (ADS)

    Ooka, Masahiro; Yokoyama, Shin

    2004-06-01

    The etching of contact holes of 0.1 μm size in SiO2 is achieved using, for the first time, cyclic (c-)C5F8 with a small greenhouse effect in the pulse-modulated inductively coupled plasma. The shape of the cross section of the contact hole is as good as that etched using conventional c-C4F8. It is confirmed that Kr mixing instead of Ar in the plasma does not change the etching characteristics, although lowering of the electron temperature is expected which reduces the plasma-induced damage. Pulse modulation of the plasma is found to improve the etching selectivity of SiO2 with respect to Si. Langmuir probe measurement of the plasma suggests that the improvement of the etching selectivity is due to the deposition of fluorocarbon film triggered by lowering of the electron temperature when the off time of the radio frequency (rf) power is extended.

  7. Dry etching technologies for reflective multilayer

    NASA Astrophysics Data System (ADS)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  8. Inductively coupled plasma etching of GaAs low loss waveguides for a traveling waveguide polarization converter, using chlorine chemistry

    NASA Astrophysics Data System (ADS)

    Lu, J.; Meng, X.; Springthorpe, A. J.; Shepherd, F. R.; Poirier, M.

    2004-05-01

    A traveling waveguide polarization converter [M. Poirier et al.] has been developed, which involves long, low loss, weakly confined waveguides etched in GaAs (epitaxially grown by molecular beam epitaxy), with electroplated ``T electrodes'' distributed along the etched floor adjacent to the ridge walls, and airbridge interconnect metallization. This article describes the development of the waveguide fabrication, based on inductively coupled plasma (ICP) etching of GaAs using Cl2 chemistry; the special processes required to fabricate the electrodes and metallization [X. Meng et al.], and the device characteristics [M. Poirier et al.], are described elsewhere. The required waveguide has dimensions nominally 4 μm wide and 2.1 μm deep, with dimensional tolerances ~0.1 μm across the wafer and wafer to wafer. A vertical etch profile with very smooth sidewalls and floors is required to enable the plated metal electrodes to be fabricated within 0.1 μm of the ridge. The ridges were fabricated using Cl2 ICP etching and a photoresist mask patterned with an I-line stepper; He backside cooling, combined with an electrostatic chuck, was employed to ensure good heat transfer to prevent resist reticulation. The experimental results showed that the ridge profile is very sensitive to ICP power and platen rf power. High ICP power and low platen power tend to result in more isotropic etching, whereas increasing platen power increases the photoresist etch rate, which causes rougher ridge sidewalls. No strong dependence of GaAs etch rate and ridge profile were observed with small changes in process temperature (chuck temperature). However, when the chuck temperature was decreased from 25 to 0 °C, etch uniformity across a 3 in. wafer improved from 6% to 3%. Photoresist and polymer residues present after the ICP etch were removed using a combination of wet and dry processes. .

  9. No positive effect of Acid etching or plasma cleaning on osseointegration of titanium implants in a canine femoral condyle press-fit model.

    PubMed

    Saksø, H; Jakobsen, T; Saksø, M; Baas, J; Jakobsen, Ss; Soballe, K

    2013-01-01

    Implant surface treatments that improve early osseointegration may prove useful in long-term survival of uncemented implants. We investigated Acid Etching and Plasma Cleaning on titanium implants. In a randomized, paired animal study, four porous coated Ti implants were inserted into the femurs of each of ten dogs. PC (Porous Coating; control)PC+PSHA (Plasma Sprayed Hydroxyapatite; positive control)PC+ET (Acid Etch)PC+ET+PLCN (Plasma Cleaning) After four weeks mechanical fixation was evaluated by push-out test and osseointegration by histomorphometry. The PSHA-coated implants were better osseointegrated than the three other groups on outer surface implant porosity (p<0.05) while there was no statistical difference in deep surface implant porosity when compared with nontreated implant. Within the deep surface implant porosity, there was more newly formed bone in the control group compared to the ET and ET+PCLN groups (p<0.05). In all compared groups, there was no statistical difference in any biomechanical parameter. In terms of osseointegration on outer surface implant porosity PC+PSHA was superior to the other three groups. Neither the acid etching nor the plasma cleaning offered any advantage in terms of implant osseointegration. There was no statistical difference in any of the biomechanical parameters among all groups in the press-fit model at 4 weeks of evaluation time.

  10. Modeling of block copolymer dry etching for directed self-assembly lithography

    NASA Astrophysics Data System (ADS)

    Belete, Zelalem; Baer, Eberhard; Erdmann, Andreas

    2018-03-01

    Directed self-assembly (DSA) of block copolymers (BCP) is a promising alternative technology to overcome the limits of patterning for the semiconductor industry. DSA exploits the self-assembling property of BCPs for nano-scale manufacturing and to repair defects in patterns created during photolithography. After self-assembly of BCPs, to transfer the created pattern to the underlying substrate, selective etching of PMMA (poly (methyl methacrylate)) to PS (polystyrene) is required. However, the etch process to transfer the self-assemble "fingerprint" DSA patterns to the underlying layer is still a challenge. Using combined experimental and modelling studies increases understanding of plasma interaction with BCP materials during the etch process and supports the development of selective process that form well-defined patterns. In this paper, a simple model based on a generic surface model has been developed and an investigation to understand the etch behavior of PS-b-PMMA for Ar, and Ar/O2 plasma chemistries has been conducted. The implemented model is calibrated for etch rates and etch profiles with literature data to extract parameters and conduct simulations. In order to understand the effect of the plasma on the block copolymers, first the etch model was calibrated for polystyrene (PS) and poly (methyl methacrylate) (PMMA) homopolymers. After calibration of the model with the homopolymers etch rate, a full Monte-Carlo simulation was conducted and simulation results are compared with the critical-dimension (CD) and selectivity of etch profile measurement. In addition, etch simulations for lamellae pattern have been demonstrated, using the implemented model.

  11. Inductive plasmas for plasma processing

    NASA Astrophysics Data System (ADS)

    Keller, John H.

    1996-05-01

    With the need for high plasma density and low pressure in single wafer etching tools, a number of inductive etching systems have been and are being developed for commercial sale. This paper reviews some of the history of low-pressure inductive plasmas, gives features of inductive plasmas, limitations, corrections and presents uses for plasma processing. The theory for the skin depth, rf coil impedance and efficiency is also discussed.

  12. Bulk vertical micromachining of single-crystal sapphire using inductively coupled plasma etching for x-ray resonant cavities

    NASA Astrophysics Data System (ADS)

    Chen, P.-C.; Lin, P.-T.; Mikolas, D. G.; Tsai, Y.-W.; Wang, Y.-L.; Fu, C.-C.; Chang, S.-L.

    2015-01-01

    To provide coherent x-ray sources for probing the dynamic structures of solid or liquid biological substances on the picosecond timescale, a high-aspect-ratio x-ray resonator cavity etched from a single crystal substrate with a nearly vertical sidewall structure is required. Although high-aspect-ratio resonator cavities have been produced in silicon, they suffer from unwanted multiple beam effects. However, this problem can be avoided by using the reduced symmetry of single-crystal sapphire in which x-ray cavities may produce a highly monochromatic transmitted x-ray beam. In this study, we performed nominal 100 µm deep etching and vertical sidewall profiles in single crystal sapphire using inductively coupled plasma (ICP) etching. The large depth is required to intercept a useful fraction of a stopped-down x-ray beam, as well as for beam clearance. An electroplated Ni hard mask was patterned using KMPR 1050 photoresist and contact lithography. The quality and performance of the x-ray cavity depended upon the uniformity of the cavity gap and therefore verticality of the fabricated vertical sidewall. To our knowledge, this is the first report of such deep, vertical etching of single-crystal sapphire. A gas mixture of Cl2/BCl3/Ar was used to etch the sapphire with process variables including BCl3 flow ratio and bias power. By etching for 540 min under optimal conditions, we obtained an x-ray resonant cavity with a depth of 95 µm, width of ~30 µm, gap of ~115 µm and sidewall profile internal angle of 89.5°. The results show that the etching parameters affected the quality of the vertical sidewall, which is essential for good x-ray resonant cavities.

  13. Mechanisms of Hydrocarbon Based Polymer Etch

    NASA Astrophysics Data System (ADS)

    Lane, Barton; Ventzek, Peter; Matsukuma, Masaaki; Suzuki, Ayuta; Koshiishi, Akira

    2015-09-01

    Dry etch of hydrocarbon based polymers is important for semiconductor device manufacturing. The etch mechanisms for oxygen rich plasma etch of hydrocarbon based polymers has been studied but the mechanism for lean chemistries has received little attention. We report on an experimental and analytic study of the mechanism for etching of a hydrocarbon based polymer using an Ar/O2 chemistry in a single frequency 13.56 MHz test bed. The experimental study employs an analysis of transients from sequential oxidation and Ar sputtering steps using OES and surface analytics to constrain conceptual models for the etch mechanism. The conceptual model is consistent with observations from MD studies and surface analysis performed by Vegh et al. and Oehrlein et al. and other similar studies. Parameters of the model are fit using published data and the experimentally observed time scales.

  14. Predictions of ion energy distributions and radical fluxes in radio frequency biased inductively coupled plasma etching reactors

    NASA Astrophysics Data System (ADS)

    Hoekstra, Robert J.; Kushner, Mark J.

    1996-03-01

    Inductively coupled plasma (ICP) reactors are being developed for low gas pressure (<10s mTorr) and high plasma density ([e]≳1011 cm-3) microelectronics fabrication. In these reactors, the plasma is generated by the inductively coupled electric field while an additional radio frequency (rf) bias is applied to the substrate. One of the goals of these systems is to independently control the magnitude of the ion flux by the inductively coupled power deposition, and the acceleration of ions into the substrate by the rf bias. In high plasma density reactors the width of the sheath above the wafer may be sufficiently thin that ions are able to traverse it in approximately 1 rf cycle, even at 13.56 MHz. As a consequence, the ion energy distribution (IED) may have a shape typically associated with lower frequency operation in conventional reactive ion etching tools. In this paper, we present results from a computer model for the IED incident on the wafer in ICP etching reactors. We find that in the parameter space of interest, the shape of the IED depends both on the amplitude of the rf bias and on the ICP power. The former quantity determines the average energy of the IED. The latter quantity controls the width of the sheath, the transit time of ions across the sheath and hence the width of the IED. In general, high ICP powers (thinner sheaths) produce wider IEDs.

  15. Etch Profile Simulation Using Level Set Methods

    NASA Technical Reports Server (NTRS)

    Hwang, Helen H.; Meyyappan, Meyya; Arnold, James O. (Technical Monitor)

    1997-01-01

    Etching and deposition of materials are critical steps in semiconductor processing for device manufacturing. Both etching and deposition may have isotropic and anisotropic components, due to directional sputtering and redeposition of materials, for example. Previous attempts at modeling profile evolution have used so-called "string theory" to simulate the moving solid-gas interface between the semiconductor and the plasma. One complication of this method is that extensive de-looping schemes are required at the profile corners. We will present a 2D profile evolution simulation using level set theory to model the surface. (1) By embedding the location of the interface in a field variable, the need for de-looping schemes is eliminated and profile corners are more accurately modeled. This level set profile evolution model will calculate both isotropic and anisotropic etch and deposition rates of a substrate in low pressure (10s mTorr) plasmas, considering the incident ion energy angular distribution functions and neutral fluxes. We will present etching profiles of Si substrates in Ar/Cl2 discharges for various incident ion energies and trench geometries.

  16. Recovery of GaN surface after reactive ion etching

    NASA Astrophysics Data System (ADS)

    Fan, Qian; Chevtchenko, S.; Ni, Xianfeng; Cho, Sang-Jun; Morko, Hadis

    2006-02-01

    Surface properties of GaN subjected to reactive ion etching and the impact on device performance have been investigated by surface potential, optical and electrical measurements. Different etching conditions were studied and essentially high power levels and low chamber pressures resulted in higher etch rates accompanying with the roughening of the surface morphology. Surface potential for the as-grown c-plane GaN was found to be in the range of 0.5~0.7 V using Scanning Kevin Probe Microscopy. However, after reactive ion etching at a power level of 300 W, it decreased to 0.1~0.2 V. A nearly linear reduction was observed on c-plane GaN with increasing power. The nonpolar a-plane GaN samples also showed large surface band bending before and after etching. Additionally, the intensity of the near band-edge photoluminescence decreased and the free carrier density increased after etching. These results suggest that the changes in the surface potential may originate from the formation of possible nitrogen vacancies and other surface oriented defects and adsorbates. To recover the etched surface, N II plasma, rapid thermal annealing, and etching in wet KOH were performed. For each of these methods, the surface potential was found to increase by 0.1~0.3 V, also the reverse leakage current in Schottky diodes fabricated on treated samples was reduced considerably compared with as-etched samples, which implies a partial-to-complete recovery from the plasma-induced damage.

  17. Silicon nitride and silicon etching by CH{sub 3}F/O{sub 2} and CH{sub 3}F/CO{sub 2} plasma beams

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaler, Sanbir S.; Lou, Qiaowei; Donnelly, Vincent M., E-mail: vmdonnelly@uh.edu

    2016-07-15

    Silicon nitride (SiN, where Si:N ≠ 1:1) films low pressure-chemical vapor deposited on Si substrates, Si films on Ge on Si substrates, and p-Si samples were exposed to plasma beams emanating from CH{sub 3}F/O{sub 2} or CH{sub 3}F/CO{sub 2} inductively coupled plasmas. Conditions within the plasma beam source were maintained at power of 300 W (1.9 W/cm{sup 3}), pressure of 10 mTorr, and total gas flow rate of 10 sccm. X-ray photoelectron spectroscopy was used to determine the thicknesses of Si/Ge in addition to hydrofluorocarbon polymer films formed at low %O{sub 2} or %CO{sub 2} addition on p-Si and SiN. Polymer film thickness decreasedmore » sharply as a function of increasing %O{sub 2} or %CO{sub 2} addition and dropped to monolayer thickness above the transition point (∼48% O{sub 2} or ∼75% CO{sub 2}) at which the polymer etchants (O and F) number densities in the plasma increased abruptly. The C(1s) spectra for the polymer films deposited on p-Si substrates appeared similar to those on SiN. Spectroscopic ellipsometry was used to measure the thickness of SiN films etched using the CH{sub 3}F/O{sub 2} and CH{sub 3}F/CO{sub 2} plasma beams. SiN etching rates peaked near 50% O{sub 2} addition and 73% CO{sub 2} addition. Faster etching rates were measured in CH{sub 3}F/CO{sub 2} than CH{sub 3}F/O{sub 2} plasmas above 70% O{sub 2} or CO{sub 2} addition. The etching of Si stopped after a loss of ∼3 nm, regardless of beam exposure time and %O{sub 2} or %CO{sub 2} addition, apparently due to plasma assisted oxidation of Si. An additional GeO{sub x}F{sub y} peak was observed at 32.5 eV in the Ge(3d) region, suggesting deep penetration of F into Si, under the conditions investigated.« less

  18. Atmospheric-pressure plasma jet system for silicon etching without fluorocarbon gas feed

    NASA Astrophysics Data System (ADS)

    Ohtsu, Yasunori; Nagamatsu, Kenta

    2018-01-01

    We developed an atmospheric-pressure plasma jet (APPJ) system with a tungsten rod electrode coated with C2F4 particles of approximately 0.3 µm diameter for the surface treatment of a silicon wafer. The APPJ was generated by dielectric barrier discharge with a driving frequency of 22 kHz using a He gas flow. The characteristics of the APPJ were examined under various experimental conditions. The plasma jet length increased proportionally to the electric field. It was found that the treatment area of the silicon wafer was approximately 1 mm in diameter. By atomic force microscopy analysis, minute irregularities with a maximum length of about 600 nm and part of a ring-shaped trench were observed. A Si etching rate of approximately 400 nm/min was attained at a low power of 6 W and a He flow rate of 1 L/min without introducing molecular gas including F atoms.

  19. Review Article: Unraveling synergistic effects in plasma-surface processes by means of beam experiments

    PubMed Central

    von Keudell, Achim; Corbella, Carles

    2017-01-01

    The interaction of plasmas with surfaces is dominated by synergistic effects between incident ions and radicals. Film growth is accelerated by the ions, providing adsorption sites for incoming radicals. Chemical etching is accelerated by incident ions when chemical etching products are removed from the surface by ion sputtering. The latter is the essence of anisotropic etching in microelectronics, as elucidated by the seminal paper of Coburn and Winters [J. Appl. Phys. 50, 3189 (1979)]. However, ion-radical-synergisms play also an important role in a multitude of other systems, which are described in this article: (1) hydrocarbon thin film growth from methyl radicals and hydrogen atoms; (2) hydrocarbon thin film etching by ions and reactive neutrals; (3) plasma inactivation of bacteria; (4) plasma treatment of polymers; and (5) oxidation mechanisms during reactive magnetron sputtering of metal targets. All these mechanisms are unraveled by using a particle beam experiment to mimic the plasma–surface interface with the advantage of being able to control the species fluxes independently. It clearly shows that the mechanisms in action that had been described by Coburn and Winters [J. Appl. Phys. 50, 3189 (1979)] are ubiquitous. PMID:29104360

  20. Foundations of low-temperature plasma enhanced materials synthesis and etching

    NASA Astrophysics Data System (ADS)

    Oehrlein, Gottlieb S.; Hamaguchi, Satoshi

    2018-02-01

    Low temperature plasma (LTP)-based synthesis of advanced materials has played a transformational role in multiple industries, including the semiconductor industry, liquid crystal displays, coatings and renewable energy. Similarly, the plasma-based transfer of lithographically defined resist patterns into other materials, e.g. silicon, SiO2, Si3N4 and other electronic materials, has led to the production of nanometer scale devices that are the basis of the information technology, microsystems, and many other technologies based on patterned films or substrates. In this article we review the scientific foundations of both LTP-based materials synthesis at low substrate temperature and LTP-based isotropic and directional etching used to transfer lithographically produced resist patterns into underlying materials. We cover the fundamental principles that are the basis of successful application of the LTP techniques to technological uses and provide an understanding of technological factors that may control or limit material synthesis or surface processing with the use of LTP. We precede these sections with a general discussion of plasma surface interactions, the LTP-generated particle fluxes including electrons, ions, radicals, excited neutrals and photons that simultaneously contact and modify surfaces. The surfaces can be in the line of sight of the discharge or hidden from direct interaction for structured substrates. All parts of the article are extensively referenced, which is intended to help the reader study the topics discussed here in more detail.

  1. High-Temperature Isothermal Capacitance Transient Spectroscopy Study on Inductively Coupled Plasma Etching Damage for p-GaN Surfaces

    NASA Astrophysics Data System (ADS)

    Aoki, Toshichika; Wakayama, Hisashi; Kaneda, Naoki; Mishima, Tomoyoshi; Nomoto, Kazuki; Shiojima, Kenji

    2013-11-01

    The effects of the inductively coupled plasma (ICP) etching damage on the electrical characteristics of low-Mg-doped p-GaN Schottky contacts were evaluated by high-temperature isothermal capacitance transient spectroscopy. A large single peak for an acceptor-type surface state was dominantly detected for as-grown samples. The energy level and state density were obtained to be 1.18 eV above the valence band, which is close to a Ga vacancy (VGa), and 1.5×1013 cm-2, respectively. It was speculated that a small portion of Ga atoms were missing from the surface, and a high VGa density was observed in a few surface layers. The peak intensity decreased by 60% upon annealing at 800 °C, and further decrease was found by ICP etching. This decrease is consistent with the suppression of the memory effect in current-voltage characteristics. Upon annealing and ICP etching, since the VGa structure might be disordered, the peak intensity decreased.

  2. Effects of laser radiation field on energies of hydrogen atom in plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bahar, M. K., E-mail: mussiv58@gmail.com

    2015-09-15

    In this study, for the first time, the Schrödinger equation with more general exponential cosine screened Coulomb (MGECSC) potential is solved numerically in the presence of laser radiation field within the Ehlotzky approximation using the asymptotic iteration method. The MGECSC potential includes four different potential forms in consideration of different sets of the parameters in the potential. By applying laser field, the total interaction potential of hydrogen atom embedded in plasmas converts to double well-type potential. The plasma screening effects under the influence of laser field as well as confinement effects of laser field on hydrogen atom in Debye andmore » quantum plasmas are investigated by solving the Schrödinger equation with the laser-dressed MGECSC potential. It is resulted that since applying a monochromatic laser field on hydrogen atom embedded in a Debye and quantum plasma causes to shift in the profile of the total interaction potential, the confinement effects of laser field on hydrogen atom in plasmas modeled by the MGECSC potential change localizations of energy states.« less

  3. Characterization of high-pressure capacitively coupled hydrogen plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nunomura, S.; Kondo, M.

    2007-11-01

    Capacitively coupled very-high-frequency hydrogen plasmas have been systematically diagnosed in a wide range of a gas pressure from 5 mTorr to 10 Torr. The plasma parameters, ion species, and ion energy distributions (IEDs) are measured using a Langmuir probe, optical emission spectroscopy, and energy filtered mass spectrometer. The measurement results show that the ion species in a hydrogen plasma is determined from ionization channels and subsequent ion-molecule reactions. The ions are dominated by H{sub 2}{sup +} at a less-collisional condition of < or approx. 20 mTorr, whereas those are dominated by H{sub 3}{sup +} at a collisional condition of >more » or approx. 20 mTorr. The IED is determined by both the sheath potential drop and ion-neutral collisions in the plasma sheath. The IED is broadened for a collisional sheath at > or approx. 0.3 Torr and the ion bombardment energy is lowered. For high-pressure discharge operated at {approx_equal}10 Torr, plasmas are characterized by a low electron temperature of {approx_equal}0.8 eV and a low ion bombardment energy of < or approx. 15 eV.« less

  4. Ion beam sputter etching and deposition of fluoropolymers

    NASA Technical Reports Server (NTRS)

    Banks, B. A.; Sovey, J. S.; Miller, T. B.; Crandall, K. S.

    1978-01-01

    Fluoropolymer etching and deposition techniques including thermal evaporation, RF sputtering, plasma polymerization, and ion beam sputtering are reviewed. Etching and deposition mechanism and material characteristics are discussed. Ion beam sputter etch rates for polytetrafluoroethylene (PTFE) were determined as a function of ion energy, current density and ion beam power density. Peel strengths were measured for epoxy bonds to various ion beam sputtered fluoropolymers. Coefficients of static and dynamic friction were measured for fluoropolymers deposited from ion bombarded PTFE.

  5. Hydrogen production from alcohol reforming in a microwave ‘tornado’-type plasma

    NASA Astrophysics Data System (ADS)

    Tatarova, E.; Bundaleska, N.; Dias, F. M.; Tsyganov, D.; Saavedra, R.; Ferreira, C. M.

    2013-12-01

    In this work, an experimental investigation of microwave plasma-assisted reforming of different alcohols is presented. A microwave (2.45 GHz) ‘tornado’-type plasma with a high-speed tangential gas injection (swirl) at atmospheric pressure is applied to decompose alcohol molecules, namely methanol, ethanol and propanol, and to produce hydrogen-rich gas. The reforming efficiency is investigated both in Ar and Ar+ water vapor plasma environments. The hydrogen yield dependence on the partial alcohol flux is analyzed. Mass spectrometry and Fourier transform infrared spectroscopy are used to detect the outlet gas products from the decomposition process. Hydrogen, carbon monoxide, carbon dioxide and solid carbon are the main decomposition by-products. A significant increase in the hydrogen production rate is observed with the addition of a small amount of water. Furthermore, optical emission spectroscopy is applied to detect the radiation emitted by the plasma and to estimate the gas temperature and electron density.

  6. Formation mechanism of graphite hexagonal pyramids by argon plasma etching of graphite substrates

    NASA Astrophysics Data System (ADS)

    Glad, X.; de Poucques, L.; Bougdira, J.

    2015-12-01

    A new graphite crystal morphology has been recently reported, namely the graphite hexagonal pyramids (GHPs). They are hexagonally-shaped crystals with diameters ranging from 50 to 800 nm and a constant apex angle of 40°. These nanostructures are formed from graphite substrates (flexible graphite and highly ordered pyrolytic graphite) in low pressure helicon coupling radiofrequency argon plasma at 25 eV ion energy and, purportedly, due to a physical etching process. In this paper, the occurrence of peculiar crystals is shown, presenting two hexagonal orientations obtained on both types of samples, which confirms such a formation mechanism. Moreover, by applying a pretreatment step with different time durations of inductive coupling radiofrequency argon plasma, for which the incident ion energy decreases at 12 eV, uniform coverage of the surface can be achieved with an influence on the density and size of the GHPs.

  7. Angular dependence of etch rates in the etching of poly-Si and fluorocarbon polymer using SF6, C4F8, and O2 plasmas

    NASA Astrophysics Data System (ADS)

    Min, Jae-Ho; Lee, Gyeo-Re; Lee, Jin-Kwan; Moon, Sang Heup; Kim, Chang-Koo

    2004-05-01

    The dependences of etch rates on the angle of ions incident on the substrate surface in four plasma/substrate systems that constitute the advanced Bosch process were investigated using a Faraday cage designed for the accurate control of the ion-incident angle. The four systems, established by combining discharge gases and substrates, were a SF6/poly-Si, a SF6/fluorocarbon polymer, an O2/fluorocarbon polymer, and a C4F8/Si. In the case of SF6/poly-Si, the normalized etch rates (NERs), defined as the etch rates normalized by the rate on the horizontal surface, were higher at all angles than values predicted from the cosine of the ion-incident angle. This characteristic curve shape was independent of changes in process variables including the source power and bias voltage. Contrary to the earlier case, the NERs for the O2/polymer decreased and eventually reached much lower values than the cosine values at angles between 30° and 70° when the source power was increased and the bias voltage was decreased. On the other hand, the NERs for the SF6/polymer showed a weak dependence on the process variables. In the case of C4F8/Si, which is used in the Bosch process for depositing a fluorocarbon layer on the substrate surface, the deposition rate varied with the ion incident angle, showing an S-shaped curve. These characteristic deposition rate curves, which were highly dependent on the process conditions, could be divided into four distinct regions: a Si sputtering region, an ion-suppressed polymer deposition region, an ion-enhanced polymer deposition region, and an ion-free polymer deposition region. Based on the earlier characteristic angular dependences of the etch (or deposition) rates in the individual systems, ideal process conditions for obtaining an anisotropic etch profile in the advanced Bosch process are proposed. .

  8. Hydrogen production by conversion of ethanol injected into a microwave plasma

    NASA Astrophysics Data System (ADS)

    Czylkowski, Dariusz; Hrycak, Bartosz; Jasiński, Mariusz; Dors, Mirosław; Mizeraczyk, Jerzy

    2017-12-01

    Reforming of gaseous and liquid hydrocarbon compounds into hydrogen is of high interest. In this paper we present a microwave (2.45 GHz) plasma-based method for hydrogen production by conversion of ethanol (C2H5OH) in the thermal reforming process in nitrogen plasma. In contrast to our earlier investigations, in which C2H5OH vapour was supplied into the microwave plasma region either in the form of a swirl or axial flow, in this experiment we injected C2H5OH vapour directly into the nitrogen microwave plasma flame, behind the microwave plasma generation region. The experimental results were as follows. At an absorbed microwave power of 5 kW, N2 (plasma-generating gas) swirl flow rate of 2700 NL(N2)/h and C2H5OH mass flow rate of 2.7 kg(C2H5OH)/h the hydrogen production rate was 1016 NL(H2)/h, which corresponds to the energy yield of hydrogen production 203 NL(H2)/kWh. After the C2H5OH conversion the outlet gas contained 27.6% (vol.) H2, 10.2% CO, 0.2% CO2, 4.8% CH4, 4.3% C2H2, 3.7% C2H4 and 3.7% C2H6. These results are comparable to those obtained in our earlier investigations, in which different methods of C2H5OH vapour supply to the microwave plasma generation region were employed. Contribution to the Topical Issue: "Advances in Plasma Chemistry", edited by Slobodan Milošević, Nikša Krstulović, and Holger Kersten.

  9. Effects of gas flow rate on the etch characteristics of a low- k sicoh film with an amorphous carbon mask in dual-frequency CF4/C4F8/Ar capacitively-coupled plasmas

    NASA Astrophysics Data System (ADS)

    Kwon, Bong-Soo; Lee, Hea-Lim; Lee, Nae-Eung; Kim, Chang-Young; Choi, Chi Kyu

    2013-01-01

    Highly selective nanoscale etching of a low-dielectric constant (low- k) organosilicate (SiCOH) layer using a mask pattern of chemical-vapor-deposited (CVD) amorphous carbon layer (ACL) was carried out in CF4/C4F8/Ar dual-frequency superimposed capacitively-coupled plasmas. The etching characteristics of the SiCOH layers, such as the etch rate, etch selectivity, critical dimension (CD), and line edge roughness (LER) during the plasma etching, were investigated by varying the C4F8 flow rate. The C4F8 gas flow rate primarily was found to control the degree of polymerization and to cause variations in the selectivity, CD and LER of the patterned SiCOH layer. Process windows for ultra-high etch selectivity of the SiCOH layer to the CVD ACL are formed due to the disproportionate degrees of polymerization on the SiCOH and the ACL surfaces.

  10. Microwave plasma generation of arsine from hydrogen and solid arsenic

    NASA Astrophysics Data System (ADS)

    Omstead, Thomas R.; Annapragada, Ananth V.; Jensen, Klavs F.

    1990-12-01

    The generation of arsine from the reactions of hydrogen and elemental arsenic in a microwave plasma reactor is described. The arsenic is evaporated from a solid source upstream and carried into the microwave plasma region by a mixture of hydrogen and argon. Stable reaction products, arsine and diarsine are observed by molecular beam sampled mass spectroscopy along with partially hydrogenated species (e.g., AsH and AsH2). The effect of composition and flow rate of the argon/hydrogen carrier gas mixture on the amount of arsine generated is investigated. The arsine production reaches a maximum for an argon-to-hydrogen ratio of unity indicating that metastable argon species act as energy transfer intermediates in the overall reaction. The generation of arsine and diarsine from easily handled solid arsenic by this technique makes it attractive as a possible arsenic source for the growth of compound semiconductors by low-pressure metalorganic chemical vapor deposition.

  11. Capabilities of ICP-RIE cryogenic dry etching of silicon: review of exemplary microstructures

    NASA Astrophysics Data System (ADS)

    Sökmen, Ü.; Stranz, A.; Fündling, S.; Wehmann, H.-H.; Bandalo, V.; Bora, A.; Tornow, M.; Waag, A.; Peiner, E.

    2009-10-01

    Inductively coupled plasma (ICP) cryogenic dry etching was used to etch submicron pores, nano contact lines, submicron diameter pillars, thin and thick cantilevers, membrane structures and anisotropic deep structures with high aspect ratios in silicon for bio-nanoelectronics, optoelectronics and nano-micro electromechanical systems (NMEMS). The ICP cryogenic dry etching gives us the advantage of switching plasmas between etch rates of 13 nm min-1 and 4 µm min-1 for submicron pores and for membrane structures, respectively. A very thin photoresist mask can endure at -75 °C even during etching 70 µm deep for cantilevers and 300 µm deep for membrane structures. Coating the backsides of silicon membrane substrates with a thin photoresist film inhibited the lateral etching of cantilevers during their front release. Between -95 °C and -140 °C, we realized crystallographic-plane-dependent etching that creates facets only at the etch profile bottom. By varying the oxygen content and the process temperature, we achieved good control over the shape of the etched structures. The formation of black silicon during membrane etching down to 300 µm was delayed by reducing the oxygen content.

  12. Atomistic simulations of graphite etching at realistic time scales.

    PubMed

    Aussems, D U B; Bal, K M; Morgan, T W; van de Sanden, M C M; Neyts, E C

    2017-10-01

    Hydrogen-graphite interactions are relevant to a wide variety of applications, ranging from astrophysics to fusion devices and nano-electronics. In order to shed light on these interactions, atomistic simulation using Molecular Dynamics (MD) has been shown to be an invaluable tool. It suffers, however, from severe time-scale limitations. In this work we apply the recently developed Collective Variable-Driven Hyperdynamics (CVHD) method to hydrogen etching of graphite for varying inter-impact times up to a realistic value of 1 ms, which corresponds to a flux of ∼10 20 m -2 s -1 . The results show that the erosion yield, hydrogen surface coverage and species distribution are significantly affected by the time between impacts. This can be explained by the higher probability of C-C bond breaking due to the prolonged exposure to thermal stress and the subsequent transition from ion- to thermal-induced etching. This latter regime of thermal-induced etching - chemical erosion - is here accessed for the first time using atomistic simulations. In conclusion, this study demonstrates that accounting for long time-scales significantly affects ion bombardment simulations and should not be neglected in a wide range of conditions, in contrast to what is typically assumed.

  13. Defect-selective dry etching for quick and easy probing of hexagonal boron nitride domains.

    PubMed

    Wu, Qinke; Lee, Joohyun; Park, Sangwoo; Woo, Hwi Je; Lee, Sungjoo; Song, Young Jae

    2018-03-23

    In this study, we demonstrate a new method to selectively etch the point defects or the boundaries of as-grown hexagonal boron nitride (hBN) films and flakes in situ on copper substrates using hydrogen and argon gases. The initial quality of the chemical vapor deposition-grown hBN films and flakes was confirmed by UV-vis absorption spectroscopy, atomic force microscopy, and transmission electron microscopy. Different gas flow ratios of Ar/H 2 were then employed to etch the same quality of samples and it was found that etching with hydrogen starts from the point defects and grows epitaxially, which helps in confirming crystalline orientations. However, etching with argon is sensitive to line defects (boundaries) and helps in visualizing the domain size. Finally, based on this defect-selective dry etching technique, it could be visualized that the domains of a polycrystalline hBN monolayer merged together with many parts, even with those that grew from a single nucleation seed.

  14. Defect-selective dry etching for quick and easy probing of hexagonal boron nitride domains

    NASA Astrophysics Data System (ADS)

    Wu, Qinke; Lee, Joohyun; Park, Sangwoo; Woo, Hwi Je; Lee, Sungjoo; Song, Young Jae

    2018-03-01

    In this study, we demonstrate a new method to selectively etch the point defects or the boundaries of as-grown hexagonal boron nitride (hBN) films and flakes in situ on copper substrates using hydrogen and argon gases. The initial quality of the chemical vapor deposition-grown hBN films and flakes was confirmed by UV-vis absorption spectroscopy, atomic force microscopy, and transmission electron microscopy. Different gas flow ratios of Ar/H2 were then employed to etch the same quality of samples and it was found that etching with hydrogen starts from the point defects and grows epitaxially, which helps in confirming crystalline orientations. However, etching with argon is sensitive to line defects (boundaries) and helps in visualizing the domain size. Finally, based on this defect-selective dry etching technique, it could be visualized that the domains of a polycrystalline hBN monolayer merged together with many parts, even with those that grew from a single nucleation seed.

  15. Application of low-temperature plasma for the synthesis of hydrogenated graphene (graphane)

    NASA Astrophysics Data System (ADS)

    Shavelkina, M. B.; Amirov, R. H.; Katarzhis, V. A.; Kiselev, V. I.

    2017-12-01

    The possibility of a direct synthesis of hydrogenated graphene in decomposition of methane by means of low-temperature plasma was investigated. A DC plasma torch with an expanding channel-anode, a vortex gas supply and a self-setting arc length was used as a generator of low-temperature plasma. Argon was used as the plasma-forming gas. The temperatures of argon plasma and with methane addition to it were determined on the basis of spectral measurements. The synthesis products were characterized by electron microscopy and thermogravimetry. The effect of hydrogenated graphene as a nanomodifier on the properties of the cubic boron nitride based functional ceramics was investigated.

  16. Microwave plasma torches used for hydrogen production

    NASA Astrophysics Data System (ADS)

    Dias, F. M.; Bundaleska, N.; Henriques, J.; Tatarova, E.; Ferreira, C. M.

    2014-06-01

    A microwave plasma torch operating at 2.45 GHz and atmospheric pressure has been used as a medium and a tool for decomposition of alcohol in order to produce molecular hydrogen. Plasma in a gas mixture of argon and ethanol/methanol, with or without water, has been created using a waveguide surfatron launcher and a microwave generator delivering a power in the range 0.2-2.0 kW. Mass, Fourier Transform Infrared, and optical emission spectrometry have been applied as diagnostic tools. The decomposition yield of methanol was nearly 100 % with H2, CO, CO2, H2O, and solid carbon as the main reaction products. The influence of the fraction of Ar flow through the liquid ethanol/methanol on H2, CO, and CO2 partial pressures has been investigated, as well as the dependence of the produced H2 flow on the total flow and power. The optical emission spectrum in the range 250-700 nm has also been detected. There is a decrease of the OH(A-X) band intensity with the increase of methanol in the mixture. The emission of carbon atoms in the near UV range (240-300 nm) exhibits a significant increase as the amount of alcohol in the mixture grows. The obtained results clearly show that this microwave plasma torch at atmospheric pressure provides an efficient plasma environment for hydrogen production.

  17. Highly roughened polycaprolactone surfaces using oxygen plasma-etching and in vitro mineralization for bone tissue regeneration: fabrication, characterization, and cellular activities.

    PubMed

    Kim, YongBok; Kim, GeunHyung

    2015-01-01

    Herein, poly(ɛ-caprolactone) (PCL) surfaces were treated to form various roughness values (R(a)=290-445 nm) and polar functional groups on the surfaces using a plasma-etching process, followed by immersion into simulated body fluid (SBF) for apatite formation. The surface morphology, chemical composition, and mean roughness of the plasma-etched PCL surfaces were measured, and various physical and morphological properties (water contact angles, protein absorption ability, and crystallite size of the apatite layer) of the in vitro mineralized PCL surfaces were evaluated. The roughened PCL surface P-3, which was treated with a sufficient plasma exposure time (4 h), achieved homogeneously distributed apatite formation after soaking in SBF for 7 days, as compared with other surfaces that were untreated or plasma-treated for 30 min or 2 h. Furthermore, to demonstrate their feasibility as a biomimetic surface, pre-osteoblast cells (MC3T3-E1) were cultured on the mineralized PCL surfaces, and cell viability, DAPI-phalloidin fluorescence assay, and alizarin red-staining of the P-3 surface were highly improved compared to the P-1 surface treated with a 30-min plasma exposure time; compared to untreated mineralized PCL surface (N-P), P-3 showed even greater improvements in cell viability and DAPI-phalloidin fluorescence assay. Based on these results, we found that the mineralized PCL surface supplemented with the appropriate plasma treatment can be implicitly helpful to achieve rapid hard tissue regeneration. Copyright © 2014 Elsevier B.V. All rights reserved.

  18. Inactivation of possible micromycete food contaminants using the low-temperature plasma and hydrogen peroxide

    NASA Astrophysics Data System (ADS)

    Čeřovský, M.; Khun, J.; Rusová, K.; Scholtz, V.; Soušková, H.

    2013-09-01

    The inhibition effect of hydrogen peroxide aerosol, low-temperature plasma and their combinations has been studied on several micromycetes spores. The low-temperature plasma was generated in corona discharges in the open air apparatus with hydrogen peroxide aerosol. Micromycete spores were inoculated on the surface of agar plates, exposed solely to the hydrogen peroxide aerosol, corona discharge or their combination. After incubation the diameter of inhibition zone was measured. The solely positive corona discharge exhibits no inactivation effect, the solely negative corona discharge and solely hydrogen peroxide aerosol exhibit the inactivation effect, however their combinations exhibit to be much more effective. Low-temperature plasma and hydrogen peroxide aerosol present a possible alternative method of microbial decontamination of food, food packages or other thermolabile materials.

  19. Solid polymer electrolyte composite membrane comprising plasma etched porous support

    DOEpatents

    Liu, Han; LaConti, Anthony B.

    2010-10-05

    A solid polymer electrolyte composite membrane and method of manufacturing the same. According to one embodiment, the composite membrane comprises a rigid, non-electrically-conducting support, the support preferably being a sheet of polyimide having a thickness of about 7.5 to 15 microns. The support has a plurality of cylindrical pores extending perpendicularly between opposing top and bottom surfaces of the support. The pores, which preferably have a diameter of about 0.1 to 5 microns, are made by plasma etching and preferably are arranged in a defined pattern, for example, with fewer pores located in areas of high membrane stress and more pores located in areas of low membrane stress. The pores are filled with a first solid polymer electrolyte, such as a perfluorosulfonic acid (PFSA) polymer. A second solid polymer electrolyte, which may be the same as or different than the first solid polymer electrolyte, may be deposited over the top and/or bottom of the first solid polymer electrolyte.

  20. Fabrication of lithographically defined optical coupling facets for silicon-on-insulator waveguides by inductively coupled plasma etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yap, K.P.; Lamontagne, B.; Delage, A.

    2006-05-15

    We present a technique to lithographically define and fabricate all required optical facets on a silicon-on-insulator photonic integrated circuit by an inductively coupled plasma etch process. This technique offers 1 {mu}m positioning accuracy of the facets at any location within the chip and eliminates the need of polishing. Facet fabrication consists of two separate steps to ensure sidewall verticality and minimize attack on the end surfaces of the waveguides. Protection of the waveguides by a thermally evaporated aluminum layer before the 40-70 {mu}m deep optical facet etching has been proven essential in assuring the facet smoothness and integrity. Both scanningmore » electron microscopy analysis and optical measurement results show that the quality of the facets prepared by this technique is comparable to the conventional facets prepared by polishing.« less

  1. Cryogenic Etching of Silicon: An Alternative Method For Fabrication of Vertical Microcantilever Master Molds

    PubMed Central

    Addae-Mensah, Kweku A.; Retterer, Scott; Opalenik, Susan R.; Thomas, Darrell; Lavrik, Nickolay V.; Wikswo, John P.

    2013-01-01

    This paper examines the use of deep reactive ion etching (DRIE) of silicon with fluorine high-density plasmas at cryogenic temperatures to produce silicon master molds for vertical microcantilever arrays used for controlling substrate stiffness for culturing living cells. The resultant profiles achieved depend on the rate of deposition and etching of a SiOxFy polymer, which serves as a passivation layer on the sidewalls of the etched structures in relation to areas that have not been passivated with the polymer. We look at how optimal tuning of two parameters, the O2 flow rate and the capacitively coupled plasma (CCP) power, determine the etch profile. All other pertinent parameters are kept constant. We examine the etch profiles produced using e-beam resist as the main etch mask, with holes having diameters of 750 nm, 1 µm, and 2 µm. PMID:24223478

  2. Operating characteristics of a hydrogen-argon plasma torch for supersonic combustion applications

    NASA Technical Reports Server (NTRS)

    Barbi, E.; Mahan, J. R.; O'Brien, W. F.; Wagner, T. C.

    1989-01-01

    The residence time of the combustible mixture in the combustion chamber of a scramjet engine is much less than the time normally required for complete combustion. Hydrogen and hydrocarbon fuels require an ignition source under conditions typically found in a scramjet combustor. Analytical studies indicate that the presence of hydrogen atoms should greatly reduce the ignition delay in this environment. Because hydrogen plasmas are prolific sources of hydrogen atoms, a low-power, uncooled hydrogen plasma torch has been built and tested to evaluate its potential as a possible flame holder for supersonic combustion. The torch was found to be unstable when operated on pure hydrogen; however, stable operation could be obtained by using argon as a body gas and mixing in the desired amount of hydrogen. The stability limits of the torch are delineated and its electrical and thermal behavior documented. An average torch thermal efficiency of around 88 percent is demonstrated.

  3. Two different carbon-hydrogen complexes in silicon with closely spaced energy levels

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stübner, R., E-mail: ronald.stuebner@physik.tu-dresden.de, E-mail: kolkov@ifpan.edu.pl; Kolkovsky, Vl., E-mail: ronald.stuebner@physik.tu-dresden.de, E-mail: kolkov@ifpan.edu.pl; Weber, J.

    An acceptor and a single donor state of carbon-hydrogen defects (CH{sub A} and CH{sub B}) are observed by Laplace deep level transient spectroscopy at 90 K. CH{sub A} appears directly after hydrogenation by wet chemical etching or hydrogen plasma treatment, whereas CH{sub B} can be observed only after a successive annealing under reverse bias at about 320 K. The activation enthalpies of these states are 0.16 eV for CH{sub A} and 0.14 eV for CH{sub B}. Our results reconcile previous controversial experimental results. We attribute CH{sub A} to the configuration where substitutional carbon binds a hydrogen atom on a bond centered position between carbonmore » and the neighboring silicon and CH{sub B} to another carbon-hydrogen defect.« less

  4. Endpoint in plasma etch process using new modified w-multivariate charts and windowed regression

    NASA Astrophysics Data System (ADS)

    Zakour, Sihem Ben; Taleb, Hassen

    2017-09-01

    Endpoint detection is very important undertaking on the side of getting a good understanding and figuring out if a plasma etching process is done in the right way, especially if the etched area is very small (0.1%). It truly is a crucial part of supplying repeatable effects in every single wafer. When the film being etched has been completely cleared, the endpoint is reached. To ensure the desired device performance on the produced integrated circuit, the high optical emission spectroscopy (OES) sensor is employed. The huge number of gathered wavelengths (profiles) is then analyzed and pre-processed using a new proposed simple algorithm named Spectra peak selection (SPS) to select the important wavelengths, then we employ wavelet analysis (WA) to enhance the performance of detection by suppressing noise and redundant information. The selected and treated OES wavelengths are then used in modified multivariate control charts (MEWMA and Hotelling) for three statistics (mean, SD and CV) and windowed polynomial regression for mean. The employ of three aforementioned statistics is motivated by controlling mean shift, variance shift and their ratio (CV) if both mean and SD are not stable. The control charts show their performance in detecting endpoint especially W-mean Hotelling chart and the worst result is given by CV statistic. As the best detection of endpoint is given by the W-Hotelling mean statistic, this statistic will be used to construct a windowed wavelet Hotelling polynomial regression. This latter can only identify the window containing endpoint phenomenon.

  5. Inactivation of possible micromycete food contaminants using the low-temperature plasma and hydrogen peroxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Čeřovský, M., E-mail: scholtz@aldebaran.cz; Khun, J.; Rusová, K.

    2013-09-15

    The inhibition effect of hydrogen peroxide aerosol, low-temperature plasma and their combinations has been studied on several micromycetes spores. The low-temperature plasma was generated in corona discharges in the open air apparatus with hydrogen peroxide aerosol. Micromycete spores were inoculated on the surface of agar plates, exposed solely to the hydrogen peroxide aerosol, corona discharge or their combination. After incubation the diameter of inhibition zone was measured. The solely positive corona discharge exhibits no inactivation effect, the solely negative corona discharge and solely hydrogen peroxide aerosol exhibit the inactivation effect, however their combinations exhibit to be much more effective. Low-temperaturemore » plasma and hydrogen peroxide aerosol present a possible alternative method of microbial decontamination of food, food packages or other thermolabile materials.« less

  6. Microwave Plasma Hydrogen Recovery System

    NASA Technical Reports Server (NTRS)

    Atwater, James; Wheeler, Richard, Jr.; Dahl, Roger; Hadley, Neal

    2010-01-01

    A microwave plasma reactor was developed for the recovery of hydrogen contained within waste methane produced by Carbon Dioxide Reduction Assembly (CRA), which reclaims oxygen from CO2. Since half of the H2 reductant used by the CRA is lost as CH4, the ability to reclaim this valuable resource will simplify supply logistics for longterm manned missions. Microwave plasmas provide an extreme thermal environment within a very small and precisely controlled region of space, resulting in very high energy densities at low overall power, and thus can drive high-temperature reactions using equipment that is smaller, lighter, and less power-consuming than traditional fixed-bed and fluidized-bed catalytic reactors. The high energy density provides an economical means to conduct endothermic reactions that become thermodynamically favorable only at very high temperatures. Microwave plasma methods were developed for the effective recovery of H2 using two primary reaction schemes: (1) methane pyrolysis to H2 and solid-phase carbon, and (2) methane oligomerization to H2 and acetylene. While the carbon problem is substantially reduced using plasma methods, it is not completely eliminated. For this reason, advanced methods were developed to promote CH4 oligomerization, which recovers a maximum of 75 percent of the H2 content of methane in a single reactor pass, and virtually eliminates the carbon problem. These methods were embodied in a prototype H2 recovery system capable of sustained high-efficiency operation. NASA can incorporate the innovation into flight hardware systems for deployment in support of future long-duration exploration objectives such as a Space Station retrofit, Lunar outpost, Mars transit, or Mars base. The primary application will be for the recovery of hydrogen lost in the Sabatier process for CO2 reduction to produce water in Exploration Life Support systems. Secondarily, this process may also be used in conjunction with a Sabatier reactor employed to

  7. Mechanism of wiggling enhancement due to HBr gas addition during amorphous carbon etching

    NASA Astrophysics Data System (ADS)

    Kofuji, Naoyuki; Ishimura, Hiroaki; Kobayashi, Hitoshi; Une, Satoshi

    2015-06-01

    The effect of gas chemistry during etching of an amorphous carbon layer (ACL) on wiggling has been investigated, focusing especially on the changes in residual stress. Although the HBr gas addition reduces critical dimension loss, it enhances the surface stress and therefore increases wiggling. Attenuated total reflectance Fourier transform infrared spectroscopy revealed that the increase in surface stress was caused by hydrogenation of the ACL surface with hydrogen radicals. Three-dimensional (3D) nonlinear finite element method analysis confirmed that the increase in surface stress is large enough to cause the wiggling. These results also suggest that etching with hydrogen compound gases using an ACL mask has high potential to cause the wiggling.

  8. Eliminating dependence of hole depth on aspect ratio by forming ammonium bromide during plasma etching of deep holes in silicon nitride and silicon dioxide

    NASA Astrophysics Data System (ADS)

    Iwase, Taku; Yokogawa, Kenetsu; Mori, Masahito

    2018-06-01

    The reaction mechanism during etching to fabricate deep holes in SiN/SiO2 stacks by using a HBr/N2/fluorocarbon-based gas plasma was investigated. To etch SiN and SiO2 films simultaneously, HBr/fluorocarbon gas mixture ratio was controlled to achieve etching selectivity closest to one. Deep holes were formed in the SiN/SiO2 stacks by one-step etching at several temperatures. The surface composition of the cross section of the holes was analyzed by time-of-flight secondary-ion mass spectrometry. It was found that bromine ions (considered to be derived from NH4Br) were detected throughout the holes in the case of low-temperature etching. It was also found that the dependence of hole depth on aspect ratio decreases as temperature decreases, and it becomes significantly weaker at a substrate temperature of 20 °C. It is therefore concluded that the formation of NH4Br supplies the SiN/SiO2 etchant to the bottom of the holes. Such a finding will make it possible to alleviate the decrease in etching rate due to a high aspect ratio.

  9. Silicon vertical microstructure fabrication by catalytic etching

    NASA Astrophysics Data System (ADS)

    Huang, Mao-Jung; Yang, Chii-Rong; Chang, Chun-Ming; Chu, Nien-Nan; Shiao, Ming-Hua

    2012-08-01

    This study presents an effective, simple and inexpensive process for forming micro-scale vertical structures on a (1 0 0) silicon wafer. Several modified etchants and micro-patterns including rectangular, snake-like, circular and comb patterns were employed to determine the optimum etching process. We found that an etchant solution consisting of 4.6 M hydrofluoric acid, 0.44 M hydrogen peroxide and isopropyl alcohol produces microstructures at an etching rate of 0.47 µm min-1 and surface roughness of 17.4 nm. All the patterns were transferred faithfully to the silicon substrate.

  10. Etching and structure changes in PMMA coating under argon plasma immersion ion implantation

    NASA Astrophysics Data System (ADS)

    Kondyurin, Alexey; Bilek, Marcela

    2011-06-01

    A thin (120 nm) polymethylmethacrylate coating was treated by plasma immersion ion implantation with Ar using pulsed bias at 20 kV. Ellipsometry and FTIR spectroscopy and gel-fraction formation were used to detect the structure transformations as a function of ion fluence. The kinetics of etching, variations in refractive index and extinction coefficient in 400-1000 nm of wavelength, concentration changes in carbonyl, ether, methyl and methylene groups all as a function of ion fluence were analyzed. A critical ion fluence of 10 15 ions/cm 2 was observed to be a border between competing depolymerization and carbonization processes. Chemical reactions responsible for reorganization of the PMMA chemical structure under ion beam treatment are proposed.

  11. Laser treatment of plasma-hydrogenated silicon wafers for thin layer exfoliation

    NASA Astrophysics Data System (ADS)

    Ghica, Corneliu; Nistor, Leona Cristina; Teodorescu, Valentin Serban; Maraloiu, Adrian; Vizireanu, Sorin; Scarisoreanu, Nae Doinel; Dinescu, Maria

    2011-03-01

    We have studied by transmission electron microscopy the microstructural effects induced by pulsed laser annealing in comparison with thermal treatments of RF plasma hydrogenated Si wafers aiming for further application in the smart-cut procedure. While thermal annealing mainly produces a slight decrease of the density of plasma-induced planar defects and an increase of the size and number of plasma-induced nanocavities in the Si matrix, pulsed laser annealing of RF plasma hydrogenated Si wafers with a 355 nm wavelength radiation results in both the healing of defects adjacent to the wafer surface and the formation of a well defined layer of nanometric cavities at a depth of 25-50 nm. In this way, a controlled fracture of single crystal layers of Si thinner than 50 nm is favored.

  12. In-situ monitoring of etching of bovine serum albumin using low-temperature atmospheric plasma jet

    NASA Astrophysics Data System (ADS)

    Kousal, J.; Shelemin, A.; Kylián, O.; Slavínská, D.; Biederman, H.

    2017-01-01

    Bio-decontamination of surfaces by means of atmospheric pressure plasma is nowadays extensively studied as it represents promising alternative to commonly used sterilization/decontamination techniques. The non-equilibrium atmospheric pressure plasmas were already reported to be highly effective in removal of a wide range of biological residual from surfaces. Nevertheless the kinetics of removal of biological contamination from surfaces is still not well understood as the majority of performed studies were based on ex-situ evaluation of etching rates, which did not allow investigating details of plasma action on biomolecules. This study therefore presents a real-time, in-situ ellipsometric characterization of removal of bovine serum albumin (BSA) from surfaces by low-temperature atmospheric plasma jet operated in argon. Non-linear and at shorter distances between treated samples and nozzle of the plasma jet also non-monotonic dependence of the removal rate on the treatment duration was observed. According to additional measurements focused on the determination of chemical changes of treated BSA as well as temperature measurements, the observed behavior is most likely connected with two opposing effects: the formation of a thin layer on the top of BSA deposit enriched in inorganic compounds, whose presence causes a gradual decrease of removal efficiency, and slight heating of BSA that facilitates its degradation and volatilization induced by chemically active radicals produced by the plasma.

  13. A plasmaless, photochemical etch process for porous organosilicate glass films

    NASA Astrophysics Data System (ADS)

    Ryan, E. Todd; Molis, Steven E.

    2017-12-01

    A plasmaless, photochemical etch process using ultraviolet (UV) light in the presence of NH3 or O2 etched porous organosilicate glass films, also called pSiCOH films, in a two-step process. First, a UV/NH3 or UV/O2 treatment removed carbon (mostly methyl groups bonded to silicon) from a pSiCOH film by demethylation to a depth determined by the treatment exposure time. Second, aqueous HF was used to selectively remove the demethylated layer of the pSiCOH film leaving the methylated layer below. UV in the presence of inert gas or H2 did not demethylate the pSiCOH film. The depth of UV/NH3 demethylation followed diffusion limited kinetics and possible mechanisms of demethylation are presented. Unlike reactive plasma processes, which contain ions that can damage surrounding structures during nanofabrication, the photochemical etch contains no damaging ions. Feasibility of the photochemical etching was shown by comparing it to a plasma-based process to remove the pSiCOH dielectric from between Cu interconnect lines, which is a critical step during air gap fabrication. The findings also expand our understanding of UV photon interactions in pSiCOH films that may contribute to plasma-induced damage to pSiCOH films.

  14. Inverse metal-assisted chemical etching produces smooth high aspect ratio InP nanostructures.

    PubMed

    Kim, Seung Hyun; Mohseni, Parsian K; Song, Yi; Ishihara, Tatsumi; Li, Xiuling

    2015-01-14

    Creating high aspect ratio (AR) nanostructures by top-down fabrication without surface damage remains challenging for III-V semiconductors. Here, we demonstrate uniform, array-based InP nanostructures with lateral dimensions as small as sub-20 nm and AR > 35 using inverse metal-assisted chemical etching (I-MacEtch) in hydrogen peroxide (H2O2) and sulfuric acid (H2SO4), a purely solution-based yet anisotropic etching method. The mechanism of I-MacEtch, in contrast to regular MacEtch, is explored through surface characterization. Unique to I-MacEtch, the sidewall etching profile is remarkably smooth, independent of metal pattern edge roughness. The capability of this simple method to create various InP nanostructures, including high AR fins, can potentially enable the aggressive scaling of InP based transistors and optoelectronic devices with better performance and at lower cost than conventional etching methods.

  15. Patterning of graphene on silicon-on-insulator waveguides through laser ablation and plasma etching

    NASA Astrophysics Data System (ADS)

    Van Erps, Jürgen; Ciuk, Tymoteusz; Pasternak, Iwona; Krajewska, Aleksandra; Strupinski, Wlodek; Van Put, Steven; Van Steenberge, Geert; Baert, Kitty; Terryn, Herman; Thienpont, Hugo; Vermeulen, Nathalie

    2016-05-01

    We present the use of femtosecond laser ablation for the removal of monolayer graphene from silicon-on-insulator (SOI) waveguides, and the use of oxygen plasma etching through a metal mask to peel off graphene from the grating couplers attached to the waveguides. Through Raman spectroscopy and atomic force microscopy, we show that the removal of graphene is successful with minimal damage to the underlying SOI waveguides. Finally, we employ both removal techniques to measure the contribution of graphene to the loss of grating-coupled graphene-covered SOI waveguides using the cut-back method. This loss contribution is measured to be 0.132 dB/μm.

  16. Pattern transfer with stabilized nanoparticle etch masks

    NASA Astrophysics Data System (ADS)

    Hogg, Charles R.; Picard, Yoosuf N.; Narasimhan, Amrit; Bain, James A.; Majetich, Sara A.

    2013-03-01

    Self-assembled nanoparticle monolayer arrays are used as an etch mask for pattern transfer into Si and SiOx substrates. Crack formation within the array is prevented by electron beam curing to fix the nanoparticles to the substrate, followed by a brief oxygen plasma to remove excess carbon. This leaves a dot array of nanoparticle cores with a minimum gap of 2 nm. Deposition and liftoff can transform the dot array mask into an antidot mask, where the gap is determined by the nanoparticle core diameter. Reactive ion etching is used to transfer the dot and antidot patterns into the substrate. The effect of the gap size on the etching rate is modeled and compared with the experimental results.

  17. Molecular dynamic simulation study of plasma etching L10 FePt media in embedded mask patterning (EMP) process

    NASA Astrophysics Data System (ADS)

    Zhu, Jianxin; Quarterman, P.; Wang, Jian-Ping

    2017-05-01

    Plasma etching process of single-crystal L10-FePt media [H. Wang et al., Appl. Phys. Lett. 102(5) (2013)] is studied using molecular dynamic simulation. Embedded-Atom Method [M. S. Daw and M. I. Baskes, Phy. Rev. B 29, 6443 (1984); X. W. Zhou, R. A. Johnson and H. N. G. Wadley, Phy. Rev. B 69, 144113 (2004)] is used to calculate the interatomic potential within atoms in FePt alloy, and ZBL potential [J.F. Ziegler, J. P. Biersack and U. Littmark, "The Stopping and Range of Ions in Matter," Volume 1, Pergamon,1985] in comparison with conventional Lennard-Jones "12-6" potential is applied to interactions between etching gas ions and metal atoms. It is shown the post-etch structure defects can include amorphized surface layer and lattice interstitial point defects that caused by etchant ions passed through the surface layer. We show that the amorphized or damaged FePt lattice surface layer (or "magnetic dead-layer") thickness after etching increases with ion energy for Ar ion impacts, but significantly small for He ions at up to 250eV ion energy. However, we showed that He sputtering creates more interstitial defects at lower energy levels and defects are deeper below the surface compared to Ar sputtering. We also calculate the interstitial defect level and depth as dependence on ion energy for both Ar and He ions. Media magnetic property loss due to these defects is also discussed.

  18. Gas Contamination In Plasma-Arc-Welded Aluminum

    NASA Technical Reports Server (NTRS)

    Mcclure, John C.; Torres, Martin R.; Gurevitch, Alan C.; Newman, Robert A.

    1992-01-01

    Document describes experimental investigation on visible and tactile effects of gaseous contaminants in variable-polarity plasma arc (VPPA) welding of 2219 T-87 aluminum alloy. Contaminant gases (nitrogen, methane, oxygen, and hydrogen) introduced in argon arc and in helium shield gas in various controlled concentrations. Report represents results of experiments in form of photographs of fronts, backs, polished cross sections, and etched cross sections of welds made with various contaminants at various concentrations. Provides detailed discussion of conditions under which welds made.

  19. Polysilicon planarization and plug recess etching in a decoupled plasma source chamber using two endpoint techniques

    NASA Astrophysics Data System (ADS)

    Kaplita, George A.; Schmitz, Stefan; Ranade, Rajiv; Mathad, Gangadhara S.

    1999-09-01

    The planarization and recessing of polysilicon to form a plug are processes of increasing importance in silicon IC fabrication. While this technology has been developed and applied to DRAM technology using Trench Storage Capacitors, the need for such processes in other IC applications (i.e. polysilicon studs) has increased. Both planarization and recess processes usually have stringent requirements on etch rate, recess uniformity, and selectivity to underlying films. Additionally, both processes generally must be isotropic, yet must not expand any seams that might be present in the polysilicon fill. These processes should also be insensitive to changes in exposed silicon area (pattern factor) on the wafer. A SF6 plasma process in a polysilicon DPS (Decoupled Plasma Source) reactor has demonstrated the capability of achieving the above process requirements for both planarization and recess etch. The SF6 process in the decoupled plasma source reactor exhibited less sensitivity to pattern factor than in other types of reactors. Control of these planarization and recess processes requires two endpoint systems to work sequentially in the same recipe: one for monitoring the endpoint when blanket polysilicon (100% Si loading) is being planarized and one for monitoring the recess depth while the plug is being recessed (less than 10% Si loading). The planarization process employs an optical emission endpoint system (OES). An interferometric endpoint system (IEP), capable of monitoring lateral interference, is used for determining the recess depth. The ability of using either or both systems is required to make these plug processes manufacturable. Measuring the recess depth resulting from the recess process can be difficult, costly and time- consuming. An Atomic Force Microscope (AFM) can greatly alleviate these problems and can serve as a critical tool in the development of recess processes.

  20. Dry etch challenges for CD shrinkage in memory process

    NASA Astrophysics Data System (ADS)

    Matsushita, Takaya; Matsumoto, Takanori; Mukai, Hidefumi; Kyoh, Suigen; Hashimoto, Kohji

    2015-03-01

    Line pattern collapse attracts attention as a new problem of the L&S formation in sub-20nm H.P feature. Line pattern collapse that occurs in a slight non-uniformity of adjacent CD (Critical dimension) space using double patterning process has been studied with focus on micro-loading effect in Si etching. Bias RF pulsing plasma etching process using low duty cycle helped increase of selectivity Si to SiO2. In addition to the effect of Bias RF pulsing process, the thin mask obtained from improvement of selectivity has greatly suppressed micro-loading in Si etching. However it was found that micro-loading effect worsen again in sub-20nm space width. It has been confirmed that by using cycle etch process to remove deposition with CFx based etching micro-loading effect could be suppressed. Finally, Si etching process condition using combination of results above could provide finer line and space without "line pattern collapse" in sub-20nm.

  1. Plasma CVD of hydrogenated boron-carbon thin films from triethylboron

    NASA Astrophysics Data System (ADS)

    Imam, Mewlude; Höglund, Carina; Schmidt, Susann; Hall-Wilton, Richard; Birch, Jens; Pedersen, Henrik

    2018-01-01

    Low-temperature chemical vapor deposition (CVD) of B—C thin films is of importance for neutron voltaics and semiconductor technology. The highly reactive trialkylboranes, with alkyl groups of 1-4 carbon atoms, are a class of precursors that have been less explored for low-temperature CVD of B—C films. Herein, we demonstrate plasma CVD of B—C thin films using triethylboron (TEB) as a single source precursor in an Ar plasma. We show that the film density and B/C ratio increases with increasing plasma power, reaching a density of 2.20 g/cm3 and B/C = 1.7. This is attributed to a more intense energetic bombardment during deposition and more complete dissociation of the TEB molecule in the plasma at higher plasma power. The hydrogen content in the films ranges between 14 and 20 at. %. Optical emission spectroscopy of the plasma shows that BH, CH, C2, and H are the optically active plasma species from TEB. We suggest a plasma chemical model based on β-hydrogen elimination of C2H4 to form BH3, in which BH3 and C2H4 are then dehydrogenated to form BH and C2H2. Furthermore, C2H2 decomposes in the plasma to produce C2 and CH, which together with BH and possibly BH3-x(C2H5)x are the film forming species.

  2. Plasma reforming and partial oxidation of hydrocarbon fuel vapor to produce synthesis gas and/or hydrogen gas

    DOEpatents

    Kong, Peter C.; Detering, Brent A.

    2003-08-19

    Methods and systems for treating vapors from fuels such as gasoline or diesel fuel in an internal combustion engine, to form hydrogen gas or synthesis gas, which can then be burned in the engine to produce more power. Fuel vapor, or a mixture of fuel vapor and exhaust gas and/or air, is contacted with a plasma, to promote reforming reactions between the fuel vapor and exhaust gas to produce carbon monoxide and hydrogen gas, partial oxidation reactions between the fuel vapor and air to produce carbon monoxide and hydrogen gas, or direct hydrogen and carbon particle production from the fuel vapor. The plasma can be a thermal plasma or a non-thermal plasma. The plasma can be produced in a plasma generating device which can be preheated by contact with at least a portion of the hot exhaust gas stream, thereby decreasing the power requirements of the plasma generating device.

  3. Predicting synergy in atomic layer etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kanarik, Keren J.; Tan, Samantha; Yang, Wenbing

    2017-03-27

    Atomic layer etching (ALE) is a multistep process used today in manufacturing for removing ultrathin layers of material. In this article, the authors report on ALE of Si, Ge, C, W, GaN, and SiO 2 using a directional (anisotropic) plasma-enhanced approach. The authors analyze these systems by defining an “ALE synergy” parameter which quantifies the degree to which a process approaches the ideal ALE regime. This parameter is inspired by the ion-neutral synergy concept introduced in the 1979 paper by Coburn and Winters. ALE synergy is related to the energetics of underlying surface interactions and is understood in terms ofmore » energy criteria for the energy barriers involved in the reactions. Synergistic behavior is observed for all of the systems studied, with each exhibiting behavior unique to the reactant–material combination. By systematically studying atomic layer etching of a group of materials, the authors show that ALE synergy scales with the surface binding energy of the bulk material. This insight explains why some materials are more or less amenable to the directional ALE approach. Furthermore, they conclude that ALE is both simpler to understand than conventional plasma etch processing and is applicable to metals, semiconductors, and dielectrics.« less

  4. Plasma-Enhanced Deposition and Processing of Transition Metals and Transition Metal Silicides for VLSI.

    DTIC Science & Technology

    1986-05-20

    molybdenum trifluoride in the deposited material. Titanium silicide films formed from a discharge of titanium tetrachlotide, silane, and hydrogen...displayed resistivities of -150 /4-cm, due to small amounts of oxygen and chlorine incorporated during deposition. Plasma etching studies of tungsten films...material, thereby reducing speed, and aluminum is a low melting material, thereby limiting processing latitude. As a result, mmition metals and

  5. Review of the methods to form hydrogen peroxide in electrical discharge plasma with liquid water

    NASA Astrophysics Data System (ADS)

    Locke, Bruce R.; Shih, Kai-Yuan

    2011-06-01

    This paper presents a review of the literature dealing with the formation of hydrogen peroxide from plasma processes. Energy yields for hydrogen peroxide generation by plasma from water span approximately three orders of magnitude from 4 × 10-2 to 80 g kWh-1. A wide range of plasma processes from rf to pulsed, ac, and dc discharges directly in the liquid phase have similar energy yields and may thus be limited by radical quenching processes at the plasma-liquid interface. Reactor modification using discharges in bubbles and discharges over the liquid phase can provide modest improvements in energy yield over direct discharge in the liquid, but the interpretation is complicated by additional chemical reactions of gas phase components such as ozone and nitrogen oxides. The highest efficiency plasma process utilizes liquid water droplets that may enhance efficiency by sequestering hydrogen peroxide in the liquid and by suppressing decomposition reactions by radicals from the gas and at the interface. Kinetic simulations of water vapor reported in the literature suggest that plasma generation of hydrogen peroxide should approach 45% of the thermodynamics limit, and this fact coupled with experimental studies demonstrating improvements with the presence of the condensed liquid phase suggest that further improvements in energy yield may be possible. Plasma generation of hydrogen peroxide directly from water compares favorably with a number of other methods including electron beam, ultrasound, electrochemical and photochemical methods, and other chemical processes.

  6. Uniform Atomic Layer Deposition of Al2O3 on Graphene by Reversible Hydrogen Plasma Functionalization

    PubMed Central

    2017-01-01

    A novel method to form ultrathin, uniform Al2O3 layers on graphene using reversible hydrogen plasma functionalization followed by atomic layer deposition (ALD) is presented. ALD on pristine graphene is known to be a challenge due to the absence of dangling bonds, leading to nonuniform film coverage. We show that hydrogen plasma functionalization of graphene leads to uniform ALD of closed Al2O3 films down to 8 nm in thickness. Hall measurements and Raman spectroscopy reveal that the hydrogen plasma functionalization is reversible upon Al2O3 ALD and subsequent annealing at 400 °C and in this way does not deteriorate the graphene’s charge carrier mobility. This is in contrast with oxygen plasma functionalization, which can lead to a uniform 5 nm thick closed film, but which is not reversible and leads to a reduction of the charge carrier mobility. Density functional theory (DFT) calculations attribute the uniform growth on both H2 and O2 plasma functionalized graphene to the enhanced adsorption of trimethylaluminum (TMA) on these surfaces. A DFT analysis of the possible reaction pathways for TMA precursor adsorption on hydrogenated graphene predicts a binding mechanism that cleans off the hydrogen functionalities from the surface, which explains the observed reversibility of the hydrogen plasma functionalization upon Al2O3 ALD. PMID:28405059

  7. Study on monatomic fraction improvement with alumina layer on metal electrode in hydrogen plasma ion source.

    PubMed

    Jung, Bong-Ki; Chung, Kyoung-Jae; Dang, Jeong-Jeung; Hwang, Y S

    2012-02-01

    A high monatomic beam fraction is an important factor in a hydrogen ion source to increase the application efficiency. The monatomic fraction of hydrogen plasmas with different plasma electrode materials is measured in a helicon plasma ion source, and aluminum shows the highest value compared to that with the other metals such as copper and molybdenum. Formation of an aluminum oxide layer on the aluminum electrode is determined by XPS analysis, and the alumina layer is verified as the high monatomic fraction. Both experiments and numerical simulations conclude that a low surface recombination coefficient of the alumina layer on the plasma electrode is one of the most important parameters for increasing the monatomic fraction in hydrogen plasma ion sources.

  8. Study on monatomic fraction improvement with alumina layer on metal electrode in hydrogen plasma ion sourcea)

    NASA Astrophysics Data System (ADS)

    Jung, Bong-Ki; Chung, Kyoung-Jae; Dang, Jeong-Jeung; Hwang, Y. S.

    2012-02-01

    A high monatomic beam fraction is an important factor in a hydrogen ion source to increase the application efficiency. The monatomic fraction of hydrogen plasmas with different plasma electrode materials is measured in a helicon plasma ion source, and aluminum shows the highest value compared to that with the other metals such as copper and molybdenum. Formation of an aluminum oxide layer on the aluminum electrode is determined by XPS analysis, and the alumina layer is verified as the high monatomic fraction. Both experiments and numerical simulations conclude that a low surface recombination coefficient of the alumina layer on the plasma electrode is one of the most important parameters for increasing the monatomic fraction in hydrogen plasma ion sources.

  9. Studies on plasma profiles and its effect on dust charging in hydrogen plasma

    NASA Astrophysics Data System (ADS)

    Kakati, B.; Kausik, S. S.; Saikia, B. K.; Bandyopadhay, M.

    2010-02-01

    Plasma profiles and its influence on dust charging are studied in hydrogen plasma. The plasma is produced in a high vacuum device by a hot cathode discharge method and is confined by a cusped magnetic field cage. A cylindrical Espion advanced Langmuir probe having 0.15 mm diameter and 10.0 mm length is used to study the plasma parameters for various discharge conditions. Optimum operational discharge parameters in terms of charging of the dust grains are studied. The charge on the surface of the dust particle is calculated from the capacitance model and the current by the dust grains is measured by the combination of a Faraday cup and an electrometer. Unlike our previous experiments in which dust grains were produced in-situ, here a dust dropper is used to drop the dust particles into the plasma.

  10. Plasma Reforming And Partial Oxidation Of Hydrocarbon Fuel Vapor To Produce Synthesis Gas And/Or Hydrogen Gas

    DOEpatents

    Kong, Peter C.; Detering, Brent A.

    2004-10-19

    Methods and systems are disclosed for treating vapors from fuels such as gasoline or diesel fuel in an internal combustion engine, to form hydrogen gas or synthesis gas, which can then be burned in the engine to produce more power. Fuel vapor, or a mixture of fuel vapor and exhaust gas and/or air, is contacted with a plasma, to promote reforming reactions between the fuel vapor and exhaust gas to produce carbon monoxide and hydrogen gas, partial oxidation reactions between the fuel vapor and air to produce carbon monoxide and hydrogen gas, or direct hydrogen and carbon particle production from the fuel vapor. The plasma can be a thermal plasma or a non-thermal plasma. The plasma can be produced in a plasma generating device which can be preheated by contact with at least a portion of the hot exhaust gas stream, thereby decreasing the power requirements of the plasma generating device.

  11. Numerical study of influence of hydrogen backflow on krypton Hall effect thruster plasma focusing

    NASA Astrophysics Data System (ADS)

    Yan, Shilin; Ding, Yongjie; Wei, Liqiu; Hu, Yanlin; Li, Jie; Ning, Zhongxi; Yu, Daren

    2017-03-01

    The influence of backflow hydrogen on plasma plume focusing of a krypton Hall effect thruster is studied via a numerical simulation method. Theoretical analysis indicates that hydrogen participates in the plasma discharge process, changes the potential and ionization distribution in the thruster discharge cavity, and finally affects the plume focusing within a vacuum vessel.

  12. Effect of a non-thermal, atmospheric-pressure, plasma brush on conversion of model self-etch adhesive formulations compared to conventional photo-polymerization

    PubMed Central

    Chen, Mingsheng; Zhang, Ying; Yao, Xiaomei; Li, Hao; Yu, Qingsong; Wang, Yong

    2012-01-01

    Objective To determine the effectiveness and efficiency of non-thermal, atmospheric plasmas for inducing polymerization of model dental self-etch adhesives. Methods The monomer mixtures used were bis-[2-(methacryloyloxy)ethyl] phosphate (2MP) and 2-hydroxyethyl methacrylate (HEMA), with mass ratios of 70/30, 50/50 and 30/70. Water was added to the above formulations: 10–30 wt%. These monomer/water mixtures were treated steadily for 40 s under a non-thermal atmospheric plasma brush working at temperatures from 32° to 35°C. For comparison, photo-initiators were added to the above formulations for photo-polymerization studies, which were light-cured for 40 s. The degree of conversion (DC) of both the plasma- and light-cured samples was measured using FTIR spectroscopy with an attenuated total reflectance attachment. Results The non-thermal plasma brush was effective in inducing polymerization of the model self-etch adhesives. The presence of water did not negatively affect the DC of plasma-cured samples. Indeed, DC values slightly increased, with increasing water content in adhesives: from 58.3% to 68.7% when the water content increased from 10% to 30% in the adhesives with a 50/50 (2MP/HEMA) mass ratio. Conversion values of the plasma-cured groups were higher than those of light-cured samples with the same mass ratio and water content. Spectral differences between the plasma- and light-cured groups indicate subtle structural distinctions in the resultant polymer networks. Significance This research if the first to demonstrate that the non-thermal plasma brush induces polymerization of model adhesives under clinical settings by direct/indirect energy transfer. This device shows promise for polymerization of dental composite restorations having enhanced properties and performance. PMID:23018084

  13. Technique for etching monolayer and multilayer materials

    DOEpatents

    Bouet, Nathalie C. D.; Conley, Raymond P.; Divan, Ralu; Macrander, Albert

    2015-10-06

    A process is disclosed for sectioning by etching of monolayers and multilayers using an RIE technique with fluorine-based chemistry. In one embodiment, the process uses Reactive Ion Etching (RIE) alone or in combination with Inductively Coupled Plasma (ICP) using fluorine-based chemistry alone and using sufficient power to provide high ion energy to increase the etching rate and to obtain deeper anisotropic etching. In a second embodiment, a process is provided for sectioning of WSi.sub.2/Si multilayers using RIE in combination with ICP using a combination of fluorine-based and chlorine-based chemistries and using RF power and ICP power. According to the second embodiment, a high level of vertical anisotropy is achieved by a ratio of three gases; namely, CHF.sub.3, Cl.sub.2, and O.sub.2 with RF and ICP. Additionally, in conjunction with the second embodiment, a passivation layer can be formed on the surface of the multilayer which aids in anisotropic profile generation.

  14. Implementation of atomic layer etching of silicon: Scaling parameters, feasibility, and profile control

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ranjan, Alok, E-mail: alok.ranjan@us.tel.com; Wang, Mingmei; Sherpa, Sonam D.

    2016-05-15

    Atomic or layer by layer etching of silicon exploits temporally segregated self-limiting adsorption and material removal steps to mitigate the problems associated with continuous or quasicontinuous (pulsed) plasma processes: selectivity loss, damage, and profile control. Successful implementation of atomic layer etching requires careful choice of the plasma parameters for adsorption and desorption steps. This paper illustrates how process parameters can be arrived at through basic scaling exercises, modeling and simulation, and fundamental experimental tests of their predictions. Using chlorine and argon plasma in a radial line slot antenna plasma source as a platform, the authors illustrate how cycle time, ionmore » energy, and radical to ion ratio can be manipulated to manage the deviation from ideality when cycle times are shortened or purges are incomplete. Cell based Monte Carlo feature scale modeling is used to illustrate profile outcomes. Experimental results of atomic layer etching processes are illustrated on silicon line and space structures such that iso-dense bias and aspect ratio dependent free profiles are produced. Experimental results also illustrate the profile control margin as processes move from atomic layer to multilayer by layer etching. The consequence of not controlling contamination (e.g., oxygen) is shown to result in deposition and roughness generation.« less

  15. Hydrogen isotope retention in beryllium for tokamak plasma-facing applications

    NASA Astrophysics Data System (ADS)

    Anderl, R. A.; Causey, R. A.; Davis, J. W.; Doerner, R. P.; Federici, G.; Haasz, A. A.; Longhurst, G. R.; Wampler, W. R.; Wilson, K. L.

    Beryllium has been used as a plasma-facing material to effect substantial improvements in plasma performance in the Joint European Torus (JET), and it is planned as a plasma-facing material for the first wall (FW) and other components of the International Thermonuclear Experimental Reactor (ITER). The interaction of hydrogenic ions, and charge-exchange neutral atoms from plasmas, with beryllium has been studied in recent years with widely varying interpretations of results. In this paper we review experimental data regarding hydrogenic atom inventories in experiments pertinent to tokamak applications and show that with some very plausible assumptions, the experimental data appear to exhibit rather predictable trends. A phenomenon observed in high ion-flux experiments is the saturation of the beryllium surface such that inventories of implanted particles become insensitive to increased flux and to continued implantation fluence. Methods for modeling retention and release of implanted hydrogen in beryllium are reviewed and an adaptation is suggested for modeling the saturation effects. The TMAP4 code used with these modifications has succeeded in simulating experimental data taken under saturation conditions where codes without this feature have not. That implementation also works well under more routine conditions where the conventional recombination-limited release model is applicable. Calculations of tritium inventory and permeation in the ITER FW during the basic performance phase (BPP) using both the conventional recombination model and the saturation effects assumptions show a difference of several orders of magnitude in both inventory and permeation rate to the coolant.

  16. Highly hydrogenated graphene through microwave exfoliation of graphite oxide in hydrogen plasma: towards electrochemical applications.

    PubMed

    Eng, Alex Yong Sheng; Sofer, Zdenek; Šimek, Petr; Kosina, Jiri; Pumera, Martin

    2013-11-11

    Hydrogenated graphenes exhibit a variety of properties with potential applications in devices, ranging from a tunable band gap to fluorescence, ferromagnetism, and the storage of hydrogen. We utilize a one-step microwave-irradiation process in hydrogen plasma to create highly hydrogenated graphene from graphite oxides. The procedure serves the dual purposes of deoxygenation and concurrent hydrogenation of the carbon backbone. The effectiveness of the hydrogenation process is investigated on three different graphite oxides (GOs), which are synthesized by using the Staudenmaier, Hofmann, and Hummers methods. A systematic characterization of our hydrogenated graphenes is performed using UV/Vis spectroscopy, SEM, AFM, Raman spectroscopy, FTIR spectroscopy, X-ray photoelectron spectroscopy (XPS), combustible elemental analysis, and electrical conductivity measurements. The highest hydrogenation extent is observed in hydrogenated graphene produced from the Hummers-method GO, with a hydrogen content of 19 atomic % in the final product. In terms of the removal of oxygen groups, microwave exfoliation yields graphenes with very similar oxygen contents despite differences in their parent GOs. In addition, we examine the prospective application of hydrogenated graphenes as electrochemical transducers through a cyclic voltammetry (CV) study. The highly hydrogenated graphenes exhibit fast heterogeneous electron-transfer rates, suggestive of their suitability for electrochemical applications in electrodes, supercapacitors, batteries, and sensors. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Dry etching of copper phthalocyanine thin films: effects on morphology and surface stoichiometry.

    PubMed

    Van Dijken, Jaron G; Brett, Michael J

    2012-08-24

    We investigate the evolution of copper phthalocyanine thin films as they are etched with argon plasma. Significant morphological changes occur as a result of the ion bombardment; a planar surface quickly becomes an array of nanopillars which are less than 20 nm in diameter. The changes in morphology are independent of plasma power, which controls the etch rate only. Analysis by X-ray photoelectron spectroscopy shows that surface concentrations of copper and oxygen increase with etch time, while carbon and nitrogen are depleted. Despite these changes in surface stoichiometry, we observe no effect on the work function. The absorbance and X-ray diffraction spectra show no changes other than the peaks diminishing with etch time. These findings have important implications for organic photovoltaic devices which seek nanopillar thin films of metal phthalocyanine materials as an optimal structure.

  18. The effect of hydrogen on B4C coatings fabrication in inductively coupled plasma torch

    NASA Astrophysics Data System (ADS)

    Guo, Q. J.; Zhao, P.; Li, L.; Zhou, Q. J.; Ni, G. H.; Meng, Y. D.

    2018-02-01

    Boron carbide (B4C) coatings are prepared by an RF inductively coupled plasma (ICP) torch with different amounts of hydrogen introduced into the sheath gas. The effects of the added hydrogen on the characteristics of the plasma are diagnosed by optical emission spectroscopy and high speed photography. The effects on the melting of B4C particles in the plasma are studied by scanning electron microscopy (SEM). The microstructure of the B4C coatings was determined with SEM imaging and x-ray diffraction analysis. The results show that adding hydrogen to the sheath gas leads to plasma contraction, which results in higher gas temperature of plasma. It also enhances B4C particles spheroidizing and improves the compactness of B4C coatings. Plasma processing does not change the main phase of boron carbide. The obtained results on B4C coatings on Cu substrates allows for improving the B4C coatings fabrication process.

  19. Plasma processing of superconducting radio frequency cavities

    NASA Astrophysics Data System (ADS)

    Upadhyay, Janardan

    The development of plasma processing technology of superconducting radio frequency (SRF) cavities not only provides a chemical free and less expensive processing method, but also opens up the possibility for controlled modification of the inner surfaces of the cavity for better superconducting properties. The research was focused on the transition of plasma etching from two dimensional flat surfaces to inner surfaces of three dimensional (3D) structures. The results could be applicable to a variety of inner surfaces of 3D structures other than SRF cavities. Understanding the Ar/Cl2 plasma etching mechanism is crucial for achieving the desired modification of Nb SRF cavities. In the process of developing plasma etching technology, an apparatus was built and a method was developed to plasma etch a single cell Pill Box cavity. The plasma characterization was done with the help of optical emission spectroscopy. The Nb etch rate at various points of this cavity was measured before processing the SRF cavity. Cylindrical ring-type samples of Nb placed on the inner surface of the outer wall were used to measure the dependence of the process parameters on plasma etching. The measured etch rate dependence on the pressure, rf power, dc bias, temperature, Cl2 concentration and diameter of the inner electrode was determined. The etch rate mechanism was studied by varying the temperature of the outer wall, the dc bias on the inner electrode and gas conditions. In a coaxial plasma reactor, uniform plasma etching along the cylindrical structure is a challenging task due to depletion of the active radicals along the gas flow direction. The dependence of etch rate uniformity along the cylindrical axis was determined as a function of process parameters. The formation of dc self-biases due to surface area asymmetry in this type of plasma and its variation on the pressure, rf power and gas composition was measured. Enhancing the surface area of the inner electrode to reduce the

  20. Producing Hydrogen by Plasma Pyrolysis of Methane

    NASA Technical Reports Server (NTRS)

    Atwater, James; Akse, James; Wheeler, Richard

    2010-01-01

    Plasma pyrolysis of methane has been investigated for utility as a process for producing hydrogen. This process was conceived as a means of recovering hydrogen from methane produced as a byproduct of operation of a life-support system aboard a spacecraft. On Earth, this process, when fully developed, could be a means of producing hydrogen (for use as a fuel) from methane in natural gas. The most closely related prior competing process - catalytic pyrolysis of methane - has several disadvantages: a) The reactor used in the process is highly susceptible to fouling and deactivation of the catalyst by carbon deposits, necessitating frequent regeneration or replacement of the catalyst. b) The reactor is highly susceptible to plugging by deposition of carbon within fixed beds, with consequent channeling of flow, high pressure drops, and severe limitations on mass transfer, all contributing to reductions in reactor efficiency. c) Reaction rates are intrinsically low. d) The energy demand of the process is high.

  1. Diagnostics of hydrogen plasma with in situ optical emission and silicon probes

    NASA Astrophysics Data System (ADS)

    Lee, Szetsen; Chung, Yi-Jie

    2005-11-01

    In this work, an approach has been adopted to explore plasma properties by combining an in situ optical emission technique with a contact angle measurement. Hydrogen plasma was generated with a radio-frequency power source. The plasma parameters such as number densities and temperatures were derived from the optical emission spectroscopic data. Small silicon chips were placed at various positions inside a discharge tube as probes for the plasma conditions. The hydrogen-plasma-treated silicon chip surfaces were characterized with the contact angle measurement method. The change of wettability on the silicon surface was observed with various plasma treatment times. The spectroscopic information about the plasma is correlated with the results of the surface characterization. It is found that the rate of the increasing hydrophilicity is sensitive to the amount of helium added and the location in the discharge tube. A simple model describing the relation between the surface coverage area of water droplet and the variation of contact angle has been established. We have proposed plasma excitation and reaction mechanisms for the observed correlation between plasma temperatures and the wettability of the silicon surface. It shows that small silicon chips can serve as "litmus tests" for the plasma conditions without introducing too much perturbation.

  2. Surface Modification of Plastic Substrates Using Atomic Hydrogen

    NASA Astrophysics Data System (ADS)

    Heya, Akira; Matsuo, Naoto

    The surface properties of a plastic substrate were changed by a novel surface treatment called atomic hydrogen annealing (AHA). In this method, a plastic substrate was exposed to atomic hydrogen generated by cracking of hydrogen molecules on heated tungsten wire. Surface roughness was increased and halogen elements (F and Cl) were selectively etched by AHA. In addition, plastic surface was reduced by AHA. The surface can be modified by the recombination reaction of atomic hydrogen, the reduction reaction and selective etching of halogen atom. It is concluded that this method is a promising technique for improvement of adhesion between inorganic films and plastic substrates at low temperatures.

  3. Etching radical controlled gas chopped deep reactive ion etching

    DOEpatents

    Olynick, Deidre; Rangelow, Ivo; Chao, Weilun

    2013-10-01

    A method for silicon micromachining techniques based on high aspect ratio reactive ion etching with gas chopping has been developed capable of producing essentially scallop-free, smooth, sidewall surfaces. The method uses precisely controlled, alternated (or chopped) gas flow of the etching and deposition gas precursors to produce a controllable sidewall passivation capable of high anisotropy. The dynamic control of sidewall passivation is achieved by carefully controlling fluorine radical presence with moderator gasses, such as CH.sub.4 and controlling the passivation rate and stoichiometry using a CF.sub.2 source. In this manner, sidewall polymer deposition thicknesses are very well controlled, reducing sidewall ripples to very small levels. By combining inductively coupled plasmas with controlled fluorocarbon chemistry, good control of vertical structures with very low sidewall roughness may be produced. Results show silicon features with an aspect ratio of 20:1 for 10 nm features with applicability to nano-applications in the sub-50 nm regime. By comparison, previous traditional gas chopping techniques have produced rippled or scalloped sidewalls in a range of 50 to 100 nm roughness.

  4. Exploration of suitable dry etch technologies for directed self-assembly

    NASA Astrophysics Data System (ADS)

    Yamashita, Fumiko; Nishimura, Eiichi; Yatsuda, Koichi; Mochiki, Hiromasa; Bannister, Julie

    2012-03-01

    Directed self-assembly (DSA) has shown the potential to replace traditional resist patterns and provide a lower cost alternative for sub-20-nm patterns. One of the possible roadblocks for DSA implementation is the ability to etch the polymers to produce quality masks for subsequent etch processes. We have studied the effects of RF frequency and etch chemistry for dry developing DSA patterns. The results of the study showed a capacitively-coupled plasma (CCP) reactor with very high frequency (VHF) had superior pattern development after the block co-polymer (BCP) etch. The VHF CCP demonstrated minimal BCP height loss and line edge roughness (LER)/line width roughness (LWR). The advantage of CCP over ICP is the low dissociation so the etch rate of BCP is maintained low enough for process control. Additionally, the advantage of VHF is the low electron energy with a tight ion energy distribution that enables removal of the polymethyl methacrylate (PMMA) with good selectivity to polystyrene (PS) and minimal LER/LWR. Etch chemistries were evaluated on the VHF CCP to determine ability to treat the BCPs to increase etch resistance and feature resolution. The right combination of RF source frequencies and etch chemistry can help overcome the challenges of using DSA patterns to create good etch results.

  5. Removal of Tin from Extreme Ultraviolet Collector Optics by an In-Situ Hydrogen Plasma

    NASA Astrophysics Data System (ADS)

    Elg, Daniel Tyler

    of this debris is one of the main problems facing potential manufacturers of EUV sources. which can damage the collector optic in three ways: sputtering, implantation, and deposition. The first two damage processes are irreversible and are caused by the high energies (1-10 keV) of the ion debris. Debris mitigation methods have largely managed to reduce this problem by using collisions with H2 buffer gas to slow down the energetic ions. However, deposition can take place at all ion and neutral energies, and no mitigation method can deterministically deflect all neutrals away from the collector. Thus, deposition still takes place, lowering the collector reflectivity and increasing the time needed to deliver enough EUV power to pattern a wafer. Additionally, even once EUV reaches HVM insertion, source power will need to be continually increased as feature sizes continue to shrink; this increase in source power may potentially come at a cost of increased debris. Thus, debris mitigation solutions that work for the initial generation of commercial EUVL systems may not be adequate for future generations. An in-situ technology to clean collector optics without source downtime is required. which will require an in-situ technology to clean collector optics. The novel cleaning solution described in this work is to create the radicals directly on the collector surface by using the collector itself to drive a capacitively-coupled hydrogen plasma. This allows for radical creation at the desired location without requiring any delivery system and without requiring any source downtime. Additionally, the plasma provides energetic radicals that aid in the etching process. This work will focus on two areas. First, it will focus on experimental collector cleaning and EUV reflectivity restoration. Second, it will focus on developing an understanding of the fundamental processes governing Sn removal. It will be shown that this plasma technique can clean an entire collector optic and

  6. The contribution of dissociative processes to the production of atomic lines in hydrogen plasmas

    NASA Technical Reports Server (NTRS)

    Kunc, J. A.

    1985-01-01

    The contribution of molecular dissociative processes to the production of atomic lines is considered for a steady-state hydrogen plasma. If the contribution of dissociative processes is dominant, a substantial simplification in plasma diagnostics can be achieved. Numerical calculations have been performed for the production of Balmer alpha, beta, and gamma lines in hydrogen plasmas with medium and large degrees of ionization (x greater than about 0.0001) and for electron temperatures of 5000-45,000 K and electron densities of 10 to the 10th to 10 to the 16th/cu cm.

  7. Metal-assisted etch combined with regularizing etch

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yim, Joanne; Miller, Jeff; Jura, Michael

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performingmore » a chemical etch which results in regularized openings in the silicon substrate.« less

  8. Uncertainty propagation in modeling of plasma-assisted hydrogen production from biogas

    NASA Astrophysics Data System (ADS)

    Zaherisarabi, Shadi; Venkattraman, Ayyaswamy

    2016-10-01

    With the growing concern of global warming and the resulting emphasis on decreasing greenhouse gas emissions, there is an ever-increasing need to utilize energy-production strategies that can decrease the burning of fossil fuels. In this context, hydrogen remains an attractive clean-energy fuel that can be oxidized to produce water as a by-product. In spite of being an abundant species, hydrogen is seldom found in a form that is directly usable for energy-production. While steam reforming of methane is one popular technique for hydrogen production, plasma-assisted conversion of biogas (carbon dioxide + methane) to hydrogen is an attractive alternative. Apart from producing hydrogen, the other advantage of using biogas as raw material is the fact that two potent greenhouse gases are consumed. In this regard, modeling is an important tool to understand and optimize plasma-assisted conversion of biogas. The primary goal of this work is to perform a comprehensive statistical study that quantifies the influence of uncertain rate constants thereby determining the key reaction pathways. A 0-D chemical kinetics solver in the OpenFOAM suite is used to perform a series of simulations to propagate the uncertainty in rate constants and the resulting mean and standard deviation of outcomes.

  9. Prereduction of Metal Oxides via Carbon Plasma Treatment for Efficient and Stable Electrocatalytic Hydrogen Evolution.

    PubMed

    Zhang, Yongqi; Ouyang, Bo; Xu, Kun; Xia, Xinhui; Zhang, Zheng; Rawat, Rajdeep Singh; Fan, Hong Jin

    2018-04-01

    Prereduction of transition metal oxides is a feasible and efficient strategy to enhance their catalytic activity for hydrogen evolution. Unfortunately, the prereduction via the common H 2 annealing method is unstable for nanomaterials during the hydrogen evolution process. Here, using NiMoO 4 nanowire arrays as the example, it is demonstrated that carbon plasma (C-plasma) treatment can greatly enhance both the catalytic activity and the long-term stability of transition metal oxides for hydrogen evolution. The C-plasma treatment has two functions at the same time: it induces partial surface reduction of the NiMoO 4 nanowire to form Ni 4 Mo nanoclusters, and simultaneously deposits a thin graphitic carbon shell. As a result, the C-plasma treated NiMoO 4 can maintain its array morphology, chemical composition, and catalytic activity during long-term intermittent hydrogen evolution process. This work may pave a new way for simultaneous activation and stabilization of transition metal oxide-based electrocatalysts. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Atomic and molecular hydrogen gas temperatures in a low-pressure helicon plasma

    NASA Astrophysics Data System (ADS)

    Samuell, Cameron M.; Corr, Cormac S.

    2015-08-01

    Neutral gas temperatures in hydrogen plasmas are important for experimental and modelling efforts in fusion technology, plasma processing, and surface modification applications. To provide values relevant to these application areas, neutral gas temperatures were measured in a low pressure (< 10 mTorr) radiofrequency helicon discharge using spectroscopic techniques. The atomic and molecular species were not found to be in thermal equilibrium with the atomic temperature being mostly larger then the molecular temperature. In low power operation (< 1 kW), the molecular hydrogen temperature was observed to be linearly proportional to the pressure while the atomic hydrogen temperature was inversely proportional. Both temperatures were observed to rise linearly with input power. For high power operation (5-20 kW), the molecular temperature was found to rise with both power and pressure up to a maximum of approximately 1200 K. Spatially resolved measurements near a graphite target demonstrated localised cooling near the sample surface. The temporal evolution of the molecular gas temperature during a high power 1.1 ms plasma pulse was also investigated and found to vary considerably as a function of pressure.

  11. Is actinometry reliable for monitoring Si and silicone halides produced in silicon etching plasmas? A comparison with their absolute densities measured by UV broad band absorption

    NASA Astrophysics Data System (ADS)

    Kogelschatz, M.; Cunge, G.; Sadeghi, N.

    2006-03-01

    SiCl{x} radicals, the silicon etching by-products, are playing a major role in silicon gate etching processes because their redeposition on the wafer leads to the formation of a SiOCl{x} passivation layer on the feature sidewalls, which controls the final shape of the etching profile. These radicals are also the precursors to the formation of a similar layer on the reactor walls, leading to process drifts. As a result, the understanding and modelling of these processes rely on the knowledge of their densities in the plasma. Actinometry technique, based on optical emission, is often used to measure relative variations of the density of the above mentioned radicals, even if it is well known that the results obtained with this technique might not always be reliable. To determine the validity domain of actinometry in industrial silicon-etching high density plasmas, we measure the RF source power and pressure dependences of the absolute densities of SiCl{x} (x=0{-}2), SiF and SiBr radicals, deduced from UV broad band absorption spectroscopy. These results are compared to the evolution of the corresponding actinometry signals from these radicals. It is shown that actinometry predicts the global trends of the species density variations when the RF power is changed at constant pressure (that is to say when only the electron density changes) but it completely fails if the gas pressure, hence the electron temperature, changes.

  12. [Study on the Emission Spectrum of Hydrogen Production with Microwave Discharge Plasma in Ethanol Solution].

    PubMed

    Sun, Bing; Wang, Bo; Zhu, Xiao-mei; Yan, Zhi-yu; Liu, Yong-jun; Liu, Hui

    2016-03-01

    Hydrogen is regarded as a kind of clean energy with high caloricity and non-pollution, which has been studied by many experts and scholars home and abroad. Microwave discharge plasma shows light future in the area of hydrogen production from ethanol solution, providing a new way to produce hydrogen. In order to further improve the technology and analyze the mechanism of hydrogen production with microwave discharge in liquid, emission spectrum of hydrogen production by microwave discharge plasma in ethanol solution was being studied. In this paper, plasma was generated on the top of electrode by 2.45 GHz microwave, and the spectral characteristics of hydrogen production from ethanol by microwave discharge in liquid were being studied using emission spectrometer. The results showed that a large number of H, O, OH, CH, C2 and other active particles could be produced in the process of hydrogen production from ethanol by microwave discharge in liquid. The emission spectrum intensity of OH, H, O radicals generated from ethanol is far more than that generated from pure water. Bond of O-H split by more high-energy particles from water molecule was more difficult than that from ethanol molecule, so in the process of hydrogen production by microwave discharge plasma in ethanol solution; the main source of hydrogen was the dehydrogenation and restructuring of ethanol molecules instead of water decomposition. Under the definite external pressure and temperature, the emission spectrum intensity of OH, H, O radicals increased with the increase of microwave power markedly, but the emission spectrum intensity of CH, C2 active particles had the tendency to decrease with the increase of microwave power. It indicated that the number of high energy electrons and active particles high energy electron energy increased as the increase of microwave power, so more CH, C2 active particles were split more thoroughly.

  13. Atomic Layer Epitaxy of Aluminum Nitride: Unraveling the Connection between Hydrogen Plasma and Carbon Contamination.

    PubMed

    Erwin, Steven C; Lyons, John L

    2018-06-13

    Atomistic control over the growth of semiconductor thin films, such as aluminum nitride, is a long-sought goal in materials physics. One promising approach is plasma-assisted atomic layer epitaxy, in which separate reactant precursors are employed to grow the cation and anion layers in alternating deposition steps. The use of a plasma during the growth-most often a hydrogen plasma-is now routine and generally considered critical, but the precise role of the plasma is not well-understood. We propose a theoretical atomistic model and elucidate its consequences using analytical rate equations, density functional theory, and kinetic Monte Carlo statistical simulations. We show that using a plasma has two important consequences, one beneficial and one detrimental. The plasma produces atomic hydrogen in the gas phase, which is important for removing methyl radicals left over from the aluminum precursor molecules. However, atomic hydrogen also leads to atomic carbon on the surface and, moreover, opens a channel for trapping these carbon atoms as impurities in the subsurface region, where they remain as unwanted contaminants. Understanding this dual role leads us to propose a solution for the carbon contamination problem which leaves the main benefit of the plasma largely unaffected.

  14. An extended CFD model to predict the pumping curve in low pressure plasma etch chamber

    NASA Astrophysics Data System (ADS)

    Zhou, Ning; Wu, Yuanhao; Han, Wenbin; Pan, Shaowu

    2014-12-01

    Continuum based CFD model is extended with slip wall approximation and rarefaction effect on viscosity, in an attempt to predict the pumping flow characteristics in low pressure plasma etch chambers. The flow regime inside the chamber ranges from slip wall (Kn ˜ 0.01), and up to free molecular (Kn = 10). Momentum accommodation coefficient and parameters for Kn-modified viscosity are first calibrated against one set of measured pumping curve. Then the validity of this calibrated CFD models are demonstrated in comparison with additional pumping curves measured in chambers of different geometry configurations. More detailed comparison against DSMC model for flow conductance over slits with contraction and expansion sections is also discussed.

  15. Negative hydrogen ion production in a helicon plasma source

    NASA Astrophysics Data System (ADS)

    Santoso, J.; Manoharan, R.; O'Byrne, S.; Corr, C. S.

    2015-09-01

    In order to develop very high energy (>1 MeV) neutral beam injection systems for applications, such as plasma heating in fusion devices, it is necessary first to develop high throughput negative ion sources. For the ITER reference source, this will be realised using caesiated inductively coupled plasma devices, containing either hydrogen or deuterium discharges, operated with high rf input powers (up to 90 kW per driver). It has been suggested that due to their high power coupling efficiency, helicon devices may be able to reduce power requirements and potentially obviate the need for caesiation due to the high plasma densities achievable. Here, we present measurements of negative ion densities in a hydrogen discharge produced by a helicon device, with externally applied DC magnetic fields ranging from 0 to 8.5 mT at 5 and 10 mTorr fill pressures. These measurements were taken in the magnetised plasma interaction experiment at the Australian National University and were performed using the probe-based laser photodetachment technique, modified for the use in the afterglow of the plasma discharge. A peak in the electron density is observed at ˜3 mT and is correlated with changes in the rf power transfer efficiency. With increasing magnetic field, an increase in the negative ion fraction from 0.04 to 0.10 and negative ion densities from 8 × 1014 m-3 to 7 × 1015 m-3 is observed. It is also shown that the negative ion densities can be increased by a factor of 8 with the application of an external DC magnetic field.

  16. Nitrogen reactive ion etch processes for the selective removal of poly-(4-vinylpyridine) in block copolymer films.

    PubMed

    Flynn, Shauna P; Bogan, Justin; Lundy, Ross; Khalafalla, Khalafalla E; Shaw, Matthew; Rodriguez, Brian J; Swift, Paul; Daniels, Stephen; O'Connor, Robert; Hughes, Greg; Kelleher, Susan M

    2018-08-31

    Self-assembling block copolymer (BCP) patterns are one of the main contenders for the fabrication of nanopattern templates in next generation lithography technology. Transforming these templates to hard mark materials is key for pattern transfer and in some cases, involves selectively removing one block from the nanopattern. For poly(styrene)-block-poly(4-vinylpyridine) (PS-b-P4VP), a high χ BCP system which could be potentially incorporated into semiconductor nanofabrication, this selective removal is predominantly done by a wet etch/activation process. Conversely, this process has numerous disadvantages including lack of control and high generation of waste leading to high cost. For these reasons, our motivation was to move away from the wet etch process and optimise a dry etch which would overcome the limitations associated with the activation process. The work presented herein shows the development of a selective plasma etch process for the removal of P4VP cores from PS-b-P4VP nanopatterned film. Results have shown that a nitrogen reactive ion etch plasma has a selectivity for P4VP of 2.2:1 and suggest that the position of the nitrogen in the aromatic ring of P4VP plays a key role in this selectivity. In situ plasma etching and x-ray photoelectron spectrometry measurements were made without breaking vacuum, confirming that the nitrogen plasma has selectivity for removal of P4VP over PS.

  17. TOPICAL REVIEW: Black silicon method X: a review on high speed and selective plasma etching of silicon with profile control: an in-depth comparison between Bosch and cryostat DRIE processes as a roadmap to next generation equipment

    NASA Astrophysics Data System (ADS)

    Jansen, H V; de Boer, M J; Unnikrishnan, S; Louwerse, M C; Elwenspoek, M C

    2009-03-01

    An intensive study has been performed to understand and tune deep reactive ion etch (DRIE) processes for optimum results with respect to the silicon etch rate, etch profile and mask etch selectivity (in order of priority) using state-of-the-art dual power source DRIE equipment. The research compares pulsed-mode DRIE processes (e.g. Bosch technique) and mixed-mode DRIE processes (e.g. cryostat technique). In both techniques, an inhibitor is added to fluorine-based plasma to achieve directional etching, which is formed out of an oxide-forming (O2) or a fluorocarbon (FC) gas (C4F8 or CHF3). The inhibitor can be introduced together with the etch gas, which is named a mixed-mode DRIE process, or the inhibitor can be added in a time-multiplexed manner, which will be termed a pulsed-mode DRIE process. Next, the most convenient mode of operation found in this study is highlighted including some remarks to ensure proper etching (i.e. step synchronization in pulsed-mode operation and heat control of the wafer). First of all, for the fabrication of directional profiles, pulsed-mode DRIE is far easier to handle, is more robust with respect to the pattern layout and has the potential of achieving much higher mask etch selectivity, whereas in a mixed-mode the etch rate is higher and sidewall scalloping is prohibited. It is found that both pulsed-mode CHF3 and C4F8 are perfectly suited to perform high speed directional etching, although they have the drawback of leaving the FC residue at the sidewalls of etched structures. They show an identical result when the flow of CHF3 is roughly 30 times the flow of C4F8, and the amount of gas needed for a comparable result decreases rapidly while lowering the temperature from room down to cryogenic (and increasing the etch rate). Moreover, lowering the temperature lowers the mask erosion rate substantially (and so the mask selectivity improves). The pulsed-mode O2 is FC-free but shows only tolerable anisotropic results at -120 °C. The

  18. Anisotropic Etching of Hexagonal Boron Nitride and Graphene: Question of Edge Terminations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stehle, Yijing Y.; Sang, Xiahan; Unocic, Raymond R.

    Here, chemical vapor deposition (CVD) has been established as the most effective way to grow large area two-dimensional materials. Direct study of the etching process can reveal subtleties of this competing with the growth reaction and thus provide the necessary details of the overall growth mechanism. Here we investigate hydrogen-induced etching of hBN and graphene and compare the results with the classical kinetic Wulff construction model. Formation of the anisotropically etched holes in the center of hBN and graphene single crystals was observed along with the changes in the crystals' circumference. We show that the edges of triangular holes inmore » hBN crystals formed at regular etching conditions are parallel to B-terminated zigzags, opposite to the N-terminated zigzag edges of hBN triangular crystals. The morphology of the etched hBN holes is affected by a disbalance of the B/N ratio upon etching and can be shifted toward the anticipated from the Wulff model N-terminated zigzag by etching in a nitrogen buffer gas instead of a typical argon. For graphene, etched hexagonal holes are terminated by zigzag, while the crystal circumference is gradually changing from a pure zigzag to a slanted angle resulting in dodecagons.« less

  19. Anisotropic Etching of Hexagonal Boron Nitride and Graphene: Question of Edge Terminations.

    PubMed

    Stehle, Yijing Y; Sang, Xiahan; Unocic, Raymond R; Voylov, Dmitry; Jackson, Roderick K; Smirnov, Sergei; Vlassiouk, Ivan

    2017-12-13

    Chemical vapor deposition (CVD) has been established as the most effective way to grow large area two-dimensional materials. Direct study of the etching process can reveal subtleties of this competing with the growth reaction and thus provide the necessary details of the overall growth mechanism. Here we investigate hydrogen-induced etching of hBN and graphene and compare the results with the classical kinetic Wulff construction model. Formation of the anisotropically etched holes in the center of hBN and graphene single crystals was observed along with the changes in the crystals' circumference. We show that the edges of triangular holes in hBN crystals formed at regular etching conditions are parallel to B-terminated zigzags, opposite to the N-terminated zigzag edges of hBN triangular crystals. The morphology of the etched hBN holes is affected by a disbalance of the B/N ratio upon etching and can be shifted toward the anticipated from the Wulff model N-terminated zigzag by etching in a nitrogen buffer gas instead of a typical argon. For graphene, etched hexagonal holes are terminated by zigzag, while the crystal circumference is gradually changing from a pure zigzag to a slanted angle resulting in dodecagons.

  20. Anisotropic Etching of Hexagonal Boron Nitride and Graphene: Question of Edge Terminations

    DOE PAGES

    Stehle, Yijing Y.; Sang, Xiahan; Unocic, Raymond R.; ...

    2017-11-14

    Here, chemical vapor deposition (CVD) has been established as the most effective way to grow large area two-dimensional materials. Direct study of the etching process can reveal subtleties of this competing with the growth reaction and thus provide the necessary details of the overall growth mechanism. Here we investigate hydrogen-induced etching of hBN and graphene and compare the results with the classical kinetic Wulff construction model. Formation of the anisotropically etched holes in the center of hBN and graphene single crystals was observed along with the changes in the crystals' circumference. We show that the edges of triangular holes inmore » hBN crystals formed at regular etching conditions are parallel to B-terminated zigzags, opposite to the N-terminated zigzag edges of hBN triangular crystals. The morphology of the etched hBN holes is affected by a disbalance of the B/N ratio upon etching and can be shifted toward the anticipated from the Wulff model N-terminated zigzag by etching in a nitrogen buffer gas instead of a typical argon. For graphene, etched hexagonal holes are terminated by zigzag, while the crystal circumference is gradually changing from a pure zigzag to a slanted angle resulting in dodecagons.« less

  1. High-aspect ratio micro- and nanostructures enabled by photo-electrochemical etching for sensing and energy harvesting applications

    NASA Astrophysics Data System (ADS)

    Alhalaili, Badriyah; Dryden, Daniel M.; Vidu, Ruxandra; Ghandiparsi, Soroush; Cansizoglu, Hilal; Gao, Yang; Saif Islam, M.

    2018-03-01

    Photo-electrochemical (PEC) etching can produce high-aspect ratio features, such as pillars and holes, with high anisotropy and selectivity, while avoiding the surface and sidewall damage caused by traditional deep reactive ion etching (DRIE) or inductively coupled plasma (ICP) RIE. Plasma-based techniques lead to the formation of dangling bonds, surface traps, carrier leakage paths, and recombination centers. In pursuit of effective PEC etching, we demonstrate an optical system using long wavelength (λ = 975 nm) infra-red (IR) illumination from a high-power laser (1-10 W) to control the PEC etching process in n-type silicon. The silicon wafer surface was patterned with notches through a lithography process and KOH etching. Then, PEC etching was introduced by illuminating the backside of the silicon wafer to enhance depth, resulting in high-aspect ratio structures. The effect of the PEC etching process was optimized by varying light intensities and electrolyte concentrations. This work was focused on determining and optimizing this PEC etching technique on silicon, with the goal of expanding the method to a variety of materials including GaN and SiC that are used in designing optoelectronic and electronic devices, sensors and energy harvesting devices.

  2. Detector and energy analyzer for energetic-hydrogen in beams and plasmas

    DOEpatents

    Bastasz, Robert J.; Hughes, Robert C.; Wampler, William R.

    1988-01-01

    A detector for detecting energetic hydrogen ions and atoms ranging in energy from about 1 eV up to 1 keV in an evacuated environment includes a Schottky diode with a palladium or palladium-alloy gate metal applied to a silicondioxide layer on an n-silicon substrate. An array of the energetic-hydrogen detectors having a range of energy sensitivities form a plasma energy analyzer having a rapid response time and a sensitivity for measuring fluxes of energetic hydrogen. The detector is sensitive to hydrogen and its isotopes but is insensitive to non-hydrogenic particles. The array of energetic-hydrogen detectors can be formed on a single silicon chip, with thin-film layers of gold metal applied in various thicknesses to successive detectors in the array. The gold layers serve as particle energy-filters so that each detector is sensitive to a different range of hydrogen energies.

  3. Detector and energy analyzer for energetic-hydrogen in beams and plasmas

    DOEpatents

    Bastasz, R.J.; Hughes, R.C.; Wampler, W.R.

    1988-11-01

    A detector for detecting energetic hydrogen ions and atoms ranging in energy from about 1 eV up to 1 keV in an evacuated environment includes a Schottky diode with a palladium or palladium-alloy gate metal applied to a silicon-dioxide layer on an n-silicon substrate. An array of the energetic-hydrogen detectors having a range of energy sensitivities form a plasma energy analyzer having a rapid response time and a sensitivity for measuring fluxes of energetic hydrogen. The detector is sensitive to hydrogen and its isotopes but is insensitive to non-hydrogenic particles. The array of energetic-hydrogen detectors can be formed on a single silicon chip, with thin-film layers of gold metal applied in various thicknesses to successive detectors in the array. The gold layers serve as particle energy-filters so that each detector is sensitive to a different range of hydrogen energies. 4 figs.

  4. Ion energy distributions in silane-hydrogen plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hamers, E.A.G.; Sark, W.G.J.H.M. van; Bezemer, J.

    1996-12-31

    For the first time ion energy distributions (IED) of different ions from silane-hydrogen (SiH{sub 4}-H{sub 2}) RF plasmas are presented, i.e., the distributions of SiH{sub 3}{sup +}, SiH{sub 2}{sup +} and Si{sub 2}H{sub 4}{sup +}. The energy distributions of SiH{sub 3}{sup +} and SiH{sub 2}{sup +} ions show peaks, which are caused by a charge exchange process in the sheath. A method is presented by which the net charge density in the sheath is determined from the plasma potential and the energy positions of the charge exchange peaks. Knowing the net charge density in the sheath and the plasma potential,more » the sheath thickness can be determined and an estimation of the absolute ion fluxes can be made. The flux of ions can, at maximum, account for 10% of the observed deposition rate.« less

  5. Molecular dynamics simulations of Si etching in Cl- and Br-based plasmas: Cl{sup +} and Br{sup +} ion incidence in the presence of Cl and Br neutrals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nakazaki, Nobuya, E-mail: nakazaki.nobuya.58x@st.kyoto-u.ac.jp; Takao, Yoshinori; Eriguchi, Koji

    Classical molecular dynamics (MD) simulations have been performed for Cl{sup +} and Br{sup +} ions incident on Si(100) surfaces with Cl and Br neutrals, respectively, to gain a better understanding of the ion-enhanced surface reaction kinetics during Si etching in Cl- and Br-based plasmas. The ions were incident normally on surfaces with translational energies in the range E{sub i} = 20–500 eV, and low-energy neutrals of E{sub n} = 0.01 eV were also incident normally thereon with the neutral-to-ion flux ratio in the range Γ{sub n}{sup 0}/Γ{sub i}{sup 0} = 0–100, where an improved Stillinger--Weber potential form was employed for the interatomic potential concerned. The etch yieldsmore » and thresholds presently simulated were in agreement with the experimental results previously reported for Si etching in Cl{sub 2} and Br{sub 2} plasmas as well as in Cl{sup +}, Cl{sub 2}{sup +}, and Br{sup +} beams, and the product stoichiometry simulated was consistent with that observed during Ar{sup +} beam incidence on Si in Cl{sub 2}. Moreover, the surface coverage of halogen atoms, halogenated layer thickness, surface stoichiometry, and depth profile of surface products simulated for Γ{sub n}{sup 0}/Γ{sub i}{sup 0} = 100 were in excellent agreement with the observations depending on E{sub i} reported for Si etching in Cl{sub 2} plasmas. The MD also indicated that the yield, coverage, and surface layer thickness are smaller in Si/Br than in Si/Cl system, while the percentage of higher halogenated species in product and surface stoichiometries is larger in Si/Br. The MD further indicated that in both systems, the translational energy distributions of products and halogen adsorbates desorbed from surfaces are approximated by two Maxwellians of temperature T{sub 1} ≈ 2500 K and T{sub 2} ≈ 7000–40 000 K. These energy distributions are discussed in terms of the desorption or evaporation from hot spots formed through chemically enhanced

  6. Hydrogen permeation properties of plasma-sprayed tungsten*1

    NASA Astrophysics Data System (ADS)

    Anderl, R. A.; Pawelko, R. J.; Hankins, M. R.; Longhurst, G. R.; Neiser, R. A.

    1994-09-01

    Tungsten has been proposed as a plasma-facing component material for advanced fusion facilities. This paper reports on laboratory-scale studies that were done to assess the hydrogen permeation properties of plasma-sprayed tungsten for such applications. The work entailed deuterium permeation measurements for plasma-sprayed (PS) tungsten coatings, sputter-deposited (SP) tungsten coatings, and steel substrate material using a mass-analyzed, 3 keV D 3+ ion beam with fluxes of ˜6.5 × 10 19 D/m 2 s. Extensive characterization analyses for the plasma-sprayed tungsten coatings were made using Auger spectrometry and scanning electron microscopy (SEM). Observed permeation rates through composite PS-tungsten/steel specimens were several orders of magnitude below the permeation levels observed for SP-tungsten/steel composite specimens and pure steel specimens. Characterization analyses indicated that the plasma-sprayed tungsten coating had a nonhomogeneous microstructure that consisted of splats with columnar solidification, partially-melted particles with grain boundaries, and void regions. Reduced permeation levels can be attributed to the complex microstructure and a substantial surface-connected porosity.

  7. Partially ionized hydrogen plasma in strong magnetic fields.

    PubMed

    Potekhin, A Y; Chabrier, G; Shibanov, Y A

    1999-08-01

    We study the thermodynamic properties of a partially ionized hydrogen plasma in strong magnetic fields, B approximately 10(12)-10(13) G, typical of neutron stars. The properties of the plasma depend significantly on the quantum-mechanical sizes and binding energies of the atoms, which are strongly modified by thermal motion across the field. We use new fitting formulas for the atomic binding energies and sizes, based on accurate numerical calculations and valid for any state of motion of the atom. In particular, we take into account decentered atomic states, neglected in previous studies of thermodynamics of magnetized plasmas. We also employ analytic fits for the thermodynamic functions of nonideal fully ionized electron-ion Coulomb plasmas. This enables us to construct an analytic model of the free energy. An ionization equilibrium equation is derived, taking into account the strong magnetic field effects and the nonideality effects. This equation is solved by an iteration technique. Ionization degrees, occupancies, and the equation of state are calculated.

  8. Ion-enhanced chemical etching of ZrO2 in a chlorine discharge

    NASA Astrophysics Data System (ADS)

    Sha, Lin; Cho, Byeong-Ok; Chang, Jane P.

    2002-09-01

    Chlorine plasma is found to chemically etch ZrO2 thin films in an electron cyclotron resonance reactor, and the etch rate scaled linearly with the square root of ion energy at high ion energies with a threshold energy between 12-20 eV. The etching rate decreased monotonically with increasing chamber pressures, which corresponds to reduced electron temperatures. Optical emission spectroscopy and quadrupole mass spectrometry were used to identify the reaction etching products. No Zr, O, or ZrCl were detected as etching products, but highly chlorinated zirconium compounds (ZrCl2, ZrCl3, and ZrCl4) and ClO were found to be the dominant etching products. ZrCl3 was the dominant etching products at low ion energies, while ZrCl4 became dominant at higher ion energies. This is consistent with greater momentum transfer and enhanced surface chlorination, as determined by x-ray photoelectron spectroscopy, at increased ion energies. Several ion-enhanced chemical reactions are proposed to contribute to the ZrO2 etching. copyright 2002 American Vacuum Society.

  9. Measurement of plasma hydrogen sulfide in vivo and in vitro

    PubMed Central

    Shen, Xinggui; Pattillo, Christopher B.; Pardue, Sibile; Bir, Shyamal C.; Wang, Rui; Kevil, Christopher G.

    2015-01-01

    The gasotransmitter hydrogen sulfide is known to regulate multiple cellular functions during normal and pathophysiological states. However, a paucity of concise information exists regarding quantitative amounts of hydrogen sulfide involved in physiological and pathological responses. This is primarily due to disagreement among various methods employed to measure free hydrogen sulfide. In this article, we describe a very sensitive method of measuring the presence of H2S in plasma down to nanomolar levels, using monobromobimane (MBB). The current standard assay using methylene blue provides erroneous results that do not actually measure H2S. The method presented herein involves derivatization of sulfide with excess MBB in 100 mM Tris–HCl buffer (pH 9.5, 0.1 mM DTPA) for 30 min in 1% oxygen at room temperature. The fluorescent product sulfide-dibimane (SDB) is analyzed by RP-HPLC using an eclipse XDB-C18 (4.6×250 mm) column with gradient elution by 0.1% (v/v) trifluoroacetic acid in acetonitrile. The limit of detection for sulfide-dibimane is 2 nM and the SDB product is very stable over time, allowing batch storage and analysis. In summary, our MBB method is suitable for sensitive quantitative measurement of free hydrogen sulfide in multiple biological samples such as plasma, tissue and cell culture lysates, or media. PMID:21276849

  10. Fabrication of a Silicon Nanowire on a Bulk Substrate by Use of a Plasma Etching and Total Ionizing Dose Effects on a Gate-All-Around Field-Effect Transistor

    NASA Technical Reports Server (NTRS)

    Moon, Dong-Il; Han, Jin-Woo; Meyyappan, Meyya

    2016-01-01

    The gate all around transistor is investigated through experiment. The suspended silicon nanowire for the next generation is fabricated on bulk substrate by plasma etching method. The scallop pattern generated by Bosch process is utilized to form a floating silicon nanowire. By combining anisotropic and istropic silicon etch process, the shape of nanowire is accurately controlled. From the suspended nanowire, the gate all around transistor is demonstrated. As the silicon nanowire is fully surrounded by the gate, the device shows excellent electrostatic characteristics.

  11. Self-terminated etching of GaN with a high selectivity over AlGaN under inductively coupled Cl2/N2/O2 plasma with a low-energy ion bombardment

    NASA Astrophysics Data System (ADS)

    Zhong, Yaozong; Zhou, Yu; Gao, Hongwei; Dai, Shujun; He, Junlei; Feng, Meixin; Sun, Qian; Zhang, Jijun; Zhao, Yanfei; DingSun, An; Yang, Hui

    2017-10-01

    Etching of GaN/AlGaN heterostructure by O-containing inductively coupled Cl2/N2 plasma with a low-energy ion bombardment can be self-terminated at the surface of the AlGaN layer. The estimated etching rates of GaN and AlGaN were 42 and 0.6 nm/min, respectively, giving a selective etching ratio of 70:1. To study the mechanism of the etching self-termination, detailed characterization and analyses were carried out, including X-ray photoelectron spectroscopy (XPS) and time-of-flight secondary ion mass spectroscopy (TOF-SIMS). It was found that in the presence of oxygen, the top surface of the AlGaN layer was converted into a thin film of (Al,Ga)Ox with a high bonding energy, which effectively prevented the underlying atoms from a further etching, resulting in a nearly self-terminated etching. This technique enables a uniform and reproducible fabrication process for enhancement-mode high electron mobility transistors with a p-GaN gate.

  12. Dry etching, surface passivation and capping processes for antimonide based photodetectors

    NASA Astrophysics Data System (ADS)

    Dutta, Partha; Langer, Jeffery; Bhagwat, Vinay; Juneja, Jasbir

    2005-05-01

    III-V antimonide based devices suffer from leakage currents. Surface passivation and subsequent capping of the surfaces are absolutely essential for any practical applicability of antimonide based devices. The quest for a suitable surface passivation technology is still on. In this paper, we will present some of the promising recent developments in this area based on dry etching of GaSb based homojunction photodiodes structures followed by various passivation and capping schemes. We have developed a damage-free, universal dry etching recipe based on unique ratios of Cl2/BCl3/CH4/Ar/H2 in ECR plasma. This novel dry plasma process etches all III-V compounds at different rates with minimal damage to the side walls. In GaSb based photodiodes, an order of magnitude lower leakage current, improved ideality factor and higher responsivity has been demonstrated using this recipe compared to widely used Cl2/Ar and wet chemical etch recipes. The dynamic zero bias resistance-area product of the Cl2/BCl3/CH4/Ar/H2 etched diodes (830 Ω cm2) is higher than the Cl2/Ar (300 Ω cm2) and wet etched (330 Ω cm2) diodes. Ammonium sulfide has been known to passivate surfaces of III-V compounds. In GaSb photodiodes, the leakage current density reduces by a factor of 3 upon sulfur passivation using ammonium sulfide. However, device performance degrades over a period of time in the absence of any capping or protective layer. Silicon Nitride has been used as a cap layer by various researchers. We have found that by using silicon nitride caps, the devices exhibit higher leakage than unpassivated devices probably due to plasma damage during SiNx deposition. We have experimented with various polymers for capping material. It has been observed that ammonium sulfide passivation when combined with parylene capping layer (150 Å), devices retain their improved performance for over 4 months.

  13. Impact of combined hydrogen plasma and transient heat loads on the performance of tungsten as plasma facing material

    NASA Astrophysics Data System (ADS)

    Wirtz, M.; Bardin, S.; Huber, A.; Kreter, A.; Linke, J.; Morgan, T. W.; Pintsuk, G.; Reinhart, M.; Sergienko, G.; Steudel, I.; De Temmerman, G.; Unterberg, B.

    2015-11-01

    Experiments were performed in three different facilities in order to investigate the impact of combined steady state deuterium plasma exposure and ELM-like thermal shock events on the performance of ultra high purity tungsten. The electron beam facility JUDITH 1 was used to simulate pure thermal loads. In addition the linear plasma devices PSI-2 and Pilot-PSI have been used for successive as well as simultaneous exposure where the transient heat loads were applied by a high energy laser and the pulsed plasma operation, respectively. The results show that the damage behaviour strongly depends on the loading conditions and the sequence of the particle and heat flux exposure. This is due to hydrogen embrittlement and/or a higher defect concentration in the tungsten near surface region due to supersaturation of hydrogen. The different results in terms of damage formation from both linear plasma devices indicate that also the plasma parameters such as particle energy, flux and fluence, plasma impurities and the pulse shape have a strong influence on the damage performance. In addition, the different loading methods such as the scanning with the electron beam in contrast to the homogeneous exposure by the laser leads to an faster increase of the surface roughness due to plastic deformation.

  14. Fabrication of ZnO photonic crystals by nanosphere lithography using inductively coupled-plasma reactive ion etching with CH{sub 4}/H{sub 2}/Ar plasma on the ZnO/GaN heterojunction light emitting diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Shr-Jia; Chang, Chun-Ming; Kao, Jiann-Shiun

    2010-07-15

    This article reports fabrication of n-ZnO photonic crystal/p-GaN light emitting diode (LED) by nanosphere lithography to further booster the light efficiency. In this article, the fabrication of ZnO photonic crystals is carried out by nanosphere lithography using inductively coupled plasma reactive ion etching with CH{sub 4}/H{sub 2}/Ar plasma on the n-ZnO/p-GaN heterojunction LEDs. The CH{sub 4}/H{sub 2}/Ar mixed gas gives high etching rate of n-ZnO film, which yields a better surface morphology and results less plasma-induced damages of the n-ZnO film. Optimal ZnO lattice parameters of 200 nm and air fill factor from 0.35 to 0.65 were obtained from fittingmore » the spectrum of n-ZnO/p-GaN LED using a MATLAB code. In this article, we will show our recent result that a ZnO photonic crystal cylinder has been fabricated using polystyrene nanosphere mask with lattice parameter of 200 nm and radius of hole around 70 nm. Surface morphology of ZnO photonic crystal was examined by scanning electron microscope.« less

  15. Ultra-Shallow Depth Profiling of Arsenic Implants in Silicon by Hydride Generation-Inductively Coupled Plasma Atomic Emission Spectrometry

    NASA Astrophysics Data System (ADS)

    Matsubara, Atsuko; Kojima, Hisao; Itoga, Toshihiko; Kanehori, Keiichi

    1995-08-01

    High resolution depth profiling of arsenic (As) implanted into silicon wafers by a chemical technique is described. Silicon wafers are precisely etched through repeated oxidation by hydrogen peroxide solution and dissolution of the oxide by hydrofluoric acid solution. The etched silicon thickness is determined by inductively-coupled plasma atomic emission spectrometry (ICP-AES). Arsenic concentration is determined by hydride generation ICP-AES (HG-ICP-AES) with prereduction using potassium iodide. The detection limit of As in a 4-inch silicon wafer is 2.4×1018 atoms/cm3. The etched silicon thickness is controlled to less than 4±2 atomic layers. Depth profiling of an ultra-shallow As diffusion layer with the proposed method shows good agreement with profiling using the four-probe method or secondary ion mass spectrometry.

  16. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    NASA Astrophysics Data System (ADS)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  17. Unbiased roughness measurements: the key to better etch performance

    NASA Astrophysics Data System (ADS)

    Liang, Andrew; Mack, Chris; Sirard, Stephen; Liang, Chen-wei; Yang, Liu; Jiang, Justin; Shamma, Nader; Wise, Rich; Yu, Jengyi; Hymes, Diane

    2018-03-01

    Edge placement error (EPE) has become an increasingly critical metric to enable Moore's Law scaling. Stochastic variations, as characterized for lines by line width roughness (LWR) and line edge roughness (LER), are dominant factors in EPE and known to increase with the introduction of EUV lithography. However, despite recommendations from ITRS, NIST, and SEMI standards, the industry has not agreed upon a methodology to quantify these properties. Thus, differing methodologies applied to the same image often result in different roughness measurements and conclusions. To standardize LWR and LER measurements, Fractilia has developed an unbiased measurement that uses a raw unfiltered line scan to subtract out image noise and distortions. By using Fractilia's inverse linescan model (FILM) to guide development, we will highlight the key influences of roughness metrology on plasma-based resist smoothing processes. Test wafers were deposited to represent a 5 nm node EUV logic stack. The patterning stack consists of a core Si target layer with spin-on carbon (SOC) as the hardmask and spin-on glass (SOG) as the cap. Next, these wafers were exposed through an ASML NXE 3350B EUV scanner with an advanced chemically amplified resist (CAR). Afterwards, these wafers were etched through a variety of plasma-based resist smoothing techniques using a Lam Kiyo conductor etch system. Dense line and space patterns on the etched samples were imaged through advanced Hitachi CDSEMs and the LER and LWR were measured through both Fractilia and an industry standard roughness measurement software. By employing Fractilia to guide plasma-based etch development, we demonstrate that Fractilia produces accurate roughness measurements on resist in contrast to an industry standard measurement software. These results highlight the importance of subtracting out SEM image noise to obtain quicker developmental cycle times and lower target layer roughness.

  18. High effective heterogeneous plasma vortex reactor for production of heat energy and hydrogen

    NASA Astrophysics Data System (ADS)

    Belov, N. K.; Zavershinskii, I. P.; Klimov, A. I.; Molevich, N. E.; Porfiriev, D. P.; Tolkunov, B. N.

    2018-03-01

    This work is a continuation of our previous studies [1-10] of physical parameters and properties of a long-lived heterogeneous plasmoid (plasma formation with erosive nanoclusters) created by combined discharge in a high-speed swirl flow. Here interaction of metal nanoclusters with hydrogen atoms is studied in a plasma vortex reactor (PVR) with argon-water steam mixture. Metal nanoclusters were created by nickel cathode’s erosion at combined discharge on. Dissociated hydrogen atoms and ions were obtained in water steam by electric discharge. These hydrogen atoms and ions interacted with metal nanoclusters, which resulted in the creation of a stable plasmoid in a swirl gas flow. This plasmoid has been found to create intensive soft X-ray radiation. Plasma parameters of this plasmoid were measured by optical spectroscopy method. It has been obtained that there is a high non-equilibrium plasmoid: Te > TV >> TR. The measured coefficient of energy performance of this plasmoid is about COP = 2÷10. This extra power release in plasmoid is supposed to be connected with internal excited electrons. The obtained experimental results have proved our suggestion.

  19. Analysis of InP-based single photon avalanche diodes based on a single recess-etching process

    NASA Astrophysics Data System (ADS)

    Lee, Kiwon

    2018-04-01

    Effects of the different etching techniques have been investigated by analyzing electrical and optical characteristics of two-types of single-diffused single photon avalanche diodes (SPADs). The fabricated two-types of SPADs have no diffusion depth variation by using a single diffusion process at the same time. The dry-etched SPADs show higher temperature dependence of a breakdown voltage, larger dark-count-rate (DCR), and lower photon-detection-efficiency (PDE) than those of the wet-etched SPADs due to plasma-induced damage of dry-etching process. The results show that the dry etching damages can more significantly affect the performance of the SPADs based on a single recess-etching process.

  20. Effects of wet etch processing on laser-induced damage of fused silica surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Battersby, C.L.; Kozlowski, M.R.; Sheehan, L.M.

    1998-12-22

    Laser-induced damage of transparent fused silica optical components by 355 nm illumination occurs primarily at surface defects produced during the grinding and polishing processes. These defects can either be surface defects or sub-surface damage.Wet etch processing in a buffered hydrogen fluoride (HF) solution has been examined as a tool for characterizing such defects. A study was conducted to understand the effects of etch depth on the damage threshold of fused silica substrates. The study used a 355 nm, 7.5 ns, 10 Hz Nd:YAG laser to damage test fused silica optics through various wet etch processing steps. Inspection of the surfacemore » quality was performed with Nomarski microscopy and Total Internal Reflection Microscopy. The damage test data and inspection results were correlated with polishing process specifics. The results show that a wet etch exposes subsurface damage while maintaining or improving the laser damage performance. The benefits of a wet etch must be evaluated for each polishing process.« less

  1. Nanosecond pulsed humid Ar plasma jet in air: shielding, discharge characteristics and atomic hydrogen production

    NASA Astrophysics Data System (ADS)

    Yatom, Shurik; Luo, Yuchen; Xiong, Qing; Bruggeman, Peter J.

    2017-10-01

    Gas phase non-equilibrium plasmas jets containing water vapor are of growing interest for many applications. In this manuscript, we report a detailed study of an atmospheric pressure nanosecond pulsed Ar  +  0.26% H2O plasma jet. The plasma jet operates in an atmospheric pressure air surrounding but is shielded with a coaxial argon flow to limit the air diffusion into the jet effluent core. The jet impinges on a metal plate electrode and produces a stable plasma filament (transient spark) between the needle electrode in the jet and the metal plate. The stable plasma filament is characterized by spatially and time resolved electrical and optical diagnostics. This includes Rayleigh scattering, Stark broadening of the hydrogen Balmer lines and two-photon absorption laser induced fluorescence (TaLIF) to obtain the gas temperature, the electron density and the atomic hydrogen density respectively. Electron densities and atomic hydrogen densities up to 5 × 1022 m-3 and 2 × 1022 m-3 have been measured. This shows that atomic hydrogen is one of the main species in high density Ar-H2O plasmas. The gas temperature does not exceed 550 K in the core of the plasma. To enable in situ calibration of the H TaLIF at atmospheric pressure a previously published O density calibration scheme is extended to include a correction for the line profiles by including overlap integrals as required by H TaLIF. The line width of H TaLIF, due to collision broadening has the same trend as the neutral density obtained by Rayleigh scattering. This suggests the possibility to use this technique to in situ probe neutral gas densities.

  2. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    PubMed

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  3. Advanced Simulation Technology to Design Etching Process on CMOS Devices

    NASA Astrophysics Data System (ADS)

    Kuboi, Nobuyuki

    2015-09-01

    Prediction and control of plasma-induced damage is needed to mass-produce high performance CMOS devices. In particular, side-wall (SW) etching with low damage is a key process for the next generation of MOSFETs and FinFETs. To predict and control the damage, we have developed a SiN etching simulation technique for CHxFy/Ar/O2 plasma processes using a three-dimensional (3D) voxel model. This model includes new concepts for the gas transportation in the pattern, detailed surface reactions on the SiN reactive layer divided into several thin slabs and C-F polymer layer dependent on the H/N ratio, and use of ``smart voxels''. We successfully predicted the etching properties such as the etch rate, polymer layer thickness, and selectivity for Si, SiO2, and SiN films along with process variations and demonstrated the 3D damage distribution time-dependently during SW etching on MOSFETs and FinFETs. We confirmed that a large amount of Si damage was caused in the source/drain region with the passage of time in spite of the existing SiO2 layer of 15 nm in the over etch step and the Si fin having been directly damaged by a large amount of high energy H during the removal step of the parasitic fin spacer leading to Si fin damage to a depth of 14 to 18 nm. By analyzing the results of these simulations and our previous simulations, we found that it is important to carefully control the dose of high energy H, incident energy of H, polymer layer thickness, and over-etch time considering the effects of the pattern structure, chamber-wall condition, and wafer open area ratio. In collaboration with Masanaga Fukasawa and Tetsuya Tatsumi, Sony Corporation. We thank Mr. T. Shigetoshi and Mr. T. Kinoshita of Sony Corporation for their assistance with the experiments.

  4. Back-channel-etch amorphous indium-gallium-zinc oxide thin-film transistors: The impact of source/drain metal etch and final passivation

    NASA Astrophysics Data System (ADS)

    Nag, Manoj; Bhoolokam, Ajay; Steudel, Soeren; Chasin, Adrian; Myny, Kris; Maas, Joris; Groeseneken, Guido; Heremans, Paul

    2014-11-01

    We report on the impact of source/drain (S/D) metal (molybdenum) etch and the final passivation (SiO2) layer on the bias-stress stability of back-channel-etch (BCE) configuration based amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). It is observed that the BCE configurations TFTs suffer poor bias-stability in comparison to etch-stop-layer (ESL) TFTs. By analysis with transmission electron microscopy (TEM) and energy dispersive spectroscopy (EDS), as well as by a comparative analysis of contacts formed by other metals, we infer that this poor bias-stability for BCE transistors having Mo S/D contacts is associated with contamination of the back channel interface, which occurs by Mo-containing deposits on the back channel during the final plasma process of the physical vapor deposited SiO2 passivation.

  5. SEMICONDUCTOR TECHNOLOGY: Influence of hydrogenation on the dark current mechanism of HgCdTe photovoltaic detectors

    NASA Astrophysics Data System (ADS)

    Hui, Qiao; Weida, Hu; Zhenhua, Ye; Xiangyang, Li; Haimei, Gong

    2010-03-01

    The influence of hydrogenation on the dark current mechanism of HgCdTe photovoltaic detectors is studied. The hydrogenation is achieved by exposing samples to a H2/Ar plasma atmosphere that was produced during a reactive ion etching process. A set of variable-area photomask was specially designed to evaluate the hydrogenation effect. It was found that the current-voltage characteristics were gradually improved when detectors were hydrogenated by different areas. The fitting results of experimental results at reverse bias conditions sustained that the improvement of current-voltage curves was due to the suppression of trap assisted tunneling current and the enhancement of minority lifetime in the depletion region. It was also found that the dominative forward current was gradually converted from a generation-recombination current to a diffusion current with the enlargement of the hydrogenation area, which was infered from the ideality factors by abstraction of forward resistance-voltage curves of different detectors.

  6. Silicon macroporous arrays with high aspect ratio prepared by ICP etching

    NASA Astrophysics Data System (ADS)

    Wang, Guozheng; Yang, Bingchen; Wang, Ji; Yang, Jikai; Duanmu, Qingduo

    2018-02-01

    This paper reports on a macroporous silicon arrays with high aspect ratio, the pores of which are of 162, 205, 252, 276μm depths with 6, 10, 15 and 20 μm diameters respectively, prepared by Multiplex Inductively Coupled Plasma (ICP) etching. It was shown that there are very differences in process of high aspect ratio microstructures between the deep pores, a closed structure, and deep trenches, a open structure. The morphology and the aspect ratio dependent etching were analyzed and discussed. The macroporous silicon etched by ICP process yield an uneven, re-entrant, notched and ripples surface within the pores. The main factors effecting on the RIE lag of HARP etching are the passivation cycle time, the pressure of reactive chamber, and the platen power of ICP system.

  7. Electron transfer in proton-hydrogen collisions under dense quantum plasma

    NASA Astrophysics Data System (ADS)

    Nayek, Sujay; Bhattacharya, Arka; Kamali, Mohd Zahurin Mohamed; Ghoshal, Arijit; Ratnavelu, Kurunathan

    2017-09-01

    The effects of dense quantum plasma on 1 s → nlm charge transfer, for arbitrary n,l,m, in proton-hydrogen collisions have been studied by employing a distorted wave approximation. The interactions among the charged particles in the plasma have been represented by modified Debye-Huckel potentials. A detailed study has been made to explore the effects of background plasma environment on the differential and total cross sections for electron capture into different angular momentum states for the incident energy in the range 10-1000 keV. For the unscreened case, our results agree well with some of the most accurate results available in the literature.

  8. Negative hydrogen ion production in a helicon plasma source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Santoso, J., E-mail: Jesse.Santoso@anu.edu.au; Corr, C. S.; Manoharan, R.

    2015-09-15

    In order to develop very high energy (>1 MeV) neutral beam injection systems for applications, such as plasma heating in fusion devices, it is necessary first to develop high throughput negative ion sources. For the ITER reference source, this will be realised using caesiated inductively coupled plasma devices, containing either hydrogen or deuterium discharges, operated with high rf input powers (up to 90 kW per driver). It has been suggested that due to their high power coupling efficiency, helicon devices may be able to reduce power requirements and potentially obviate the need for caesiation due to the high plasma densities achievable. Here,more » we present measurements of negative ion densities in a hydrogen discharge produced by a helicon device, with externally applied DC magnetic fields ranging from 0 to 8.5 mT at 5 and 10 mTorr fill pressures. These measurements were taken in the magnetised plasma interaction experiment at the Australian National University and were performed using the probe-based laser photodetachment technique, modified for the use in the afterglow of the plasma discharge. A peak in the electron density is observed at ∼3 mT and is correlated with changes in the rf power transfer efficiency. With increasing magnetic field, an increase in the negative ion fraction from 0.04 to 0.10 and negative ion densities from 8 × 10{sup 14 }m{sup −3} to 7 × 10{sup 15 }m{sup −3} is observed. It is also shown that the negative ion densities can be increased by a factor of 8 with the application of an external DC magnetic field.« less

  9. Etching Selectivity of Cr, Fe and Ni Masks on Si & SiO2 Wafers

    NASA Astrophysics Data System (ADS)

    Garcia, Jorge; Lowndes, Douglas H.

    2000-10-01

    During this Summer 2000 I joined the Semiconductors and Thin Films group led by Dr. Douglas H. Lowndes at Oak Ridge National Laboratory’s Solid State Division. Our objective was to evaluate the selectivity that Trifluoromethane (CHF3), and Sulfur Hexafluoride (SF6) plasmas have for Si, SiO2 wafers and the Ni, Cr, and Fe masks; being this etching selectivity the ratio of the etching rates of the plasmas for each of the materials. We made use of Silicon and Silicon Dioxide-coated wafers that have Fe, Cr or Ni masks. In the semiconductor field, metal layers are often used as masks to protect layers underneath during processing steps; when these wafers are taken to the dry etching process, both the wafer and the mask layers’ thickness are reduced.

  10. Single-crystal silicon trench etching for fabrication of highly integrated circuits

    NASA Astrophysics Data System (ADS)

    Engelhardt, Manfred

    1991-03-01

    The development of single crystal silicon trench etching for fabrication of memory cells in 4 16 and 64Mbit DRAMs is reviewed in this paper. A variety of both etch tools and process gases used for the process development is discussed since both equipment and etch chemistry had to be improved and changed respectively to meet the increasing requirements for high fidelity pattern transfer with increasing degree of integration. In additon to DRAM cell structures etch results for deep trench isolation in advanced bipolar ICs and ASICs are presented for these applications grooves were etched into silicon through a highly doped buried layer and at the borderline of adjacent p- and n-well areas respectively. Shallow trench etching of large and small exposed areas with identical etch rates is presented as an approach to replace standard LOCOS isolation by an advanced isolation technique. The etch profiles were investigated with SEM TEM and AES to get information on contathination and damage levels and on the mechanism leading to anisotropy in the dry etch process. Thermal wave measurements were performed on processed single crystal silicon substrates for a fast evaluation of the process with respect to plasma-induced substrate degradation. This useful technique allows an optimization ofthe etch process regarding high electrical performance of the fully processed memory chip. The benefits of the use of magnetic fields for the development of innovative single crystal silicon dry

  11. Surface engineering on CeO2 nanorods by chemical redox etching and their enhanced catalytic activity for CO oxidation

    NASA Astrophysics Data System (ADS)

    Gao, Wei; Zhang, Zhiyun; Li, Jing; Ma, Yuanyuan; Qu, Yongquan

    2015-07-01

    Controllable surface properties of nanocerias are desired for various catalytic processes. There is a lack of efficient approaches to adjust the surface properties of ceria to date. Herein, a redox chemical etching method was developed to controllably engineer the surface properties of ceria nanorods. Ascorbic acid and hydrogen peroxide were used to perform the redox chemical etching process, resulting in a rough surface and/or pores on the surface of ceria nanorods. Increasing the etching cycles induced a steady increase of the specific surface area, oxygen vacancies and surface Ce3+ fractions. As a result, the etched nanorods delivered enhanced catalytic activity for CO oxidation, compared to the non-etched ceria nanorods. Our method provides a novel and facile approach to continuously adjust the surface properties of ceria for practical applications.Controllable surface properties of nanocerias are desired for various catalytic processes. There is a lack of efficient approaches to adjust the surface properties of ceria to date. Herein, a redox chemical etching method was developed to controllably engineer the surface properties of ceria nanorods. Ascorbic acid and hydrogen peroxide were used to perform the redox chemical etching process, resulting in a rough surface and/or pores on the surface of ceria nanorods. Increasing the etching cycles induced a steady increase of the specific surface area, oxygen vacancies and surface Ce3+ fractions. As a result, the etched nanorods delivered enhanced catalytic activity for CO oxidation, compared to the non-etched ceria nanorods. Our method provides a novel and facile approach to continuously adjust the surface properties of ceria for practical applications. Electronic supplementary information (ESI) available: Diameter distributions of as-prepared and etched samples, optical images, specific catalytic data of CO oxidation and comparison of CO oxidation. See DOI: 10.1039/c5nr01846c

  12. Atomic Layer Deposition of Wet-Etch Resistant Silicon Nitride Using Di(sec-butylamino)silane and N2 Plasma on Planar and 3D Substrate Topographies.

    PubMed

    Faraz, Tahsin; van Drunen, Maarten; Knoops, Harm C M; Mallikarjunan, Anupama; Buchanan, Iain; Hausmann, Dennis M; Henri, Jon; Kessels, Wilhelmus M M

    2017-01-18

    The advent of three-dimensional (3D) finFET transistors and emergence of novel memory technologies place stringent requirements on the processing of silicon nitride (SiN x ) films used for a variety of applications in device manufacturing. In many cases, a low temperature (<400 °C) deposition process is desired that yields high quality SiN x films that are etch resistant and also conformal when grown on 3D substrate topographies. In this work, we developed a novel plasma-enhanced atomic layer deposition (PEALD) process for SiN x using a mono-aminosilane precursor, di(sec-butylamino)silane (DSBAS, SiH 3 N( s Bu) 2 ), and N 2 plasma. Material properties have been analyzed over a wide stage temperature range (100-500 °C) and compared with those obtained in our previous work for SiN x deposited using a bis-aminosilane precursor, bis(tert-butylamino)silane (BTBAS, SiH 2 (NH t Bu) 2 ), and N 2 plasma. Dense films (∼3.1 g/cm 3 ) with low C, O, and H contents at low substrate temperatures (<400 °C) were obtained on planar substrates for this process when compared to other processes reported in the literature. The developed process was also used for depositing SiN x films on high aspect ratio (4.5:1) 3D trench nanostructures to investigate film conformality and wet-etch resistance (in dilute hydrofluoric acid, HF/H 2 O = 1:100) relevant for state-of-the-art device architectures. Film conformality was below the desired levels of >95% and attributed to the combined role played by nitrogen plasma soft saturation, radical species recombination, and ion directionality during SiN x deposition on 3D substrates. Yet, very low wet-etch rates (WER ≤ 2 nm/min) were observed at the top, sidewall, and bottom trench regions of the most conformal film deposited at low substrate temperature (<400 °C), which confirmed that the process is applicable for depositing high quality SiN x films on both planar and 3D substrate topographies.

  13. Hydrogen Generation by Koh-Ethanol Plasma Electrolysis Using Double Compartement Reactor

    NASA Astrophysics Data System (ADS)

    Saksono, Nelson; Sasiang, Johannes; Dewi Rosalina, Chandra; Budikania, Trisutanti

    2018-03-01

    This study has successfully investigated the generation of hydrogen using double compartment reactor with plasma electrolysis process. Double compartment reactor is designed to achieve high discharged voltage, high concentration, and also reduce the energy consumption. The experimental results showed the use of double compartment reactor increased the productivity ratio 90 times higher compared to Faraday electrolysis process. The highest hydrogen production obtained is 26.50 mmol/min while the energy consumption can reach up 1.71 kJ/mmol H2 at 0.01 M KOH solution. It was shown that KOH concentration, addition of ethanol, cathode depth, and temperature have important effects on hydrogen production, energy consumption, and process efficiency.

  14. Intrinsic Kinetics of Dimethyl Ether Synthesis from Plasma Activation of CO2 Hydrogenation over Cu-Fe-Ce/HZSM-5.

    PubMed

    Su, Tongming; Zhou, Xinhui; Qin, Zuzeng; Ji, Hongbing

    2017-02-02

    CO 2 is activated in a plasma reactor followed by hydrogenation over a Cu-Fe-Ce/HZSM-5 catalyst, and the intrinsic kinetics of the plasma catalytic process are studied. Compared with CO 2 hydrogenation using Cu-Fe-Ce/HZSM-5 alone, the CO 2 conversion and the dimethyl ether selectivity for the plasma catalytic process are increased by 16.3 %, and 10.1 %, respectively, indicating that the CO 2 was activated by the plasma to promote hydrogenation. A study of the intrinsic kinetics shows that the activation energies of methanol formation, the reverse water-gas shift reaction, and methanol dehydration to dimethyl ether are 149.34, 75.47, and 73.18 kJ mol -1 , respectively, which are lower than if Cu-Fe-Ce/HZSM-5 is used without plasma, indicating that the activation of CO 2 in the plasma reduces the activation energy of the hydrogenation reaction and improves the yield of dimethyl ether. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Highly selective SiO2 etching over Si3N4 using a cyclic process with BCl3 and fluorocarbon gas chemistries

    NASA Astrophysics Data System (ADS)

    Matsui, Miyako; Kuwahara, Kenichi

    2018-06-01

    A cyclic process for highly selective SiO2 etching with atomic-scale precision over Si3N4 was developed by using BCl3 and fluorocarbon gas chemistries. This process consists of two alternately performed steps: a deposition step using BCl3 mixed-gas plasma and an etching step using CF4/Ar mixed-gas plasma. The mechanism of the cyclic process was investigated by analyzing the surface chemistry at each step. BCl x layers formed on both SiO2 and Si3N4 surfaces in the deposition step. Early in the etching step, the deposited BCl x layers reacted with CF x radicals by forming CCl x and BF x . Then, fluorocarbon films were deposited on both surfaces in the etching step. We found that the BCl x layers formed in the deposition step enhanced the formation of the fluorocarbon films in the CF4 plasma etching step. In addition, because F radicals that radiated from the CF4 plasma reacted with B atoms while passing through the BCl x layers, the BCl x layers protected the Si3N4 surface from F-radical etching. The deposited layers, which contained the BCl x , CCl x , and CF x components, became thinner on SiO2 than on Si3N4, which promoted the ion-assisted etching of SiO2. This is because the BCl x component had a high reactivity with SiO2, and the CF x component was consumed by the etching reaction with SiO2.

  16. Method for etching thin films of niboium and niobium-containing compounds for preparing superconductive circuits

    DOEpatents

    Kampwirth, R.T.; Schuller, I.K.; Falco, C.M.

    1979-11-23

    An improved method of preparing thin film superconducting electrical circuits of niobium or niobium compounds is provided in which a thin film of the niobium or niobium compound is applied to a nonconductive substrate and covered with a layer of photosensitive material. The sensitive material is in turn covered with a circuit pattern exposed and developed to form a mask of the circuit in photoresistive material on the surface of the film. The unmasked excess niobium film is removed by contacting the substrate with an aqueous etching solution of nitric acid, sulfuric acid, and hydrogen fluoride, which will rapidly etch the niobium compound without undercutting the photoresist. A modification of the etching solution will permit thin films to be lifted from the substrate without further etching.

  17. Etch bias inversion during EUV mask ARC etch

    NASA Astrophysics Data System (ADS)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  18. Plasma etched surface scanning inspection recipe creation based on bidirectional reflectance distribution function and polystyrene latex spheres

    NASA Astrophysics Data System (ADS)

    Saldana, Tiffany; McGarvey, Steve; Ayres, Steve

    2014-04-01

    The continual increasing demands upon Plasma Etching systems to self-clean and continue Plasma Etching with minimal downtime allows for the examination of SiCN, SiO2 and SiN defectivity based upon Surface Scanning Inspection Systems (SSIS) wafer scan results. Historically all Surface Scanning Inspection System wafer scanning recipes have been based upon Polystyrene Spheres wafer deposition for each film stack and the subsequent creation of light scattering sizing response curves. This paper explores the feasibility of the elimination of Polystyrene Latex Sphere (PSL) and/or process particle deposition on both filmed and bare Silicon wafers prior to Surface Scanning Inspection System recipe creation. The study will explore the theoretical maximal Surface Scanning Inspection System sensitivity based on PSL recipe creation in conjunction with the maximal sensitivity derived from Bidirectional Reflectance Distribution Function (BRDF) maximal sensitivity modeling recipe creation. The surface roughness (Root Mean Square) of plasma etched wafers varies dependent upon the process film stack. Decrease of the root mean square value of the wafer sample surface equates to higher surface scanning inspection system sensitivity. Maximal sensitivity SSIS scan results from bare and filmed wafers inspected with recipes created based upon Polystyrene/Particle Deposition and recipes created based upon BRDF modeling will be overlaid against each other to determine maximal sensitivity and capture rate for each type of recipe that was created with differing recipe creation modes. A statistically valid sample of defects from each Surface Scanning Inspection system recipe creation mode and each bare wafer/filmed substrate will be reviewed post SSIS System processing on a Defect Review Scanning Electron Microscope (DRSEM). Native defects, Polystyrene Latex Spheres will be collected from each statistically valid defect bin category/size. The data collected from the DRSEM will be utilized to

  19. Hydrogen Storage in Diamond Powder Utilizing Plasma NaF Surface Treatment for Fuel Cell Applications

    NASA Astrophysics Data System (ADS)

    Leal, David A.; Velez, Angel; Prelas, Mark A.; Gosh, Tushar; Leal-Quiros, E.

    2006-12-01

    Hydrogen Fuel Cells offer the vital solution to the world's socio-political dependence on oil. Due to existing difficulty in safe and efficient hydrogen storage for fuel cells, storing the hydrogen in hydrocarbon compounds such as artificial diamond is a realistic solution. By treating the surface of the diamond powder with a Sodium Fluoride plasma exposure, the surface of the diamond is cleaned of unwanted molecules. Due to fluorine's electro negativity, the diamond powder is activated and ready for hydrogen absorption. These diamond powder pellets are then placed on a graphite platform that is heated by conduction in a high voltage circuit made of tungsten wire. Then, the injection of hydrogen gas into chamber allows the storage of the Hydrogen on the surface of the diamond powder. By neutron bombardment in the nuclear reactor, or Prompt Gamma Neutron Activation Analysis, the samples are examined for parts per million amounts of hydrogen in the sample. Sodium Fluoride surface treatment allows for higher mass percentage of stored hydrogen in a reliable, resistant structure, such as diamond for fuel cells and permanently alters the diamonds terminal bonds for re-use in the effective storage of hydrogen. The highest stored amount utilizing the NaF plasma surface treatment was 22229 parts per million of hydrogen in the diamond powder which amounts to 2.2229% mass increase.

  20. Simulations of Control Schemes for Inductively Coupled Plasma Sources

    NASA Astrophysics Data System (ADS)

    Ventzek, P. L. G.; Oda, A.; Shon, J. W.; Vitello, P.

    1997-10-01

    Process control issues are becoming increasingly important in plasma etching. Numerical experiments are an excellent test-bench for evaluating a proposed control system. Models are generally reliable enough to provide information about controller robustness, fitness of diagnostics. We will present results from a two dimensional plasma transport code with a multi-species plasma chemstry obtained from a global model. [1-2] We will show a correlation of external etch parameters (e.g. input power) with internal plasma parameters (e.g. species fluxes) which in turn are correlated with etch results (etch rate, uniformity, and selectivity) either by comparison to experiment or by using a phenomenological etch model. After process characterization, a control scheme can be evaluated since the relationship between the variable to be controlled (e.g. uniformity) is related to the measurable variable (e.g. a density) and external parameter (e.g. coil current). We will present an evaluation using the HBr-Cl2 system as an example. [1] E. Meeks and J. W. Shon, IEEE Trans. on Plasma Sci., 23, 539, 1995. [2] P. Vitello, et al., IEEE Trans. on Plasma Sci., 24, 123, 1996.

  1. Computation of Electron Impact Ionization Cross sections of Iron Hydrogen Clusters - Relevance in Fusion Plasmas

    NASA Astrophysics Data System (ADS)

    Patel, Umang; Joshipura, K. N.

    2017-04-01

    Plasma-wall interaction (PWI) is one of the key issues in nuclear fusion research. In nuclear fusion devices, such as the JET tokamak or the ITER, first-wall materials will be directly exposed to plasma components. Erosion of first-wall materials is a consequence of the impact of hydrogen and its isotopes as main constituents of the hot plasma. Besides the formation of gas-phase atomic species in various charge states, di- and polyatomic molecular species are expected to be formed via PWI processes. These compounds may profoundly disturb the fusion plasma, may lead to unfavorable re-deposition of materials and composites in other areas of the vessel. Interaction between atoms, molecules as well transport of impurities are of interest for modelling of fusion plasma. Qion by electron impact are such process also important in low temperature plasma processing, astrophysics etc. We reported electron impact Qionfor iron hydrogen clusters, FeHn (n = 1 to 10) from ionization threshold to 2000 eV. A semi empirical approach called Complex Scattering Potential - Ionization Contribution (CSP-ic) has been employed for the reported calculation. In context of fusion relevant species Qion were reported for beryllium and its hydrides, tungsten and its oxides and cluster of beryllium-tungsten by Huber et al.. Iron hydrogen clusters are another such species whose Qion were calculated through DM and BEB formalisms, same has been compared with present calculations.

  2. Research progress on ionic plasmas generated in an intense hydrogen negative ion source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Takeiri, Y., E-mail: takeiri@nifs.ac.jp; Tsumori, K.; Nagaoka, K.

    2015-04-08

    Characteristics of ionic plasmas, observed in a high-density hydrogen negative ion source, are investigated with a multi-diagnostics system. The ionic plasma, which consists of hydrogen positive- and negative-ions with a significantly low-density of electrons, is generated in the ion extraction region, from which the negative ions are extracted through the plasma grid. The negative ion density, i.e., the ionic plasma density, as high as the order of 1×10{sup 17}m{sup −3}, is measured with cavity ring-down spectroscopy, while the electron density is lower than 1×10{sup 16}m{sup −3}, which is confirmed with millimeter-wave interferometer. Reduction of the negative ion density is observedmore » at the negative ion extraction, and at that time the electron flow into the ionic plasma region is observed to conserve the charge neutrality. Distribution of the plasma potential is measured in the extraction region in the direction normal to the plasma grid surface with a Langmuir probe, and the results suggest that the sheath is formed at the plasma boundary to the plasma grid to which the bias voltage is applied. The beam extraction should drive the negative ion transport in the ionic plasma across the sheath formed on the extraction surface. Larger reduction of the negative ions at the beam extraction is observed in a region above the extraction aperture on the plasma grid, which is confirmed with 2D image measurement of the Hα emission and cavity ring-down spectroscopy. The electron distribution is also measured near the plasma grid surface. These various properties observed in the ionic plasma are discussed.« less

  3. Design of a high particle flux hydrogen helicon plasma source for used in plasma materials interaction studies

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Goulding, R. H.; Chen, G.; Meitner, S.

    2009-11-26

    Existing linear plasma materials interaction (PMI) facilities all use plasma sources with internal electrodes. An rf-based helicon source is of interest because high plasma densities can be generated with no internal electrodes, allowing true steady state operation with minimal impurity generation. Work has begun at Oak Ridge National Laboratory (ORNL) to develop a large (15 cm) diameter helicon source producing hydrogen plasmas with parameters suitable for use in a linear PMI device: n{sub e}{>=}10{sup 19} m{sup -3}, T{sub e} = 4-10 eV, particle flux {gamma}{sub p}>10{sup 23}m{sup -3} s{sup -1}, and magnetic field strength |B| up to 1 T inmore » the source region. The device, whose design is based on a previous hydrogen helicon source operated at ORNL[1], will operate at rf frequencies in the range 10-26 MHz, and power levels up to {approx}100 kW. Limitations in cooling will prevent operation for pulses longer than several seconds, but a major goal will be the measurement of power deposition on device structures so that a later steady state version can be designed. The device design, the diagnostics to be used, and results of rf modeling of the device will be discussed. These include calculations of plasma loading, resulting currents and voltages in antenna structures and the matching network, power deposition profiles, and the effect of high |B| operation on power absorption.« less

  4. Plasma-deposited amorphous hydrogenated carbon films and their tribological properties

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Pouch, John J.; Alterovitz, Samuel A.

    1989-01-01

    Recent work on the properties of diamondlike carbon films and their dependence on preparation conditions are reviewed. The results of the study indicate that plasma deposition enables one to deposit a variety of amorphous hydrogenated carbon (a-C:H ) films exhibiting more diamondlike behavior to more graphitic behavior. The plasma-deposited a-C:H can be effectively used as hard, wear-resistant, and protective lubricating films on ceramic materials such as Si(sub 3)N(sub 4) under a variety of environmental conditions such as moist air, dry nitrogrn, and vacuum.

  5. Atomic hydrogen and diatomic titanium-monoxide molecular spectroscopy in laser-induced plasma

    NASA Astrophysics Data System (ADS)

    Parigger, Christian G.; Woods, Alexander C.

    2017-03-01

    This article gives a brief review of experimental studies of hydrogen Balmer series emission spectra. Ongoing research aims to evaluate early plasma evolution following optical breakdown in laboratory air. Of interest is as well laser ablation of metallic titanium and characterization of plasma evolution. Emission of titanium monoxide is discussed together with modeling of diatomic spectra to infer temperature. The behavior of titanium particles in plasma draws research interests ranging from the modeling of stellar atmospheres to the enhancement of thin film production via pulsed laser deposition.

  6. ICP etching for InAs-based InAs/GaAsSb superlattice long wavelength infrared detectors

    NASA Astrophysics Data System (ADS)

    Huang, Min; Chen, Jianxin; Xu, Jiajia; Wang, Fangfang; Xu, Zhicheng; He, Li

    2018-05-01

    In this work, we study and report the dry etching processes for InAs-based InAs/GaAsSb strain-free superlattice long wavelength infrared (LWIR) detectors. The proper etching parameters were first obtained through the parametric studies of Inductively Coupled Plasma (ICP) etching of both InAs and GaSb bulk materials in Cl2/N2 plasmas. Then an InAs-based InAs/GaAsSb superlattice LWIR detector with PπN structure was fabricated by using the optimized etching parameters. At 80 K, the detector exhibits a 100% cut-off wavelength of 12 μm and a responsivity of 1.5 A/W. Moreover, the dark current density of the device under a bias of -200 mV reaches 5.5 × 10-4 A/cm2, and the R0A is 15 Ω cm2. Our results pave the way towards InAs-based superlattice LWIR detectors with better performances.

  7. Studies on probe measurements in presence of magnetic field in dust containing hydrogen plasma

    NASA Astrophysics Data System (ADS)

    Kalita, Deiji; Kakati, Bharat; Kausik, Siddhartha Sankar; Saikia, Bipul Kumar; Bandyopadhyay, Mainak

    2018-04-01

    The accuracy of plasma parameters measured by Langmuir probe in presence of magnetic field is studied in our present work. It is observed that the ratio of electron to ion saturation current shows almost identical behavior with that of unmagnetized hydrogen plasma when r L > 10 r p (here r L : Larmor radius and r p : probe radius). At magnetic field strength, B = 594 gauss, the electron temperature ( T e ) shows an overestimated value up to 35-40%, whereas at B ≤ 37 gauss, T e shows around ≤10% overestimated value w.r.t. unmagnetized case. A bi-Maxwellian electron energy probability function is observed for entire magnetic field range for both pristine and dust containing hydrogen plasma. The bulk (cold) electron collection by the Langmuir probe is strongly suppressed whereas the higher energetic electron collection remains unaffected in presence of magnetic field. In presence of dust grains, it is found that the low energy electron population decreases even more than the magnetized plasma and the high-energy tail slightly increases compared to the pristine plasma.

  8. Precise energy eigenvalues of hydrogen-like ion moving in quantum plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dutta, S.; Saha, Jayanta K.; Mukherjee, T. K.

    2015-06-15

    The analytic form of the electrostatic potential felt by a slowly moving test charge in quantum plasma is developed. It has been shown that the electrostatic potential is composed of two parts: the Debye-Huckel screening term and the near-field wake potential. The latter depends on the velocity of the test charge as well as on the number density of the plasma electrons. Rayleigh-Ritz variational calculation has been done to estimate precise energy eigenvalues of hydrogen-like carbon ion under such plasma environment. A detailed analysis shows that the energy levels gradually move to the continuum with increasing plasma electron density whilemore » the level crossing phenomenon has been observed with the variation of ion velocity.« less

  9. Metal etching composition

    NASA Technical Reports Server (NTRS)

    Otousa, Joseph E. (Inventor); Thomas, Clark S. (Inventor); Foster, Robert E. (Inventor)

    1991-01-01

    The present invention is directed to a chemical etching composition for etching metals or metallic alloys. The composition includes a solution of hydrochloric acid, phosphoric acid, ethylene glycol, and an oxidizing agent. The etching composition is particularly useful for etching metal surfaces in preparation for subsequent fluorescent penetrant inspection.

  10. The influence of H{sub 2}O{sub 2} concentration to the structure of silicon nanowire growth by metal-assisted chemical etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Omar, Hafsa, E-mail: mrshafsaomar@gmail.com; Jani, Abdul Mutalib Md., E-mail: abdmutalib@perlis.uitm.edu.my; Abdullah, Saifollah, E-mail: saifollah@salam.utm.edu.my

    2016-07-06

    A simple and low cost method to produce well aligned silicon nanowires at large areas using Ag-assisted chemical etching at room temperature were presented. The structure of silicon nanowires growth by metal-assisted chemical etching was observed. Prior to the etching, the silicon nanowires were prepared by electroless metal deposited (EMD) in solution containing hydrofluoric acid and hydrogen peroxide in Teflon vessel. The silver particle was deposited on substrate by immersion in hydrofluoric acid and silver nitrate solution for sixty second. The silicon nanowires were growth in different hydrogen peroxide concentration which are 0.3M, 0.4M, 0.5M and 0.6M and 0.7M.The influencemore » of hydrogen peroxide concentration to the formation of silicon nanowires was studied. The morphological properties of silicon nanowires were investigated using field emission scanning electron microscopy (FESEM) and Energy Dispersive X-Ray Spectroscopy (EDS).« less

  11. Transition energies and polarizabilities of hydrogen like ions in plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Das, Madhusmita

    2012-09-15

    Effect of plasma screening on various properties like transition energy, polarizability (dipole and quadrupole), etc. of hydrogen like ions is studied. The bound and free state wave functions and transition matrix elements are obtained by numerically integrating the radial Schrodinger equation for appropriate plasma potential. We have used adaptive step size controlled Runge-Kutta method to perform the numerical integration. Debye-Huckel potential is used to investigate the variation in transition lines and polarizabilities (dipole and quadrupole) with increasing plasma screening. For a strongly coupled plasma, ion sphere potential is used to show the variation in excitation energy with decreasing ion spheremore » radius. It is observed that plasma screening sets in phenomena like continuum lowering and pressure ionization, which are unique to ions in plasma. Of particular interest is the blue (red) shift in transitions conserving (non-conserving) principal quantum number. The plasma environment also affects the dipole and quadrupole polarizability of ions in a significant manner. The bound state contribution to polarizabilities decreases with increase in plasma density whereas the continuum contribution is significantly enhanced. This is a result of variation in the behavior of bound and continuum state wave functions in the presence of plasma. We have compared the results with existing theoretical and experimental data wherever present.« less

  12. Investigations on diamond nanostructuring of different morphologies by the reactive-ion etching process and their potential applications.

    PubMed

    Kunuku, Srinivasu; Sankaran, Kamatchi Jothiramalingam; Tsai, Cheng-Yen; Chang, Wen-Hao; Tai, Nyan-Hwa; Leou, Keh-Chyang; Lin, I-Nan

    2013-08-14

    We report the systematic studies on the fabrication of aligned, uniform, and highly dense diamond nanostructures from diamond films of various granular structures. Self-assembled Au nanodots are used as a mask in the self-biased reactive-ion etching (RIE) process, using an O2/CF4 process plasma. The morphology of diamond nanostructures is a close function of the initial phase composition of diamond. Cone-shaped and tip-shaped diamond nanostructures result for microcrystalline diamond (MCD) and nanocrystalline diamond (NCD) films, whereas pillarlike and grasslike diamond nanostructures are obtained for Ar-plasma-based and N2-plasma-based ultrananocrystalline diamond (UNCD) films, respectively. While the nitrogen-incorporated UNCD (N-UNCD) nanograss shows the most-superior electron-field-emission properties, the NCD nanotips exhibit the best photoluminescence properties, viz, different applications need different morphology of diamond nanostructures to optimize the respective characteristics. The optimum diamond nanostructure can be achieved by proper choice of granular structure of the initial diamond film. The etching mechanism is explained by in situ observation of optical emission spectrum of RIE plasma. The preferential etching of sp(2)-bonded carbon contained in the diamond films is the prime factor, which forms the unique diamond nanostructures from each type of diamond films. However, the excited oxygen atoms (O*) are the main etching species of diamond film.

  13. Controlled drive-in and precipitation of hydrogen during plasma hydrogenation of silicon using a thin compressively strained SiGe layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Okba, F.; Departement Optique et Mecanique de Precision, Faculte des Sciences de l'Ingenieur, Universite Ferhat Abbas, Setif 19000; Cherkashin, N.

    2010-07-19

    We have quantitatively studied by transmission electron microscopy the growth kinetics of platelets formed during the continuous hydrogenation of a Si substrate/SiGe/Si heterostructure. We have evidenced and explained the massive transfer of hydrogen from a population of platelets initially generated in the upper Si layer by plasma hydrogenation towards a population of larger platelets located in the SiGe layer. We demonstrate that this type of process can be used not only to precisely localize the micro-cracks, then the fracture line at a given depth but also to 'clean' the top layer from pre-existing defects.

  14. Decomposition of carbon dioxide by recombining hydrogen plasma with ultralow electron temperature

    NASA Astrophysics Data System (ADS)

    Yamazaki, Masahiro; Nishiyama, Shusuke; Sasaki, Koichi

    2018-06-01

    We examined the rate coefficient for the decomposition of CO2 in low-pressure recombining hydrogen plasmas with electron temperatures between 0.15 and 0.45 eV, where the electron-impact dissociation was negligible. By using this ultralow-temperature plasma, we clearly observed decomposition processes via vibrational excited states. The rate coefficient of the overall reaction, CO2 + e → products, was 1.5 × 10‑17 m3/s in the ultralow-temperature plasma, which was 10 times larger than the decomposition rate coefficient of 2 × 10‑18 m3/s in an ionizing plasma with an electron temperature of 4 eV.

  15. The quenching effect of hydrogen on the nitrogen in metastable state in atmospheric-pressure N{sub 2}-H{sub 2} microwave plasma torch

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Shou-Zhe, E-mail: lisz@dlut.edu.cn; Zhang, Xin; Chen, Chuan-Jie

    2014-07-15

    The atmospheric-pressure microwave N{sub 2}-H{sub 2} plasma torch is generated and diagnosed by optical emission spectroscopy. It is found that a large amount of N atoms and NH radicals are generated in the plasma torch and the emission intensity of N{sub 2}{sup +} first negative band is the strongest over the spectra. The mixture of hydrogen in nitrogen plasma torch causes the morphology of the plasma discharge to change with appearance that the afterglow shrinks greatly and the emission intensity of N{sub 2}{sup +} first negative band decreases with more hydrogen mixed into nitrogen plasma. In atmospheric-pressure microwave-induced plasma torch,more » the hydrogen imposes a great influence on the characteristics of nitrogen plasma through the quenching effect of the hydrogen on the metastable state of N{sub 2}.« less

  16. Hydrogen desorption from hydrogen fluoride and remote hydrogen plasma cleaned silicon carbide (0001) surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    King, Sean W., E-mail: sean.king@intel.com; Tanaka, Satoru; Davis, Robert F.

    2015-09-15

    Due to the extreme chemical inertness of silicon carbide (SiC), in-situ thermal desorption is commonly utilized as a means to remove surface contamination prior to initiating critical semiconductor processing steps such as epitaxy, gate dielectric formation, and contact metallization. In-situ thermal desorption and silicon sublimation has also recently become a popular method for epitaxial growth of mono and few layer graphene. Accordingly, numerous thermal desorption experiments of various processed silicon carbide surfaces have been performed, but have ignored the presence of hydrogen, which is ubiquitous throughout semiconductor processing. In this regard, the authors have performed a combined temperature programmed desorptionmore » (TPD) and x-ray photoelectron spectroscopy (XPS) investigation of the desorption of molecular hydrogen (H{sub 2}) and various other oxygen, carbon, and fluorine related species from ex-situ aqueous hydrogen fluoride (HF) and in-situ remote hydrogen plasma cleaned 6H-SiC (0001) surfaces. Using XPS, the authors observed that temperatures on the order of 700–1000 °C are needed to fully desorb C-H, C-O and Si-O species from these surfaces. However, using TPD, the authors observed H{sub 2} desorption at both lower temperatures (200–550 °C) as well as higher temperatures (>700 °C). The low temperature H{sub 2} desorption was deconvoluted into multiple desorption states that, based on similarities to H{sub 2} desorption from Si (111), were attributed to silicon mono, di, and trihydride surface species as well as hydrogen trapped by subsurface defects, steps, or dopants. The higher temperature H{sub 2} desorption was similarly attributed to H{sub 2} evolved from surface O-H groups at ∼750 °C as well as the liberation of H{sub 2} during Si-O desorption at temperatures >800 °C. These results indicate that while ex-situ aqueous HF processed 6H-SiC (0001) surfaces annealed at <700 °C remain terminated by some surface C

  17. Atomic-scale etching of hexagonal boron nitride for device integration based on two-dimensional materials.

    PubMed

    Park, Hamin; Shin, Gwang Hyuk; Lee, Khang June; Choi, Sung-Yool

    2018-05-29

    Hexagonal boron nitride (h-BN) is considered an ideal template for electronics based on two-dimensional (2D) materials, owing to its unique properties as a dielectric film. Most studies involving h-BN and its application to electronics have focused on its synthesis using techniques such as chemical vapor deposition, the electrical analysis of its surface state, and the evaluation of its performance. Meanwhile, processing techniques including etching methods have not been widely studied despite their necessity for device fabrication processes. In this study, we propose the atomic-scale etching of h-BN for integration into devices based on 2D materials, using Ar plasma at room temperature. A controllable etching rate, less than 1 nm min-1, was achieved and the low reactivity of the Ar plasma enabled the atomic-scale etching of h-BN down to a monolayer in this top-down approach. Based on the h-BN etching technique for achieving electrical contact with the underlying molybdenum disulfide (MoS2) layer of an h-BN/MoS2 heterostructure, a top-gate MoS2 field-effect transistor (FET) with h-BN gate dielectric was fabricated and characterized by high electrical performance based on the on/off current ratio and carrier mobility.

  18. Layer-controllable graphene by plasma thinning and post-annealing

    NASA Astrophysics Data System (ADS)

    Zhang, Lufang; Feng, Shaopeng; Xiao, Shaoqing; Shen, Gang; Zhang, Xiumei; Nan, Haiyan; Gu, Xiaofeng; Ostrikov, Kostya (Ken)

    2018-05-01

    The electronic structure of graphene depends crucially on its layer number and therefore engineering the number of graphene's atomic stacking layers is of great importance for the preparation of graphene-based devices. In this paper, we demonstrated a relatively less invasive, high-throughput and uniform large-area plasma thinning of graphene based on direct bombardment effect of fast-moving ionic hydrogen or argon species. Any desired number of graphene layers including trilayer, bilayer and monolayer can be obtained. Structural changes of graphene layers are studied by optical microscopy, Raman spectroscopy and atomic force microscopy. Post annealing is adopted to self-heal the lattice defects induced by the ion bombardment effect. This plasma etching technique is efficient and compatible with semiconductor manufacturing processes, and may find important applications for graphene-based device fabrication.

  19. Plasma density characterization at SPARC_LAB through Stark broadening of Hydrogen spectral lines

    NASA Astrophysics Data System (ADS)

    Filippi, F.; Anania, M. P.; Bellaveglia, M.; Biagioni, A.; Chiadroni, E.; Cianchi, A.; Di Giovenale, D.; Di Pirro, G.; Ferrario, M.; Mostacci, A.; Palumbo, L.; Pompili, R.; Shpakov, V.; Vaccarezza, C.; Villa, F.; Zigler, A.

    2016-09-01

    Plasma-based acceleration techniques are of great interest for future, compact accelerators due to their high accelerating gradient. Both particle-driven and laser-driven Plasma Wakefield Acceleration experiments are foreseen at the SPARC_LAB Test Facility (INFN National Laboratories of Frascati, Italy), with the aim to accelerate high-brightness electron beams. In order to optimize the efficiency of the acceleration in the plasma and preserve the quality of the accelerated beam, the knowledge of the plasma electron density is mandatory. The Stark broadening of the Hydrogen spectral lines is one of the candidates used to characterize plasma density. The implementation of this diagnostic for plasma-based experiments at SPARC_LAB is presented.

  20. Gold core@silver semishell Janus nanoparticles prepared by interfacial etching

    NASA Astrophysics Data System (ADS)

    Chen, Limei; Deming, Christopher P.; Peng, Yi; Hu, Peiguang; Stofan, Jake; Chen, Shaowei

    2016-07-01

    Gold core@silver semishell Janus nanoparticles were prepared by chemical etching of Au@Ag core-shell nanoparticles at the air/water interface. Au@Ag core-shell nanoparticles were synthesized by chemical deposition of a silver shell onto gold seed colloids followed by the self-assembly of 1-dodecanethiol onto the nanoparticle surface. The nanoparticles then formed a monolayer on the water surface of a Langmuir-Blodgett trough, and part of the silver shell was selectively etched away by the mixture of hydrogen peroxide and ammonia in the water subphase, where the etching was limited to the side of the nanoparticles that was in direct contact with water. The resulting Janus nanoparticles exhibited an asymmetrical distribution of silver on the surface of the gold cores, as manifested in transmission electron microscopy, UV-vis absorption, and X-ray photoelectron spectroscopy measurements. Interestingly, the Au@Ag semishell Janus nanoparticles exhibited enhanced electrocatalytic activity in oxygen reduction reactions, as compared to their Au@Ag and Ag@Au core-shell counterparts, likely due to a synergistic effect between the gold cores and silver semishells that optimized oxygen binding to the nanoparticle surface.Gold core@silver semishell Janus nanoparticles were prepared by chemical etching of Au@Ag core-shell nanoparticles at the air/water interface. Au@Ag core-shell nanoparticles were synthesized by chemical deposition of a silver shell onto gold seed colloids followed by the self-assembly of 1-dodecanethiol onto the nanoparticle surface. The nanoparticles then formed a monolayer on the water surface of a Langmuir-Blodgett trough, and part of the silver shell was selectively etched away by the mixture of hydrogen peroxide and ammonia in the water subphase, where the etching was limited to the side of the nanoparticles that was in direct contact with water. The resulting Janus nanoparticles exhibited an asymmetrical distribution of silver on the surface of the gold

  1. Aggressiveness of contemporary self-etching adhesives. Part II: etching effects on unground enamel.

    PubMed

    Pashley, D H; Tay, F R

    2001-09-01

    The aggressiveness of three self-etching adhesives on unground enamel was investigated. Ultrastructural features and microtensile bond strength were examined, first using these adhesives as both the etching and resin-infiltration components, and then examining their etching efficacy alone through substitution of the proprietary resins with the same control resins. For SEM examination, buccal, mid-coronal, unground enamel from human extracted bicuspids were etched with either Clearfil Mega Bond (Kuraray), Non-Rinse Conditioner (NRC; Dentsply DeTrey) or Prompt L-Pop (ESPE). Those in the control group were etched with 32% phosphoric acid (Bisco) for 15s. They were all rinsed off prior to examination of the etching efficacy. For TEM examination, the self-etching adhesives were used as recommended. Unground enamel treated with NRC were further bonded using Prime&Bond NT (Dentsply), while those in the etched, control group were bonded using All-Bond 2 (Bisco). Completely demineralized, resin replicas were embedded in epoxy resin for examination of the extent of resin infiltration. For microtensile bond strength evaluation, specimens were first etched and bonded using the self-etching adhesives. A second group of specimens were etched with the self-etching adhesives, rinsed but bonded using a control adhesive. Following restoration with Z100 (3M Dental Products), they were sectioned into beams of uniform cross-sectional areas and stressed to failure. Etching patterns of aprismatic enamel, as revealed by SEM, and the subsurface hybrid layer morphology, as revealed by TEM, varied according to the aggressiveness of the self-etching adhesives. Clearfil Mega Bond exhibited the mildest etching patterns, while Prompt L-Pop produced an etching effect that approached that of the total-etch control group. Microtensile bond strength of the three experimental groups were all significantly lower than the control group, but not different from one another. When the self-etching

  2. Localized etching of polymer films using an atmospheric pressure air microplasma jet

    NASA Astrophysics Data System (ADS)

    Guo, Honglei; Liu, Jingquan; Yang, Bin; Chen, Xiang; Yang, Chunsheng

    2015-01-01

    A direct-write process device based on the atmospheric pressure air microplasma jet (AμPJ) has been developed for the localized etching of polymer films. The plasma was generated by the air discharge ejected out through a tip-nozzle (inner diameter of 100 μm), forming the microplasma jet. The AμPJ was capable of reacting with the polymer surface since it contains a high concentration of oxygen reactive species and thus resulted in the selective removal of polymer films. The experimental results demonstrated that the AμPJ could fabricate different microstructures on a parylene-C film without using any masks or causing any heat damage. The etch rate of parylene-C reached 5.1 μm min-1 and microstructures of different depth and width could also be realized by controlling two process parameters, namely, the etching time and the distance between the nozzle and the substrate. In addition, combining XPS analysis and oxygen-induced chemical etching principles, the potential etching mechanism of parylene-C by the AμPJ was investigated. Aside from the etching of parylene-C, micro-holes on the photoresist and polyimide film were successfully created by the AμPJ. In summary, maskless pattern etching of polymer films could be achieved using this AμPJ.

  3. Effects of dry etching processes on exciton and polariton characteristics in ZnTe

    NASA Astrophysics Data System (ADS)

    Sun, J. H.; Xie, W. B.; Shen, W. Z.; Ogawa, H.; Guo, Q. X.

    2003-12-01

    We have employed temperature-dependent reflection spectra to study the effects of reactive ion etching (RIE) on the exciton and polariton characteristics in ZnTe crystals exposed to CH4/H2 gases under different rf plasma powers. Classic exciton-polariton theory has been used to calculate the reflection spectra. By comparing with an as-grown ZnTe crystal and the temperature-dependent behavior, we are able to identify the excitons and RIE-induced polariton structures in these dry etched ZnTe crystals. An increase of the rf plasma power will lead to an increase of defect density in the surface damage layers, resulting in a decrease of the photon energies of the observed exciton and polariton structures.

  4. Novel passivation dielectrics-The boron- or phosphorus-doped hydrogenated amorphous silicon carbide films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chang, C.Y.; Fang, Y.K.; Huang, C.F.

    1985-02-01

    Hydrogenated amorphous silicon carbide (a-SiC:H) thin films were prepared and studied in a radiofrequency glowdischarge system, using a gas mixture of SiH/sub 4/ and one of the following carbon sources: methane (CH/sub 4/), benzene (C/sub 6/H/sub 6/), toluene (C/sub 7/H/sub 8/), sigma-xylene (C/sub 8/H/sub 10/), trichloroethane (C/sub 2/H/sub 3/Cl/sub 3/), trichloroethylene (C/sub 2/HCl/sub 3/), or carbon tetrachloride (CCl/sub 4/). The effect of doping phosphorus and boron into those a-SiC:H films on chemical etching rate, electrica dc resistivity, breakdown strength, and optical refractive index have been systematically investigated. Their chemical etching properties were examined by immersing in 49% HF, buffered HF,more » 180/sup 0/C H/sub 3/PO/sub 4/ solutions, or in CF/sub 4/ + O/sub 2/ plasma. It was found that the boron-doped a-SiC:H film possesses five times slower etching rate than the undoped one, while phosphorus-doped a-SiC:H film shows about three times slower. Among those a-SiC:H films, the one obtained from a mixture of SiH/sub 4/ and benzene shows the best etch-resistant property, while the ones obtained from a mixture of SiH/sub 4/ and chlorine containing carbon sources (e.g., trichloroethylene, trichloroethane, or carbon tetrachloride) shows that they are poor in etching resistance (i.e., the etching rate is higher). By measuring dc resistivity, dielectric breakdown strength, and effective refractive index, it was found that boron- or phosphorus-doped a-SiC:H films exhibit much higher dielectric strength and resistivity, but lower etching rate, presumably because of higher density.« less

  5. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    PubMed

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  6. A nonequilibrium model for a moderate pressure hydrogen microwave discharge plasma

    NASA Technical Reports Server (NTRS)

    Scott, Carl D.

    1993-01-01

    This document describes a simple nonequilibrium energy exchange and chemical reaction model to be used in a computational fluid dynamics calculation for a hydrogen plasma excited by microwaves. The model takes into account the exchange between the electrons and excited states of molecular and atomic hydrogen. Specifically, electron-translation, electron-vibration, translation-vibration, ionization, and dissociation are included. The model assumes three temperatures, translational/rotational, vibrational, and electron, each describing a Boltzmann distribution for its respective energy mode. The energy from the microwave source is coupled to the energy equation via a source term that depends on an effective electric field which must be calculated outside the present model. This electric field must be found by coupling the results of the fluid dynamics and kinetics solution with a solution to Maxwell's equations that includes the effects of the plasma permittivity. The solution to Maxwell's equations is not within the scope of this present paper.

  7. Plasma-screening effects on the electron-impact excitation of hydrogenic ions in dense plasmas

    NASA Technical Reports Server (NTRS)

    Jung, Young-Dae

    1993-01-01

    Plasma-screening effects are investigated on electron-impact excitation of hydrogenic ions in dense plasmas. Scaled cross sections Z(exp 4) sigma for 1s yields 2s and 1s yields 2p are obtained for a Debye-Hueckel model of the screened Coulomb interaction. Ground and excited bound wave functions are modified in the screened Coulomb potential (Debye-Hueckel model) using the Ritz variation method. The resulting atomic wave functions and their eigenenergies agree well with the numerical and high-order perturbation theory calculations for the interesting domain of the Debye length not less than 10. The Born approximation is used to describe the continuum states of the projectile electron. Plasma screening effects on the atomic electrons cannot be neglected in the high-density cases. Including these effects, the cross sections are appreciably increased for 1s yields 2s transitions and decreased for 1s yields 2p transitions.

  8. Selective Etching of Silicon in Preference to Germanium and Si0.5Ge0.5.

    PubMed

    Ahles, Christopher F; Choi, Jong Youn; Wolf, Steven; Kummel, Andrew C

    2017-06-21

    The selective etching characteristics of silicon, germanium, and Si 0.5 Ge 0.5 subjected to a downstream H 2 /CF 4 /Ar plasma have been studied using a pair of in situ quartz crystal microbalances (QCMs) and X-ray photoelectron spectroscopy (XPS). At 50 °C and 760 mTorr, Si can be etched in preference to Ge and Si 0.5 Ge 0.5 , with an essentially infinite Si/Ge etch-rate ratio (ERR), whereas for Si/Si 0.5 Ge 0.5 , the ERR is infinite at 22 °C and 760 mTorr. XPS data showed that the selectivity is due to the differential suppression of etching by a ∼2 ML thick C x H y F z layer formed by the H 2 /CF 4 /Ar plasma on Si, Ge, and Si 0.5 Ge 0.5 . The data are consistent with the less exothermic reaction of fluorine radicals with Ge or Si 0.5 Ge 0.5 being strongly suppressed by the C x H y F z layer, whereas, on Si, the C x H y F z layer is not sufficient to completely suppress etching. Replacing H 2 with D 2 in the feed gas resulted in an inverse kinetic isotope effect (IKIE) where the Si and Si 0.5 Ge 0.5 etch rates were increased by ∼30 times with retention of significant etch selectivity. The use of D 2 /CF 4 /Ar instead of H 2 /CF 4 /Ar resulted in less total carbon deposition on Si and Si 0.5 Ge 0.5 and gave less Ge enrichment of Si 0.5 Ge 0.5 . These results are consistent with the selectivity being due to the differential suppression of etching by an angstrom-scale carbon layer.

  9. Hydrogen isotope fractionation in methane plasma

    NASA Astrophysics Data System (ADS)

    Robert, François; Derenne, Sylvie; Lombardi, Guillaume; Hassouni, Khaled; Michau, Armelle; Reinhardt, Peter; Duhamel, Rémi; Gonzalez, Adriana; Biron, Kasia

    2017-01-01

    The hydrogen isotope ratio (D/H) is commonly used to reconstruct the chemical processes at the origin of water and organic compounds in the early solar system. On the one hand, the large enrichments in deuterium of the insoluble organic matter (IOM) isolated from the carbonaceous meteorites are interpreted as a heritage of the interstellar medium or resulting from ion-molecule reactions taking place in the diffuse part of the protosolar nebula. On the other hand, the molecular structure of this IOM suggests that organic radicals have played a central role in a gas-phase organosynthesis. So as to reproduce this type of chemistry between organic radicals, experiments based on a microwave plasma of CH4 have been performed. They yielded a black organic residue in which ion microprobe analyses revealed hydrogen isotopic anomalies at a submicrometric spatial resolution. They likely reflect differences in the D/H ratios between the various CHx radicals whose polymerization is at the origin of the IOM. These isotopic heterogeneities, usually referred to as hot and cold spots, are commensurable with those observed in meteorite IOM. As a consequence, the appearance of organic radicals in the ionized regions of the disk surrounding the Sun during its formation may have triggered the formation of organic compounds.

  10. Hydrogen incorporation by plasma treatment gives mesoporous black TiO 2 thin films with visible photoelectrochemical water oxidation activity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Islam, Syed Z.; Reed, Allen; Nagpure, Suraj

    In this work, we use neutron reflectometry (NR) to investigate the roles of hydrogen in plasma treated hydrogen doped mesoporous black titania thin films in their visible light absorption and enhanced photoactivity for water oxidation. The cubic ordered mesoporous TiO 2 thin films are prepared by a surfactant-templated sol-gel method and are treated with hydrogen plasma, an approach hypothesized to capitalize on the high degree of disorder in the material and the high energy of the plasma species to achieve efficient hydrogen doping. UV-vis absorbance spectra indicate that H 2 plasma treatment makes TiO 2 films black, with broad-spectrum enhancementmore » of visible light absorption, and XPS analysis shows peak for Ti 3+ state in treated films. The presence of hydrogen in black mesoporous titania (H-TiO 2) films is confirmed by the scattering length density (SLD) profiles obtained from neutron reflectometry measurements. The H-TiO 2 shows ca. 28 times and 8 times higher photocurrent for photoelectrochemical water oxidation compared to undoped TiO 2 films under UV (365 nm) and blue (455 nm) LED irradiation, respectively. These findings provide the first direct evidence that the dramatic change in visible light absorbance of H-treated black TiO 2 is accompanied by significant hydrogen uptake and not just Ti 3+ generation or surface disordering.« less

  11. Hydrogen incorporation by plasma treatment gives mesoporous black TiO 2 thin films with visible photoelectrochemical water oxidation activity

    DOE PAGES

    Islam, Syed Z.; Reed, Allen; Nagpure, Suraj; ...

    2017-10-26

    In this work, we use neutron reflectometry (NR) to investigate the roles of hydrogen in plasma treated hydrogen doped mesoporous black titania thin films in their visible light absorption and enhanced photoactivity for water oxidation. The cubic ordered mesoporous TiO 2 thin films are prepared by a surfactant-templated sol-gel method and are treated with hydrogen plasma, an approach hypothesized to capitalize on the high degree of disorder in the material and the high energy of the plasma species to achieve efficient hydrogen doping. UV-vis absorbance spectra indicate that H 2 plasma treatment makes TiO 2 films black, with broad-spectrum enhancementmore » of visible light absorption, and XPS analysis shows peak for Ti 3+ state in treated films. The presence of hydrogen in black mesoporous titania (H-TiO 2) films is confirmed by the scattering length density (SLD) profiles obtained from neutron reflectometry measurements. The H-TiO 2 shows ca. 28 times and 8 times higher photocurrent for photoelectrochemical water oxidation compared to undoped TiO 2 films under UV (365 nm) and blue (455 nm) LED irradiation, respectively. These findings provide the first direct evidence that the dramatic change in visible light absorbance of H-treated black TiO 2 is accompanied by significant hydrogen uptake and not just Ti 3+ generation or surface disordering.« less

  12. Hydrogen incorporation by plasma treatment gives mesoporous black TiO 2 thin films with visible photoelectrochemical water oxidation activity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Islam, Syed Z.; Reed, Allen; Nagpure, Suraj

    2018-05-01

    In this work, we use neutron reflectometry (NR) to investigate the roles of hydrogen in plasma treated hydrogen doped mesoporous black titania thin films in their visible light absorption and enhanced photoactivity for water oxidation. The cubic ordered mesoporous TiO2 thin films are prepared by a surfactant-templated sol-gel method and are treated with hydrogen plasma, an approach hypothesized to capitalize on the high degree of disorder in the material and the high energy of the plasma species to achieve efficient hydrogen doping. UV-vis absorbance spectra indicate that H2 plasma treatment makes TiO2 films black, with broad-spectrum enhancement of visible lightmore » absorption, and XPS analysis shows peak for Ti3+ state in treated films. The presence of hydrogen in black mesoporous titania (H-TiO2) films is confirmed by the scattering length density (SLD) profiles obtained from neutron reflectometry measurements. The H-TiO2 shows ca. 28 times and 8 times higher photocurrent for photoelectrochemical water oxidation compared to undoped TiO2 films under UV (365 nm) and blue (455 nm) LED irradiation, respectively. These findings provide the first direct evidence that the dramatic change in visible light absorbance of H-treated black TiO2 is accompanied by significant hydrogen uptake and not just Ti3+ generation or surface disordering.« less

  13. Topography preserved microwave plasma etching for top-down layer engineering in MoS2 and other van der Waals materials.

    PubMed

    Varghese, Abin; Sharma, Chithra H; Thalakulam, Madhu

    2017-03-17

    A generic and universal layer engineering strategy for van der Waals (vW) materials, scalable and compatible with the current semiconductor technology, is of paramount importance in realizing all-two-dimensional logic circuits and to move beyond the silicon scaling limit. In this letter, we demonstrate a scalable and highly controllable microwave plasma based layer engineering strategy for MoS 2 and other vW materials. Using this technique we etch MoS 2 flakes layer-by-layer starting from an arbitrary thickness and area down to the mono- or the few-layer limit. From Raman spectroscopy, atomic force microscopy, photoluminescence spectroscopy, scanning electron microscopy and transmission electron microscopy, we confirm that the structural and morphological properties of the material have not been compromised. The process preserves the pre-etch layer topography and yields a smooth and pristine-like surface. We explore the electrical properties utilising a field effect transistor geometry and find that the mobility values of our samples are comparable to those of the pristine ones. The layer removal does not involve any reactive gasses or chemical reactions and relies on breaking the weak inter-layer vW interaction making it a generic technique for a wide spectrum of layered materials and heterostructures. We demonstrate the wide applicability of the technique by extending it to other systems such as graphene, h-BN and WSe 2 . In addition, using microwave plasma in combination with standard lithography, we illustrate a lateral patterning scheme making this process a potential candidate for large scale device fabrication in addition to layer engineering.

  14. Sterilization by pure oxygen plasma and by oxygen-hydrogen peroxide plasma: an efficacy study.

    PubMed

    Boscariol, M R; Moreira, A J; Mansano, R D; Kikuchi, I S; Pinto, T J A

    2008-04-02

    Plasma is an innovative sterilization method characterized by a low toxicity to operators and patients, and also by its operation at temperatures close to room temperatures. The use of different parameters for this method of sterilization and the corresponding results were analyzed in this study. A low-pressure inductive discharge was used to study the plasma sterilization processes. Oxygen and a mixture of oxygen and hydrogen peroxide were used as plasma source gases. The efficacy of the processes using different combinations of parameters such as plasma-generation method, type of gas, pressure, gas flow rate, temperature, power, and exposure time was evaluated. Two phases were developed for the processes, one using pure oxygen and the other a mixture of gases. Bacillus subtilis var. niger ATCC 9372 (Bacillus atrophaeus) spores inoculated on glass coverslips were used as biological indicators to evaluate the efficacy of the processes. All cycles were carried out in triplicate for different sublethal exposure times to calculate the D value by the enumeration method. The pour-plate technique was used to quantify the spores. D values of between 8 and 3 min were obtained. Best results were achieved at high power levels (350 and 400 W) using pure oxygen, showing that plasma sterilization is a promising alternative to other sterilization methods.

  15. Method for providing an arbitrary three-dimensional microstructure in silicon using an anisotropic deep etch

    DOEpatents

    Morales, Alfredo M.; Gonzales, Marcela

    2004-06-15

    The present invention describes a method for fabricating an embossing tool or an x-ray mask tool, providing microstructures that smoothly vary in height from point-to-point in etched substrates, i.e., structure which can vary in all three dimensions. The process uses a lithographic technique to transfer an image pattern in the surface of a silicon wafer by exposing and developing the resist and then etching the silicon substrate. Importantly, the photoresist is variably exposed so that when developed some of the resist layer remains. The remaining undeveloped resist acts as an etchant barrier to the reactive plasma used to etch the silicon substrate and therefore provides the ability etch structures of variable depths.

  16. Anisotropic etching of platinum electrodes at the onset of cathodic corrosion

    PubMed Central

    Hersbach, Thomas J. P.; Yanson, Alexei I.; Koper, Marc T. M.

    2016-01-01

    Cathodic corrosion is a process that etches metal electrodes under cathodic polarization. This process is presumed to occur through anionic metallic reaction intermediates, but the exact nature of these intermediates and the onset potential of their formation is unknown. Here we determine the onset potential of cathodic corrosion on platinum electrodes. Electrodes are characterized electrochemically before and after cathodic polarization in 10 M sodium hydroxide, revealing that changes in the electrode surface start at an electrode potential of −1.3 V versus the normal hydrogen electrode. The value of this onset potential rules out previous hypotheses regarding the nature of cathodic corrosion. Scanning electron microscopy shows the formation of well-defined etch pits with a specific orientation, which match the voltammetric data and indicate a remarkable anisotropy in the cathodic etching process, favouring the creation of (100) sites. Such anisotropy is hypothesized to be due to surface charge-induced adsorption of electrolyte cations. PMID:27554398

  17. Etude fondamentale des mecanismes de gravure par plasma de materiaux de pointe: Application a la fabrication de dispositifs photoniques

    NASA Astrophysics Data System (ADS)

    Stafford, Luc

    Advances in electronics and photonics critically depend upon plasma-based materials processing either for transferring small lithographic patterns into underlying materials (plasma etching) or for the growth of high-quality films. This thesis deals with the etching mechanisms of materials using high-density plasmas. The general objective of this work is to provide an original framework for the plasma-material interaction involved in the etching of advanced materials by putting the emphasis on complex oxides such as SrTiO3, (Ba,Sr)TiO 3 and SrBi2Ta2O9 films. Based on a synthesis of the descriptions proposed by different authors to explain the etching characteristics of simple materials in noble and halogenated plasma mixtures, we propose comprehensive rate models for physical and chemical plasma etching processes. These models have been successfully validated using experimental data published in literature for Si, Pt, W, SiO2 and ZnO. As an example, we have been able to adequately describe the simultaneous dependence of the etch rate on ion and reactive neutral fluxes and on the ion energy. From an exhaustive experimental investigation of the plasma and etching properties, we have also demonstrated that the validity of the proposed models can be extended to complex oxides such as SrTiO3, (Ba,Sr)TiO 3 and SrBi2Ta2O9 films. We also reported for the first time physical aspects involved in plasma etching such as the influence of the film microstructural properties on the sputter-etch rate and the influence of the positive ion composition on the ion-assisted desorption dynamics. Finally, we have used our deep investigation of the etching mechanisms of STO films and the resulting excellent control of the etch rate to fabricate a ridge waveguide for photonic device applications. Keywords: plasma etching, sputtering, adsorption and desorption dynamics, high-density plasmas, plasma diagnostics, advanced materials, photonic applications.

  18. Surface modification and stability of detonation nanodiamonds in microwave gas discharge plasma

    NASA Astrophysics Data System (ADS)

    Stanishevsky, Andrei V.; Walock, Michael J.; Catledge, Shane A.

    2015-12-01

    Detonation nanodiamonds (DND), with low hydrogen content, were exposed to microwave plasma generated in pure H2, N2, and O2 gases and their mixtures, and investigated using X-ray diffraction (XRD), Fourier Transform Infrared (FTIR), Raman, and X-ray photoelectron spectroscopies. Considerable alteration of the DND surface was observed under the plasma conditions for all used gases, but the diamond structure of the DND particle core was preserved in most cases. The stabilizing effect of H2 in H2/N2 and H2/O2 binary gas plasmas on the DND structure and the temperature-dependent formation of various CNHx surface groups in N2 and H2/N2 plasmas were observed and discussed for the first time. DND surface oxidation and etching were the main effects of O2 plasma, whereas the N2 plasma led to DND surfaces rich in amide groups below 1073 K and nitrile groups at higher temperatures. Noticeable graphitization of the DND core structure was detected only in N2 plasma when the substrate temperature was above 1103 K.

  19. Direct synthesis of hydrogen peroxide from plasma-water interactions

    PubMed Central

    Liu, Jiandi; He, Bangbang; Chen, Qiang; Li, Junshuai; Xiong, Qing; Yue, Guanghui; Zhang, Xianhui; Yang, Size; Liu, Hai; Liu, Qing Huo

    2016-01-01

    Hydrogen peroxide (H2O2) is usually considered to be an important reagent in green chemistry since water is the only by-product in H2O2 involved oxidation reactions. Early studies show that direct synthesis of H2O2 by plasma-water interactions is possible, while the factors affecting the H2O2 production in this method remain unclear. Herein, we present a study on the H2O2 synthesis by atmospheric pressure plasma-water interactions. The results indicate that the most important factors for the H2O2 production are the processes taking place at the plasma-water interface, including sputtering, electric field induced hydrated ion emission, and evaporation. The H2O2 production rate reaches ~1200 μmol/h when the liquid cathode is purified water or an aqueous solution of NaCl with an initial conductivity of 10500 μS cm−1. PMID:27917925

  20. Hydrogen and sulfur recovery from hydrogen sulfide wastes

    DOEpatents

    Harkness, J.B.L.; Gorski, A.J.; Daniels, E.J.

    1993-05-18

    A process is described for generating hydrogen and elemental sulfur from hydrogen sulfide waste in which the hydrogen sulfide is [dis]associated under plasma conditions and a portion of the hydrogen output is used in a catalytic reduction unit to convert sulfur-containing impurities to hydrogen sulfide for recycle, the process also including the addition of an ionizing gas such as argon to initiate the plasma reaction at lower energy, a preheater for the input to the reactor and an internal adjustable choke in the reactor for enhanced coupling with the microwave energy input.

  1. Hydrogen and sulfur recovery from hydrogen sulfide wastes

    DOEpatents

    Harkness, John B. L.; Gorski, Anthony J.; Daniels, Edward J.

    1993-01-01

    A process for generating hydrogen and elemental sulfur from hydrogen sulfide waste in which the hydrogen sulfide is associated under plasma conditions and a portion of the hydrogen output is used in a catalytic reduction unit to convert sulfur-containing impurities to hydrogen sulfide for recycle, the process also including the addition of an ionizing gas such as argon to initiate the plasma reaction at lower energy, a preheater for the input to the reactor and an internal adjustable choke in the reactor for enhanced coupling with the microwave energy input.

  2. Plasma processing of large curved surfaces for superconducting rf cavity modification

    DOE PAGES

    Upadhyay, J.; Im, Do; Popović, S.; ...

    2014-12-15

    In this study, plasma based surface modification of niobium is a promising alternative to wet etching of superconducting radio frequency (SRF) cavities. The development of the technology based on Cl 2/Ar plasma etching has to address several crucial parameters which influence the etching rate and surface roughness, and eventually, determine cavity performance. This includes dependence of the process on the frequency of the RF generator, gas pressure, power level, the driven (inner) electrode configuration, and the chlorine concentration in the gas mixture during plasma processing. To demonstrate surface layer removal in the asymmetric non-planar geometry, we are using a simplemore » cylindrical cavity with 8 ports symmetrically distributed over the cylinder. The ports are used for diagnosing the plasma parameters and as holders for the samples to be etched. The etching rate is highly correlated with the shape of the inner electrode, radio-frequency (RF) circuit elements, chlorine concentration in the Cl 2/Ar gas mixtures, residence time of reactive species and temperature of the cavity. Using cylindrical electrodes with variable radius, large-surface ring-shaped samples and d.c. bias implementation in the external circuit we have demonstrated substantial average etching rates and outlined the possibility to optimize plasma properties with respect to maximum surface processing effect.« less

  3. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    NASA Astrophysics Data System (ADS)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, <100> and <111> preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  4. InGaP/InGaAs field-effect transistor typed hydrogen sensor

    NASA Astrophysics Data System (ADS)

    Tsai, Jung-Hui; Liou, Syuan-Hao; Lin, Pao-Sheng; Chen, Yu-Chi

    2018-02-01

    In this article, the Pd-based mixture comprising silicon dioxide (SiO2) is applied as sensing material for the InGaP/InGaAs field-effect transistor typed hydrogen sensor. After wet selectively etching the SiO2, the mixture is turned into Pd nanoparticles on an interlayer. Experimental results depict that hydrogen atoms trapped inside the mixture could effectively decrease the gate barrier height and increase the drain current due to the improved sensing properties when Pd nanoparticles were formed by wet etching method. The sensitivity of the gate forward current from air (the reference) to 9800 ppm hydrogen/air environment approaches the high value of 1674. Thus, the studied device shows a good potential for hydrogen sensor and integrated circuit applications.

  5. BCA-kMC Hybrid Simulation for Hydrogen and Helium Implantation in Material under Plasma Irradiation

    NASA Astrophysics Data System (ADS)

    Kato, Shuichi; Ito, Atsushi; Sasao, Mamiko; Nakamura, Hiroaki; Wada, Motoi

    2015-09-01

    Ion implantation by plasma irradiation into materials achieves the very high concentration of impurity. The high concentration of impurity causes the deformation and the destruction of the material. This is the peculiar phenomena in the plasma-material interaction (PMI). The injection process of plasma particles are generally simulated by using the binary collision approximation (BCA) and the molecular dynamics (MD), while the diffusion of implanted atoms have been traditionally solved by the diffusion equation, in which the implanted atoms is replaced by the continuous concentration field. However, the diffusion equation has insufficient accuracy in the case of low concentration, and in the case of local high concentration such as the hydrogen blistering and the helium bubble. The above problem is overcome by kinetic Monte Carlo (kMC) which represents the diffusion of the implanted atoms as jumps on interstitial sites in a material. In this paper, we propose the new approach ``BCA-kMC hybrid simulation'' for the hydrogen and helium implantation under the plasma irradiation.

  6. Electrical, optical, and photoluminescence properties of ZnO films subjected to thermal annealing and treatment in hydrogen plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Abdullin, Kh. A.; Gabdullin, M. T.; Gritsenko, L. V.

    The photoluminescence and optical absorption spectra and electrical properties of ZnO films grown by the metal–organic chemical vapor deposition and hydrothermal techniques, subjected to heat treatments and plasma treatment in a hydrogen atmosphere, are studied. It is shown that the adsorption of oxygen at grain boundaries upon annealing in an oxidizing atmosphere determines the electrical properties of the films. Vacuum annealing improves the electrical properties of the samples after degradation induced by annealing in air. Treatment in hydrogen plasma passivates surface states at the grain boundaries. The intrinsic photoluminescence intensity after plasma treatment is higher in the case of increasedmore » amounts of oxygen adsorbed at grain surfaces upon annealing in air. Surface states involving oxygen and hydrogen atoms are responsible for the high-intensity intrinsic photoluminescence band.« less

  7. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    PubMed

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  8. Self-etching adhesive on intact enamel, with and without pre-etching.

    PubMed

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  9. Lenard-Balescu calculations and classical molecular dynamics simulations of electrical and thermal conductivities of hydrogen plasmas

    DOE PAGES

    Whitley, Heather D.; Scullard, Christian R.; Benedict, Lorin X.; ...

    2014-12-04

    Here, we present a discussion of kinetic theory treatments of linear electrical and thermal transport in hydrogen plasmas, for a regime of interest to inertial confinement fusion applications. In order to assess the accuracy of one of the more involved of these approaches, classical Lenard-Balescu theory, we perform classical molecular dynamics simulations of hydrogen plasmas using 2-body quantum statistical potentials and compute both electrical and thermal conductivity from out particle trajectories using the Kubo approach. Our classical Lenard-Balescu results employing the identical statistical potentials agree well with the simulations.

  10. Self-etching aspects of a three-step etch-and-rinse adhesive.

    PubMed

    Bahillo, Jose; Roig, Miguel; Bortolotto, Tissiana; Krejci, Ivo

    2013-11-01

    The purpose of this study is to assess the marginal adaptation of cavities restored with a three-step etch-and-rinse adhesive, OptiBond FL (OFL) under different application protocols. Twenty-four class V cavities were prepared with half of the margins located in enamel and half in dentin. Cavities were restored with OFL and a microhybrid resin composite (Clearfil AP-X). Three groups (n = 8) that differed in the etching technique were tested with thermomechanical loading, and specimens were subjected to quantitative marginal analysis before and after loading. Micromorphology of etching patters on enamel and dentin were observed with SEM. Data was evaluated with Kruskal-Wallis and Bonferroni post hoc test. Significantly lower percent CM (46.9 ± 19.5) were found after loading on enamel in group 3 compared to group 1 (96.5 ± 5.1) and group 2 (93.1 ± 8.1). However, no significant differences (p = 0.30) were observed on dentin margins. Etching enamel with phosphoric acid but avoiding etching dentin before the application of OFL, optimal marginal adaptation could be obtained, evidencing a self-etching primer effect. A reliable adhesive interface was attained with the application of the three-step etch-and-rinse OFL adhesive with a selective enamel etching, representing an advantage on restoring deep cavities.

  11. Molecular dynamics analysis of silicon chloride ion incidence during Si etching in Cl-based plasmas: Effects of ion incident energy, angle, and neutral radical-to-ion flux ratio

    NASA Astrophysics Data System (ADS)

    Nakazaki, Nobuya; Eriguchi, Koji; Ono, Kouichi

    2014-10-01

    Profile anomalies and surface roughness are critical issues to be resolved in plasma etching of nanometer-scale microelectronic devices, which in turn requires a better understanding of the effects of ion incident energy and angle on surface reaction kinetics. This paper presents a classical molecular dynamics (MD) simulation of Si(100) etching by energetic Clx+ (x = 1-2) and SiClx+ (x = 0-4) ion beams with different incident energies Ei = 20-500 eV and angles θi = 0-85°, with and without low-energy neutral Cl radicals (neutral-to-ion flux ratios Γn/Γi = 0 and 100). An improved Stillinger-Weber interatomic potential was used for the Si/Cl system. Numerical results indicated that in Cl+, Cl2+, SiCl3+, and SiCl4+ incidences for θi = 0° and Γn/Γi = 0, the etching occurs in the whole Ei range investigated; on the other hand, in SiCl+ and SiCl2+ incidences, the deposition occurs at low Ei < 300 and 150 eV, respectively, while the etching occurs at further increased Ei. For SiCl+ and SiCl2+, the transition energies from deposition and etching become lowered for Γn/Γi = 100. Numerical results further indicated that in the SiCl+ incidence for Γn/Γi = 0, the etching occurs in the whole θi range investigated for Ei >= 300 eV; on the other hand, for Ei = 100 and 150 eV, the deposition occurs at low θi < 60° and 40°, respectively, while the etching occurs at further increased θi; in addition, for Ei <= 50 eV, the deposition occurs in the whole θi range investigated.

  12. Theoretical investigation of the effect of hydrogen addition on the formation and properties of soliton in direct current argon plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saikia, P., E-mail: partha.008@gmail.com; Goswami, K. S.; Saikia, B. K.

    2014-03-15

    In this study the effect of hydrogen addition on the formation and properties of soliton in direct-current (DC) argon plasma is theoretically investigated. By coupling fluid equations with Poisons equation for such multi-component plasma, the Mach number and amplitude of the soliton are determined following pseudo potential method. Addition of hydrogen in argon discharge leads to the decrease of electron, Ar{sup +} ion density while a reverse trend was observed for ArH{sup +} and hydrogen like ions. It was found that presence of hydrogen like ions in argon plasma affects the formation of soliton with its amplitude significantly decreases asmore » concentration of hydrogen increases. On the other hand, increase in ion to electron temperature ratios of the lighter ions in the discharge also has a significant influence on the amplitude and formation of soliton. The inverse relation between solitons width and amplitude is found to be consistent for the entire range of study.« less

  13. Silicon solar cells made by a self-aligned, selective-emitter, plasma-etchback process

    DOEpatents

    Ruby, Douglas S.; Schubert, William K.; Gee, James M.

    1999-01-01

    A potentially low-cost process for forming and passivating a selective emitter. The process uses a plasma etch of the heavily doped emitter to improve its performance. The grids of the solar cell are used to mask the plasma etch so that only the emitter in the region between the grids is etched, while the region beneath the grids remains heavily doped for low contact resistance. This process is potentially low-cost because it requires no alignment. After the emitter etch, a silicon nitride layer is deposited by plasma-enhanced, chemical vapor deposition, and the solar cell is annealed in a forming gas.

  14. Water-assisted growth of graphene-carbon nanotube hybrids in plasma

    NASA Astrophysics Data System (ADS)

    Tewari, Aarti; Ghosh, Santanu; Srivastava, Pankaj

    2018-04-01

    The enhanced growth of graphene-carbon nanotube (CNT) hybrids in a hydrocarbon and hydrogen plasma assisted by water is numerically formulated. The catalyst activity and agglomeration of catalyst particles are the rate determining factors in the growth of hybrids and their constituents, i.e., the CNT and graphene. The water vapor concentration is varied to investigate its effect on the growth process. The enhanced catalyst activity on account of oxidation by hydroxyl ions of water to impede the agglomeration of catalyst particles and the removal of amorphous carbon through etching by hydrogen ions of water are seen to be the main driving forces behind the many fold increase in the dimensions of constituent nanostructures and the hybrids with water vapor concentration. Importantly, beyond a certain specific water vapor concentration, the growth rates dropped due to active oxidation of the catalyst particle.

  15. RIE-based Pattern Transfer Using Nanoparticle Arrays as Etch Masks

    NASA Astrophysics Data System (ADS)

    Hogg, Chip; Majetich, Sara A.; Bain, James A.

    2009-03-01

    Nanomasking is used to transfer the pattern of a self-assembled array of nanoparticles into an underlying thin film, for potential use as bit-patterned media. We have used this process to investigate the limits of pattern transfer, as a function of gap size in the pattern. Reactive Ion Etching (RIE) is our chosen process, since the gaseous reaction products and high chemical selectivity are ideal features for etching very small gaps. Interstitial surfactant is removed with an O2 plasma, allowing the etchants to penetrate between the particles. Their pattern is transferred into an intermediate SiO2 mask using a CH4-based RIE. This patterned SiO2 layer is finally used as a mask for the MeOH-based RIE which patterns the magnetic film. We present cross-sectional TEM characterization of the etch profiles, as well as magnetic characterization of the film before and after patterning.

  16. Overview Of Dry-Etch Techniques

    NASA Astrophysics Data System (ADS)

    Salzer, John M.

    1986-08-01

    With pattern dimensions shrinking, dry methods of etching providing controllable degrees of anisotropy become a necessity. A number of different configurations of equipment - inline, hex, planar, barrel - have been offered, and within each type, there are numerous significant variations. Further, each specific type of machine must be perfected over a complex, interactive parameter space to achieve suitable removal of various materials. Among the most critical system parameters are the choice of cathode or anode to hold the wafers, the chamber pressure, the plasma excitation frequency, and the electrode and magnetron structures. Recent trends include the use of vacuum load locks, multiple chambers, multiple electrodes, downstream etching or stripping, and multistep processes. A major percentage of etches in production handle the three materials: polysilicon, oxide and aluminum. Recent process developments have targeted refractory metals, their silicides, and with increasing emphasis, silicon trenching. Indeed, with new VLSI structures, silicon trenching has become the process of greatest interest. For stripping, dry processes provide advantages other than anisotropy. Here, too, new configurations and methods have been introduced recently. While wet processes are less than desirable from a number of viewpoints (handling, safety, disposal, venting, classes of clean room, automatability), dry methods are still being perfected as a direct, universal replacement. The paper will give an overview of these machine structures and process solutions, together with examples of interest. These findings and the trends discussed are based on semiannual survey of manufacturers and users of the various types of equipment.

  17. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    PubMed Central

    Zhan, Zhan; Li, Wei; Yu, Lingke; Wang, Lingyun; Sun, Daoheng

    2017-01-01

    In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching) is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement. PMID:28772521

  18. Plasma chemistry study of PLAD processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qin Shu; Brumfield, Kyle; Liu, Lequn Jennifer

    2012-11-06

    Plasma doping (PLAD) shows very different impurity profiles compared to the conventional beam-line-based ion implantations due to its non-mass separation property and plasma environment. There is no simulation for PLAD process so far due to a lack of a dopant profile model. Several factors determine impurity profiles of PLAD process. The most significant factors are: plasma chemistry and deposition/etching characteristics of multi-ion species plasmas. In this paper, we present plasma chemistry and deposition/etching characteristics of PLAD processes versus co-gas dilutions. Four dopant plasmas including B{sub 2}H{sub 6}, BF{sub 3}, AsH{sub 3}, and PH{sub 3}, and two non-dopant plasmas including CH{submore » 4} and GeH{sub 4} are studied and demonstrated.« less

  19. A new reactive atom plasma technology (RAPT) for precision machining: the etching of ULE optical surfaces

    NASA Astrophysics Data System (ADS)

    Fanara, Carlo; Shore, Paul; Nicholls, John R.; Lyford, Nicholas; Sommer, Phil; Fiske, Peter

    2006-06-01

    The next generation of 30-100 metre diameter extremely large telescopes (ELTs) requires large numbers of hexagonal primary mirror segments. As part of the Basic Technology programme run jointly by UCL and Cranfield University, a reactive atomic plasma technology (RAP(tm)) emerged from the US Lawrence Livermore National Laboratory (LLNL), is employed for the finishing of these surfaces. Results are presented on this novel etching technology. The Inductively Coupled Plasma (ICP) operated at atmospheric pressure using argon, activates the chemical species injected through its centre and promotes the fluorine-based chemical reactions at the surface. Process assessment trials on Ultra Low Expansion (ULE(tm)) plates, previously ground at high material removal rates, have been conducted. The quality of the surfaces produced on these samples using the RAP process are discussed. Substantial volumetric material removal rates of up to 0.446(21) mm 3/s at the highest process speed (1,200 mm/min) were found to be possible without pre-heating the substrate. The influences of power transfer, process speed and gas concentration on the removal rates have been determined. The suitability of the RAP process for revealing and removing sub-surface damage induced by high removal rate grinding is discussed. The results on SiC samples are reported elsewhere in this conference.

  20. Effects of Inductively Coupled Plasma Hydrogen on Long-Wavelength Infrared HgCdTe Photodiodes

    NASA Astrophysics Data System (ADS)

    Boieriu, P.; Buurma, C.; Bommena, R.; Blissett, C.; Grein, C.; Sivananthan, S.

    2013-12-01

    Bulk passivation of semiconductors with hydrogen continues to be investigated for its potential to improve device performance. In this work, hydrogen-only inductively coupled plasma (ICP) was used to incorporate hydrogen into long-wavelength infrared HgCdTe photodiodes grown by molecular-beam epitaxy. Fully fabricated devices exposed to ICP showed statistically significant increases in zero-bias impedance values, improved uniformity, and decreased dark currents. HgCdTe photodiodes on Si substrates passivated with amorphous ZnS exhibited reductions in shunt currents, whereas devices on CdZnTe substrates passivated with polycrystalline CdTe exhibited reduced surface leakage, suggesting that hydrogen passivates defects in bulk HgCdTe and in CdTe.

  1. Plasma Processes for Semiconductor Fabrication

    NASA Astrophysics Data System (ADS)

    Hitchon, W. N. G.

    1999-01-01

    Plasma processing is a central technique in the fabrication of semiconductor devices. This self-contained book provides an up-to-date description of plasma etching and deposition in semiconductor fabrication. It presents the basic physics and chemistry of these processes, and shows how they can be accurately modeled. The author begins with an overview of plasma reactors and discusses the various models for understanding plasma processes. He then covers plasma chemistry, addressing the effects of different chemicals on the features being etched. Having presented the relevant background material, he then describes in detail the modeling of complex plasma systems, with reference to experimental results. The book closes with a useful glossary of technical terms. No prior knowledge of plasma physics is assumed in the book. It contains many homework exercises and serves as an ideal introduction to plasma processing and technology for graduate students of electrical engineering and materials science. It will also be a useful reference for practicing engineers in the semiconductor industry.

  2. Deep reactive ion etching of 4H-SiC via cyclic SF6/O2 segments

    NASA Astrophysics Data System (ADS)

    Luna, Lunet E.; Tadjer, Marko J.; Anderson, Travis J.; Imhoff, Eugene A.; Hobart, Karl D.; Kub, Fritz J.

    2017-10-01

    Cycles of inductively coupled SF6/O2 plasma with low (9%) and high (90%) oxygen content etch segments are used to produce up to 46.6 µm-deep trenches with 5.5 µm-wide openings in single-crystalline 4H-SiC substrates. The low oxygen content segment serves to etch deep in SiC whereas the high oxygen content segment serves to etch SiC at a slower rate, targeting carbon-rich residues on the surface as the combination of carbon-rich and fluorinated residues impact sidewall profile. The cycles work in concert to etch past 30 µm at an etch rate of ~0.26 µm min-1 near room temperature, while maintaining close to vertical sidewalls, high aspect ratio, and high mask selectivity. In addition, power ramps during the low oxygen content segment is used to produce a 1:1 ratio of mask opening to trench bottom width. The effect of process parameters such as cycle time and backside substrate cooling on etch depth and micromasking of the electroplated nickel etch mask are investigated.

  3. Feature Profile Evolution of SiO2 Trenches In Fluorocarbon Plasmas

    NASA Technical Reports Server (NTRS)

    Hwang, Helen; Govindan, T. R.; Meyyappan, M.; Arunachalam, Valli; Rauf, Shahid; Coronell, Dan; Carroll, Carol W. (Technical Monitor)

    1999-01-01

    Etching of silicon microstructures for semiconductor manufacturing in chlorine plasmas has been well characterized. The etching proceeds in a two-part process, where the chlorine neutrals passivate the Si surface and then the ions etch away SiClx. However, etching in more complicated gas mixtures and materials, such as etching of SiO2 in Ar/C4F8, requires knowledge of the ion and neutral distribution functions as a function of angle and velocity, in addition to modeling the gas surface reactions. In order to address these needs, we have developed and integrated a suite of models to simulate the etching process from the plasma reactor level to the feature profile evolution level. This arrangement allows for a better understanding, control, and prediction of the influence of equipment level process parameters on feature profile evolution. We are currently using the HPEM (Hybrid Plasma Equipment Model) and PCMCM (Plasma Chemistry Monte Carlo Model) to generate plasma properties and ion and neutral distribution functions for argon/fluorocarbon discharges in a GEC Reference Cell. These quantities are then input to the feature scale model, Simulation of Profile Evolution by Level Sets (SPELS). A surface chemistry model is used to determine the interaction of the incoming species with the substrate material and simulate the evolution of the trench profile. The impact of change of gas pressure and inductive power on the relative flux of CFx and F to the wafer, the etch and polymerization rates, and feature profiles will be examined. Comparisons to experimental profiles will also be presented.

  4. Silicon solar cells made by a self-aligned, selective-emitter, plasma-etchback process

    DOEpatents

    Ruby, D.S.; Schubert, W.K.; Gee, J.M.

    1999-02-16

    A potentially low-cost process for forming and passivating a selective emitter. The process uses a plasma etch of the heavily doped emitter to improve its performance. The grids of the solar cell are used to mask the plasma etch so that only the emitter in the region between the grids is etched, while the region beneath the grids remains heavily doped for low contact resistance. This process is potentially low-cost because it requires no alignment. After the emitter etch, a silicon nitride layer is deposited by plasma-enhanced, chemical vapor deposition, and the solar cell is annealed in a forming gas. 5 figs.

  5. Influence of different pre-etching times on fatigue strength of self-etch adhesives to dentin.

    PubMed

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Suzuki, Takayuki; Scheidel, Donal D; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-04-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence on dentin bonding of phosphoric acid pre-etching times before the application of self-etch adhesives. Two single-step self-etch universal adhesives [Prime & Bond Elect (EL) and Scotchbond Universal (SU)], a conventional single-step self-etch adhesive [G-aenial Bond (GB)], and a two-step self-etch adhesive [OptiBond XTR (OX)] were used. The SBS and SFS values were obtained with phosphoric acid pre-etching times of 3, 10, or 15 s before application of the adhesives, and for a control without pre-etching. For groups with 3 s of pre-etching, SU and EL showed higher SBS values than control groups. No significant difference was observed for GB among the 3 s, 10 s, and control groups, but the 15 s pre-etching group showed significantly lower SBS and SFS values than the control group. No significant difference was found for OX among the pre-etching groups. Reducing phosphoric acid pre-etching time can minimize the adverse effect on dentin bonding durability for the conventional self-etch adhesives. Furthermore, a short phosphoric acid pre-etching time enhances the dentin bonding performance of universal adhesives. © 2016 Eur J Oral Sci.

  6. Correlations between plasma variables and the deposition process of Si films from chlorosilanes in low pressure RF plasma of argon and hydrogen

    NASA Technical Reports Server (NTRS)

    Avni, R.; Carmi, U.; Grill, A.; Manory, R.; Grossman, E.

    1984-01-01

    The dissociation of chlorosilanes to silicon and its deposition on a solid substrate in a RF plasma of mixtures of argon and hydrogen were investigated as a function of the macrovariables of the plasma. The dissociation mechanism of chlorosilanes and HCl as well as the formation of Si in the plasma state were studied by sampling the plasma with a quadrupole mass spectrometer. Macrovariables such as pressure, net RF power input and locations in the plasma reactor strongly influence the kinetics of dissociation. The deposition process of microcrystalline silicon films and its chlorine contamination were correlated to the dissociation mechanism of chlorosilanes and HCl.

  7. A new concept for spatially divided Deep Reactive Ion Etching with ALD-based passivation

    NASA Astrophysics Data System (ADS)

    Roozeboom, F.; Kniknie, B.; Lankhorst, A. M.; Winands, G.; Knaapen, R.; Smets, M.; Poodt, P.; Dingemans, G.; Keuning, W.; Kessels, W. M. M.

    2012-12-01

    Conventional Deep Reactive Ion Etching (DRIE) is a plasma etch process with alternating half-cycles of 1) Si-etching with SF6 to form gaseous SiFx etch products, and 2) passivation with C4F8 that polymerizes as a protecting fluorocarbon deposit on the sidewalls and bottom of the etched features. In this work we report on a novel alternative and disruptive technology concept of Spatially-divided Deep Reactive Ion Etching, S-DRIE, where the process is converted from the time-divided into the spatially divided regime. The spatial division can be accomplished by inert gas bearing 'curtains' of heights down to ~20 μm. These curtains confine the reactive gases to individual (often linear) injection slots constructed in a gas injector head. By horizontally moving the substrate back and forth under the head one can realize the alternate exposures to the overall cycle. A second improvement in the spatially divided approach is the replacement of the CVD-based C4F8 passivation steps by ALD-based oxide (e.g. SiO2) deposition cycles. The method can have industrial potential in cost-effective creation of advanced 3D interconnects (TSVs), MEMS manufacturing and advanced patterning, e.g., in nanoscale transistor line edge roughness using Atomic Layer Etching.

  8. A tunable sub-100 nm silicon nanopore array with an AAO membrane mask: reducing unwanted surface etching by introducing a PMMA interlayer

    NASA Astrophysics Data System (ADS)

    Lim, Namsoo; Pak, Yusin; Kim, Jin Tae; Hwang, Youngkyu; Lee, Ryeri; Kumaresan, Yogeenth; Myoung, Nosoung; Ko, Heung Cho; Jung, Gun Young

    2015-08-01

    Highly ordered silicon (Si) nanopores with a tunable sub-100 nm diameter were fabricated by a CF4 plasma etching process using an anodic aluminum oxide (AAO) membrane as an etching mask. To enhance the conformal contact of the AAO membrane mask to the underlying Si substrate, poly(methyl methacrylate) (PMMA) was spin-coated on top of the Si substrate prior to the transfer of the AAO membrane. The AAO membrane mask was fabricated by two-step anodization and subsequent removal of the aluminum support and the barrier layer, which was then transferred to the PMMA-coated Si substrate. Contact printing was performed on the sample with a pressure of 50 psi and a temperature of 120 °C to make a conformal contact of the AAO membrane mask to the Si substrate. The CF4 plasma etching was conducted to transfer nanopores onto the Si substrate through the PMMA interlayer. The introduced PMMA interlayer prevented unwanted surface etching of the Si substrate by eliminating the etching ions and radicals bouncing at the gap between the mask and the substrate, resulting in a smooth Si nanopore array.Highly ordered silicon (Si) nanopores with a tunable sub-100 nm diameter were fabricated by a CF4 plasma etching process using an anodic aluminum oxide (AAO) membrane as an etching mask. To enhance the conformal contact of the AAO membrane mask to the underlying Si substrate, poly(methyl methacrylate) (PMMA) was spin-coated on top of the Si substrate prior to the transfer of the AAO membrane. The AAO membrane mask was fabricated by two-step anodization and subsequent removal of the aluminum support and the barrier layer, which was then transferred to the PMMA-coated Si substrate. Contact printing was performed on the sample with a pressure of 50 psi and a temperature of 120 °C to make a conformal contact of the AAO membrane mask to the Si substrate. The CF4 plasma etching was conducted to transfer nanopores onto the Si substrate through the PMMA interlayer. The introduced PMMA interlayer

  9. Controlling protein adsorption on graphene for cryo-EM using low-energy hydrogen plasmas

    PubMed Central

    Russo, Christopher J.; Passmore, Lori A.

    2014-01-01

    Despite its many favorable properties as a sample support for biological electron microscopy, graphene is not widely used because its hydrophobicity precludes reliable protein deposition. We describe a method to modify graphene using a low-energy hydrogen plasma, which reduces hydrophobicity without degrading the graphene lattice. We show that the use of plasma-treated graphene enables better control of protein distribution in ice for electron cryo-microscopy and improved image quality by reducing radiation-induced sample motion. PMID:24747813

  10. Information-theoretic measures of hydrogen-like ions in weakly coupled Debye plasmas

    NASA Astrophysics Data System (ADS)

    Zan, Li Rong; Jiao, Li Guang; Ma, Jia; Ho, Yew Kam

    2017-12-01

    Recent development of information theory provides researchers an alternative and useful tool to quantitatively investigate the variation of the electronic structure when atoms interact with the external environment. In this work, we make systematic studies on the information-theoretic measures for hydrogen-like ions immersed in weakly coupled plasmas modeled by Debye-Hückel potential. Shannon entropy, Fisher information, and Fisher-Shannon complexity in both position and momentum spaces are quantified in high accuracy for the hydrogen atom in a large number of stationary states. The plasma screening effect on embedded atoms can significantly affect the electronic density distributions, in both conjugate spaces, and it is quantified by the variation of information quantities. It is shown that the composite quantities (the Shannon entropy sum and the Fisher information product in combined spaces and Fisher-Shannon complexity in individual space) give a more comprehensive description of the atomic structure information than single ones. The nodes of wave functions play a significant role in the changes of composite information quantities caused by plasmas. With the continuously increasing screening strength, all composite quantities in circular states increase monotonously, while in higher-lying excited states where nodal structures exist, they first decrease to a minimum and then increase rapidly before the bound state approaches the continuum limit. The minimum represents the most reduction of uncertainty properties of the atom in plasmas. The lower bounds for the uncertainty product of the system based on composite information quantities are discussed. Our research presents a comprehensive survey in the investigation of information-theoretic measures for simple atoms embedded in Debye model plasmas.

  11. Effects of Plasma Hydrogenation on Trapping Properties of Dislocations in Heteroepitaxial InP/GaAs

    NASA Technical Reports Server (NTRS)

    Ringel, S. A.; Chatterjee, B.

    1994-01-01

    In previous work, we have demonstrated the effectiveness of a post-growth hydrogen plasma treatment for passivating the electrical activity of dislocations in metalorganic chemical vapor deposition (MOCVD) grown InP on GaAs substrates by a more than two order of magnitude reduction in deep level concentration and an improvement in reverse bias leakage current by a factor of approx. 20. These results make plasma hydrogenation an extremely promising technique for achieving high efficiency large area and light weight heteroepitaxial InP solar cells for space applications. In this work we investigate the carrier trapping process by dislocations in heteroepitaxial InP/GaAs and the role of hydrogen passivation on this process. It is shown that the charge trapping kinetics of dislocations after hydrogen passivation are significantly altered, approaching point defect-like behavior consistent with a transformation from a high concentration of dislocation-related defect bands within the InP bandgap to a low concentration of individual deep levels after hydrogen passivation. It is further shown that the "apparent" activation energies of dislocation related deep levels, before and after passivation, reduce by approx. 70 meV as DLTS fill pulse times are increased from 1 usec. to 1 msec. A model is proposed which explains these effects based on a reduction of Coulombic interaction between individual core sites along the dislocation cores by hydrogen incorporation. Knowledge of the trapping properties in these specific structures is important to develop optimum, low loss heteroepitaxial InP cells.

  12. Nanoscale Ge fin etching using F- and Cl-based etchants for Ge-based multi-gate devices

    NASA Astrophysics Data System (ADS)

    Zhang, Bingxin; An, Xia; Li, Ming; Hao, Peilin; Zhang, Xing; Huang, Ru

    2018-04-01

    In this paper, nanoscale germanium (Ge) fin etching with inductively coupled plasma equipment with SF6/CHF3/Ar and Cl2/BCl3/Ar gas mixes are experimentally demonstrated. The impact of the gas ratio on etching induced Ge surface flatness, etch rate and sidewall steepness are comprehensively investigated and compared for these two kinds of etchants and the optimized gas ratio is provided. By using silicon oxide as a hard mask, nanoscale Ge fin with a flat surface and sharp sidewall is experimentally illustrated, which indicates great potential for use in nanoscale Ge-based multi-gate MOSFETs.

  13. Gold core@silver semishell Janus nanoparticles prepared by interfacial etching.

    PubMed

    Chen, Limei; Deming, Christopher P; Peng, Yi; Hu, Peiguang; Stofan, Jake; Chen, Shaowei

    2016-08-14

    Gold core@silver semishell Janus nanoparticles were prepared by chemical etching of Au@Ag core-shell nanoparticles at the air/water interface. Au@Ag core-shell nanoparticles were synthesized by chemical deposition of a silver shell onto gold seed colloids followed by the self-assembly of 1-dodecanethiol onto the nanoparticle surface. The nanoparticles then formed a monolayer on the water surface of a Langmuir-Blodgett trough, and part of the silver shell was selectively etched away by the mixture of hydrogen peroxide and ammonia in the water subphase, where the etching was limited to the side of the nanoparticles that was in direct contact with water. The resulting Janus nanoparticles exhibited an asymmetrical distribution of silver on the surface of the gold cores, as manifested in transmission electron microscopy, UV-vis absorption, and X-ray photoelectron spectroscopy measurements. Interestingly, the Au@Ag semishell Janus nanoparticles exhibited enhanced electrocatalytic activity in oxygen reduction reactions, as compared to their Au@Ag and Ag@Au core-shell counterparts, likely due to a synergistic effect between the gold cores and silver semishells that optimized oxygen binding to the nanoparticle surface.

  14. A study of the red-shift of a neutral donor bound exciton in GaN nanorods by hydrogenation

    NASA Astrophysics Data System (ADS)

    Park, Byung-Guon; Lee, Sang-Tae; Reddeppa, Maddaka; Kim, Moon-Deock; Oh, Jae-Eung; Lee, Sang-Kwon

    2017-09-01

    In this paper we account for the physics behind the exciton peak shift in GaN nanorods (NRs) due to hydrogenation. GaN NRs were selectively grown on a patterned Ti/Si(111) substrate using plasma-assisted molecular beam epitaxy, and the effect of hydrogenation on their optical properties was investigated in detail using low-temperature photoluminescence measurements. Due to hydrogenation, the emissions corresponding to the donor-acceptor pair and yellow luminescence in GaN NRs were strongly suppressed, while the emission corresponding to the neutral to donor bound exciton (D0X) exhibited red-shift. Thermal annealing of hydrogenated GaN NRs demonstrated the recovery of the D0X and deep level emission. To determine the nature of the D0X peak shift due to hydrogenation, comparative studies were carried out on various diameters of GaN NRs, which can be controlled by different growth conditions and wet-etching times. Our experimental results reveal that the D0X shift depends on the diameter of the GaN NRs after hydrogenation. The results clearly demonstrate that the hydrogenation leads to band bending of GaN NRs as compensated by hydrogen ions, which causes a red-shift in the D0X emission.

  15. A study of the red-shift of a neutral donor bound exciton in GaN nanorods by hydrogenation.

    PubMed

    Park, Byung-Guon; Lee, Sang-Tae; Reddeppa, Maddaka; Kim, Moon-Deock; Oh, Jae-Eung; Lee, Sang-Kwon

    2017-09-08

    In this paper we account for the physics behind the exciton peak shift in GaN nanorods (NRs) due to hydrogenation. GaN NRs were selectively grown on a patterned Ti/Si(111) substrate using plasma-assisted molecular beam epitaxy, and the effect of hydrogenation on their optical properties was investigated in detail using low-temperature photoluminescence measurements. Due to hydrogenation, the emissions corresponding to the donor-acceptor pair and yellow luminescence in GaN NRs were strongly suppressed, while the emission corresponding to the neutral to donor bound exciton (D 0 X) exhibited red-shift. Thermal annealing of hydrogenated GaN NRs demonstrated the recovery of the D 0 X and deep level emission. To determine the nature of the D 0 X peak shift due to hydrogenation, comparative studies were carried out on various diameters of GaN NRs, which can be controlled by different growth conditions and wet-etching times. Our experimental results reveal that the D 0 X shift depends on the diameter of the GaN NRs after hydrogenation. The results clearly demonstrate that the hydrogenation leads to band bending of GaN NRs as compensated by hydrogen ions, which causes a red-shift in the D 0 X emission.

  16. Analysis of GaN Damage Induced by Cl2/SiCl4/Ar Plasma

    NASA Astrophysics Data System (ADS)

    Minami, Masaki; Tomiya, Shigetaka; Ishikawa, Kenji; Matsumoto, Ryosuke; Chen, Shang; Fukasawa, Masanaga; Uesawa, Fumikatsu; Sekine, Makoto; Hori, Masaru; Tatsumi, Tetsuya

    2011-08-01

    GaN-based optical devices are fabricated using a GaN/InGaN/GaN sandwiched structure. The effect of radicals, ions, and UV light on the GaN optical properties during Cl2/SiCl4/Ar plasma etching was evaluated using photoluminescence (PL) analysis. The samples were exposed to plasma (radicals, ions, and UV light) using an inductively coupled plasma (ICP) etching system and a plasma ion beam apparatus that can separate the effects of UV and ions both with and without covering the SiO2 window on the surface. Etching damage in an InGaN single quantum well (SQW) was formed by exposing the sample to plasma. The damage, which decreases PL emission intensity, was generated not only by ion beam irradiation but also by UV light irradiation. PL intensity decreased when the thickness of the upper GaN layer was etched to less than 60 nm. In addition, simultaneous irradiation of UV light and ions slightly increased the degree of damage. There seems to be a synergistic effect between the UV light and the ions. For high-quality GaN-based optoelectronics and power devices, UV light must be controlled during etching processes in addition to the etching profile, selectivity, and ion bombardment damage.

  17. Novel single-cell mega-size chambers for electrochemical etching of panorama position-sensitive polycarbonate ion image detectors

    NASA Astrophysics Data System (ADS)

    Sohrabi, Mehdi

    2017-11-01

    A novel development is made here by inventing panorama single-cell mega-size electrochemical etching (MS-ECE) chamber systems for processing panorama position-sensitive mega-size polycarbonate ion image detectors (MS-PCIDs) of potential for many neutron and ion detection applications in particular hydrogen ions or proton tracks and images detected for the first time in polycarbonates in this study. The MS-PCID is simply a large polycarbonate sheet of a desired size. The single-cell MS-ECE invented consists of two large equally sized transparent Plexiglas sheets as chamber walls holding a MS-PCID and the ECE chamber components tightly together. One wall has a large flat stainless steel electrode (dry cell) attached to it which is directly in contact with the MS-PCID and the other wall has a rod electrode with two holes to facilitate feeding and draining out the etching solution from the wet cell. A silicon rubber washer plays the role of the wet cell to hold the etchant and the electrical insulator to isolate the dry cell from the wet cell. A simple 50 Hz-HV home-made generator provides an adequate field strength through the two electrodes across the MS-ECE chamber. Two panorama single-cell MS-ECE chamber systems (circular and rectangular shapes) constructed were efficiently applied to processing the MS-PCIDs for 4π ion emission image detection of different gases in particular hydrogen ions or protons in a 3.5 kJ plasma focus device (PFD as uniquely observed by the unaided eyes). The panorama MS-PCID/MS-ECE image detection systems invented are novel with high potential for many applications in particular as applied to 4π panorama ion emission angular distribution image detection studies in PFD space, some results of which are presented and discussed.

  18. Novel single-cell mega-size chambers for electrochemical etching of panorama position-sensitive polycarbonate ion image detectors.

    PubMed

    Sohrabi, Mehdi

    2017-11-01

    A novel development is made here by inventing panorama single-cell mega-size electrochemical etching (MS-ECE) chamber systems for processing panorama position-sensitive mega-size polycarbonate ion image detectors (MS-PCIDs) of potential for many neutron and ion detection applications in particular hydrogen ions or proton tracks and images detected for the first time in polycarbonates in this study. The MS-PCID is simply a large polycarbonate sheet of a desired size. The single-cell MS-ECE invented consists of two large equally sized transparent Plexiglas sheets as chamber walls holding a MS-PCID and the ECE chamber components tightly together. One wall has a large flat stainless steel electrode (dry cell) attached to it which is directly in contact with the MS-PCID and the other wall has a rod electrode with two holes to facilitate feeding and draining out the etching solution from the wet cell. A silicon rubber washer plays the role of the wet cell to hold the etchant and the electrical insulator to isolate the dry cell from the wet cell. A simple 50 Hz-HV home-made generator provides an adequate field strength through the two electrodes across the MS-ECE chamber. Two panorama single-cell MS-ECE chamber systems (circular and rectangular shapes) constructed were efficiently applied to processing the MS-PCIDs for 4π ion emission image detection of different gases in particular hydrogen ions or protons in a 3.5 kJ plasma focus device (PFD as uniquely observed by the unaided eyes). The panorama MS-PCID/MS-ECE image detection systems invented are novel with high potential for many applications in particular as applied to 4π panorama ion emission angular distribution image detection studies in PFD space, some results of which are presented and discussed.

  19. Highly controllable ICP etching of GaAs based materials for grating fabrication

    NASA Astrophysics Data System (ADS)

    Weibin, Qiu; Jiaxian, Wang

    2012-02-01

    Highly controllable ICP etching of GaAs based materials with SiCl4/Ar plasma is investigated. A slow etching rate of 13 nm/min was achieved with RF1 D 10 W, RF2 D 20 W and a high ratio of Ar to SiCl4 flow. First order gratings with 25 nm depth and 140 nm period were fabricated with the optimal parameters. AFM analysis indicated that the RMS roughness over a 10 × 10 μm2 area was 0.3 nm, which is smooth enough to regrow high quality materials for devices.

  20. Characteristics of pulsed dual frequency inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Seo, Jin Seok; Kim, Kyoung Nam; Kim, Ki Seok; Kim, Tae Hyung; Yeom, Geun Young

    2015-01-01

    To control the plasma characteristics more efficiently, a dual antenna inductively coupled plasma (DF-ICP) source composed of a 12-turn inner antenna operated at 2 MHz and a 3-turn outer antenna at 13.56 MHz was pulsed. The effects of pulsing to each antenna on the change of plasma characteristics and SiO2 etch characteristics using Ar/C4F8 gas mixtures were investigated. When the duty percentage was decreased from continuous wave (CW) mode to 30% for the inner or outer ICP antenna, decrease of the average electron temperature was observed for the pulsing of each antenna. Increase of the CF2/F ratio was also observed with decreasing duty percentage of each antenna, indicating decreased dissociation of the C4F8 gas due to the decreased average electron temperature. When SiO2 etching was investigated as a function of pulse duty percentage, increase of the etch selectivity of SiO2 over amorphous carbon layer (ACL) was observed while decreasing the SiO2 etch rate. The increase of etch selectivity was related to the change of gas dissociation characteristics, as observed by the decrease of average electron temperature and consequent increase of the CF2/F ratio. The decrease of the SiO2 etch rate could be compensated for by using the rf power compensated mode, that is, by maintaining the same time-average rf power during pulsing, instead of using the conventional pulsing mode. Through use of the power compensated mode, increased etch selectivity of SiO2/ACL similar to the conventional pulsing mode could be observed without significant decrease of the SiO2 etch rate. Finally, by using the rf power compensated mode while pulsing rf powers to both antennas, the plasma uniformity over the 300 mm diameter substrate could be improved from 7% for the CW conditions to about around 3.3% with the duty percentage of 30%.

  1. Cryo-Etched Black Silicon for Use as Optical Black

    NASA Technical Reports Server (NTRS)

    Yee, Karl Y.; White, Victor E.; Mouroulis, Pantazis; Eastwood, Michael L.

    2011-01-01

    Stray light reflected from the surface of imaging spectrometer components in particular, the spectrometer slit degrade the image quality. A technique has been developed for rapid, uniform, and cost-effective black silicon formation based on inductively coupled plasma (ICP) etching at cryogenic temperatures. Recent measurements show less than 1-percent total reflectance from 350 2,500 nm of doped black silicon formed in this way, making it an excellent option for texturing of component surfaces for reduction of stray light. Oxygen combines with SF6 + Si etch byproducts to form a passivation layer atop the Si when the etch is performed at cryogenic temperatures. Excess flow of oxygen results in micromasking and the formation of black silicon. The process is repeatable and reliable, and provides control over etch depth and sidewall profile. Density of the needles can be controlled to some extent. Regions to be textured can be patterned lithographically. Adhesion is not an issue as the nanotips are part of the underlying substrate. This is in contrast to surface growth/deposition techniques such as carbon nanotubes (CNTs). The black Si surface is compatible with wet processing, including processing with solvents, the textured surface is completely inorganic, and it does not outgas. In radiometry applications, optical absorbers are often constructed using gold black or CNTs. This black silicon technology is an improvement for these types of applications.

  2. High Power Hydrogen Injector with Beam Focusing for Plasma Heating

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Deichuli, P.P.; Ivanov, A.A.; Korepanov, S.A.

    2005-01-15

    High power neutral beam injector has been developed with the atom energy of 25 keV, a current of 60 A, and several milliseconds pulse duration. Six of these injectors will be used for upgrade of the atomic injection system at central cell of a Gas Dynamic Trap (GDT) device and 2 injectors are planned for SHIP experiment.The injector ion source is based on an arc discharge plasma box. The plasma emitter is produced by a 1 kA arc discharge in hydrogen. A multipole magnetic field produced with permanent magnets at the periphery of the plasma box is used to increasemore » its efficiency and improve homogeneity of the plasma emitter. The ion beam is extracted by a 4-electrodes ion optical system (IOS). Initial beam diameter is 200 mm. The grids of the IOS have a spherical curvature for geometrical focusing of the beam. The optimal IOS geometry and grid potentials were found with the numerical simulation to provide precise beam formation. The measured angular divergence of the beam is 0.02 rad, which corresponds to the 2.5 cm Gaussian radius of the beam profile measured at focal point.« less

  3. Plasma-enhanced deposition and processing of transition metals and transition metal silicides for VLSI

    NASA Astrophysics Data System (ADS)

    Hess, D. W.

    1986-05-01

    Radiofrequency (rf) discharges have been used to deposit films of tungsten, molybdenum and titanium silicide. As-deposited tungsten films, from tungsten hexafluoride and hydrogen source gases, were metastable (beta W), with significant (>1 atomic percent) fluorine incorporation. Film resistivities were 40-55 micro ohm - cm due to the beta W, but dropped to about 8 micro ohm cm after a short heat treatment at 700 C which resulted in a phase transition to alpha W (bcc form). The high resistivity (>10,000 micro ohm) associated with molybdenum films deposited from molybdenum hexafluoride and hydrogen appeared to be a result of the formation of molybdenum trifluoride in the deposited material. Titanium silicide films formed from a discharge of titanium tetrachloride, silane, and hydrogen, displayed resistivities of about 150 micro ohm cm, due to small amounts of oxygen and chlorine incorporated during deposition. Plasma etching studies of tungsten films with fluorine containing gases suggest that the etchant species for tungsten in these discharges are fluorine atoms.

  4. Plasma parameter diagnosis using hydrogen emission spectra of a quartz-chamber 2.45 GHz ECRIS at Peking University

    NASA Astrophysics Data System (ADS)

    Wu, WenBin; Ren, HaiTao; Peng, ShiXiang; Xu, Yuan; Wen, JiaMei; Zhang, Tao; Zhang, JingFeng; Zhang, AiLin; Sun, Jiang; Guo, ZhiYu; Chen, JiaEr

    2018-04-01

    A quartz-chamber 2.45 GHz electron cyclotron resonance ion source (ECRIS) was designed for diagnostic purposes at Peking University [Patent Number: ZL 201110026605.4]. This ion source can produce a maximum 84 mA hydrogen ion beam at 50 kV with a duty factor of 10%. The root-mean-square (RMS) emittance of this beam is less than 0.12π mm mrad. In our initial work, the electron temperature and electron density inside the plasma chamber had been measured with the line intensity ratio of noble gases. Based on these results, the atomic and molecular emission spectra of hydrogen were applied to determine the dissociation degree of hydrogen and the vibrational temperature of hydrogen molecules in the ground state, respectively. Measurements were performed at gas pressures from 4×10-4 to 1×10-3 Pa and at input peak RF power ranging from 1000 to 1800 W. The dissociation degree of hydrogen in the range of 0.5%-10% and the vibrational temperature of hydrogen molecules in the ground state in the range of 3500-8500 K were obtained. The plasma processes inside this ECRIS chamber were discussed based on these results.

  5. Investigation of the RF efficiency of inductively coupled hydrogen plasmas at 1 MHz

    NASA Astrophysics Data System (ADS)

    Rauner, D.; Mattei, S.; Briefi, S.; Fantz, U.; Hatayama, A.; Lettry, J.; Nishida, K.; Tran, M. Q.

    2017-08-01

    The power requirements of RF heated sources for negative hydrogen ions in fusion are substantial, which poses strong demands on the generators and components of the RF circuit. Consequently, an increase of the RF coupling efficiency would be highly beneficial. Fundamental investigations of the RF efficiency in inductively coupled hydrogen and deuterium discharges in cylindrical symmetry are conducted at the lab experiment CHARLIE. The experiment is equipped with several diagnostics including optical emission spectroscopy and a movable floating double probe to monitor the plasma parameters. The presented investigations are performed in hydrogen at a varying pressure between 0.3 and 10 Pa, utilizing a conventional helical ICP coil driven at a frequency of 1 MHz and a fixed power of 520 W for plasma generation. The coupling efficiency is strongly affected by the variation in pressure, reaching up to 85 % between 1 and 3 Pa while dropping down to only 50 % at 0.3 Pa, which is the relevant operating pressure for negative hydrogen ion sources for fusion. Due to the lower power coupling, also the measured electron density at 0.3 Pa is only 5 . 1016 m-3, while it reaches up to 2.5 . 1017 m-3 with increasing coupling efficiency. In order to gain information on the spatially resolved aspects of RF coupling and plasma heating which are not diagnostically accessible, first simulations of the discharge by an electromagnetic Particle-In-Cell Monte Carlo collision method have been conducted and are compared to the measurement data. At 1 Pa, the simulated data corresponds well to the results of both axially resolved probe measurements and radially resolved emission profiles obtained via OES. Thereby, information regarding the radial distribution of the electron density and mean energy is provided, revealing a radial distribution of the electron density which is well described by a Bessel profile.

  6. Microtrenching-free two-step reactive ion etching of 4H-SiC using NF{sub 3}/HBr/O{sub 2} and Cl{sub 2}/O{sub 2}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tseng, Yuan-Hung, E-mail: yhtseng.ee99g@nctu.edu.tw; Tsui, Bing-Yue

    2014-05-15

    In this paper, the authors performed a reactive ion etch of a 4H-SiC substrate with a gas mixture of NF{sub 3}, HBr, and O{sub 2}, resulting in a microtrenching-free etch. The etch rate was 107.8 nm/min, and the selectivity over the oxide hard mask was ∼3.85. Cross-sectional scanning electron microscopy showed no microtrenching compared with etches using plasmas of NF{sub 3}, NF{sub 3}/HBr, and NF{sub 3}/O{sub 2}. Analyzing a variety of HBr/O{sub 2} mixing ratios, the authors discuss the additive effect of each gas and their respective potential mechanisms for alleviating microtrenching. To increase the radius of gyration of the bottommore » corners, they introduced a second etch step with Cl{sub 2}/O{sub 2} plasma. Fabricating simple metal-oxide-semiconductor capacitors on the two-step etched surface, the authors found that the electrical characteristics of the etched sample were nearly the same as the nonetched sample.« less

  7. Low-pressure hydrogen plasmas explored using a global model

    NASA Astrophysics Data System (ADS)

    Samuell, Cameron M.; Corr, Cormac S.

    2016-02-01

    Low-pressure hydrogen plasmas have found applications in a variety of technology areas including fusion, neutral beam injection and material processing applications. To better understand these discharges, a global model is developed to predict the behaviour of electrons, ground-state atomic and molecular hydrogen, three positive ion species (H+, \\text{H}2+ , and \\text{H}3+ ), a single negative ion species (H-), and fourteen vibrationally excited states of molecular hydrogen ({{\\text{H}}2}≤ft(\\upsilon =1\\right. -14)). The model is validated by comparison with experimental results from a planar inductively coupled GEC reference cell and subsequently applied to the MAGPIE linear helicon reactor. The MAGPIE reactor is investigated for a range of pressures from 1 to 100 mTorr and powers up to 5 kW. With increasing power between 50 W and 5 kW at 10 mTorr the density of all charged species increases as well as the dissociative fraction while the electron temperature remains almost constant at around 3 eV. For gas pressures from 1-100 mTorr at an input power of 1 kW, the electron density remains almost constant, the electron temperature and dissociative fraction decreases, while \\text{H}3+ density increases in density and also dominates amongst ion species. Across these power and pressure scans, electronegativity remains approximately constant at around 2.5%. The power and pressure determines the dominant ion species in the plasma with \\text{H}3+ observed to dominate at high pressures and low powers whereas H+ tends to be dominant at low pressures and high powers. A sensitivity analysis is used to demonstrate how experimental parameters (power, pressure, reactor wall material, geometry etc) influence individual species’ density as well as the electron temperature. Physical reactor changes including the length, radius and wall recombination coefficient are found to have the largest influence on outputs obtained from the model.

  8. Negative hydrogen ions in a linear helicon plasma device

    NASA Astrophysics Data System (ADS)

    Corr, Cormac; Santoso, Jesse; Samuell, Cameron; Willett, Hannah; Manoharan, Rounak; O'Byrne, Sean

    2015-09-01

    Low-pressure negative ion sources are of crucial importance to the development of high-energy (>1 MeV) neutral beam injection systems for the ITER experimental tokamak device. Due to their high power coupling efficiency and high plasma densities, helicon devices may be able to reduce power requirements and potentially remove the need for caesium. In helicon sources, the RF power can be coupled efficiently into the plasma and it has been previously observed that the application of a small magnetic field can lead to a significant increase in the plasma density. In this work, we investigate negative ion dynamics in a high-power (20 kW) helicon plasma source. The negative ion fraction is measured by probe-based laser photodetachment, electron density and temperature are determined by a Langmuir probe and tuneable diode laser absorption spectroscopy is used to determine the density of the H(n = 2) excited atomic state and the gas temperature. The negative ion density and excited atomic hydrogen density display a maximum at a low applied magnetic field of 3 mT, while the electron temperature displays a minimum. The negative ion density can be increased by a factor of 8 with the application of the magnetic field. Spatial and temporal measurements will also be presented. The Australian Research Grants Council is acknowledged for funding.

  9. Controlled ion track etching

    NASA Astrophysics Data System (ADS)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  10. Lithography-free glass surface modification by self-masking during dry etching

    NASA Astrophysics Data System (ADS)

    Hein, Eric; Fox, Dennis; Fouckhardt, Henning

    2011-01-01

    Glass surface morphologies with defined shapes and roughness are realized by a two-step lithography-free process: deposition of an ~10-nm-thin lithographically unstructured metallic layer onto the surface and reactive ion etching in an Ar/CF4 high-density plasma. Because of nucleation or coalescence, the metallic layer is laterally structured during its deposition. Its morphology exhibits islands with dimensions of several tens of nanometers. These metal spots cause a locally varying etch velocity of the glass substrate, which results in surface structuring. The glass surface gets increasingly rougher with further etching. The mechanism of self-masking results in the formation of surface structures with typical heights and lateral dimensions of several hundred nanometers. Several metals, such as Ag, Al, Au, Cu, In, and Ni, can be employed as the sacrificial layer in this technology. Choice of the process parameters allows for a multitude of different glass roughness morphologies with individual defined and dosed optical scattering.

  11. Investigation of the hydrogen fluxes in the plasma edge of W7-AS during H-mode discharges

    NASA Astrophysics Data System (ADS)

    Langer, U.; Taglauer, E.; Fischer, R.; W7-AS Team

    2001-03-01

    In the stellarator W7-AS the H-mode is characterized by an edge transport barrier which is localized within a few centimeters inside the separatrix. The corresponding L-H transition shows well-known features such as the steepening of the temperature and density profiles in the region of the separatrix. With a so-called sniffer probe the temporal development of the hydrogen and deuterium fluxes has been studied in the plasma edge during different H-mode discharges with deuterium gas puffing. Prior to the transition a significant reduction of the deuterium and also the hydrogen fluxes can be observed. This fact confirms the assumption that the steepening of the density profiles starts at the outermost edge of the plasma. Moreover, sniffer probe measurements in the plasma edge could therefore identify a precursor for the L-H transition. The analysis of the hydrogen neutral gases shows a distinct change of the hydrogen isotope ratio during the transition. This observation is in agreement with the change in the particle fluxes onto the targets and can also be seen in the reduced H α signals from the limiters. It is further demonstrated that significant improvement in the time resolution of the measured data can be obtained by deconvolution of the data with the apparatus function using Bayesian probability theory and the Maximum Entropy method with adaptive kernels.

  12. Shear bond strength of self-etch adhesives to enamel with additional phosphoric acid etching.

    PubMed

    Lührs, Anne-Katrin; Guhr, Silke; Schilke, Reinhard; Borchers, Lothar; Geurtsen, Werner; Günay, Hüsamettin

    2008-01-01

    This study evaluated the shear bond strength of self-etch adhesives to enamel and the effect of additional phosphoric acid etching. Seventy sound human molars were randomly divided into three test groups and one control group. The enamel surfaces of the control group (n=10) were treated with Syntac Classic (SC). Each test group was subdivided into two groups (each n=10). In half of each test group, ground enamel surfaces were coated with the self-etch adhesives AdheSe (ADH), Xeno III (XE) or Futurabond NR (FNR). In the remaining half of each test group, an additional phosphoric acid etching of the enamel surface was performed prior to applying the adhesives. The shear bond strength was measured with a universal testing machine at a crosshead speed of 1 mm/minute after storing the samples in distilled water at 37 degrees C for 24 hours. Fracture modes were determined by SEM examination. For statistical analysis, one-way ANOVA and the two-sided Dunnett Test were used (p>0.05). Additional phosphoric etching significantly increased the shear bond strength of all the examined self-etch adhesives (p<0.001). The highest shear bond strength was found for FNR after phosphoric acid etching. Without phosphoric acid etching, only FNR showed no significant differences compared to the control (SC). SEM evaluations showed mostly adhesive fractures. For all the self-etch adhesives, a slight increase in mixed fractures occurred after conditioning with phosphoric acid. An additional phosphoric acid etching of enamel should be considered when using self-etch adhesives. More clinical studies are needed to evaluate the long-term success of the examined adhesives.

  13. Improving the photovoltaic performance of the all-solid-state TiO2 NR/CuInS2 solar cell by hydrogen plasma treatment.

    PubMed

    Chen, Bingfeng; Niu, Wenzhe; Lou, Zirui; Ye, Zhizhen; Zhu, Liping

    2018-07-06

    The interfacial properties of the heterojunction between p-type and n-type materials play an important role in the performance of the solar cell. In this paper, a p-type CuInS 2 film was deposited on TiO 2 nanorod arrays by spin coating to fabricate an all-solid-state solar cell and the TiO 2 nanorod arrays were treated with hydrogen plasma(H:TiO 2 ) to ameliorate the interfacial properties. The influence of the hydrogen plasma treatment on the performance of the solar cell was investigated. The short-circuit current density was obviously raised and the power conversion efficiency of the solar cell improved to 0.30%, which is three times that of solar cells without hydrogen plasma treatment. The enhancement of the performance is attributed to not only the enhancement of carrier separation and transport, but the reduction of the recombination of electrons and holes, which is caused by hydrogen plasma treatment.

  14. Improving the photovoltaic performance of the all-solid-state TiO2 NR/CuInS2 solar cell by hydrogen plasma treatment

    NASA Astrophysics Data System (ADS)

    Chen, Bingfeng; Niu, Wenzhe; Lou, Zirui; Ye, Zhizhen; Zhu, Liping

    2018-07-01

    The interfacial properties of the heterojunction between p-type and n-type materials play an important role in the performance of the solar cell. In this paper, a p-type CuInS2 film was deposited on TiO2 nanorod arrays by spin coating to fabricate an all-solid-state solar cell and the TiO2 nanorod arrays were treated with hydrogen plasma(H:TiO2) to ameliorate the interfacial properties. The influence of the hydrogen plasma treatment on the performance of the solar cell was investigated. The short-circuit current density was obviously raised and the power conversion efficiency of the solar cell improved to 0.30%, which is three times that of solar cells without hydrogen plasma treatment. The enhancement of the performance is attributed to not only the enhancement of carrier separation and transport, but the reduction of the recombination of electrons and holes, which is caused by hydrogen plasma treatment.

  15. Bottom-up and top-down fabrication of nanowire-based electronic devices: In situ doping of vapor liquid solid grown silicon nanowires and etch-dependent leakage current in InGaAs tunnel junctions

    NASA Astrophysics Data System (ADS)

    Kuo, Meng-Wei

    higher leakage current and a current kink in the reverse bias regime, which is likely due to additional trap states created by plasma-induced damage during the Cl2/Ar/H2 mesa isolation step. These states extend more than 60 nm from the mesa surface and can only be partially passivated after a thermal anneal at 350°C for 20 minutes. The evolution of the electrical properties with post-dry etch treatments indicates that the shallow and deep-level trap states resulting from ion-induced point defects, arsenic vacancies and hydrogen-dopant complexes are the primary cause of degradation in the electrical properties of the dry-etched junctions.

  16. Phase Analysis of Laser Direct Etching and Water Assisted Laser Combined Etching of SiC Ceramics

    NASA Astrophysics Data System (ADS)

    Yuan, Genfu; Cong, Qidong; Zhang, Chen; Xie, Bingbing

    2017-12-01

    In this study, to discover the etching mechanism of SiC ceramics under laser direct etching and water-jet assisted laser combined etching, the phenomena of substance change on the etched surface were investigated. Also, the rules of substance transfer in etching are discussed. The elemental content change and the phase change of the etching products on the etched surface were analyzed by energy dispersive spectroscopy (EDS) and X-ray diffraction (XRD), respectively. These studies showed a high amount of carbon black on the etched surface, because of the decomposition of SiC ceramics under the high-power-density laser irradiation. SiC decomposed to Si under the laser irradiation, and the subsequent chemical reaction of Si and O2 easily produced SiO2. The SiO2 on the etched surface melted and vaporized, whereas most of SiO2 was removed through splashing, changing the chemical composition of the etched surface. Following the water jet introduction, an increased amount of O existed on the combined etching surface, because the chemical reaction of SiC and H2O easily produced SiO2 under the high-power-density laser irradiation.

  17. Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Provine, J., E-mail: jprovine@stanford.edu; Schindler, Peter; Kim, Yongmin

    2016-06-15

    The continued scaling in transistors and memory elements has necessitated the development of atomic layer deposition (ALD) of silicon nitride (SiN{sub x}), particularly for use a low k dielectric spacer. One of the key material properties needed for SiN{sub x} films is a low wet etch rate (WER) in hydrofluoric (HF) acid. In this work, we report on the evaluation of multiple precursors for plasma enhanced atomic layer deposition (PEALD) of SiN{sub x} and evaluate the film’s WER in 100:1 dilutions of HF in H{sub 2}O. The remote plasma capability available in PEALD, enabled controlling the density of the SiN{submore » x} film. Namely, prolonged plasma exposure made films denser which corresponded to lower WER in a systematic fashion. We determined that there is a strong correlation between WER and the density of the film that extends across multiple precursors, PEALD reactors, and a variety of process conditions. Limiting all steps in the deposition to a maximum temperature of 350 °C, it was shown to be possible to achieve a WER in PEALD SiN{sub x} of 6.1 Å/min, which is similar to WER of SiN{sub x} from LPCVD reactions at 850 °C.« less

  18. Influence of pH, bleaching agents, and acid etching on surface wear of bovine enamel.

    PubMed

    Soares, Ana Flávia; Bombonatti, Juliana Fraga Soares; Alencar, Marina Studart; Consolmagno, Elaine Cristina; Honório, Heitor Marques; Mondelli, Rafael Francisco Lia

    2016-01-01

    Development of new materials for tooth bleaching justifies the need for studies to evaluate the changes in the enamel surface caused by different bleaching protocols. The aim of this study was to evaluate the bovine dental enamel wear in function of different bleaching gel protocols, acid etching and pH variation. Sixty fragments of bovine teeth were cut, obtaining a control and test areas. In the test area, one half received etching followed by a bleaching gel application, and the other half, only the bleaching gel. The fragments were randomly divided into six groups (n=10), each one received one bleaching session with five hydrogen peroxide gel applications of 8 min, activated with hybrid light, diode laser/blue LED (HL) or diode laser/violet LED (VHL) (experimental): Control (C); 35% Total Blanc Office (TBO35HL); 35% Lase Peroxide Sensy (LPS35HL); 25% Lase Peroxide Sensy II (LPS25HL); 15% Lase Peroxide Lite (LPL15HL); and 10% hydrogen peroxide (experimental) (EXP10VHL). pH values were determined by a pHmeter at the initial and final time periods. Specimens were stored, subjected to simulated brushing cycles, and the superficial wear was determined (μm). ANOVA and Tukey´s tests were applied (α=0.05). The pH showed a slight decrease, except for Group LPL15HL. Group LPS25HL showed the highest degree of wear, with and without etching. There was a decrease from the initial to the final pH. Different bleaching gels were able to increase the surface wear values after simulated brushing. Acid etching before bleaching increased surface wear values in all groups.

  19. Overcoming etch challenges related to EUV based patterning (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Metz, Andrew W.; Cottle, Hongyun; Honda, Masanobu; Morikita, Shinya; Kumar, Kaushik A.; Biolsi, Peter

    2017-04-01

    Research and development activities related to Extreme Ultra Violet [EUV] defined patterning continue to grow for < 40 nm pitch applications. The confluence of high cost and extreme process control challenges of Self-Aligned Quad Patterning [SAQP] with continued momentum for EUV ecosystem readiness could provide cost advantages in addition to improved intra-level overlay performance relative to multiple patterning approaches. However, Line Edge Roughness [LER] and Line Width Roughness [LWR] performance of EUV defined resist images are still far from meeting technology needs or ITRS spec performance. Furthermore, extreme resist height scaling to mitigate flop over exacerbates the plasma etch trade-offs related to traditional approaches of PR smoothing, descum implementation and maintaining 2D aspect ratios of short lines or elliptical contacts concurrent with ultra-high photo resist [PR] selectivity. In this paper we will discuss sources of LER/LWR, impact of material choice, integration, and innovative plasma process techniques and describe how TELTM VigusTM CCP Etchers can enhance PR selectivity, reduce LER/LWR, and maintain 2D aspect ratio of incoming patterns. Beyond traditional process approaches this paper will show the utility of: [1] DC Superposition in enhancing EUV resist hardening and selectivity, increasing resistance to stress induced PR line wiggle caused by CFx passivation, and mitigating organic planarizer wiggle; [2] Quasi Atomic Layer Etch [Q-ALE] for ARC open eliminating the tradeoffs between selectivity, CD, and shrink ratio control; and [3] ALD+Etch FUSION technology for feature independent CD shrink and LER reduction. Applicability of these concepts back transferred to 193i based lithography is also confirmed.

  20. Fabrication of through-silicon via arrays by photo-assisted electrochemical etching and supercritical electroplating

    NASA Astrophysics Data System (ADS)

    Chuang, Ho-Chiao; Yang, Hsi-Min; Wu, Cheng-Xiang; Sanchez, Jorge; Shyu, Jenq-Huey

    2017-01-01

    This paper aims to fabricate high aspect ratio through silicon via (TSV) by photo-assisted electrochemical etching (PAECE) and supercritical CO2 copper electroplating. A blind-holed silicon array was first fabricated by PAECE. By studying the etching parameters, including hydrofluoric acid concentration, etchant temperature, stirring speed, tetrabutylammonium perchlorate (TBAP) content, and Ohmic contact thickness, an array of pores with a 1∶45 aspect ratio (height=250 μm and diameter=5.5 μm) was obtained successfully. Moreover, TBAP and Kodak Photo-Flo (PF) solution were added into the etchant to acquire smooth sidewalls for the first time. TBAP was added for the first time to serve as an antistatic agent in deionized water-based etchant to prevent side-branch etching, and PF was used to degasify hydrogen bubbles in the etchant. The effect of gold thickness over Ohmic contact was investigated. Randomized etching was observed with an Au thickness of 200 Å, but it can be improved by increasing the etching voltage. The silicon mold of through-holes was filled with metal using supercritical CO2 copper electroplating, which features high diffusivity, permeability, and density. The TSV structure (aspect ratio=1∶35) was obtained at a supercritical pressure of 2000 psi, temperature of 50°C, and current density of 30 mA/cm2 in 2.5 h.

  1. Heterogeneous processes in CF4/O2 plasmas probed using laser-induced fluorescence of CF2

    NASA Astrophysics Data System (ADS)

    Hansen, S. G.; Luckman, G.; Nieman, George C.; Colson, Steven D.

    1990-09-01

    Laser-induced fluorescence of CF2 is used to monitor heterogeneous processes in ≊300 mTorr CF4/O2 plasmas. CF2 is rapidly removed at fluorinated copper and silver surfaces in 13.56-MHz rf discharges as judged by a distinct dip in its spatial distribution. These metals, when employed as etch masks, are known to accelerate plasma etching of silicon, and the present results suggest catalytic dehalogenation of CF2 is involved in this process. In contrast, aluminum and silicon dioxide exhibit negligible reactivity with CF2, which suggests that aluminum masks will not appreciably accelerate silicon etching and that ground state CF2 does not efficiently etch silicon dioxide. Measurement of CF2 decay in a pulsed discharge coupled with direct laser sputtering of metal into the gas phase indicates the interaction between CF2 and the active metals is purely heterogeneous. Aluminum does, however, exhibit homogeneous reactivity with CF2. Redistribution of active metal by plasma sputtering readily occurs; silicon etch rates may also be enhanced by the metal's presence on the silicon surface. Polymers contribute CF2 to the plasma as they etch. The observation of an induction period suggests fluorination of the polymer surface is the first step in its degradation. Polymeric etch masks can therefore depress the silicon etch rate by removal of F atoms, the primary etchants.

  2. Reactive ion etching effects on carbon-doped Ge2Sb2Te5 phase change material in CF4/Ar plasma

    NASA Astrophysics Data System (ADS)

    Shen, Lanlan; Song, Sannian; Song, Zhitang; Li, Le; Guo, Tianqi; Liu, Bo; Wu, Liangcai; Cheng, Yan; Feng, Songlin

    2016-10-01

    Recently, carbon-doped Ge2Sb2Te5 (CGST) has been proved to be a high promising material for future phase change memory technology. In this article, reactive ion etching (RIE) of phase change material CGST films is studied using CF4/Ar gas mixture. The effects on gas-mixing ratio, RF power, gas pressure on the etch rate, etch profile and roughness of the CGST film are investigated. Conventional phase change material Ge2Sb2Te5 (GST) films are simultaneously studied for comparison. Compared with GST film, 10 % more CF4 is needed for high etch rate and 10% less CF4 for good anisotropy of CGST due to more fluorocarbon polymer deposition during CF4 etching. The trends of etch rates and roughness of CGST with varying RF power and chamber pressure are similar with those of GST. Furthermore, the etch rate of CGST are more easily to be saturated when higher RF power is applied.

  3. Controlled in situ etch-back

    NASA Technical Reports Server (NTRS)

    Mattauch, R. J.; Seabaugh, A. C. (Inventor)

    1981-01-01

    A controlled in situ etch-back technique is disclosed in which an etch melt and a growth melt are first saturated by a source-seed crystal and thereafter etch-back of a substrate takes place by the slightly undersaturated etch melt, followed by LPE growth of a layer by the growth melt, which is slightly supersaturated.

  4. Examining the temperature behavior of stainless steel surfaces exposed to hydrogen plasmas in the Lithium Tokamak eXperiment (LTX)

    NASA Astrophysics Data System (ADS)

    Bedoya, Felipe; Allain, Jean Paul; Kaita, Robert; Lucia, Matthew; St-Onge, Denis; Ellis, Robert; Majeski, Richard

    2014-10-01

    The Materials Analysis Particle Probe (MAPP) is an in-situ diagnostic designed to characterize plasma-facing components (PFCs) in tokamak devices. MAPP is installed in LTX at Princeton Plasma Physics Laboratory. MAPP's capabilities include remotely operated XPS acquisition and temperature control of four samples. The recent addition of a focused ion beam allows XPS depth profiling analysis. Recent published results show an apparent correlation between hydrogen retention and temperature of Li coated stainless steel (SS) PFCs exposed to plasmas like those of LTX. According to XPS data, the retention of hydrogen by the coated surfaces decreases at above 180 °C. In the present study MAPP will be used to study the oxidation of Li coatings as a function of time and temperature of the walls when Li coatings are applied. Experiments in the ion-surface interaction experiment (IIAX) varying the hydrogen fluence on the SS samples will be also performed. Conclusions resulting from this study will be key to explain the PFC temperature-dependent variation of plasma performance observed in LTX. This work was supported by U.S. DOE Contracts DE-AC02-09CH11466, DE-AC52-07NA27344 and DE-SC0010717.

  5. Fabrication of Si nanopowder and application to hydrogen generation and photoluminescent material

    NASA Astrophysics Data System (ADS)

    Kobayashi, Yuki; Imamura, Kentaro; Matsumoto, Taketoshi; Kobayashi, Hikaru

    2017-12-01

    Si nanopowder is fabricated using the simple beads milling method. Fabricated Si nanopowder reacts with water in the neutral pH region between 7 and 9 to generate hydrogen. The hydrogen generation rate greatly increases with pH, while pH does not change after the hydrogen generation reaction. In the case of the reactions of Si nanopowder with strong alkaline solutions (eg pH13.9), 1600 mL hydrogen is generated from 1 g Si nanopowder in a short time (eg 15 min). When Si nanopowder is etched with HF solutions and immersed in ethanol, green photoluminescence (PL) is observed, and it is attributed to band-to-band transition of Si nanopowder. The Si nanopowder without HF etching in hexane shows blue PL. The PL spectra possess peaked structure, and it is attributed to vibronic bands of 9,10-dimethylantracene (DMA) in hexane solutions. The PL intensity is increased by more than 3,000 times by adsorption of DMA on Si nanopowder.

  6. Effect of Phosphoric Acid Pre-etching on Fatigue Limits of Self-etching Adhesives.

    PubMed

    Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Scheidel, D D; Erickson, R L; Latta, M A; Miyazaki, M

    2015-01-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue limit (SFL) testing to determine the effect of phosphoric acid pre-etching of enamel and dentin prior to application of self-etch adhesives for bonding resin composite to these substrates. Three self-etch adhesives--1) G- ænial Bond (GC Corporation, Tokyo, Japan); 2) OptiBond XTR (Kerr Corp, Orange, CA, USA); and 3) Scotchbond Universal (3M ESPE Dental Products, St Paul, MN, USA)--were used to bond Z100 Restorative resin composite to enamel and dentin surfaces. A stainless-steel metal ring with an inner diameter of 2.4 mm was used to bond the resin composite to flat-ground (4000 grit) tooth surfaces for determination of both SBS and SFL. Fifteen specimens each were used to determine initial SBS to human enamel/dentin, with and without pre-etching with a 35% phosphoric acid (Ultra-Etch, Ultradent Products Inc, South Jordan, UT, USA) for 15 seconds prior to the application of the adhesives. A staircase method of fatigue testing (25 specimens for each test) was then used to determine the SFL of resin composite bonded to enamel/dentin using a frequency of 10 Hz for 50,000 cycles or until failure occurred. A two-way analysis of variance and Tukey post hoc test were used for analysis of SBS data, and a modified t-test with Bonferroni correction was used for the SFL data. Scanning electron microscopy was used to examine the area of the bonded restorative/tooth interface. For all three adhesive systems, phosphoric acid pre-etching of enamel demonstrated significantly higher (p<0.05) SBS and SFL with pre-etching than it did without pre-etching. The SBS and SFL of dentin bonds decreased with phosphoric acid pre-etching. The SBS and SFL of bonds using phosphoric acid prior to application of self-etching adhesives clearly demonstrated different tendencies between enamel and dentin. The effect of using phosphoric acid, prior to the application of the self-etching adhesives, on SBS and SFL was

  7. A tunable sub-100 nm silicon nanopore array with an AAO membrane mask: reducing unwanted surface etching by introducing a PMMA interlayer.

    PubMed

    Lim, Namsoo; Pak, Yusin; Kim, Jin Tae; Hwang, Youngkyu; Lee, Ryeri; Kumaresan, Yogeenth; Myoung, NoSoung; Ko, Heung Cho; Jung, Gun Young

    2015-08-28

    Highly ordered silicon (Si) nanopores with a tunable sub-100 nm diameter were fabricated by a CF4 plasma etching process using an anodic aluminum oxide (AAO) membrane as an etching mask. To enhance the conformal contact of the AAO membrane mask to the underlying Si substrate, poly(methyl methacrylate) (PMMA) was spin-coated on top of the Si substrate prior to the transfer of the AAO membrane. The AAO membrane mask was fabricated by two-step anodization and subsequent removal of the aluminum support and the barrier layer, which was then transferred to the PMMA-coated Si substrate. Contact printing was performed on the sample with a pressure of 50 psi and a temperature of 120 °C to make a conformal contact of the AAO membrane mask to the Si substrate. The CF4 plasma etching was conducted to transfer nanopores onto the Si substrate through the PMMA interlayer. The introduced PMMA interlayer prevented unwanted surface etching of the Si substrate by eliminating the etching ions and radicals bouncing at the gap between the mask and the substrate, resulting in a smooth Si nanopore array.

  8. Apparatus and method for plasma processing of SRF cavities

    NASA Astrophysics Data System (ADS)

    Upadhyay, J.; Im, Do; Peshl, J.; Bašović, M.; Popović, S.; Valente-Feliciano, A.-M.; Phillips, L.; Vušković, L.

    2016-05-01

    An apparatus and a method are described for plasma etching of the inner surface of superconducting radio frequency (SRF) cavities. Accelerator SRF cavities are formed into a variable-diameter cylindrical structure made of bulk niobium, for resonant generation of the particle accelerating field. The etch rate non-uniformity due to depletion of the radicals has been overcome by the simultaneous movement of the gas flow inlet and the inner electrode. An effective shape of the inner electrode to reduce the plasma asymmetry for the coaxial cylindrical rf plasma reactor is determined and implemented in the cavity processing method. The processing was accomplished by moving axially the inner electrode and the gas flow inlet in a step-wise way to establish segmented plasma columns. The test structure was a pillbox cavity made of steel of similar dimension to the standard SRF cavity. This was adopted to experimentally verify the plasma surface reaction on cylindrical structures with variable diameter using the segmented plasma generation approach. The pill box cavity is filled with niobium ring- and disk-type samples and the etch rate of these samples was measured.

  9. Pattern sampling for etch model calibration

    NASA Astrophysics Data System (ADS)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2017-06-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels as well as the choice of calibration patterns is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels -"internal, external, curvature, Gaussian, z_profile" - designed to capture the finest details of the resist contours and represent precisely any etch bias. By evaluating the etch kernels on various structures it is possible to map their etch signatures in a multi-dimensional space and analyze them to find an optimal sampling of structures to train an etch model. The method was specifically applied to a contact layer containing many different geometries and was used to successfully select appropriate calibration structures. The proposed kernels evaluated on these structures were combined to train an etch model significantly better than the standard one. We also illustrate the usage of the specific kernel "z_profile" which adds a third dimension to the description of the resist profile.

  10. In vitro bonding effectiveness of three different one-step self-etch adhesives with additional enamel etching.

    PubMed

    Batra, Charu; Nagpal, Rajni; Tyagi, Shashi Prabha; Singh, Udai Pratap; Manuja, Naveen

    2014-08-01

    To evaluate the effect of additional enamel etching on the shear bond strength of three self-etch adhesives. Class II box type cavities were made on extracted human molars. Teeth were randomly divided into one control group of etch and rinse adhesive and three test groups of self-etch adhesives (Clearfil S3 Bond, Futurabond NR, Xeno V). The teeth in the control group (n = 10) were treated with Adper™ Single Bond 2. The three test groups were further divided into two subgroups (n = 10): (i) self-etch adhesive was applied as per the manufacturer's instructions; (ii) additional etching of enamel surfaces was done prior to the application of self-etch adhesives. All cavities were restored with Filtek Z250. After thermocycling, shear bond strength was evaluated using a Universal testing machine. Data were analyzed using anova independent sample's 't' test and Dunnett's test. The failure modes were evaluated with a stereomicroscope at a magnification of 10×. Additional phosphoric acid etching of the enamel surface prior to the application of the adhesive system significantly increased the shear bond strength of all the examined self-etch adhesives. Additional phosphoric acid etching of enamel surface significantly improved the shear bond strength. © 2013 Wiley Publishing Asia Pty Ltd.

  11. Thermodynamics of nuclear track chemical etching

    NASA Astrophysics Data System (ADS)

    Rana, Mukhtar Ahmed

    2018-05-01

    This is a brief paper with new and useful scientific information on nuclear track chemical etching. Nuclear track etching is described here by using basic concepts of thermodynamics. Enthalpy, entropy and free energy parameters are considered for the nuclear track etching. The free energy of etching is determined using etching experiments of fission fragment tracks in CR-39. Relationship between the free energy and the etching temperature is explored and is found to be approximately linear. The above relationship is discussed. A simple enthalpy-entropy model of chemical etching is presented. Experimental and computational results presented here are of fundamental interest in nuclear track detection methodology.

  12. Effects of plasma hydrogenation on trapping properties of dislocations in heteroepitaxial InP/GaAs

    NASA Technical Reports Server (NTRS)

    Ringel, S. A.; Chatterjee, B.

    1994-01-01

    In previous work, we have demonstrated the effectiveness of a post-growth hydrogen plasma treatment for passivating the electrical activity of dislocations in metalorganic chemical vapor deposition (MOCVD) grown InP on GaAs substrates by a more than two order of magnitude reduction in deep level concentration and an improvement in reverse bias leakage current by a factor of approximately 20. These results make plasma hydrogenation an extremely promising technique for achieving high efficiency large area and light weight heteroepitaxial InP solar cells for space applications. In this work we investigate the carrier trapping process by dislocations in heteroepitaxial InP/GaAs and the role of hydrogen passivation on this process. It is shown that the charge trapping kinetics of dislocations after hydrogen passivation are significantly altered, approaching point defect-like behavior consistent with a transformation from a high concentration of dislocation-related defect bands within the InP bandgap to a low concentration of individual dislocation related deep levels, before and after passivation. It is further shown that the 'apparent' activation energies of dislocation related deep levels, before and after passivation, reduce by approximately 70 meV as DLTS fill pulse times are increased from 1 microsecond to 1 millisecond. A model is proposed which explains these effects based on a reduction of Coulombic interaction between individual core sites along the dislocation cores by hydrogen incorporation. Knowledge of the trapping properties in these specific structures is important to develop optimum, low loss heteroepitaxial InP cells.

  13. Measurements of energy distribution and thrust for microwave plasma coupling of electrical energy to hydrogen for propulsion

    NASA Technical Reports Server (NTRS)

    Morin, T.; Chapman, R.; Filpus, J.; Hawley, M.; Kerber, R.; Asmussen, J.; Nakanishi, S.

    1982-01-01

    A microwave plasma system for transfer of electrical energy to hydrogen flowing through the system has potential application for coupling energy to a flowing gas in the electrothermal propulsion concept. Experimental systems have been designed and built for determination of the energy inputs and outputs and thrust for the microwave coupling of energy to hydrogen. Results for experiments with pressure in the range 100 microns-6 torr, hydrogen flow rate up to 1000 micronmoles/s, and total absorbed power to 700 w are presented.

  14. Study on the effect of hydrogen addition on the variation of plasma parameters of argon-oxygen magnetron glow discharge for synthesis of TiO{sub 2} films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saikia, Partha, E-mail: partha.008@gmail.com; Institute of Physics, Pontificia Universidad Católica de Chile, Av. Vicuña Mackenna 4860, Santiago; Saikia, Bipul Kumar

    2016-04-15

    We report the effect of hydrogen addition on plasma parameters of argon-oxygen magnetron glow discharge plasma in the synthesis of H-doped TiO{sub 2} films. The parameters of the hydrogen-added Ar/O{sub 2} plasma influence the properties and the structural phases of the deposited TiO{sub 2} film. Therefore, the variation of plasma parameters such as electron temperature (T{sub e}), electron density (n{sub e}), ion density (n{sub i}), degree of ionization of Ar and degree of dissociation of H{sub 2} as a function of hydrogen content in the discharge is studied. Langmuir probe and Optical emission spectroscopy are used to characterize the plasma.more » On the basis of the different reactions in the gas phase of the magnetron discharge, the variation of plasma parameters and sputtering rate are explained. It is observed that the electron and heavy ion density decline with gradual addition of hydrogen in the discharge. Hydrogen addition significantly changes the degree of ionization of Ar which influences the structural phases of the TiO{sub 2} film.« less

  15. Magnetic evaluation of hydrogen pressures changes on MHD fluctuations in IR-T1 tokamak plasma

    NASA Astrophysics Data System (ADS)

    Alipour, Ramin; Ghanbari, Mohamad R.

    2018-04-01

    Identification of tokamak plasma parameters and investigation on the effects of each parameter on the plasma characteristics is important for the better understanding of magnetohydrodynamic (MHD) activities in the tokamak plasma. The effect of different hydrogen pressures of 1.9, 2.5 and 2.9 Torr on MHD fluctuations of the IR-T1 tokamak plasma was investigated by using of 12 Mirnov coils, singular value decomposition and wavelet analysis. The parameters such as plasma current, loop voltage, power spectrum density, energy percent of poloidal modes, dominant spatial structures and temporal structures of poloidal modes at different plasma pressures are plotted. The results indicate that the MHD activities at the pressure of 2.5 Torr are less than them at other pressures. It also has been shown that in the stable area of plasma and at the pressure of 2.5 Torr, the magnetic force and the force of plasma pressure are in balance with each other and the MHD activities are at their lowest level.

  16. Comparison of Self-Etch Primers with Conventional Acid Etching System on Orthodontic Brackets

    PubMed Central

    Zope, Amit; Zope-Khalekar, Yogita; Chitko, Shrikant S.; Kerudi, Veerendra V.; Patil, Harshal Ashok; Jaltare, Pratik; Dolas, Siddhesh G

    2016-01-01

    Introduction The self-etching primer system consists of etchant and primer dispersed in a single unit. The etching and priming are merged as a single step leading to fewer stages in bonding procedure and reduction in the number of steps that also reduces the chance of introduction of error, resulting in saving time for the clinician. It also results in smaller extent of enamel decalcification. Aim To compare the Shear Bond Strength (SBS) of orthodontic bracket bonded with Self-Etch Primers (SEP) and conventional acid etching system and to study the surface appearance of teeth after debonding; etching with conventional acid etch and self-etch priming, using stereomicroscope. Materials and Methods Five Groups (n=20) were created randomly from a total of 100 extracted premolars. In a control Group A, etching of enamel was done with 37% phosphoric acid and bonding of stainless steel brackets with Transbond XT (3M Unitek, Monrovia, California). Enamel conditioning in left over four Groups was done with self-etching primers and adhesives as follows: Group B-Transbond Plus (3M Unitek), Group C Xeno V+ (Dentsply), Group D-G-Bond (GC), Group E-One-Coat (Coltene). The Adhesive Remnant Index (ARI) score was also evaluated. Additionally, the surface roughness using profilometer were observed. Results Mean SBS of Group A was 18.26±7.5MPa, Group B was 10.93±4.02MPa, Group C was 6.88±2.91MPa while of Group D was 7.78±4.13MPa and Group E was 10.39±5.22MPa respectively. In conventional group ARI scores shows that over half of the adhesive was remaining on the surface of tooth (score 1 to 3). In self-etching primer groups ARI scores show that there was no or minor amount of adhesive remaining on the surface of tooth (score 4 and 5). SEP produces a lesser surface roughness on the enamel than conventional etching. However, statistical analysis shows significant correlation (p<0.001) of bond strength with surface roughness of enamel. Conclusion All groups might show clinically

  17. Influence of pH, bleaching agents, and acid etching on surface wear of bovine enamel

    PubMed Central

    Soares, Ana Flávia; Bombonatti, Juliana Fraga Soares; Alencar, Marina Studart; Consolmagno, Elaine Cristina; Honório, Heitor Marques; Mondelli, Rafael Francisco Lia

    2016-01-01

    ABSTRACT Development of new materials for tooth bleaching justifies the need for studies to evaluate the changes in the enamel surface caused by different bleaching protocols. Objective The aim of this study was to evaluate the bovine dental enamel wear in function of different bleaching gel protocols, acid etching and pH variation. Material and Methods Sixty fragments of bovine teeth were cut, obtaining a control and test areas. In the test area, one half received etching followed by a bleaching gel application, and the other half, only the bleaching gel. The fragments were randomly divided into six groups (n=10), each one received one bleaching session with five hydrogen peroxide gel applications of 8 min, activated with hybrid light, diode laser/blue LED (HL) or diode laser/violet LED (VHL) (experimental): Control (C); 35% Total Blanc Office (TBO35HL); 35% Lase Peroxide Sensy (LPS35HL); 25% Lase Peroxide Sensy II (LPS25HL); 15% Lase Peroxide Lite (LPL15HL); and 10% hydrogen peroxide (experimental) (EXP10VHL). pH values were determined by a pHmeter at the initial and final time periods. Specimens were stored, subjected to simulated brushing cycles, and the superficial wear was determined (μm). ANOVA and Tukey´s tests were applied (α=0.05). Results The pH showed a slight decrease, except for Group LPL15HL. Group LPS25HL showed the highest degree of wear, with and without etching. Conclusion There was a decrease from the initial to the final pH. Different bleaching gels were able to increase the surface wear values after simulated brushing. Acid etching before bleaching increased surface wear values in all groups. PMID:27008254

  18. Thermal etching rate of GaN during MOCVD growth interruption in hydrogen and ammonia ambient determined by AlGaN/GaN superlattice structures

    NASA Astrophysics Data System (ADS)

    Zhang, Feng; Ikeda, Masao; Zhang, Shuming; Liu, Jianping; Tian, Aiqin; Wen, Pengyan; Cheng, Yang; Yang, Hui

    2017-10-01

    Thermal etching effect of GaN during growth interruption in the metalorganic chemical vapor deposition reactor was investigated in this paper. The thermal etching rate was determined by growing a series of AlGaN/GaN superlattice structures with fixed GaN growth temperature at 735 °C and various AlGaN growth temperature changing from 900 °C to 1007 °C. It was observed that the GaN layer was etched off during the growth interruption when the growth temperature ramped up to AlGaN growth temperature. The etching thickness was determined by high resolution X-ray diffractometer and the etching rate was deduced accordingly. An activation energy of 2.53 eV was obtained for the thermal etching process.

  19. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    NASA Astrophysics Data System (ADS)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  20. Hydrogen atom kinetics in capacitively coupled plasmas

    NASA Astrophysics Data System (ADS)

    Nunomura, Shota; Katayama, Hirotaka; Yoshida, Isao

    2017-05-01

    Hydrogen (H) atom kinetics has been investigated in capacitively coupled very high frequency (VHF) discharges at powers of 16-780 mW cm-2 and H2 gas pressures of 0.1-2 Torr. The H atom density has been measured using vacuum ultra violet absorption spectroscopy (VUVAS) with a micro-discharge hollow cathode lamp as a VUV light source. The measurements have been performed in two different electrode configurations of discharges: conventional parallel-plate diode and triode with an intermediate mesh electrode. We find that in the triode configuration, the H atom density is strongly reduced across the mesh electrode. The H atom density varies from ˜1012 cm-3 to ˜1010 cm-3 by crossing the mesh with 0.2 mm in thickness and 36% in aperture ratio. The fluid model simulations for VHF discharge plasmas have been performed to study the H atom generation, diffusion and recombination kinetics. The simulations suggest that H atoms are generated in the bulk plasma, by the electron impact dissociation (e + H2 \\to e + 2H) and the ion-molecule reaction (H2 + + H2 \\to {{{H}}}3+ + H). The diffusion of H atoms is strongly limited by a mesh electrode, and thus the mesh geometry influences the spatial distribution of the H atoms. The loss of H atoms is dominated by the surface recombination.

  1. SEMICONDUCTOR TECHNOLOGY: Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    NASA Astrophysics Data System (ADS)

    Yongliang, Li; Qiuxia, Xu

    2010-03-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 °C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case.

  2. Elastic and transport cross sections for inert gases in a hydrogen plasma

    NASA Astrophysics Data System (ADS)

    Krstic, Predrag

    2005-05-01

    Accurate elastic differential and integral scattering and transport cross sections have been computed using a fully quantum-mechanical approach for hydrogen ions (H^+, D^+ and T^+) colliding with Neon, Krypton and Xenon, in the center of mass energy range 0.1 to 200 eV. The momentum transfer and viscosity cross sections have been extended to higher keV collision energies using a classical, three-body scattering method. The results were compared with previously calculated values for Argon and Helium, as well as with simple analytical models. The cross sections, tabulated and available through the world wide web (www-cfadc.phy.ornl.gov) are of significance in fusion plasma modeling, gaseous electronics and other plasma applications.

  3. Ion-beam-assisted etching of diamond

    NASA Technical Reports Server (NTRS)

    Efremow, N. N.; Geis, M. W.; Flanders, D. C.; Lincoln, G. A.; Economou, N. P.

    1985-01-01

    The high thermal conductivity, low RF loss, and inertness of diamond make it useful in traveling wave tubes operating in excess of 500 GHz. Such use requires the controlled etching of type IIA diamond to produce grating like structures tens of micrometers deep. Previous work on reactive ion etching with O2 gave etching rates on the order of 20 nm/min and poor etch selectivity between the masking material (Ni or Cr) and the diamond. An alternative approach which uses a Xe(+) beam and a reactive gas flux of NO2 in an ion-beam-assisted etching system is reported. An etching rate of 200 nm/min was obtained with an etching rate ratio of 20 between the diamond and an aluminum mask.

  4. A junction-level optoelectronic characterization of etching-induced damage for third-generation HgCdTe infrared focal-plane array photodetectors

    NASA Astrophysics Data System (ADS)

    Wang, Peng; Wang, Yueming; Wu, Mingzai; Ye, Zhenhua

    2018-06-01

    Third-generation HgCdTe-based infrared focal plane arrays require high aspect ratio trenches with admissible etch induced damage at the surface and sidewalls for effectively isolating the pixels. In this paper, the high-density inductively coupled plasma enhanced reaction ion etching technique has been used for micro-mesa delineation of HgCdTe for third-generation infrared focal-plane array detectors. A nondestructive junction-level optoelectronic characterization method called laser beam induced current (LBIC) is used to evaluate the lateral junction extent of HgCdTe etch-induced damage scanning electron microscopy. It is found that the LBIC profiles exhibit evident double peaks and valleys phenomena. The lateral extent of etch induced mesa damage of ∼2.4 μm is obtained by comparing the LBIC profile and the scanning electron microscopy image of etched sample. This finding will guide us to nondestructively identify the distributions of the etching damages in large scale HgCdTe micro-mesa.

  5. Producing Solar Cells By Surface Preparation For Accelerated Nucleation Of Microcrystalline Silicon On Heterogeneous Substrates.

    DOEpatents

    Yang, Liyou; Chen, Liangfan

    1998-03-24

    Attractive multi-junction solar cells and single junction solar cells with excellent conversion efficiency can be produced with a microcrystalline tunnel junction, microcrystalline recombination junction or one or more microcrystalline doped layers by special plasma deposition processes which includes plasma etching with only hydrogen or other specified etchants to enhance microcrystalline growth followed by microcrystalline. nucleation with a doped hydrogen-diluted feedstock.

  6. Microtensile bond strength of etch and rinse versus self-etch adhesive systems.

    PubMed

    Hamouda, Ibrahim M; Samra, Nagia R; Badawi, Manal F

    2011-04-01

    The aim of this study was to compare the microtensile bond strength of the etch and rinse adhesive versus one-component or two-component self-etch adhesives. Twelve intact human molar teeth were cleaned and the occlusal enamel of the teeth was removed. The exposed dentin surfaces were polished and rinsed, and the adhesives were applied. A microhybride composite resin was applied to form specimens of 4 mm height and 6 mm diameter. The specimens were sectioned perpendicular to the adhesive interface to produce dentin-resin composite sticks, with an adhesive area of approximately 1.4 mm(2). The sticks were subjected to tensile loading until failure occurred. The debonded areas were examined with a scanning electron microscope to determine the site of failure. The results showed that the microtensile bond strength of the etch and rinse adhesive was higher than that of one-component or two-component self-etch adhesives. The scanning electron microscope examination of the dentin surfaces revealed adhesive and mixed modes of failure. The adhesive mode of failure occurred at the adhesive/dentin interface, while the mixed mode of failure occurred partially in the composite and partially at the adhesive/dentin interface. It was concluded that the etch and rinse adhesive had higher microtensile bond strength when compared to that of the self-etch adhesives. Copyright © 2010 Elsevier Ltd. All rights reserved.

  7. Removing Al and regenerating caustic soda from the spent washing liquor of Al etching

    NASA Astrophysics Data System (ADS)

    Barakat, M. A.; El-Sheikh, S. M.; Farghly, F. E.

    2005-08-01

    Spent liquor from washing of aluminum section materials after etching with caustic soda (NaOH) has been treated. Aluminum was removed from the liquor and caustic soda was regenerated by adding precipitating agents to hydrolyze sodium aluminate (Na2AlO2), separating the aluminumprecipitate, and concentrating free NaOH in the resulting solution for reuse in the etching process. Four systems were investigated: hydrated lime [Ca(OH)2], hydrogen peroxide (H2O2), H2O2/Ca(OH)2 mixture, and dry lime (CaO). Results revealed that CaO was more efficient in the removal of aluminum from the spent liquor with a higher hydrolyzing rate of Na2AlO2 than Ca(OH)2, H2O2, or their mixture.

  8. Patterning of light-extraction nanostructures on sapphire substrates using nanoimprint and ICP etching with different masking materials.

    PubMed

    Chen, Hao; Zhang, Qi; Chou, Stephen Y

    2015-02-27

    Sapphire nanopatterning is the key solution to GaN light emitting diode (LED) light extraction. One challenge is to etch deep nanostructures with a vertical sidewall in sapphire. Here, we report a study of the effects of two masking materials (SiO2 and Cr) and different etching recipes (the reaction gas ratio, the reaction pressure and the inductive power) in a chlorine-based (BCl3 and Cl2) inductively coupled plasma (ICP) etching of deep nanopillars in sapphire, and the etching process optimization. The masking materials were patterned by nanoimprinting. We have achieved high aspect ratio sapphire nanopillar arrays with a much steeper sidewall than the previous etching methods. We discover that the SiO2 mask has much slower erosion rate than the Cr mask under the same etching condition, leading to the deep cylinder-shaped nanopillars (122 nm diameter, 200 nm pitch, 170 nm high, flat top, and a vertical sidewall of 80° angle), rather than the pyramid-shaped shallow pillars (200 nm based diameter, 52 nm height, and 42° sidewall) resulted by using Cr mask. The processes developed are scalable to large volume LED manufacturing.

  9. Etching fission tracks in zircons

    USGS Publications Warehouse

    Naeser, C.W.

    1969-01-01

    A new technique has been developed whereby fission tracks can be etched in zircon with a solution of sodium hydroxide at 220??C. Etching time varied between 15 minutes and 5 hours. Colored zircon required less etching time than the colorless varieties.

  10. Hydrogen plasma tests of some insulating coating systems for the nuclear rocket thrust chamber

    NASA Technical Reports Server (NTRS)

    Current, A. N.; Grisaffe, S. J.; Wycoff, K. C.

    1972-01-01

    Several plasma-sprayed and slurry-coated insulating coating systems were evaluated for structural stability in a low-pressure hot hydrogen environment at a maximum heat flux of 19.6 million watts/sq meter. The heat was provided by an electric-arc plasma generator. The coating systems consisted of a number of thin layers of metal oxides and/or metals. The materials included molybdenum, nichrome, tungsten, alumina, zirconia, and chromia. The study indicates potential usefulness in this environment for some coatings, and points up the need for improved coating application techniques.

  11. Highlights of 10th plasma chemistry meeting

    NASA Technical Reports Server (NTRS)

    Kitamura, K.; Hashimoto, H.; Hozumi, K.

    1981-01-01

    The chemical structure is given of a film formed by plasma polymerization from pyridine monomers. The film has a hydrophilic chemical structure, its molecular weight is 900, and the molecular system is C55H50N10O3. The electrical characteristics of a plasma polymerized film are described. The film has good insulating properties and was successfully applied as video disc coating. Etching resistance properties make it possible to use the film as a resist in etching. The characteristics of plasma polymer formed from monomers containing tetramethyltin are discussed. The polymer is in film form, displays good adhesiveness, is similar to UV film UV 35 in light absorption and is highly insulating.

  12. Laser-driven fusion etching process

    DOEpatents

    Ashby, C.I.H.; Brannon, P.J.; Gerardo, J.B.

    1987-08-25

    The surfaces of solids are etched by a radiation-driven chemical reaction. The process involves exposing a substrate coated with a layer of a reactant material on its surface to radiation, e.g., a laser, to induce localized melting of the substrate which results in the occurrence of a fusion reaction between the substrate and coating material. The resultant reaction product and excess reactant salt are then removed from the surface of the substrate with a solvent which is relatively inert towards the substrate. The laser-driven chemical etching process is especially suitable for etching ionic substrates, e.g., LiNbO/sub 3/, such as used in electro-optical/acousto-optic devices. It is also suitable for applications wherein the etching process is required to produce an etched ionic substrate having a smooth surface morphology or when a very rapid etching rate is desired.

  13. Sabatier Reactor System Integration with Microwave Plasma Methane Pyrolysis Post-Processor for Closed-Loop Hydrogen Recovery

    NASA Technical Reports Server (NTRS)

    Abney, Morgan B.; Miller, Lee A.; Williams, Tom

    2010-01-01

    The Carbon Dioxide Reduction Assembly (CRA) designed and developed for the International Space Station (ISS) represents the state-of-the-art in carbon dioxide reduction (CDRe) technology. The CRA produces water and methane by reducing carbon dioxide with hydrogen via the Sabatier reaction. The water is recycled to the Oxygen Generation Assembly (OGA) and the methane is vented overboard resulting in a net loss of hydrogen. The proximity to earth and the relative ease of logistics resupply from earth allow for a semi-closed system on ISS. However, long-term manned space flight beyond low earth orbit (LEO) dictates a more thoroughly closed-loop system involving significantly higher recovery of hydrogen, and subsequent recovery of oxygen, to minimize costs associated with logistics resupply beyond LEO. The open-loop ISS system for CDRe can be made closed-loop for follow-on missions by further processing methane to recover hydrogen. For this purpose, a process technology has been developed that employs a microwave-generated plasma to reduce methane to hydrogen and acetylene resulting in 75% theoretical recovery of hydrogen. In 2009, a 1-man equivalent Plasma Pyrolysis Assembly (PPA) was delivered to the National Aeronautics and Space Administration (NASA) for technical evaluation. The PPA has been integrated with a Sabatier Development Unit (SDU). The integrated process configuration incorporates a sorbent bed to eliminate residual carbon dioxide and water vapor in the Sabatier methane product stream before it enters the PPA. This paper provides detailed information on the stand-alone and integrated performance of both the PPA and SDU. Additionally, the integrated test stand design and anticipated future work are discussed.

  14. Evaluation of the plasma hydrogen isotope content by residual gas analysis at JET and AUG

    NASA Astrophysics Data System (ADS)

    Drenik, A.; Alegre, D.; Brezinsek, S.; De Castro, A.; Kruezi, U.; Oberkofler, M.; Panjan, M.; Primc, G.; Reichbauer, T.; Resnik, M.; Rohde, V.; Seibt, M.; Schneider, P. A.; Wauters, T.; Zaplotnik, R.; ASDEX-Upgrade, the; EUROfusion MST1 Teams; contributors, JET

    2017-12-01

    The isotope content of the plasma reflects on the dynamics of isotope changeover experiments, efficiency of wall conditioning and the performance of a fusion device in the active phase of operation. The assessment of the isotope ratio of hydrogen and methane molecules is used as a novel method of assessing the plasma isotope ratios at JET and ASDEX-Upgrade (AUG). The isotope ratios of both molecules in general shows similar trends as the isotope ratio detected by other diagnostics. At JET, the absolute values of RGA signals are in relatively good agreement with each other and with spectroscopy data, while at AUG the deviation from neutral particle analyser data are larger, and the results show a consistent spatial distribution of the isotope ratio. It is further shown that the isotope ratio of the hydrogen molecule can be used to study the degree of dissociation of the injected gas during changeover experiments.

  15. Distribution of deuterium and hydrogen in Zr and Ti foil assemblies under the action of a pulsed deuterium high-temperature plasma

    NASA Astrophysics Data System (ADS)

    Bondarenko, G. G.; Volobuev, I. V.; Eriskin, A. A.; Kobzev, A. P.; Nikulin, V. Ya.; Peregudova, E. N.; Silin, P. V.; Borovitskaya, I. V.

    2017-09-01

    Deuteron and proton elastic recoil detection analysis is used to study the accumulation and redistribution of deuterium and hydrogen in assemblies of two high-pure zirconium or titanium foils upon pulsed action of high-temperature deuterium plasma (PHTDP) in a plasma-focus installation PF-4. It is noted that, under the action of PHTDP, an implanted deuterium and hydrogen gas impurity are redistributed in the irradiated foils in large depths, which are significantly larger than the deuterium ion free paths (at their maximum velocity to 108 cm/s). The observed phenomenon is attributed to the carrying out of implanted deuterium and hydrogen under the action of powerful shock waves formed in the metallic foils under the action of PHTDP and/or the acceleration of diffusion of deuterium and hydrogen atoms under the action of a compression-rarefaction shock wave at the shock wave front with the redistribution of deuterium and hydrogen to large depths.

  16. Numerical studies from quantum to macroscopic scales of carbon nanoparticules in hydrogen plasma

    NASA Astrophysics Data System (ADS)

    Lombardi, Guillaume; Ngandjong, Alain; Mezei, Zsolt; Mougenot, Jonathan; Michau, Armelle; Hassouni, Khaled; Seydou, Mahamadou; Maurel, François

    2016-09-01

    Dusty plasmas take part in large scientific domains from Universe Science to nanomaterial synthesis processes. They are often generated by growth from molecular precursor. This growth leads to the formation of larger clusters which induce solid germs nucleation. Particle formed are described by an aerosol dynamic taking into account coagulation, molecular deposition and transport processes. These processes are controlled by the elementary particle. So there is a strong coupling between particle dynamics and plasma discharge equilibrium. This study is focused on the development of a multiscale physic and numeric model of hydrogen plasmas and carbon particles around three essential coupled axes to describe the various physical phenomena: (i) Macro/mesoscopic fluid modeling describing in an auto-coherent way, characteristics of the plasma, molecular clusters and aerosol behavior; (ii) the classic molecular dynamics offering a description to the scale molecular of the chains of chemical reactions and the phenomena of aggregation; (iii) the quantum chemistry to establish the activation barriers of the different processes driving the nanopoarticule formation.

  17. Non-thermal hydrogen plasma processing effectively increases the antibacterial activity of graphene oxide

    NASA Astrophysics Data System (ADS)

    Ke, Zhigang; Ma, Yulong; Zhu, Zhongjie; Zhao, Hongwei; Wang, Qi; Huang, Qing

    2018-01-01

    Graphene-based materials (GMs) are promising antibacterial agents which provide an alternative route to treat pathogenic bacteria with resistance to conventional antibiotics. To further improve their antibacterial activity, many methods have been developed to functionalize the GMs with chemicals. However, the application of additional chemicals may pose potential risks to the environment and human being. Herein, a radio-frequency-driven inductively coupled non-thermal hydrogen plasma was used to treat and reduce graphene oxide (GO) without using any other chemicals, and we found that the plasma-reduced GO (prGO) is with significantly higher bactericidal activity against Escherichia coli. The mechanism of the increased antibacterial activity of prGO is due to that plasma processing breaks down the GO sheets into smaller layers with more rough surface defects, which can thus induce more destructive membrane damages to the bacteria. This work sets another good example, showing that plasma processing is a green and low-cost alternative for GM modification for biomedical applications.

  18. Submicron patterned metal hole etching

    DOEpatents

    McCarthy, Anthony M.; Contolini, Robert J.; Liberman, Vladimir; Morse, Jeffrey

    2000-01-01

    A wet chemical process for etching submicron patterned holes in thin metal layers using electrochemical etching with the aid of a wetting agent. In this process, the processed wafer to be etched is immersed in a wetting agent, such as methanol, for a few seconds prior to inserting the processed wafer into an electrochemical etching setup, with the wafer maintained horizontal during transfer to maintain a film of methanol covering the patterned areas. The electrochemical etching setup includes a tube which seals the edges of the wafer preventing loss of the methanol. An electrolyte composed of 4:1 water: sulfuric is poured into the tube and the electrolyte replaces the wetting agent in the patterned holes. A working electrode is attached to a metal layer of the wafer, with reference and counter electrodes inserted in the electrolyte with all electrodes connected to a potentiostat. A single pulse on the counter electrode, such as a 100 ms pulse at +10.2 volts, is used to excite the electrochemical circuit and perform the etch. The process produces uniform etching of the patterned holes in the metal layers, such as chromium and molybdenum of the wafer without adversely effecting the patterned mask.

  19. Fabrication of Hydrogenated Diamond Metal-Insulator-Semiconductor Field-Effect Transistors.

    PubMed

    Liu, Jiangwei; Koide, Yasuo

    2017-01-01

    Diamond is regarded as a promising material for fabrication of high-power and high-frequency electronic devices due to its remarkable intrinsic properties, such as wide band gap energy, high carrier mobility, and high breakdown field. Meanwhile, since diamond has good biocompatibility, long-term durability, good chemical inertness, and a large electron-chemical potential window, it is a suitable candidate for the fabrication of biosensors. Here, we demonstrate the fabrication of hydrogenated diamond (H-diamond) based metal-insulator-semiconductor field-effect transistors (MISFETs). The fabrication is based on the combination of laser lithography, dry-etching, atomic layer deposition (ALD), sputtering deposition (SD), electrode evaporation, and lift-off techniques. The gate insulator is high-k HfO 2 with a SD/ALD bilayer structure. The thin ALD-HfO 2 film (4.0 nm) acts as a buffer layer to prevent the hydrogen surface of the H-diamond from plasma discharge damage during the SD-HfO 2 deposition. The growth of H-diamond epitaxial layer, fabrication of H-diamond MISFETs, and electrical property measurements for the MISFETs is demonstrated. This chapter explains the fabrication of H-diamond FET based biosensors.

  20. Selforganized Structure Formation in Organized Microstructuring by Laser-Jet Etching

    NASA Astrophysics Data System (ADS)

    Rabbow, T. J.; Plath, P. J.; Mora, A.; Haase, M.

    Laser-jet induced wet etching of stainless steel in 5M H3PO4 has been investigated. By this method, it is possible to cut and microstructure metals and alloys that form passive layers in strong etchants. Due to the laser heating of the metal and the adjacent layers of the etchant, the passive layer is removed and an active dissolution of the base metal together with the formation of hydrogen is observed. The reactions are limited by the transport of fresh acid and the removal of dissolved metal. A jet of etchant reduces the transport limitations. For definite ranges of the laser power, the feed velocity and the etchant jet velocity, a regime of periodic structure formation of the kerf, often called ripples, has been found. The ripple length depends on all three parameters. The ripple formation can be brought into correlation with a periodic change of the intensity of the reflected light as well as oscillations of the potential workpiece. It could be shown that the periodic structure formation is connected to a spreading of an etching front from the laser activated area, that temporarily moves ahead to the laser. This leads to modulations of the interface for the laser absorption, which results, for example, in oscillations of the intensity of the reflected light. This means the laser induced etching reaction attracts a feedback based on the conditions of absorption for the laser. For those parameters of feed velocity, laser power and etchant jet velocity, without ripple formation the laser induced etching front is of a constant distance to the laser which results in steady conditions at the interface for the absorption of the laser.

  1. Evidence of 9Be  +  p nuclear reactions during 2ω CH and hydrogen minority ICRH in JET-ILW hydrogen and deuterium plasmas

    NASA Astrophysics Data System (ADS)

    Krasilnikov, A. V.; Kiptily, V.; Lerche, E.; Van Eester, D.; Afanasyev, V. I.; Giroud, C.; Goloborodko, V.; Hellesen, C.; Popovichev, S. V.; Mironov, M. I.; contributors, JET

    2018-02-01

    The intensity of 9Be  +  p nuclear fusion reactions was experimentally studied during second harmonic (2ω CH) ion-cyclotron resonance heating (ICRH) and further analyzed during fundamental hydrogen minority ICRH of JET-ILW hydrogen and deuterium plasmas. In relatively low-density plasmas with a high ICRH power, a population of fast H+ ions was created and measured by neutral particle analyzers. Primary and secondary nuclear reaction products, due to 9Be  +  p interaction, were observed with fast ion loss detectors, γ-ray spectrometers and neutron flux monitors and spectrometers. The possibility of using 9Be(p, d)2α and 9Be(p, α)6Li nuclear reactions to create a population of fast alpha particles and study their behaviour in non-active stage of ITER operation is discussed in the paper.

  2. Laser-driven fusion etching process

    DOEpatents

    Ashby, Carol I. H.; Brannon, Paul J.; Gerardo, James B.

    1989-01-01

    The surfaces of solid ionic substrates are etched by a radiation-driven chemical reaction. The process involves exposing an ionic substrate coated with a layer of a reactant material on its surface to radiation, e.g. a laser, to induce localized melting of the substrate which results in the occurrance of a fusion reaction between the substrate and coating material. The resultant reaction product and excess reactant salt are then removed from the surface of the substrate with a solvent which is relatively inert towards the substrate. The laser-driven chemical etching process is especially suitable for etching ionic salt substrates, e.g., a solid inorganic salt such as LiNbO.sub.3, such as used in electro-optical/acousto-optic devices. It is also suitable for applications wherein the etching process is required to produce an etched ionic substrate having a smooth surface morphology or when a very rapid etching rate is desired.

  3. Plasma generating apparatus for large area plasma processing

    DOEpatents

    Tsai, C.C.; Gorbatkin, S.M.; Berry, L.A.

    1991-07-16

    A plasma generating apparatus for plasma processing applications is based on a permanent magnet line-cusp plasma confinement chamber coupled to a compact single-coil microwave waveguide launcher. The device creates an electron cyclotron resonance (ECR) plasma in the launcher and a second ECR plasma is created in the line cusps due to a 0.0875 tesla magnetic field in that region. Additional special magnetic field configuring reduces the magnetic field at the substrate to below 0.001 tesla. The resulting plasma source is capable of producing large-area (20-cm diam), highly uniform (.+-.5%) ion beams with current densities above 5 mA/cm[sup 2]. The source has been used to etch photoresist on 5-inch diam silicon wafers with good uniformity. 3 figures.

  4. Plasma generating apparatus for large area plasma processing

    DOEpatents

    Tsai, Chin-Chi; Gorbatkin, Steven M.; Berry, Lee A.

    1991-01-01

    A plasma generating apparatus for plasma processing applications is based on a permanent magnet line-cusp plasma confinement chamber coupled to a compact single-coil microwave waveguide launcher. The device creates an electron cyclotron resonance (ECR) plasma in the launcher and a second ECR plasma is created in the line cusps due to a 0.0875 tesla magnetic field in that region. Additional special magnetic field configuring reduces the magnetic field at the substrate to below 0.001 tesla. The resulting plasma source is capable of producing large-area (20-cm diam), highly uniform (.+-.5%) ion beams with current densities above 5 mA/cm.sup.2. The source has been used to etch photoresist on 5-inch diam silicon wafers with good uniformity.

  5. Analysis of hydrogen plasma in MPCVD reactor

    NASA Astrophysics Data System (ADS)

    Shivkumar, Gayathri

    The aim of this work is to build a numerical model that can predict the plasma properties of hydrogen plasmas inside a Seki Technotron Corp. AX5200S MPCVD system so that it may be used to understand and optimize the conditions for the growth of carbon nanostructures. A 2D model of the system is used in the finite element high frequency Maxwell solver and heat trasfer solver in COMSOL Multiphysics, where the solvers are coupled with user defined functions to analyze the plasma. A simplified chemistry model is formulated in order to determine the electron temperature in the plasma. This is used in the UDFs which calculate the electron number density as well as electron temperature. A Boltzmann equation solver for electrons in weakly ionized gases under uniform electric fields, called BOLSIG+, is used to obtain certain input parameters required for these UDFs. The system is modeled for several reactor geometries at pressures of 10 Torr and 30 Torr and powers ranging from 300 W to 700 W. The variation of plasma characteristics with changes in input conditions is studied and the electric field, electron number density, electron temperature and gas temperature are seen to increase with increasing power. Electric field, electron number density and electron temperature decrease and gas temperature increases with increasing pressure. The modeling results are compared with experimental measurements and a good agreement is found after calibrating the parameter gamma in Funer's model to match experimental electron number densities. The gas temperature is seen to have a weak dependence on power and a strong dependence on gas pressure. On an average, the gas temperature at a point 5 mm above the center of the puck increases from about 1000 K at a pressure of 10 Torr to about 1500 K at 30 Torr. The inclusion of the pillar produces an increase in the maximum electron number density of approximately 50%; it is higher under some conditions. It increases the maximum electron

  6. Self-etching ceramic primer versus hydrofluoric acid etching: Etching efficacy and bonding performance.

    PubMed

    El-Damanhoury, Hatem M; Gaintantzopoulou, Maria D

    2018-01-01

    This study assessed the effect of pretreatment of hybrid and glass ceramics using a self-etching primer on the shear bond strength (SBS) and surface topography, in comparison to pretreatment with hydrofluoric acid and silane. 40 rectangular discs from each ceramic material (IPS e.max CAD;EM, Vita Mark II;VM, Vita Enamic;VE), were equally divided (n=10) and assigned to one of four surface pretreatment methods; etching with 4.8% hydrofluoric acid followed by Monobond plus (HFMP), Monobond etch & prime (Ivoclar Vivadent) (MEP), No treatment (NT) as negative control and Monobond plus (Ivoclar Vivadent) with no etching (MP) as positive control. SBS of resin cement (Multilink-N, Ivoclar Vivadent) to ceramic surfaces was tested following a standard protocol. Surface roughness was evaluated using an Atomic force microscope (AFM). Surface topography and elemental analysis were analyzed using SEM/EDX. Data were analyzed with two-way analysis of variance (ANOVA) and post-hoc Bonferroni test at a significance level of α=0.05. Pretreatment with HFMP resulted in higher SBS and increased surface roughness in comparison to MEP and MP. Regardless the method of surface pretreatment, the mean SBS values of EM ceramic was significantly higher (p<0.05) than those recorded for VM and VE, except when VE was treated with MEP, where the difference was statistically insignificant. Traces of fluoride ion were detected when MEP was used with VE and VM. Under limited conditions, using MEP resulted in comparable SBS results to HFMP; meanwhile HFMP remains the gold standard for pretreatment of glass ceramics for resin-luting cementation. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  7. Hydrogen transport behavior of metal coatings for plasma-facing components

    NASA Astrophysics Data System (ADS)

    Anderl, R. A.; Holland, D. F.; Longhurst, G. R.

    1990-12-01

    Plasma-facing components for experimental and commercial fusion reactor studies may include cladding or coatings of refractory metals like tungsten on metallic structural substrates such as copper, vanadium alloys and austenitic stainless steel. Issues of safety and fuel economy include the potential for inventory buildup and permeation of tritium implanted into the plasma-facing surface. This paper reports on laboratory-scale studies with 3 keV D +3 ion beams to investigate the hydrogen transport behavior in tungsten coatings on substrates of copper. These experiments entailed measurements of the deuterium re-emission and permeation rates for tungsten, copper, and tungsten-coated copper specimens at temperatures ranging from 638 to 825 K and implanting particle fluxes of approximately 5 × 10 19 D/m 2 s. Diffusion constants and surface recombination coefficients with enhancement factors due to sputtering were obtained from these measurements. These data may be used in calculations to estimate permeation rates and inventory buildups for proposed diverter designs.

  8. Deterministic Nanopatterning of Diamond Using Electron Beams.

    PubMed

    Bishop, James; Fronzi, Marco; Elbadawi, Christopher; Nikam, Vikram; Pritchard, Joshua; Fröch, Johannes E; Duong, Ngoc My Hanh; Ford, Michael J; Aharonovich, Igor; Lobo, Charlene J; Toth, Milos

    2018-03-27

    Diamond is an ideal material for a broad range of current and emerging applications in tribology, quantum photonics, high-power electronics, and sensing. However, top-down processing is very challenging due to its extreme chemical and physical properties. Gas-mediated electron beam-induced etching (EBIE) has recently emerged as a minimally invasive, facile means to dry etch and pattern diamond at the nanoscale using oxidizing precursor gases such as O 2 and H 2 O. Here we explain the roles of oxygen and hydrogen in the etch process and show that oxygen gives rise to rapid, isotropic etching, while the addition of hydrogen gives rise to anisotropic etching and the formation of topographic surface patterns. We identify the etch reaction pathways and show that the anisotropy is caused by preferential passivation of specific crystal planes. The anisotropy can be controlled by the partial pressure of hydrogen and by using a remote RF plasma source to radicalize the precursor gas. It can be used to manipulate the geometries of topographic surface patterns as well as nano- and microstructures fabricated by EBIE. Our findings constitute a comprehensive explanation of the anisotropic etch process and advance present understanding of electron-surface interactions.

  9. Improvement of a block co-polymer (PS-b-PDMS) template etch profile using amorphous carbon layer

    NASA Astrophysics Data System (ADS)

    Oh, JiSoo; Oh, Jong Sik; Sung, DaIn; Yim, SoonMin; Song, SeungWon; Yeom, GeunYoung

    2017-03-01

    Block copolymers (BCPs) are consisted of at least two types of monomers which have covalent bonding. One of the widely investigated BCPs is polystyrene-block-polydimethylsiloxane (PS-b-PDMS), which is used as an alternative patterning method for various deep nanoscale devices due to its high Flory-Huggins interaction parameter (χ), such as optical devices and transistors, replacing conventional photolithography. As an alternate or supplementary nextgeneration lithography technology to extreme ultraviolet lithography (EUVL), BCP lithography utilizing the DSA of BCP has been actively studied. However, the nanoscale BCP mask material is easily damaged by the plasma and has a very low etch selectivity over bottom semiconductor materials, because it is composed of polymeric materials even though it contains Si in PDMS. In this study, an amorphous carbon layer (ACL) was inserted as a hardmask material between BCP and materials to be patterned, and, by using O2 plasmas, the characteristics of dry etching of ACL for high aspect ratio (HAR) using a 10 nm PDMS pattern were investigated. The results showed that, by using a PS-b-PDMS pattern with an aspect ratio of 0.3 0.9:1, a HAR PDMS/ACL double layer mask with an aspect ratio of 10:1 could be fabricated. In addition, by the optimization of the plasma etch process, ACL masks with excellent sidewall roughness (SWR,1.35 nm) and sidewall angle (SWA, 87.9˚) could be fabricated.

  10. Reactive oxygen species in plasma against E. coli cells survival rate

    NASA Astrophysics Data System (ADS)

    Zhou, Ren-Wu; Zhang, Xian-Hui; Zong, Zi-Chao; Li, Jun-Xiong; Yang, Zhou-Bin; Liu, Dong-Ping; Yang, Si-Ze

    2015-08-01

    In this paper, we report on the contrastive analysis of inactivation efficiency of E. coli cells in solution with different disinfection methods. Compared with the hydrogen peroxide solution and the ozone gas, the atmospheric-pressure He plasma can completely kill the E. coli cells in the shortest time. The inactivation efficiency of E. coli cells in solution can be well described by using the chemical reaction rate model. X-ray photoelectron spectroscopy (XPS) analysis shows that the C-O or C=O content of the inactivated E. coli cell surface by plasma is predominantly increased, indicating the quantity of oxygen-containing species in plasma is more than those of two other methods, and then the C-C or C-H bonds can be broken, leading to the etching of organic compounds. Analysis also indicates that plasma-generated species can play a crucial role in the inactivation process by their direct reactions or the decompositions of reactive species, such as ozone into OH radicals in water, then reacting with E. coli cells. Project supported by the Natural Science Foundation of Fujian Province, China (Grant No. 2014J01025), the National Natural Science Foundation of China (Grant No. 11275261), and the Funds from the Fujian Provincial Key Laboratory for Plasma and Magnetic Resonance, China.

  11. What is the concentration of hydrogen peroxide in blood and plasma?

    PubMed

    Forman, Henry Jay; Bernardo, Angelito; Davies, Kelvin J A

    2016-08-01

    The concentration of hydrogen peroxide (H2O2) in blood and plasma is a measurement that has often been made, but the absolute values remain unsettled due the great variability of results actually published in the literature. As in every tissue, the concentration of H2O2 in blood and plasma is determined by the dynamics of its production versus its removal. The major sources of H2O2 in cells will only be briefly described as they are already well documented, The production of H2O2 in red blood cells will be described as it is less well known. But, the concentration of H2O2 within cells is more problematic. Intracellular H2O2 concentration has been estimated based on the kinetics of production and elimination, while its determination is technically difficult. Furthermore, compartmentalization and gradients result in its quantitation only as an average. The sources of extracellular H2O2, particularly in plasma, will also be described briefly. The major question addressed here however, is the actual concentration of H2O2 in plasma, which has been studied extensively, but still remains controversial. Copyright © 2016 Elsevier Inc. All rights reserved.

  12. Etching and Growth of GaAs

    NASA Technical Reports Server (NTRS)

    Seabaugh, A. C.; Mattauch, R., J.

    1983-01-01

    In-place process for etching and growth of gallium arsenide calls for presaturation of etch and growth melts by arsenic source crystal. Procedure allows precise control of thickness of etch and newly grown layer on substrate. Etching and deposition setup is expected to simplify processing and improve characteristics of gallium arsenide lasers, high-frequency amplifiers, and advanced integrated circuits.

  13. High mobility bottom gate InGaZnO thin film transistors with SiOx etch stopper

    NASA Astrophysics Data System (ADS)

    Kim, Minkyu; Jeong, Jong Han; Lee, Hun Jung; Ahn, Tae Kyung; Shin, Hyun Soo; Park, Jin-Seong; Jeong, Jae Kyeong; Mo, Yeon-Gon; Kim, Hye Dong

    2007-05-01

    The authors report on the fabrication of thin film transistors (TFTs), which use an amorphous indium gallium zinc oxide (a-IGZO) channel, by rf sputtering at room temperature and for which the channel length and width are patterned by photolithography and dry etching. To prevent plasma damage to the active channel, a 100-nm-thick SiOx layer deposited by plasma enhanced chemical vapor deposition was adopted as an etch stopper structure. The a-IGZO TFT (W /L=10μm/50μm) fabricated on glass exhibited a high field-effect mobility of 35.8cm2/Vs, a subthreshold gate swing value of 0.59V/decade, a thrseshold voltage of 5.9V, and an Ion/off ratio of 4.9×106, which is acceptable for use as the switching transistor of an active-matrix TFT backplane.

  14. Overcoming Etch Challenges on a 6″ Hg1- x Cd x Te MBE on Si Wafer

    NASA Astrophysics Data System (ADS)

    Apte, Palash; Norton, Elyse; Robinson, Solomon

    2017-10-01

    The effect of increasing photoresist (PR) thickness on the inductively coupled plasma (ICP) dry etched characteristics of a 6″ (c.15 cm) molecular beam epitaxy Hg1- x Cd x Te/Si wafer is investigated. It is determined that the Hg1- x Cd x Te etch rate (ER) does not vary significantly with a change in the PR thickness. Also, the vertical ER of the PR is seen to be independent of the PR thickness, but the lateral ER is seen to reduce significantly with increased PR thickness. Indeed, very little reduction in the pixel mesa area post-dry etch is seen for the thicker PR. Consequently, the trench sidewall angle is also seen to vary as a function of the PR thickness. Since ICP is the more attractive choice for dry etching Hg1- x Cd x Te, this simple, cost-effective way to extend the capabilities of dry etching (larger mesa top area post-dry etch, ability to create tailor-made trench sidewall angles for optimal conformal passivation deposition, and potential for reduced dry etch damage) described here would allow for the fabrication of next generation infrared detectors with increased yield and reduced cost. Although similar results have been presented using the electron cyclotron resonance system to dry etch Hg1- x Cd x Te, to the best of our knowledge, this is the first time that such results have been presented using an ICP system.

  15. Enhancement of Electrical Properties of Nanostructured Polysilicon Layers Through Hydrogen Passivation.

    PubMed

    Zhou, D; Xu, T; Lambert, Y; Cristini-Robbe; Stiévenard, D

    2015-12-01

    The light absorption of polysilicon planar junctions can be improved using nanostructured top surfaces due to their enhanced light harvesting properties. Nevertheless, associated with the higher surface, the roughness caused by plasma etching and defects located at the grain boundary in polysilicon, the concentration of the recombination centers increases, leading to electrical performance deterioration. In this work, we demonstrate that wet oxidation combined with hydrogen passivation using SiN(x):H are the key technological processes to significantly decrease the surface recombination and improve the electrical properties of nanostructured n(+)-i-p junctions. Nanostructured surface is fabricated by nanosphere lithography in a low-cost and controllable approach. Furthermore, it has been demonstrated that the successive annealing of silicon nitride films has significant effect on the passivation quality, resulting in some improvements on the efficiency of the Si nanostructure-based solar cell device.

  16. Effects of etch-and-rinse and self-etch adhesives on dentin MMP-2 and MMP-9.

    PubMed

    Mazzoni, A; Scaffa, P; Carrilho, M; Tjäderhane, L; Di Lenarda, R; Polimeni, A; Tezvergil-Mutluay, A; Tay, F R; Pashley, D H; Breschi, L

    2013-01-01

    Auto-degradation of collagen matrices occurs within hybrid layers created by contemporary dentin bonding systems, by the slow action of host-derived matrix metalloproteinases (MMPs). This study tested the null hypothesis that there are no differences in the activities of MMP-2 and -9 after treatment with different etch-and-rinse or self-etch adhesives. Tested adhesives were: Adper Scotchbond 1XT (3M ESPE), PQ1 (Ultradent), Peak LC (Ultradent), Optibond Solo Plus (Kerr), Prime&Bond NT (Dentsply) (all 2-step etch-and-rinse adhesives), and Adper Easy Bond (3M ESPE), Tri-S (Kuraray), and Xeno-V (Dentsply) (1-step self-etch adhesives). MMP-2 and -9 activities were quantified in adhesive-treated dentin powder by means of an activity assay and gelatin zymography. MMP-2 and MMP-9 activities were found after treatment with all of the simplified etch-and-rinse and self-etch adhesives; however, the activation was adhesive-dependent. It is concluded that all two-step etch-and-rinse and the one-step self-etch adhesives tested can activate endogenous MMP-2 and MMP-9 in human dentin. These results support the role of endogenous MMPs in the degradation of hybrid layers created by these adhesives.

  17. Effects of Etch-and-Rinse and Self-etch Adhesives on Dentin MMP-2 and MMP-9

    PubMed Central

    Mazzoni, A.; Scaffa, P.; Carrilho, M.; Tjäderhane, L.; Di Lenarda, R.; Polimeni, A.; Tezvergil-Mutluay, A.; Tay, F.R.; Pashley, D.H.; Breschi, L.

    2013-01-01

    Auto-degradation of collagen matrices occurs within hybrid layers created by contemporary dentin bonding systems, by the slow action of host-derived matrix metalloproteinases (MMPs). This study tested the null hypothesis that there are no differences in the activities of MMP-2 and -9 after treatment with different etch-and-rinse or self-etch adhesives. Tested adhesives were: Adper Scotchbond 1XT (3M ESPE), PQ1 (Ultradent), Peak LC (Ultradent), Optibond Solo Plus (Kerr), Prime&Bond NT (Dentsply) (all 2-step etch-and-rinse adhesives), and Adper Easy Bond (3M ESPE), Tri-S (Kuraray), and Xeno-V (Dentsply) (1-step self-etch adhesives). MMP-2 and -9 activities were quantified in adhesive-treated dentin powder by means of an activity assay and gelatin zymography. MMP-2 and MMP-9 activities were found after treatment with all of the simplified etch-and-rinse and self-etch adhesives; however, the activation was adhesive-dependent. It is concluded that all two-step etch-and-rinse and the one-step self-etch adhesives tested can activate endogenous MMP-2 and MMP-9 in human dentin. These results support the role of endogenous MMPs in the degradation of hybrid layers created by these adhesives. PMID:23128110

  18. Defect formation during chlorine-based dry etching and their effects on the electronic and structural properties of InP/InAsP quantum wells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Landesman, Jean-Pierre, E-mail: jean-pierre.landesman@univ-rennes1.fr; Jiménez, Juan; Torres, Alfredo

    The general objective is the investigation of the defects formed by dry etching tools such as those involved in the fabrication of photonic devices with III–V semiconductors. Emphasis is put on plasma exposures with chlorine-based chemistries. In addition to identifying these defects and describing their effects on the electro-optic and structural properties, the long-term target would be to predict the impact on the parameters of importance for photonic devices, and possibly include these predictions in their design. The work is first centered on explaining the experimental methodology. This methodology starts with the design and growth of a quantum well structuremore » on indium phosphide, including ternary indium arsenide/phosphide quantum wells with graded arsenic/phosphor composition. These samples have then been characterized by luminescence methods (photo- and cathodoluminescence), high-resolution transmission electron microscopy, and secondary ion mass spectrometry. As one of the parameters of importance in this study, the authors have also included the doping level. The samples have been exposed to the etching plasmas for “short” durations that do not remove completely the quantum wells, but change their optical signature. No masking layer with lithographic features was involved as this work is purely oriented to study the interaction between the plasma and the samples. A significant difference in the luminescence spectra of the as-grown undoped and doped samples is observed. A mechanism describing the effect of the built-in electric field appearing as a consequence of the doping profile is proposed. This mechanism involves quantum confined Stark effect and electric-field induced carrier escape from the quantum wells. In the following part, the effects of exposure to various chlorine-based plasmas were explored. Differences are again observed between the undoped and doped samples, especially for chemistries containing silicon tetrachloride

  19. WO3 and W Thermal Atomic Layer Etching Using "Conversion-Fluorination" and "Oxidation-Conversion-Fluorination" Mechanisms.

    PubMed

    Johnson, Nicholas R; George, Steven M

    2017-10-04

    The thermal atomic layer etching (ALE) of WO 3 and W was demonstrated with new "conversion-fluorination" and "oxidation-conversion-fluorination" etching mechanisms. Both of these mechanisms are based on sequential, self-limiting reactions. WO 3 ALE was achieved by a "conversion-fluorination" mechanism using an AB exposure sequence with boron trichloride (BCl 3 ) and hydrogen fluoride (HF). BCl 3 converts the WO 3 surface to a B 2 O 3 layer while forming volatile WO x Cl y products. Subsequently, HF spontaneously etches the B 2 O 3 layer producing volatile BF 3 and H 2 O products. In situ spectroscopic ellipsometry (SE) studies determined that the BCl 3 and HF reactions were self-limiting versus exposure. The WO 3 ALE etch rates increased with temperature from 0.55 Å/cycle at 128 °C to 4.19 Å/cycle at 207 °C. W served as an etch stop because BCl 3 and HF could not etch the underlying W film. W ALE was performed using a three-step "oxidation-conversion-fluorination" mechanism. In this ABC exposure sequence, the W surface is first oxidized to a WO 3 layer using O 2 /O 3 . Subsequently, the WO 3 layer is etched with BCl 3 and HF. SE could simultaneously monitor the W and WO 3 thicknesses and conversion of W to WO 3 . SE measurements showed that the W film thickness decreased linearly with number of ABC reaction cycles. W ALE was shown to be self-limiting with respect to each reaction in the ABC process. The etch rate for W ALE was ∼2.5 Å/cycle at 207 °C. An oxide thickness of ∼20 Å remained after W ALE, but could be removed by sequential BCl 3 and HF exposures without affecting the W layer. These new etching mechanisms will enable the thermal ALE of a variety of additional metal materials including those that have volatile metal fluorides.

  20. Edge morphology evolution of graphene domains during chemical vapor deposition cooling revealed through hydrogen etching.

    PubMed

    Zhang, Haoran; Zhang, Yanhui; Zhang, Yaqian; Chen, Zhiying; Sui, Yanping; Ge, Xiaoming; Yu, Guanghui; Jin, Zhi; Liu, Xinyu

    2016-02-21

    During cooling, considerable changes such as wrinkle formation and edge passivation occur in graphene synthesized on the Cu substrate. Wrinkle formation is caused by the difference in the thermal expansion coefficients of graphene and its substrate. This work emphasizes the cooling-induced edge passivation. The graphene-edge passivation can limit the regrowth of graphene at the domain edge. Our work shows that silicon-containing particles tend to accumulate at the graphene edge, and the formation of these particles is related to cooling. Furthermore, a clear curvature can be observed at the graphene edge on the Cu substrate, indicating the sinking of the graphene edge into the Cu substrate. Both the sinking of the graphene edge and the accumulation of silicon-containing particles are responsible for edge passivation. In addition, two kinds of graphene edge morphologies are observed after etching, which were explained by different etching mechanisms that illustrate the changes of the graphene edge during cooling.