Sample records for icp dry etching

  1. Capabilities of ICP-RIE cryogenic dry etching of silicon: review of exemplary microstructures

    NASA Astrophysics Data System (ADS)

    Sökmen, Ü.; Stranz, A.; Fündling, S.; Wehmann, H.-H.; Bandalo, V.; Bora, A.; Tornow, M.; Waag, A.; Peiner, E.

    2009-10-01

    Inductively coupled plasma (ICP) cryogenic dry etching was used to etch submicron pores, nano contact lines, submicron diameter pillars, thin and thick cantilevers, membrane structures and anisotropic deep structures with high aspect ratios in silicon for bio-nanoelectronics, optoelectronics and nano-micro electromechanical systems (NMEMS). The ICP cryogenic dry etching gives us the advantage of switching plasmas between etch rates of 13 nm min-1 and 4 µm min-1 for submicron pores and for membrane structures, respectively. A very thin photoresist mask can endure at -75 °C even during etching 70 µm deep for cantilevers and 300 µm deep for membrane structures. Coating the backsides of silicon membrane substrates with a thin photoresist film inhibited the lateral etching of cantilevers during their front release. Between -95 °C and -140 °C, we realized crystallographic-plane-dependent etching that creates facets only at the etch profile bottom. By varying the oxygen content and the process temperature, we achieved good control over the shape of the etched structures. The formation of black silicon during membrane etching down to 300 µm was delayed by reducing the oxygen content.

  2. Silicon macroporous arrays with high aspect ratio prepared by ICP etching

    NASA Astrophysics Data System (ADS)

    Wang, Guozheng; Yang, Bingchen; Wang, Ji; Yang, Jikai; Duanmu, Qingduo

    2018-02-01

    This paper reports on a macroporous silicon arrays with high aspect ratio, the pores of which are of 162, 205, 252, 276μm depths with 6, 10, 15 and 20 μm diameters respectively, prepared by Multiplex Inductively Coupled Plasma (ICP) etching. It was shown that there are very differences in process of high aspect ratio microstructures between the deep pores, a closed structure, and deep trenches, a open structure. The morphology and the aspect ratio dependent etching were analyzed and discussed. The macroporous silicon etched by ICP process yield an uneven, re-entrant, notched and ripples surface within the pores. The main factors effecting on the RIE lag of HARP etching are the passivation cycle time, the pressure of reactive chamber, and the platen power of ICP system.

  3. Characteristics of n-GaN after ICP etching

    NASA Astrophysics Data System (ADS)

    Han, Yanjun; Xue, Song; Guo, Wenping; Hao, Zhi-Biao; Sun, Changzheng; Luo, Yi

    2002-09-01

    In this work, a systematic study on the plasma-induced damage on n-type GaN by inductively coupled plasma (ICP) etching is presented. After n-contact metal formation and annealing, electrical property is evaluated by the I-V characteristics. Room temperature photoluminescence (PL) measurement of etched GaN surfaces is performed to investigate the etching damage on the optical properties of n-type GaN. Investigation of the effect of additive gas RF chuck power on these characteristics has also been carried out. The better etching conditions have been obtained based on these results.

  4. Dry etching of metallization

    NASA Technical Reports Server (NTRS)

    Bollinger, D.

    1983-01-01

    The production dry etch processes are reviewed from the perspective of microelectronic fabrication applications. The major dry etch processes used in the fabrication of microelectronic devices can be divided into two categories - plasma processes in which samples are directly exposed to an electrical discharge, and ion beam processes in which samples are etched by a beam of ions extracted from a discharge. The plasma etch processes can be distinguished by the degree to which ion bombardment contributes to the etch process. This, in turn is related to capability for anisotropic etching. Reactive Ion Etching (RIE) and Ion Beam Etching are of most interest for etching of thin film metals. RIE is generally considered the best process for large volume, anisotropic aluminum etching.

  5. Exploration of suitable dry etch technologies for directed self-assembly

    NASA Astrophysics Data System (ADS)

    Yamashita, Fumiko; Nishimura, Eiichi; Yatsuda, Koichi; Mochiki, Hiromasa; Bannister, Julie

    2012-03-01

    Directed self-assembly (DSA) has shown the potential to replace traditional resist patterns and provide a lower cost alternative for sub-20-nm patterns. One of the possible roadblocks for DSA implementation is the ability to etch the polymers to produce quality masks for subsequent etch processes. We have studied the effects of RF frequency and etch chemistry for dry developing DSA patterns. The results of the study showed a capacitively-coupled plasma (CCP) reactor with very high frequency (VHF) had superior pattern development after the block co-polymer (BCP) etch. The VHF CCP demonstrated minimal BCP height loss and line edge roughness (LER)/line width roughness (LWR). The advantage of CCP over ICP is the low dissociation so the etch rate of BCP is maintained low enough for process control. Additionally, the advantage of VHF is the low electron energy with a tight ion energy distribution that enables removal of the polymethyl methacrylate (PMMA) with good selectivity to polystyrene (PS) and minimal LER/LWR. Etch chemistries were evaluated on the VHF CCP to determine ability to treat the BCPs to increase etch resistance and feature resolution. The right combination of RF source frequencies and etch chemistry can help overcome the challenges of using DSA patterns to create good etch results.

  6. A study of GaN-based LED structure etching using inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Wang, Pei; Cao, Bin; Gan, Zhiyin; Liu, Sheng

    2011-02-01

    GaN as a wide band gap semiconductor has been employed to fabricate optoelectronic devices such as light-emitting diodes (LEDs) and laser diodes (LDs). Recently several different dry etching techniques for GaN-based materials have been developed. ICP etching is attractive because of its superior plasma uniformity and strong controllability. Most previous reports emphasized on the ICP etching characteristics of single GaN film. In this study dry etching of GaN-based LED structure was performed by inductively coupled plasmas (ICP) etching with Cl2 as the base gas and BCl3 as the additive gas. The effects of the key process parameters such as etching gases flow rate, ICP power, RF power and chamber pressure on the etching properties of GaN-based LED structure including etching rate, selectivity, etched surface morphology and sidewall was investigated. Etch depths were measured using a depth profilometer and used to calculate the etch rates. The etch profiles were observed with a scanning electron microscope (SEM).

  7. ICP etching for InAs-based InAs/GaAsSb superlattice long wavelength infrared detectors

    NASA Astrophysics Data System (ADS)

    Huang, Min; Chen, Jianxin; Xu, Jiajia; Wang, Fangfang; Xu, Zhicheng; He, Li

    2018-05-01

    In this work, we study and report the dry etching processes for InAs-based InAs/GaAsSb strain-free superlattice long wavelength infrared (LWIR) detectors. The proper etching parameters were first obtained through the parametric studies of Inductively Coupled Plasma (ICP) etching of both InAs and GaSb bulk materials in Cl2/N2 plasmas. Then an InAs-based InAs/GaAsSb superlattice LWIR detector with PπN structure was fabricated by using the optimized etching parameters. At 80 K, the detector exhibits a 100% cut-off wavelength of 12 μm and a responsivity of 1.5 A/W. Moreover, the dark current density of the device under a bias of -200 mV reaches 5.5 × 10-4 A/cm2, and the R0A is 15 Ω cm2. Our results pave the way towards InAs-based superlattice LWIR detectors with better performances.

  8. Dry etched SiO2 Mask for HgCdTe Etching Process

    NASA Astrophysics Data System (ADS)

    Chen, Y. Y.; Ye, Z. H.; Sun, C. H.; Deng, L. G.; Zhang, S.; Xing, W.; Hu, X. N.; Ding, R. J.; He, L.

    2016-09-01

    A highly anisotropic etching process with low etch-induced damage is indispensable for advanced HgCdTe (MCT) infrared focal plane array (IRFPA) detectors. The inductively coupled plasma (ICP) enhanced reactive ion etching technique has been widely adopted in manufacturing HgCdTe IRFPA devices. An accurately patterned mask with sharp edges is decisive to accomplish pattern duplication. It has been reported by our group that the SiO2 mask functions well in etching HgCdTe with high selectivity. However, the wet process in defining the SiO2 mask is limited by ambiguous edges and nonuniform patterns. In this report, we patterned SiO2 with a mature ICP etching technique, prior to which a thin ZnS film was deposited by thermal evaporation. The SiO2 film etching can be terminated at the auto-stopping point of the ZnS layer thanks to the high selectivity of SiO2/ZnS in SF6 based etchant. Consequently, MCT etching was directly performed without any other treatment. This mask showed acceptable profile due to the maturity of the SiO2 etching process. The well-defined SiO2 pattern and the etched smooth surfaces were investigated with scanning electron microscopy and atomic force microscope. This new mask process could transfer the patterns exactly with very small etch-bias. A cavity with aspect-ratio (AR) of 1.2 and root mean square roughness of 1.77 nm was achieved first, slightly higher AR of 1.67 was also get with better mask profile. This masking process ensures good uniformity and surely benefits the delineation of shrinking pixels with its high resolution.

  9. Method for dry etching of transition metals

    DOEpatents

    Ashby, Carol I. H.; Baca, Albert G.; Esherick, Peter; Parmeter, John E.; Rieger, Dennis J.; Shul, Randy J.

    1998-01-01

    A method for dry etching of transition metals. The method for dry etching of a transition metal (or a transition metal alloy such as a silicide) on a substrate comprises providing at least one nitrogen- or phosphorous-containing .pi.-acceptor ligand in proximity to the transition metal, and etching the transition metal to form a volatile transition metal/.pi.-acceptor ligand complex. The dry etching may be performed in a plasma etching system such as a reactive ion etching (RIE) system, a downstream plasma etching system (i.e. a plasma afterglow), a chemically-assisted ion beam etching (CAIBE) system or the like. The dry etching may also be performed by generating the .pi.-acceptor ligands directly from a ligand source gas (e.g. nitrosyl ligands generated from nitric oxide), or from contact with energized particles such as photons, electrons, ions, atoms, or molecules. In some preferred embodiments of the present invention, an intermediary reactant species such as carbonyl or a halide ligand is used for an initial chemical reaction with the transition metal, with the intermediary reactant species being replaced at least in part by the .pi.-acceptor ligand for forming the volatile transition metal/.pi.-acceptor ligand complex.

  10. Method for dry etching of transition metals

    DOEpatents

    Ashby, C.I.H.; Baca, A.G.; Esherick, P.; Parmeter, J.E.; Rieger, D.J.; Shul, R.J.

    1998-09-29

    A method for dry etching of transition metals is disclosed. The method for dry etching of a transition metal (or a transition metal alloy such as a silicide) on a substrate comprises providing at least one nitrogen- or phosphorus-containing {pi}-acceptor ligand in proximity to the transition metal, and etching the transition metal to form a volatile transition metal/{pi}-acceptor ligand complex. The dry etching may be performed in a plasma etching system such as a reactive ion etching (RIE) system, a downstream plasma etching system (i.e. a plasma afterglow), a chemically-assisted ion beam etching (CAIBE) system or the like. The dry etching may also be performed by generating the {pi}-acceptor ligands directly from a ligand source gas (e.g. nitrosyl ligands generated from nitric oxide), or from contact with energized particles such as photons, electrons, ions, atoms, or molecules. In some preferred embodiments of the present invention, an intermediary reactant species such as carbonyl or a halide ligand is used for an initial chemical reaction with the transition metal, with the intermediary reactant species being replaced at least in part by the {pi}-acceptor ligand for forming the volatile transition metal/{pi}-acceptor ligand complex.

  11. Dry etching method for compound semiconductors

    DOEpatents

    Shul, Randy J.; Constantine, Christopher

    1997-01-01

    A dry etching method. According to the present invention, a gaseous plasma comprising, at least in part, boron trichloride, methane, and hydrogen may be used for dry etching of a compound semiconductor material containing layers including aluminum, or indium, or both. Material layers of a compound semiconductor alloy such as AlGaInP or the like may be anisotropically etched for forming electronic devices including field-effect transistors and heterojunction bipolar transistors and for forming photonic devices including vertical-cavity surface-emitting lasers, edge-emitting lasers, and reflectance modulators.

  12. Dry etching method for compound semiconductors

    DOEpatents

    Shul, R.J.; Constantine, C.

    1997-04-29

    A dry etching method is disclosed. According to the present invention, a gaseous plasma comprising, at least in part, boron trichloride, methane, and hydrogen may be used for dry etching of a compound semiconductor material containing layers including aluminum, or indium, or both. Material layers of a compound semiconductor alloy such as AlGaInP or the like may be anisotropically etched for forming electronic devices including field-effect transistors and heterojunction bipolar transistors and for forming photonic devices including vertical-cavity surface-emitting lasers, edge-emitting lasers, and reflectance modulators. 1 fig.

  13. Overcoming Etch Challenges on a 6″ Hg1- x Cd x Te MBE on Si Wafer

    NASA Astrophysics Data System (ADS)

    Apte, Palash; Norton, Elyse; Robinson, Solomon

    2017-10-01

    The effect of increasing photoresist (PR) thickness on the inductively coupled plasma (ICP) dry etched characteristics of a 6″ (c.15 cm) molecular beam epitaxy Hg1- x Cd x Te/Si wafer is investigated. It is determined that the Hg1- x Cd x Te etch rate (ER) does not vary significantly with a change in the PR thickness. Also, the vertical ER of the PR is seen to be independent of the PR thickness, but the lateral ER is seen to reduce significantly with increased PR thickness. Indeed, very little reduction in the pixel mesa area post-dry etch is seen for the thicker PR. Consequently, the trench sidewall angle is also seen to vary as a function of the PR thickness. Since ICP is the more attractive choice for dry etching Hg1- x Cd x Te, this simple, cost-effective way to extend the capabilities of dry etching (larger mesa top area post-dry etch, ability to create tailor-made trench sidewall angles for optimal conformal passivation deposition, and potential for reduced dry etch damage) described here would allow for the fabrication of next generation infrared detectors with increased yield and reduced cost. Although similar results have been presented using the electron cyclotron resonance system to dry etch Hg1- x Cd x Te, to the best of our knowledge, this is the first time that such results have been presented using an ICP system.

  14. Low damage dry etch for III-nitride light emitters

    NASA Astrophysics Data System (ADS)

    Nedy, Joseph G.; Young, Nathan G.; Kelchner, Kathryn M.; Hu, Yanling; Farrell, Robert M.; Nakamura, Shuji; DenBaars, Steven P.; Weisbuch, Claude; Speck, James S.

    2015-08-01

    We have developed a dry etch process for the fabrication of lithographically defined features close to light emitting layers in the III-nitride material system. The dry etch was tested for its effect on the internal quantum efficiency of c-plane InGaN quantum wells using the photoluminescence of a test structure with two active regions. No change was observed in the internal quantum efficiency of the test active region when the etched surface was greater than 71 nm away. To demonstrate the application of the developed dry etch process, surface-etched air gaps were fabricated 275 nm away from the active region of an m-plane InGaN/GaN laser diode and served as the waveguide upper cladding. Electrically injected lasing was observed without the need for regrowth or recovery anneals. This dry etch opens up a new design tool that can be utilized in the next generation of GaN light emitters.

  15. A Study on Ohmic Contact to Dry-Etched p-GaN

    NASA Astrophysics Data System (ADS)

    Hu, Cheng-Yu; Ao, Jin-Ping; Okada, Masaya; Ohno, Yasuo

    Low-power dry-etching process has been adopted to study the influence of dry-etching on Ohmic contact to p-GaN. When the surface layer of as-grown p-GaN was removed by low-power SiCl4/Cl2-etching, no Ohmic contact can be formed on the low-power dry-etched p-GaN. The same dry-etching process was also applied on n-GaN to understand the influence of the low-power dry-etching process. By capacitance-voltage (C-V) measurement, the Schottky barrier heights (SBHs) of p-GaN and n-GaN were measured. By comparing the change of measured SBHs on p-GaN and n-GaN, it was suggested that etching damage is not the only reason responsible for the degraded Ohmic contacts to dry-etched p-GaN and for Ohmic contact formatin, the original surface layer of as-grown p-GaN have some special properties, which were removed by dry-etching process. To partially recover the original surface of as-grown p-GaN, high temperature annealing (1000°C 30s) was tried on the SiCl4/Cl2-etched p-GaN and Ohmic contact was obtained.

  16. Highly controllable ICP etching of GaAs based materials for grating fabrication

    NASA Astrophysics Data System (ADS)

    Weibin, Qiu; Jiaxian, Wang

    2012-02-01

    Highly controllable ICP etching of GaAs based materials with SiCl4/Ar plasma is investigated. A slow etching rate of 13 nm/min was achieved with RF1 D 10 W, RF2 D 20 W and a high ratio of Ar to SiCl4 flow. First order gratings with 25 nm depth and 140 nm period were fabricated with the optimal parameters. AFM analysis indicated that the RMS roughness over a 10 × 10 μm2 area was 0.3 nm, which is smooth enough to regrow high quality materials for devices.

  17. Dry etching technologies for reflective multilayer

    NASA Astrophysics Data System (ADS)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  18. Overview Of Dry-Etch Techniques

    NASA Astrophysics Data System (ADS)

    Salzer, John M.

    1986-08-01

    With pattern dimensions shrinking, dry methods of etching providing controllable degrees of anisotropy become a necessity. A number of different configurations of equipment - inline, hex, planar, barrel - have been offered, and within each type, there are numerous significant variations. Further, each specific type of machine must be perfected over a complex, interactive parameter space to achieve suitable removal of various materials. Among the most critical system parameters are the choice of cathode or anode to hold the wafers, the chamber pressure, the plasma excitation frequency, and the electrode and magnetron structures. Recent trends include the use of vacuum load locks, multiple chambers, multiple electrodes, downstream etching or stripping, and multistep processes. A major percentage of etches in production handle the three materials: polysilicon, oxide and aluminum. Recent process developments have targeted refractory metals, their silicides, and with increasing emphasis, silicon trenching. Indeed, with new VLSI structures, silicon trenching has become the process of greatest interest. For stripping, dry processes provide advantages other than anisotropy. Here, too, new configurations and methods have been introduced recently. While wet processes are less than desirable from a number of viewpoints (handling, safety, disposal, venting, classes of clean room, automatability), dry methods are still being perfected as a direct, universal replacement. The paper will give an overview of these machine structures and process solutions, together with examples of interest. These findings and the trends discussed are based on semiannual survey of manufacturers and users of the various types of equipment.

  19. A review on plasma-etch-process induced damage of HgCdTe

    NASA Astrophysics Data System (ADS)

    Liu, Lingfeng; Chen, Yiyu; Ye, Zhenhua; Ding, Ruijun

    2018-05-01

    Dry etching techniques with minimal etch induced damage are required to develop highly anisotropic etch for pixel delineation of HgCdTe infrared focal plane arrays (IRFPAs). High density plasma process has become the main etching technique for HgCdTe in the past twenty years, In this paper, high density plasma electron cyclotron resonance (ECR) and inductively coupled plasma (ICP) etching of HgCdTe are summarized. Common plasma-etch-process induced type conversion and related mechanisms are reviewed particularly.

  20. Inductively Coupled Plasma-Induced Electrical Damage on HgCdTe Etched Surface at Cryogenic Temperatures

    NASA Astrophysics Data System (ADS)

    Liu, L. F.; Chen, Y. Y.; Ye, Z. H.; Hu, X. N.; Ding, R. J.; He, L.

    2018-03-01

    Plasma etching is a powerful technique for transferring high-resolution lithographic patterns into HgCdTe material with low etch-induced damage, and it is important for fabricating small-pixel-size HgCdTe infrared focal plane array (IRFPA) detectors. P- to n-type conversion is known to occur during plasma etching of vacancy-doped HgCdTe; however, it is usually unwanted and its removal requires extra steps. Etching at cryogenic temperatures can reduce the etch-induced type conversion depth in HgCdTe via the electrical damage mechanism. Laser beam-induced current (LBIC) is a nondestructive photoelectric characterization technique which can provide information regarding the vertical and lateral electrical field distribution, such as defects and p-n junctions. In this work, inductively coupled plasma (ICP) etching of HgCdTe was implemented at cryogenic temperatures. For an Ar/CH4 (30:1 in SCCM) plasma with ICP input power of 1000 W and RF-coupled DC bias of ˜ 25 V, a HgCdTe sample was dry-etched at 123 K for 5 min using ICP. The sample was then processed to remove a thin layer of the plasma-etched region while maintaining a ladder-like damaged layer by continuously controlling the wet chemical etching time. Combining the ladder etching method and LBIC measurement, the ICP etching-induced electrical damage depth was measured and estimated to be about 20 nm. The results indicate that ICP etching at cryogenic temperatures can significantly suppress plasma etching-induced electrical damage, which is beneficial for defining HgCdTe mesa arrays.

  1. Deep anisotropic ICP plasma etching designed for high-volume MEMS manufacturing

    NASA Astrophysics Data System (ADS)

    Yu, Keven; Feldbaum, Michael; Pandhumsoporn, Tam; Gadgil, Prashant

    1999-08-01

    ICP plasma etching is gaining widespread acceptance as an enabling micromachining technology for advanced MEMS fabrication. Whereas this technology has shown a capability of delivering multiple novel applications for R and D, its acceptance by industry for high volume production has been limited. This acceptance into production will only occur when the plasma etching equipment with this technology offers the device performance, throughput, reliability, and uptime criteria required by a production facility. The design of the plasma etcher using this technology and the process capability it consequently delivers, has significant implications in making this a reality. Alcatel has been supplying such a technology to this MEMS industry for over 5 years and in the interim has evolved its product and process to make this technology production worthy. Alcatel's next generation etcher, the Alcatel 601E, offers multiple advantages to MEMS manufacturers in realizing their production goals.

  2. Dry etching technologies for the advanced binary film

    NASA Astrophysics Data System (ADS)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Yoshimori, Tomoaki; Azumano, Hidehito; Muto, Makoto; Nonaka, Mikio

    2011-11-01

    ABF (Advanced Binary Film) developed by Hoya as a photomask for 32 (nm) and larger specifications provides excellent resistance to both mask cleaning and 193 (nm) excimer laser and thereby helps extend the lifetime of the mask itself compared to conventional photomasks and consequently reduces the semiconductor manufacturing cost [1,2,3]. Because ABF uses Ta-based films, which are different from Cr film or MoSi films commonly used for photomask, a new process is required for its etching technology. A patterning technology for ABF was established to perform the dry etching process for Ta-based films by using the knowledge gained from absorption layer etching for EUV mask that required the same Ta-film etching process [4]. Using the mask etching system ARES, which is manufactured by Shibaura Mechatronics, and its optimized etching process, a favorable CD (Critical Dimension) uniformity, a CD linearity and other etching characteristics were obtained in ABF patterning. Those results are reported here.

  3. Composition/bandgap selective dry photochemical etching of semiconductor materials

    DOEpatents

    Ashby, Carol I. H.; Dishman, James L.

    1987-01-01

    A method of selectively photochemically dry etching a first semiconductor material of a given composition and direct bandgap Eg.sub.1 in the presence of a second semiconductor material of a different composition and direct bandgap Eg.sub.2, wherein Eg.sub.2 >Eg.sub.1, said second semiconductor material substantially not being etched during said method, comprises subjecting both materials to the same photon flux and to the same gaseous etchant under conditions where said etchant would be ineffective for chemical etching of either material were the photons not present, said photons being of an energy greater than Eg.sub.1 but less than Eg.sub.2, whereby said first semiconductor material is photochemically etched and said second material is substantially not etched.

  4. Composition/bandgap selective dry photochemical etching of semiconductor materials

    DOEpatents

    Ashby, C.I.H.; Dishman, J.L.

    1985-10-11

    Disclosed is a method of selectively photochemically dry etching a first semiconductor material of a given composition and direct bandgap Eg/sub 1/ in the presence of a second semiconductor material of a different composition and direct bandgap Eg/sub 2/, wherein Eg/sub 2/ > Eg/sub 1/, said second semiconductor material substantially not being etched during said method. The method comprises subjecting both materials to the same photon flux and to the same gaseous etchant under conditions where said etchant would be ineffective for chemical etching of either material were the photons not present, said photons being of an energy greater than Eg/sub 1/ but less than Eg/sub 2/, whereby said first semiconductor material is photochemically etched and said second material is substantially not etched.

  5. Dry etching of chrome for photomasks for 100-nm technology using chemically amplified resist

    NASA Astrophysics Data System (ADS)

    Mueller, Mark; Komarov, Serguie; Baik, Ki-Ho

    2002-07-01

    Photo mask etching for the 100nm technology node places new requirements on dry etching processes. As the minimum-size features on the mask, such as assist bars and optical proximity correction (OPC) patterns, shrink down to 100nm, it is necessary to produce etch CD biases of below 20nm in order to reproduce minimum resist features into chrome with good pattern fidelity. In addition, vertical profiles are necessary. In previous generations of photomask technology, footing and sidewall profile slope were tolerated, since this dry etch profile was an improvement from wet etching. However, as feature sizes shrink, it is extremely important to select etch processes which do not generate a foot, because this will affect etch linearity and also limit the smallest etched feature size. Chemically amplified resist (CAR) from TOK is patterned with a 50keV MEBES eXara e-beam writer, allowing for patterning of small features with vertical resist profiles. This resist is developed for raster scan 50 kV e-beam systems. It has high contrast, good coating characteristics, good dry etch selectivity, and high environmental stability. Chrome etch process development has been performed using Design of Experiments to optimize parameters such as sidewall profile, etch CD bias, etch CD linearity for varying sizes of line/space patterns, etch CD linearity for varying sizes of isolated lines and spaces, loading effects, and application to contact etching.

  6. Patterning of light-extraction nanostructures on sapphire substrates using nanoimprint and ICP etching with different masking materials.

    PubMed

    Chen, Hao; Zhang, Qi; Chou, Stephen Y

    2015-02-27

    Sapphire nanopatterning is the key solution to GaN light emitting diode (LED) light extraction. One challenge is to etch deep nanostructures with a vertical sidewall in sapphire. Here, we report a study of the effects of two masking materials (SiO2 and Cr) and different etching recipes (the reaction gas ratio, the reaction pressure and the inductive power) in a chlorine-based (BCl3 and Cl2) inductively coupled plasma (ICP) etching of deep nanopillars in sapphire, and the etching process optimization. The masking materials were patterned by nanoimprinting. We have achieved high aspect ratio sapphire nanopillar arrays with a much steeper sidewall than the previous etching methods. We discover that the SiO2 mask has much slower erosion rate than the Cr mask under the same etching condition, leading to the deep cylinder-shaped nanopillars (122 nm diameter, 200 nm pitch, 170 nm high, flat top, and a vertical sidewall of 80° angle), rather than the pyramid-shaped shallow pillars (200 nm based diameter, 52 nm height, and 42° sidewall) resulted by using Cr mask. The processes developed are scalable to large volume LED manufacturing.

  7. Selective dry etching of silicon containing anti-reflective coating

    NASA Astrophysics Data System (ADS)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  8. Inductively coupled plasma etching of GaAs low loss waveguides for a traveling waveguide polarization converter, using chlorine chemistry

    NASA Astrophysics Data System (ADS)

    Lu, J.; Meng, X.; Springthorpe, A. J.; Shepherd, F. R.; Poirier, M.

    2004-05-01

    A traveling waveguide polarization converter [M. Poirier et al.] has been developed, which involves long, low loss, weakly confined waveguides etched in GaAs (epitaxially grown by molecular beam epitaxy), with electroplated ``T electrodes'' distributed along the etched floor adjacent to the ridge walls, and airbridge interconnect metallization. This article describes the development of the waveguide fabrication, based on inductively coupled plasma (ICP) etching of GaAs using Cl2 chemistry; the special processes required to fabricate the electrodes and metallization [X. Meng et al.], and the device characteristics [M. Poirier et al.], are described elsewhere. The required waveguide has dimensions nominally 4 μm wide and 2.1 μm deep, with dimensional tolerances ~0.1 μm across the wafer and wafer to wafer. A vertical etch profile with very smooth sidewalls and floors is required to enable the plated metal electrodes to be fabricated within 0.1 μm of the ridge. The ridges were fabricated using Cl2 ICP etching and a photoresist mask patterned with an I-line stepper; He backside cooling, combined with an electrostatic chuck, was employed to ensure good heat transfer to prevent resist reticulation. The experimental results showed that the ridge profile is very sensitive to ICP power and platen rf power. High ICP power and low platen power tend to result in more isotropic etching, whereas increasing platen power increases the photoresist etch rate, which causes rougher ridge sidewalls. No strong dependence of GaAs etch rate and ridge profile were observed with small changes in process temperature (chuck temperature). However, when the chuck temperature was decreased from 25 to 0 °C, etch uniformity across a 3 in. wafer improved from 6% to 3%. Photoresist and polymer residues present after the ICP etch were removed using a combination of wet and dry processes. .

  9. Cl 2-based dry etching of the AlGaInN system in inductively coupled plasmas

    NASA Astrophysics Data System (ADS)

    Cho, Hyun; Vartuli, C. B.; Abernathy, C. R.; Donovan, S. M.; Pearton, S. J.; Shul, R. J.; Han, J.

    1998-12-01

    Cl 2-Based inductively coupled plasmas with low additional d.c. self-biases (-100 V) produce convenient etch rates (500-1500 Å·min -1) for GaN, AlN, InN, InAlN and InGaN. A systematic study of the effects of additive gas (Ar, N 2, H 2), discharge composition and ICP source power and chuck power on etch rate and surface morphology has been performed. The general trends are to go through a maximum in etch rate with percent Cl 2 in the discharge for all three mixtures and to have an increase (decrease) in etch rate with source power (pressure). Since the etching is strongly ion-assisted, anisotropic pattern transfer is readily achieved. Maximum etch selectivities of approximately 6 for InN over the other nitrides were obtained.

  10. Effect of a Cooling Step Treatment on a High-Voltage GaN LED During ICP Dry Etching

    NASA Astrophysics Data System (ADS)

    Lin, Yen-Sheng; Hsiao, Sheng-Yu; Tseng, Chun-Lung; Shen, Ching-Hsing; Chiang, Jung-Sheng

    2017-02-01

    In this study, a lower dislocation density for a GaN surface and a reduced current path are observed at the interface of a SiO2 isolation sidewall, using high-resolution transmission electron microscopy. This is grown using a 3-min cooling step treatment during inductivity coupled plasma dry etching. The lower forward voltage is measured, the leakage current decreases from 53nA to 32nA, and the maximum output power increases from 354.8 W to 357.2 W for an input current of 30 mA. The microstructure and the optoelectronic properties of high-voltage light-emitting-diodes is proven to be affected by the cooling step treatment, which allows enough time to release the thermal energy of the SiO2 isolation well.

  11. High rate dry etching of (BiSb)2Te3 film by CH4/H2-based plasma

    NASA Astrophysics Data System (ADS)

    Song, Junqiang; Shi, Xun; Chen, Lidong

    2014-10-01

    Etching characteristics of p-type (BiSb)2Te3 films were studied with CH4/H2/Ar gas mixture using an inductively coupled plasma (ICP)-reactive ion etching (RIE) system. The effects of gas mixing ratio, working pressure and gas flow rate on the etch rate and the surface morphology were investigated. The vertical etched profile with the etch rate of 600 nm/min was achieved at the optimized processing parameters. X-ray photoelectron spectroscopy (XPS) analysis revealed the non-uniform etching of (BiSb)2Te3 films due to disparate volatility of the etching products. Micro-masking effects caused by polymer deposition and Bi-rich residues resulted in roughly etched surfaces. Smooth surfaces can be obtained by optimizing the CH4/H2/Ar mixing ratio.

  12. Utilization of optical emission endpoint in photomask dry etch processing

    NASA Astrophysics Data System (ADS)

    Faure, Thomas B.; Huynh, Cuc; Lercel, Michael J.; Smith, Adam; Wagner, Thomas

    2002-03-01

    Use of accurate and repeatable endpoint detection during dry etch processing of photomask is very important for obtaining good mask mean-to-target and CD uniformity performance. It was found that the typical laser reflectivity endpoint detecting system used on photomask dry etch systems had several key limitations that caused unnecessary scrap and non-optimum image size performance. Consequently, work to develop and implement use of a more robust optical emission endpoint detection system for chrome dry etch processing of photomask was performed. Initial feasibility studies showed that the emission technique was sensitive enough to monitor pattern loadings on contact and via level masks down to 3 percent pattern coverage. Additional work was performed to further improve this to 1 percent pattern coverage by optimizing the endpoint detection parameters. Comparison studies of mask mean-to-target performance and CD uniformity were performed with the use of optical emission endpoint versus laser endpoint for masks built using TOK IP3600 and ZEP 7000 resist systems. It was found that an improvement in mean-to-target performance and CD uniformity was realized on several types of production masks. In addition, part-to-part endpoint time repeatability was found to be significantly improved with the use of optical emission endpoint.

  13. Technique for etching monolayer and multilayer materials

    DOEpatents

    Bouet, Nathalie C. D.; Conley, Raymond P.; Divan, Ralu; Macrander, Albert

    2015-10-06

    A process is disclosed for sectioning by etching of monolayers and multilayers using an RIE technique with fluorine-based chemistry. In one embodiment, the process uses Reactive Ion Etching (RIE) alone or in combination with Inductively Coupled Plasma (ICP) using fluorine-based chemistry alone and using sufficient power to provide high ion energy to increase the etching rate and to obtain deeper anisotropic etching. In a second embodiment, a process is provided for sectioning of WSi.sub.2/Si multilayers using RIE in combination with ICP using a combination of fluorine-based and chlorine-based chemistries and using RF power and ICP power. According to the second embodiment, a high level of vertical anisotropy is achieved by a ratio of three gases; namely, CHF.sub.3, Cl.sub.2, and O.sub.2 with RF and ICP. Additionally, in conjunction with the second embodiment, a passivation layer can be formed on the surface of the multilayer which aids in anisotropic profile generation.

  14. Dry etching, surface passivation and capping processes for antimonide based photodetectors

    NASA Astrophysics Data System (ADS)

    Dutta, Partha; Langer, Jeffery; Bhagwat, Vinay; Juneja, Jasbir

    2005-05-01

    III-V antimonide based devices suffer from leakage currents. Surface passivation and subsequent capping of the surfaces are absolutely essential for any practical applicability of antimonide based devices. The quest for a suitable surface passivation technology is still on. In this paper, we will present some of the promising recent developments in this area based on dry etching of GaSb based homojunction photodiodes structures followed by various passivation and capping schemes. We have developed a damage-free, universal dry etching recipe based on unique ratios of Cl2/BCl3/CH4/Ar/H2 in ECR plasma. This novel dry plasma process etches all III-V compounds at different rates with minimal damage to the side walls. In GaSb based photodiodes, an order of magnitude lower leakage current, improved ideality factor and higher responsivity has been demonstrated using this recipe compared to widely used Cl2/Ar and wet chemical etch recipes. The dynamic zero bias resistance-area product of the Cl2/BCl3/CH4/Ar/H2 etched diodes (830 Ω cm2) is higher than the Cl2/Ar (300 Ω cm2) and wet etched (330 Ω cm2) diodes. Ammonium sulfide has been known to passivate surfaces of III-V compounds. In GaSb photodiodes, the leakage current density reduces by a factor of 3 upon sulfur passivation using ammonium sulfide. However, device performance degrades over a period of time in the absence of any capping or protective layer. Silicon Nitride has been used as a cap layer by various researchers. We have found that by using silicon nitride caps, the devices exhibit higher leakage than unpassivated devices probably due to plasma damage during SiNx deposition. We have experimented with various polymers for capping material. It has been observed that ammonium sulfide passivation when combined with parylene capping layer (150 Å), devices retain their improved performance for over 4 months.

  15. High-density plasma etching of III-nitrides: Process development, device applications and damage remediation

    NASA Astrophysics Data System (ADS)

    Singh, Rajwinder

    Plasma-assisted etching is a key technology for III-nitride device fabrication. The inevitable etch damage resulting from energetic pattern transfer is a challenge that needs to be addressed in order to optimize device performance and reliability. This dissertation focuses on the development of a high-density inductively-coupled plasma (ICP) etch process for III-nitrides, the demonstration of its applicability to practical device fabrication using a custom built ICP reactor, and development of techniques for remediation of etch damage. A chlorine-based standard dry etch process has been developed and utilized in fabrication of a number of electronic and optoelectronic III-nitride devices. Annealing studies carried out at 700°C have yielded the important insight that the annealing time necessary for making good-quality metal contacts to etch processed n-GaN is very short (<30 sec), comparable with the annealing times necessary for dopant activation of p-GaN films and provides an opportunity for streamlining process flow. Plasma etching degrades contact quality on n-GaN films and this degradation has been found to increase with the rf bias levels (ion energies) used, most notably in films with higher doping levels. Immersion in 1:1 mixture of hydrochloric acid and de-ionized water, prior to metallization, removes some of the etch damage and is helpful in recovering contact quality. In-situ treatment consisting of a slow ramp-down of rf bias at the end of the etch is found to achieve the same effect as the ex-situ treatment. This insitu technique is significantly advantageous in a large-scale production environment because it eliminates a process step, particularly one involving treatment in hydrochloric acid. ICP equipment customization for scaling up the process to full 2-inch wafer size is described. Results on etching of state of the art 256 x 256 AlGaN focal plane arrays of ultraviolet photodetectors are reported, with excellent etch uniformity over the wafer

  16. High rate dry etching of InGaZnO by BCl3/O2 plasma

    NASA Astrophysics Data System (ADS)

    Park, Wanjae; Whang, Ki-Woong; Gwang Yoon, Young; Hwan Kim, Jeong; Rha, Sang-Ho; Seong Hwang, Cheol

    2011-08-01

    This paper reports the results of the high-rate dry etching of indium gallium zinc oxide (IGZO) at room temperature using BCl3/O2 plasma. We achieved an etch rate of 250 nm/min. We inferred from the x-ray photoelectron spectroscopy analysis that BOx or BOClx radicals generated from BCl3/O2 plasma cause the etching of the IGZO material. O2 initiates the etching of IGZO, and Ar removes nonvolatile byproducts from the surface during the etching process. Consequently, a smooth etched surface results when these gases are added to the etch gas.

  17. Single-Run Single-Mask Inductively-Coupled-Plasma Reactive-Ion-Etching Process for Fabricating Suspended High-Aspect-Ratio Microstructures

    NASA Astrophysics Data System (ADS)

    Yang, Yao-Joe; Kuo, Wen-Cheng; Fan, Kuang-Chao

    2006-01-01

    In this work, we present a single-run single-mask (SRM) process for fabricating suspended high-aspect-ratio structures on standard silicon wafers using an inductively coupled plasma-reactive ion etching (ICP-RIE) etcher. This process eliminates extra fabrication steps which are required for structure release after trench etching. Released microstructures with 120 μm thickness are obtained by this process. The corresponding maximum aspect ratio of the trench is 28. The SRM process is an extended version of the standard process proposed by BOSCH GmbH (BOSCH process). The first step of the SRM process is a standard BOSCH process for trench etching, then a polymer layer is deposited on trench sidewalls as a protective layer for the subsequent structure-releasing step. The structure is released by dry isotropic etching after the polymer layer on the trench floor is removed. All the steps can be integrated into a single-run ICP process. Also, only one mask is required. Therefore, the process complexity and fabrication cost can be effectively reduced. Discussions on each SRM step and considerations for avoiding undesired etching of the silicon structures during the release process are also presented.

  18. Dopant type and/or concentration selective dry photochemical etching of semiconductor materials

    DOEpatents

    Ashby, Carol I. H.; Dishman, James L.

    1987-01-01

    A method of selectively photochemically dry etching a first semiconductor material of a given composition in the presence of a second semiconductor material which is of a composition different from said first material, said second material substantially not being etched during said method, comprises subjecting both materials to the same photon flux of an energy greater than their respective direct bandgaps and to the same gaseous chemical etchant under conditions where said etchant would be ineffective for chemical etching of either material were the photons not present, said conditions also being such that the resultant electronic structure of the first semiconductor material under said photon flux is sufficient for the first material to undergo substantial photochemical etching under said conditions and being such that the resultant electronic structure of the second semiconductor material under said photon flux is not sufficient for the second material to undergo substantial photochemical etching under said conditions. In a preferred mode, the materials are subjected to a bias voltage which suppresses etching in n- or p- type material but not in p- or n-type material, respectively; or suppresses etching in the more heavily doped of two n-type or two p-type materials.

  19. Deep inductively coupled plasma etching of ELO-GaN grown with high fill factor

    NASA Astrophysics Data System (ADS)

    Gao, Haiyong; Lee, Jaesoong; Ni, Xianfeng; Leach, Jacob; Özgür, Ümit; Morkoç, Hadis

    2011-02-01

    The epitaxial lateral overgrowth (ELO) gallium nitride (GaN) was grown with high fill factor using metal organic chemical vapor deposition (MOCVD). The inductively coupled plasma (ICP) etching of ELO-GaN based on Cl2/Ar/SiCl4 gas mixture was performed. Surface properties of ELO-GaN subjected to ICP etching have been investigated and optimized etching condition in ELO-GaN with ICP etching is presented. Radiofrequency (RF) power and the flow rate of Cl2 gas were modified during the experiments. The window region, wing region and the edge region of ELO-GaN pattern present different etching characteristics. Different etching conditions were studied to get the minimized plasma-induced damage, relatively high etching rates, and excellent surface profiles. Etch depths of the etched ELO-GaN with smooth surface up to about 19 μm were achieved. The most suitable three-step etching condition is discussed with the assessment based on the morphology observation of the etched surface of ELO-GaN patterns.

  20. Dopant type and/or concentration selective dry photochemical etching of semiconductor materials

    DOEpatents

    Ashby, C.R.H.; Dishman, J.L.

    1985-10-11

    Disclosed is a method of selectively photochemically dry etching a first semiconductor material of a given composition in the presence of a second semiconductor material which is of a composition different from said first material, said second material substantially not being etched during said method. The method comprises subjecting both materials to the same photon flux of an energy greater than their respective direct bandgaps and to the same gaseous chemical etchant under conditions where said etchant would be ineffective for chemical etching of either material were the photons not present, said conditions also being such that the resultant electronic structure of the first semiconductor material under said photon flux is sufficient for the first material to undergo substantial photochemical etching under said conditions and being such that the resultant electronic structure of the second semiconductor material under said photon flux is not sufficient for the second material to undergo substantial photochemical etching under said conditions. In a preferred mode, the materials are subjected to a bias voltage which suppresses etching in n- or p-type material but not in p- or n-type material, respectively; or suppresses etching in the more heavily doped of two n-type or two p-type materials.

  1. Etching and oxidation of InAs in planar inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Dultsev, F. N.; Kesler, V. G.

    2009-10-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4/H 2/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  2. Dry Ice Etches Terrain

    NASA Technical Reports Server (NTRS)

    2007-01-01

    [figure removed for brevity, see original site] Figure 1

    Every year seasonal carbon dioxide ice, known to us as 'dry ice,' covers the poles of Mars. In the south polar region this ice is translucent, allowing sunlight to pass through and warm the surface below. The ice then sublimes (evaporates) from the bottom of the ice layer, and carves channels in the surface.

    The channels take on many forms. In the subimage shown here (figure 1) the gas from the dry ice has etched wide shallow channels. This region is relatively flat, which may be the reason these channels have a different morphology than the 'spiders' seen in more hummocky terrain.

    Observation Geometry Image PSP_003364_0945 was taken by the High Resolution Imaging Science Experiment (HiRISE) camera onboard the Mars Reconnaissance Orbiter spacecraft on 15-Apr-2007. The complete image is centered at -85.4 degrees latitude, 104.0 degrees East longitude. The range to the target site was 251.5 km (157.2 miles). At this distance the image scale is 25.2 cm/pixel (with 1 x 1 binning) so objects 75 cm across are resolved. The image shown here has been map-projected to 25 cm/pixel . The image was taken at a local Mars time of 06:57 PM and the scene is illuminated from the west with a solar incidence angle of 75 degrees, thus the sun was about 15 degrees above the horizon. At a solar longitude of 219.6 degrees, the season on Mars is Northern Autumn.

  3. A Dry-Etch Process for Low Temperature Superconducting Transition Edge Sensors for Far Infrared Bolometer Arrays

    NASA Technical Reports Server (NTRS)

    Allen, Christine A.; Chervenak, James A.; Hsieh, Wen-Ting; McClanahan, Richard A.; Miller, Timothy M.; Mitchell, Robert; Moseley, S. Harvey; Staguhn, Johannes; Stevenson, Thomas R.

    2003-01-01

    The next generation of ultra-low power bolometer arrays, with applications in far infrared imaging, spectroscopy and polarimetry, utilizes a superconducting bilayer as the sensing element to enable SQUID multiplexed readout. Superconducting transition edge sensors (TES s) are being produced with dual metal systems of superconductinghormal bilayers. The transition temperature (Tc) is tuned by altering the relative thickness of the superconductor with respect to the normal layer. We are currently investigating MoAu and MoCu bilayers. We have developed a dry-etching process for MoAu TES s with integrated molybdenum leads, and are working on adapting the process to MoCu. Dry etching has the advantage over wet etching in the MoAu system in that one can achieve a high degree of selectivity, greater than 10, using argon ME, or argon ion milling, for patterning gold on molybdenum. Molybdenum leads are subsequently patterned using fluorine plasma.. The dry-etch technique results in a smooth, featureless TES with sharp sidewalls, no undercutting of the Mo beneath the normal metal, and Mo leads with high critical current. The effects of individual processing parameters on the characteristics of the transition will be reported.

  4. Comparative analysis of barium titanate thin films dry etching using inductively coupled plasmas by different fluorine-based mixture gas

    PubMed Central

    2014-01-01

    In this work, the inductively coupled plasma etching technique was applied to etch the barium titanate thin film. A comparative study of etch characteristics of the barium titanate thin film has been investigated in fluorine-based (CF4/O2, C4F8/O2 and SF6/O2) plasmas. The etch rates were measured using focused ion beam in order to ensure the accuracy of measurement. The surface morphology of etched barium titanate thin film was characterized by atomic force microscope. The chemical state of the etched surfaces was investigated by X-ray photoelectron spectroscopy. According to the experimental result, we monitored that a higher barium titanate thin film etch rate was achieved with SF6/O2 due to minimum amount of necessary ion energy and its higher volatility of etching byproducts as compared with CF4/O2 and C4F8/O2. Low-volatile C-F compound etching byproducts from C4F8/O2 were observed on the etched surface and resulted in the reduction of etch rate. As a result, the barium titanate films can be effectively etched by the plasma with the composition of SF6/O2, which has an etch rate of over than 46.7 nm/min at RF power/inductively coupled plasma (ICP) power of 150/1,000 W under gas pressure of 7.5 mTorr with a better surface morphology. PMID:25278821

  5. Response of murine bone marrow-derived mesenchymal stromal cells to dry-etched porous silicon scaffolds.

    PubMed

    Hajj-Hassan, Mohamad; Khayyat-Kholghi, Maedeh; Wang, Huifen; Chodavarapu, Vamsy; Henderson, Janet E

    2011-11-01

    Porous silicon shows great promise as a bio-interface material due to its large surface to volume ratio, its stability in aqueous solutions and to the ability to precisely regulate its pore characteristics. In the current study, porous silicon scaffolds were fabricated from single crystalline silicon wafers by a novel xenon difluoride dry etching technique. This simplified dry etch fabrication process allows selective formation of porous silicon using a standard photoresist as mask material and eliminates the post-formation drying step typically required for the wet etching techniques, thereby reducing the risk of damaging the newly formed porous silicon. The porous silicon scaffolds supported the growth of primary cultures of bone marrow derived mesenchymal stromal cells (MSC) plated at high density for up to 21 days in culture with no significant loss of viability, assessed using Alamar Blue. Scanning electron micrographs confirmed a dense lawn of cells at 9 days of culture and the presence of MSC within the pores of the porous silicon scaffolds. Copyright © 2011 Wiley Periodicals, Inc.

  6. Direct mapping and characterization of dry etch damage-induced PN junction for long-wavelength HgCdTe infrared detector arrays.

    PubMed

    Li, Yantao; Hu, Weida; Ye, Zhenhua; Chen, Yiyu; Chen, Xiaoshuang; Lu, Wei

    2017-04-01

    Mercury cadmium telluride is the standard material to fabricate high-performance infrared focal plane array (FPA) detectors. However, etch-induced damage is a serious obstacle for realizing highly uniform and damage-free FPA detectors. In this Letter, the high signal-to-noise ratio and high spatial resolution scanning photocurrent microscopy (SPCM) is used to characterize the dry etch-induced inversion layer of vacancy-doped p-type Hg1-xCdxTe (x=0.22) material under different etching temperatures. It is found that the peak-to-peak magnitude of the SPCM profile decreases with a decrease in etching temperature, showing direct proof of controlling dry etch-induced type conversion. Our work paves the way toward seeking optimal etching processes in large-scale infrared FPAs.

  7. Optimization of plasma etching of SiO2 as hard mask for HgCdTe dry etching

    NASA Astrophysics Data System (ADS)

    Chen, Yiyu; Ye, Zhenhua; Sun, Changhong; Zhang, Shan; Xin, Wen; Hu, Xiaoning; Ding, Ruijun; He, Li

    2016-10-01

    HgCdTe is one of the dominating materials for infrared detection. To pattern this material, our group has proven the feasibility of SiO2 as a hard mask in dry etching process. In recent years, the SiO2 mask patterned by plasma with an auto-stopping layer of ZnS sandwiched between HgCdTe and SiO2 has been developed by our group. In this article, we will report the optimization of SiO2 etching on HgCdTe. The etching of SiO2 is very mature nowadays. Multiple etching recipes with deferent gas mixtures can be used. We utilized a recipe containing Ar and CHF3. With strictly controlled photolithography, the high aspect-ratio profile of SiO2 was firstly achieved on GaAs substrate. However, the same recipe could not work well on MCT because of the low thermal conductivity of HgCdTe and CdTe, resulting in overheated and deteriorated photoresist. By decreasing the temperature, the photoresist maintained its good profile. A starting table temperature around -5°C worked well enough. And a steep profile was achieved as checked by the SEM. Further decreasing of temperature introduced profile with beveled corner. The process window of the temperature is around 10°C. Reproducibility and uniformity were also confirmed for this recipe.

  8. Defect-selective dry etching for quick and easy probing of hexagonal boron nitride domains.

    PubMed

    Wu, Qinke; Lee, Joohyun; Park, Sangwoo; Woo, Hwi Je; Lee, Sungjoo; Song, Young Jae

    2018-03-23

    In this study, we demonstrate a new method to selectively etch the point defects or the boundaries of as-grown hexagonal boron nitride (hBN) films and flakes in situ on copper substrates using hydrogen and argon gases. The initial quality of the chemical vapor deposition-grown hBN films and flakes was confirmed by UV-vis absorption spectroscopy, atomic force microscopy, and transmission electron microscopy. Different gas flow ratios of Ar/H 2 were then employed to etch the same quality of samples and it was found that etching with hydrogen starts from the point defects and grows epitaxially, which helps in confirming crystalline orientations. However, etching with argon is sensitive to line defects (boundaries) and helps in visualizing the domain size. Finally, based on this defect-selective dry etching technique, it could be visualized that the domains of a polycrystalline hBN monolayer merged together with many parts, even with those that grew from a single nucleation seed.

  9. Defect-selective dry etching for quick and easy probing of hexagonal boron nitride domains

    NASA Astrophysics Data System (ADS)

    Wu, Qinke; Lee, Joohyun; Park, Sangwoo; Woo, Hwi Je; Lee, Sungjoo; Song, Young Jae

    2018-03-01

    In this study, we demonstrate a new method to selectively etch the point defects or the boundaries of as-grown hexagonal boron nitride (hBN) films and flakes in situ on copper substrates using hydrogen and argon gases. The initial quality of the chemical vapor deposition-grown hBN films and flakes was confirmed by UV-vis absorption spectroscopy, atomic force microscopy, and transmission electron microscopy. Different gas flow ratios of Ar/H2 were then employed to etch the same quality of samples and it was found that etching with hydrogen starts from the point defects and grows epitaxially, which helps in confirming crystalline orientations. However, etching with argon is sensitive to line defects (boundaries) and helps in visualizing the domain size. Finally, based on this defect-selective dry etching technique, it could be visualized that the domains of a polycrystalline hBN monolayer merged together with many parts, even with those that grew from a single nucleation seed.

  10. Uniformity studies of inductively coupled plasma etching in fabrication of HgCdTe detector arrays

    NASA Astrophysics Data System (ADS)

    Bommena, R.; Velicu, S.; Boieriu, P.; Lee, T. S.; Grein, C. H.; Tedjojuwono, K. K.

    2007-04-01

    Inductively coupled plasma (ICP) chemistry based on a mixture of CH 4, Ar, and H II was investigated for the purpose of delineating HgCdTe mesa structures and vias typically used in the fabrication of second and third generation infrared photo detector arrays. We report on ICP etching uniformity results and correlate them with plasma controlling parameters (gas flow rates, total chamber pressure, ICP power and RF power). The etching rate and surface morphology of In-doped MWIR and LWIR HgCdTe showed distinct dependences on the plasma chemistry, total pressure and RF power. Contact stylus profilometry and cross-section scanning electron microscopy (SEM) were used to characterize the anisotropy of the etched profiles obtained after various processes and a standard deviation of 0.06 μm was obtained for etch depth on 128 x 128 format array vias. The surface morphology and the uniformity of the etched surfaces were studied by plan view SEM. Atomic force microscopy was used to make precise assessments of surface roughness.

  11. Effects of dry etching processes on exciton and polariton characteristics in ZnTe

    NASA Astrophysics Data System (ADS)

    Sun, J. H.; Xie, W. B.; Shen, W. Z.; Ogawa, H.; Guo, Q. X.

    2003-12-01

    We have employed temperature-dependent reflection spectra to study the effects of reactive ion etching (RIE) on the exciton and polariton characteristics in ZnTe crystals exposed to CH4/H2 gases under different rf plasma powers. Classic exciton-polariton theory has been used to calculate the reflection spectra. By comparing with an as-grown ZnTe crystal and the temperature-dependent behavior, we are able to identify the excitons and RIE-induced polariton structures in these dry etched ZnTe crystals. An increase of the rf plasma power will lead to an increase of defect density in the surface damage layers, resulting in a decrease of the photon energies of the observed exciton and polariton structures.

  12. Selective Dry Etch for Defining Ohmic Contacts for High Performance ZnO TFTs

    DTIC Science & Technology

    2014-03-27

    scale, high-frequency ZnO thin - film transistors (TFTs) could be fabricated. Molybdenum, tantalum, titanium tungsten 10-90, and tungsten metallic contact... thin - film transistor layout utilized in the thesis research . . . . . 42 3.4 Process Flow Diagram for Optical and e-Beam Devices...TFT thin - film transistor TLM transmission line model UV ultra-violet xvii SELECTIVE DRY ETCH FOR DEFINING OHMIC CONTACTS FOR HIGH PERFORMANCE ZnO TFTs

  13. Characteristics of n-GaN After Cl2/Ar and Cl2/N2 Inductively Coupled Plasma Etching

    NASA Astrophysics Data System (ADS)

    Han, Yan-Jun; Xue, Song; Guo, Wen-Ping; Sun, Chang-Zheng; Hao, Zhi-Biao; Luo, Yi

    2003-10-01

    A systematic study on the effect of inductively coupled plasma (ICP) etching on n-type GaN is presented. The optical and electrical properties and surface stoichiometry of n-type GaN are evaluated using room-temperature photoluminescence (PL) and current-voltage (I-V) characteristic measurements, and X-ray photoelectron spectroscopy (XPS), respectively. Investigation of the effect of additive gas (N2 and Ar) and RF power on these characteristics has also been carried out. It is shown that the decrease in the O/Ga ratio after ICP etching can suppress the deterioration of the near-band-edge emission intensity. Furthermore, N vacancy (VN) with a shallow donor nature and Ga vacancy (VGa) with a deep acceptor nature are generated after ICP etching upon the addition of Ar and N2 to Cl2 plasma, respectively. Lower ohmic contact resistance could be obtained when VN or ion-bombardment-induced defect is dominant at the surface. Improved etching conditions have been obtained based on these results.

  14. Effect of air-drying time of single-application self-etch adhesives on dentin bond strength.

    PubMed

    Chiba, Yasushi; Yamaguchi, Kanako; Miyazaki, Masashi; Tsubota, Keishi; Takamizawa, Toshiki; Moore, B Keith

    2006-01-01

    This study examined the effect of air-drying time of adhesives on the dentin bond strength of several single-application self-etch adhesive systems. The adhesive/resin composite combinations used were: Adper Prompt L-Pop/Filtek Z250 (AP), Clearfil Tri-S Bond/Clearfil AP-X (CT), Fluoro Bond Shake One/Beautifil (FB), G-Bond/Gradia Direct (GB) and One-Up Bond F Plus/Palfique Estelite (OF). Bovine mandibular incisors were mounted in self-curing resin and wet ground with #600 SiC to expose labial dentin. Adhesives were applied according to each manufacturer's instructions followed by air-drying time for 0 (without air-drying), 5 and 10 seconds. After light irradiation of the adhesives, the resin composites were condensed into a mold (phi4x2 mm) and polymerized. Ten samples per test group were stored in 37 degrees C distilled water for 24 hours; they were then shear tested at a crosshead speed of 1.0 mm/minute. One-way ANOVA followed by Tukey's HSD tests (alpha = 0.05) were done. FE-SEM observations of the resin/dentin interface were also conducted. Dentin bond strength varied with the different air drying times and ranged from 5.8 +/- 2.4 to 13.9 +/- 2.8 MPa for AP, 4.9 +/- 1.5 to 17.1 +/- 2.3 MPa for CT, 7.9 +/- 2.8 to 13.8 +/- 2.4 MPa for FB, 3.7 +/- 1.4 to 13.4 +/- 1.2 MPa for GB and 4.6 +/- 2.1 to 13.7 +/- 2.6 MPa for OF. With longer air drying of adhesives, no significant changes in bond strengths were found for the systems used except for OF. Significantly lower bond strengths were obtained for the 10-second air-drying group for OF. From FE-SEM observations, gaps between the cured adhesive and resin composites were observed for the specimens without the air drying of adhesives except for OF. The data suggests that, with four of the single-application self-etch adhesive systems, air drying is essential to obtain adequate dentin bond strengths, but increased drying time does not significantly influence bond strength. For the other system studied, the bond strength

  15. Comparison of dry, wet and microwave digestion methods for the multi element determination in some dried fruit samples by ICP-OES.

    PubMed

    Altundag, Huseyin; Tuzen, Mustafa

    2011-11-01

    The aim of this study was used to investigate the level of trace metals (Ba, Pb, Cd, Mn, Cr, Co, Ni, Cu, Mn, Zn, Sr and Fe) in some dried fruits (Prunus domestica L., Ficus carica L., Morus alba L., Vitis vinifera L., Prunus armeniaca L., and Malus domestica) samples from Turkey. Trace elements were determined by ICP-OES after dry, wet and microwave digestion methods in dried fruit samples. Validation of the proposed method was carried out by using a NIST-SRM 1515-Apple Leaves certified reference material. Element concentrations in dried fruit samples were 0.33-1.77 (Ba), 0.12-0.54 (Cd), 0.25-1.03 (Co), 0.45-2.30 (Cr), 0.43-2.74 (Cu), 0.56-4.87 (Mn), 0.61-2.54 (Ni), 0.40-2.14 (Pb), 2.16-6.54 (Zn), 0.83-12.02 (Al), 11.82-40.80 (Fe) and 0.16-6.34 (Sr) μg/g. The analytical parameters show that the microwave oven digestion procedure provided best results as compared to the wet and dry digestion procedures. The results were compared with the literature values. Copyright © 2011 Elsevier Ltd. All rights reserved.

  16. Dry etch challenges for CD shrinkage in memory process

    NASA Astrophysics Data System (ADS)

    Matsushita, Takaya; Matsumoto, Takanori; Mukai, Hidefumi; Kyoh, Suigen; Hashimoto, Kohji

    2015-03-01

    Line pattern collapse attracts attention as a new problem of the L&S formation in sub-20nm H.P feature. Line pattern collapse that occurs in a slight non-uniformity of adjacent CD (Critical dimension) space using double patterning process has been studied with focus on micro-loading effect in Si etching. Bias RF pulsing plasma etching process using low duty cycle helped increase of selectivity Si to SiO2. In addition to the effect of Bias RF pulsing process, the thin mask obtained from improvement of selectivity has greatly suppressed micro-loading in Si etching. However it was found that micro-loading effect worsen again in sub-20nm space width. It has been confirmed that by using cycle etch process to remove deposition with CFx based etching micro-loading effect could be suppressed. Finally, Si etching process condition using combination of results above could provide finer line and space without "line pattern collapse" in sub-20nm.

  17. The endpoint detection technique for deep submicrometer plasma etching

    NASA Astrophysics Data System (ADS)

    Wang, Wei; Du, Zhi-yun; Zeng, Yong; Lan, Zhong-went

    2009-07-01

    The availability of reliable optical sensor technology provides opportunities to better characterize and control plasma etching processes in real time, they could play a important role in endpoint detection, fault diagnostics and processes feedback control and so on. The optical emission spectroscopy (OES) method becomes deficient in the case of deep submicrometer gate etching. In the newly developed high density inductively coupled plasma (HD-ICP) etching system, Interferometry endpoint (IEP) is introduced to get the EPD. The IEP fringe count algorithm is investigated to predict the end point, and then its signal is used to control etching rate and to call end point with OES signal in over etching (OE) processes step. The experiment results show that IEP together with OES provide extra process control margin for advanced device with thinner gate oxide.

  18. Direct comparison of the performance of commonly used e-beam resists during nano-scale plasma etching of Si, SiO2, and Cr

    NASA Astrophysics Data System (ADS)

    Goodyear, Andy; Boettcher, Monika; Stolberg, Ines; Cooke, Mike

    2015-03-01

    Electron beam writing remains one of the reference pattern generation techniques, and plasma etching continues to underpin pattern transfer. We report a systematic study of the plasma etch resistance of several e-beam resists, both negative and positive as well as classical and Chemically Amplified Resists: HSQ[1,2] (Dow Corning), PMMA[3] (Allresist GmbH), AR-P6200 (Allresist GmbH), ZEP520 (Zeon Corporation), CAN028 (TOK), CAP164 (TOK), and an additional pCAR (non-disclosed provider). Their behaviour under plasma exposure to various nano-scale plasma etch chemistries was examined (SF6/C4F8 ICP silicon etch, CHF3/Ar RIE SiO2 etch, Cl2/O2 RIE and ICP chrome etch, and HBr ICP silicon etch). Samples of each resist type were etched simultaneously to provide a direct comparison of their etch resistance. Resist thicknesses (and hence resist erosion rates) were measured by spectroscopic ellipsometer in order to provide the highest accuracy for the resist comparison. Etch selectivities (substrate:mask etch rate ratio) are given, with recommendations for the optimum resist choice for each type of etch chemistry. Silicon etch profiles are also presented, along with the exposure and etch conditions to obtain the most vertical nano-scale pattern transfer. We identify one resist that gave an unusually high selectivity for chlorinated and brominated etches which could enable pattern transfer below 10nm without an additional hard mask. In this case the resist itself acts as a hard mask. We also highlight the differing effects of fluorine and bromine-based Silicon etch chemistries on resist profile evolution and hence etch fidelity.

  19. Effects of solvent drying time on micro-shear bond strength and mechanical properties of two self-etching adhesive systems.

    PubMed

    Sadr, Alireza; Shimada, Yasushi; Tagami, Junji

    2007-09-01

    The all-in-one adhesives are simplified forms of two-step self-etching adhesive systems that must be air dried to remove solvent and water before curing. It was investigated whether those two systems perform equally well and if their performance is affected by air-drying of the solvent containing agent. Two adhesive systems (both by Kuraray Medical) were evaluated; Clearfil Tri-S bond (TS) and Clearfil SE bond (SE). Micro-shear bond strengths to human dentin after solvent air-drying times of 2, 5 or 10 s for each group were measured (n=10). The indentation creep and hardness of the bonding layer were also determined for each group. The lowest micro-shear bond strength, nano-indentation hardness and creep stress exponents were obtained for 2 s air dried specimens of each material. After 10 s air blowing, SE showed superior properties compared to TS groups (p<0.05). When properly handled, two step self-etching material performs better than the all-in-one adhesive. Air-drying is a crucial step in the application of solvent containing adhesives and may affect the overall clinical performance of them, through changes in the bond strength and altering nano-scale mechanical properties.

  20. Advanced plasma etch technologies for nanopatterning

    NASA Astrophysics Data System (ADS)

    Wise, Rich

    2013-10-01

    Advances in patterning techniques have enabled the extension of immersion lithography from 65/45 nm through 14/10 nm device technologies. A key to this increase in patterning capability has been innovation in the subsequent dry plasma etch processing steps. Multiple exposure techniques, such as litho-etch-litho-etch, sidewall image transfer, line/cut mask, and self-aligned structures, have been implemented to solution required device scaling. Advances in dry plasma etch process control across wafer uniformity and etch selectivity to both masking materials have enabled adoption of vertical devices and thin film scaling for increased device performance at a given pitch. Plasma etch processes, such as trilayer etches, aggressive critical dimension shrink techniques, and the extension of resist trim processes, have increased the attainable device dimensions at a given imaging capability. Precise control of the plasma etch parameters affecting across-design variation, defectivity, profile stability within wafer, within lot, and across tools has been successfully implemented to provide manufacturable patterning technology solutions. IBM has addressed these patterning challenges through an integrated total patterning solutions team to provide seamless and synergistic patterning processes to device and integration internal customers. We will discuss these challenges and the innovative plasma etch solutions pioneered by IBM and our alliance partners.

  1. Advanced plasma etch technologies for nanopatterning

    NASA Astrophysics Data System (ADS)

    Wise, Rich

    2012-03-01

    Advances in patterning techniques have enabled the extension of immersion lithography from 65/45nm through 14/10nm device technologies. A key to this increase in patterning capability has been innovation in the subsequent dry plasma etch processing steps. Multiple exposure techniques such as litho-etch-litho-etch, sidewall image transfer, line/cut mask and self-aligned structures have been implemented to solution required device scaling. Advances in dry plasma etch process control, across wafer uniformity and etch selectivity to both masking materials and have enabled adoption of vertical devices and thin film scaling for increased device performance at a given pitch. Plasma etch processes such as trilayer etches, aggressive CD shrink techniques, and the extension of resist trim processes have increased the attainable device dimensions at a given imaging capability. Precise control of the plasma etch parameters affecting across design variation, defectivity, profile stability within wafer, within lot, and across tools have been successfully implemented to provide manufacturable patterning technology solutions. IBM has addressed these patterning challenges through an integrated Total Patterning Solutions team to provide seamless and synergistic patterning processes to device and integration internal customers. This paper will discuss these challenges and the innovative plasma etch solutions pioneered by IBM and our alliance partners.

  2. Rapid recipe formulation for plasma etching of new materials

    NASA Astrophysics Data System (ADS)

    Chopra, Meghali; Zhang, Zizhuo; Ekerdt, John; Bonnecaze, Roger T.

    2016-03-01

    A fast and inexpensive scheme for etch rate prediction using flexible continuum models and Bayesian statistics is demonstrated. Bulk etch rates of MgO are predicted using a steady-state model with volume-averaged plasma parameters and classical Langmuir surface kinetics. Plasma particle and surface kinetics are modeled within a global plasma framework using single component Metropolis Hastings methods and limited data. The accuracy of these predictions is evaluated with synthetic and experimental etch rate data for magnesium oxide in an ICP-RIE system. This approach is compared and superior to factorial models generated from JMP, a software package frequently employed for recipe creation and optimization.

  3. Combined dry plasma etching and online metrology for manufacturing highly focusing x-ray mirrors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Berujon, S., E-mail: berujon@esrf.eu; Ziegler, E., E-mail: ziegler@esrf.eu; Cunha, S. da

    A new figuring station was designed and installed at the ESRF beamline BM05. It allows the figuring of mirrors within an iterative process combining the advantage of online metrology with dry etching. The complete process takes place under a vacuum environment to minimize surface contamination while non-contact surfacing tools open up the possibility of performing at-wavelength metrology and eliminating placement errors. The aim is to produce mirrors whose slopes do not deviate from the stigmatic profile by more than 0.1 µrad rms while keeping surface roughness in the acceptable limit of 0.1-0.2 nm rms. The desired elliptical mirror surface shapemore » can be achieved in a few iterations in about a one day time span. This paper describes some of the important aspects of the process regarding both the online metrology and the etching process.« less

  4. Study on the performance of 2.6 μm In0.83Ga0.17As detector with different etch gases

    NASA Astrophysics Data System (ADS)

    Li, Ping; Tang, Hengjing; Li, Tao; Li, Xue; Shao, Xiumei; Ma, Yingjie; Gong, Haimei

    2017-09-01

    In order to obtain a low-damage recipe in the ICP processing, ICP-induced damage using Cl2/CH4 etch gases in extended wavelength In0.83Ga0.17As detector materials was studied in this paper. The effect of ICP etching on In0.83Ga0.17As samples was characterized qualitatively by the photoluminescence (PL) technology. The etch damage of In0.83Ga0.17As samples was characterized quantitatively by the Transmission Line Model (TLM), current voltage (IV) measurement, signal and noise testing and the Fourier Transform Infrared Spectroscopy (FTIR) technologies. The results showed that the Cl2/CH4 etching processing could lead better detector performance than that Cl2/N2, such as a larger square resistance, a lower dark current, a lower noise voltage and a higher peak detectivity. The lower PL signal intensity and lower dark current could be attributed to the hydrogen decomposed by the CH4 etch gases in the plasma etching process. These hydrogen particles generated non-radiative recombination centers in inner materials to weaken the PL intensity and passivated dangling bond at the surface to reduce the dark current. The larger square resistance resulted from the lower etch damage. The lower dark current meant that the detectors have less dangling bonds and leakage channels.

  5. Environmentally benign semiconductor processing for dielectric etch

    NASA Astrophysics Data System (ADS)

    Liao, Marci Yi-Ting

    Semiconductor processing requires intensive usage of chemicals, electricity, and water. Such intensive resource usage leaves a large impact on the environment. For instance, in Silicon Valley, the semiconductor industry is responsible for 80% of the hazardous waste sites contaminated enough to require government assistance. Research on environmentally benign semiconductor processing is needed to reduce the environmental impact of the semiconductor industry. The focus of this dissertation is on the environmental impact of one aspect of semiconductor processing: patterning of dielectric materials. Plasma etching of silicon dioxide emits perfluorocarbons (PFCs) gases, like C2F6 and CF4, into the atmosphere. These gases are super global warming/greenhouse gases because of their extremely long atmospheric lifetimes and excellent infrared absorption properties. We developed the first inductively coupled plasma (ICP) abatement device for destroying PFCs downstream of a plasma etcher. Destruction efficiencies of 99% and 94% can be obtained for the above mentioned PFCs, by using O 2 as an additive gas. Our results have lead to extensive modeling in academia as well as commercialization of the ICP abatement system. Dielectric patterning of hi-k materials for future device technology brings different environment challenges. The uncertainty of the hi-k material selection and the patterning method need to be addressed. We have evaluated the environmental impact of three different dielectric patterning methods (plasma etch, wet etch and chemical-mechanical polishing), as well as, the transistor device performances associated with the patterning methods. Plasma etching was found to be the most environmentally benign patterning method, which also gives the best device performance. However, the environmental concern for plasma etching is the possibility of cross-contamination from low volatility etch by-products. Therefore, mass transfer in a plasma etcher for a promising hi

  6. Bi/In thermal resist for both Si anisotropic wet etching and Si/SiO2 plasma etching

    NASA Astrophysics Data System (ADS)

    Chapman, Glenn H.; Tu, Yuqiang; Peng, Jun

    2004-01-01

    Bi/In thermal resist is a bilayer structure of Bi over In films which can be exposed by laser with a wide range of wavelengths and can be developed by diluted RCA2 solutions. Current research shows bimetallic resist can work as etch masking layer for both dry plasma etching and wet anisotropic etching. It can act as both patterning and masking layers for Si and SiO2 with plasma "dry" etch using CF4/CHF3. The etching condition is CF4 flow rate 50 sccm, pressure 150 mTorr, and RF power 100 - 600W. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1 nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In. Bi/In also creates etch masking layers for alkaline-based (KOH, TMAH and EDP) "wet" anisotropic bulk Si etch without the need of SiO2 masking steps. The laser exposed Bi/In etches two times more slowly than SiO2. Experiment result shows that single metal Indium film exhibits thermal resist characteristics but at twice the exposure levels. It can be developed in diluted RCA2 solution and used as an etch mask layer for Si anisotropic etch. X-ray diffraction analysis shows that laser exposure causes both Bi and In single film to oxidize. In film may become amorphous when exposed to high laser power.

  7. High-Temperature Isothermal Capacitance Transient Spectroscopy Study on Inductively Coupled Plasma Etching Damage for p-GaN Surfaces

    NASA Astrophysics Data System (ADS)

    Aoki, Toshichika; Wakayama, Hisashi; Kaneda, Naoki; Mishima, Tomoyoshi; Nomoto, Kazuki; Shiojima, Kenji

    2013-11-01

    The effects of the inductively coupled plasma (ICP) etching damage on the electrical characteristics of low-Mg-doped p-GaN Schottky contacts were evaluated by high-temperature isothermal capacitance transient spectroscopy. A large single peak for an acceptor-type surface state was dominantly detected for as-grown samples. The energy level and state density were obtained to be 1.18 eV above the valence band, which is close to a Ga vacancy (VGa), and 1.5×1013 cm-2, respectively. It was speculated that a small portion of Ga atoms were missing from the surface, and a high VGa density was observed in a few surface layers. The peak intensity decreased by 60% upon annealing at 800 °C, and further decrease was found by ICP etching. This decrease is consistent with the suppression of the memory effect in current-voltage characteristics. Upon annealing and ICP etching, since the VGa structure might be disordered, the peak intensity decreased.

  8. Improved photoluminescence efficiency in UV nanopillar light emitting diode structures by recovery of dry etching damage.

    PubMed

    Jeon, Dae-Woo; Jang, Lee-Woon; Jeon, Ju-Won; Park, Jae-Woo; Song, Young Ho; Jeon, Seong-Ran; Ju, Jin-Woo; Baek, Jong Hyeob; Lee, In-Hwan

    2013-05-01

    In this study, we have fabricated 375-nm-wavelength InGaN/AlInGaN nanopillar light emitting diodes (LED) structures on c-plane sapphire. A uniform and highly vertical nanopillar structure was fabricated using self-organized Ni/SiO2 nano-size mask by dry etching method. To minimize the dry etching damage, the samples were subjected to high temperature annealing with subsequent chemical passivation in KOH solution. Prior to annealing and passivation the UV nanopillar LEDs showed the photoluminescence (PL) efficiency about 2.5 times higher than conventional UV LED structures which is attributed to better light extraction efficiency and possibly some improvement of internal quantum efficiency due to partially relieved strain. Annealing alone further increased the PL efficiency by about 4.5 times compared to the conventional UV LEDs, while KOH passivation led to the overall PL efficiency improvement by more than 7 times. Combined results of Raman spectroscopy and X-ray photoelectron spectroscopy (XPS) suggest that annealing decreases the number of lattice defects and relieves the strain in the surface region of the nanopillars whereas KOH treatment removes the surface oxide from nanopillar surface.

  9. Modeling of block copolymer dry etching for directed self-assembly lithography

    NASA Astrophysics Data System (ADS)

    Belete, Zelalem; Baer, Eberhard; Erdmann, Andreas

    2018-03-01

    Directed self-assembly (DSA) of block copolymers (BCP) is a promising alternative technology to overcome the limits of patterning for the semiconductor industry. DSA exploits the self-assembling property of BCPs for nano-scale manufacturing and to repair defects in patterns created during photolithography. After self-assembly of BCPs, to transfer the created pattern to the underlying substrate, selective etching of PMMA (poly (methyl methacrylate)) to PS (polystyrene) is required. However, the etch process to transfer the self-assemble "fingerprint" DSA patterns to the underlying layer is still a challenge. Using combined experimental and modelling studies increases understanding of plasma interaction with BCP materials during the etch process and supports the development of selective process that form well-defined patterns. In this paper, a simple model based on a generic surface model has been developed and an investigation to understand the etch behavior of PS-b-PMMA for Ar, and Ar/O2 plasma chemistries has been conducted. The implemented model is calibrated for etch rates and etch profiles with literature data to extract parameters and conduct simulations. In order to understand the effect of the plasma on the block copolymers, first the etch model was calibrated for polystyrene (PS) and poly (methyl methacrylate) (PMMA) homopolymers. After calibration of the model with the homopolymers etch rate, a full Monte-Carlo simulation was conducted and simulation results are compared with the critical-dimension (CD) and selectivity of etch profile measurement. In addition, etch simulations for lamellae pattern have been demonstrated, using the implemented model.

  10. Neutral beam and ICP etching of HKMG MOS capacitors: Observations and a plasma-induced damage model

    NASA Astrophysics Data System (ADS)

    Kuo, Tai-Chen; Shih, Tzu-Lang; Su, Yin-Hsien; Lee, Wen-Hsi; Current, Michael Ira; Samukawa, Seiji

    2018-04-01

    In this study, TiN/HfO2/Si metal-oxide-semiconductor (MOS) capacitors were etched by a neutral beam etching technique under two contrasting conditions. The configurations of neutral beam etching technique were specially designed to demonstrate a "damage-free" condition or to approximate "reactive-ion-etching-like" conditions to verify the effect of plasma-induced damage on electrical characteristics of MOS capacitors. The results show that by neutral beam etching (NBE), the interface state density (Dit) and the oxide trapped charge (Qot) were lower than routine plasma etching. Furthermore, the decrease in capacitor size does not lead to an increase in leakage current density, indicating less plasma induced side-wall damage. We present a plasma-induced gate stack damage model which we demonstrate by using these two different etching configurations. These results show that NBE is effective in preventing plasma-induced damage at the high-k/Si interface and on the high-k oxide sidewall and thus improve the electrical performance of the gate structure.

  11. Surface chemistry of InP ridge structures etched in Cl{sub 2}-based plasma analyzed with angular XPS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bouchoule, Sophie, E-mail: sophie.bouchoule@lpn.cnrs.fr; Cambril, Edmond; Guilet, Stephane

    2015-09-15

    Two x-ray photoelectron spectroscopy configurations are proposed to analyze the surface chemistry of micron-scale InP ridge structures etched in chlorine-based inductively coupled plasma (ICP). Either a classical or a grazing configuration allows to retrieve information about the surface chemistry of the bottom surface and sidewalls of the etched features. The procedure is used to study the stoichiometry of the etched surface as a function of ridge aspect ratio for Cl{sub 2}/Ar and Cl{sub 2}/H{sub 2} plasma chemistries. The results show that the bottom surface and the etched sidewalls are P-rich, and indicate that the P-enrichment mechanism is rather chemically driven.more » Results also evidence that adding H{sub 2} to Cl{sub 2} does not necessarily leads to a more balanced surface stoichiometry. This is in contrast with recent experimental results obtained with the HBr ICP chemistry for which fairly stoichiometric surfaces have been obtained.« less

  12. Effect of etching parameters on antireflection properties of Si subwavelength grating structures for solar cell applications

    NASA Astrophysics Data System (ADS)

    Leem, J. W.; Song, Y. M.; Lee, Y. T.; Yu, J. S.

    2010-09-01

    Silicon (Si) subwavelength grating (SWG) structures were fabricated on Si substrates by holographic lithography and subsequent inductively coupled plasma (ICP) etching process using SiCl4 with or without Ar addition for solar cell applications. To ensure a good nanosized pattern transfer into the underlying Si layer, the etch selectivity of Si over the photoresist mask is optimized by varying the etching parameters, thus improving antireflection characteristics. For antireflection analysis of Si SWG surfaces, the optical reflectivity is measured experimentally and it is also calculated theoretically by a rigorous coupled-wave analysis. The reflectance depends on the height, period, and shape of two-dimensional periodic Si subwavelength structures, correlated with ICP etching parameters. The optimized Si SWG structure exhibits a dramatic decrease in optical reflection of the Si surface over a wide angle of incident light ( θ i ), i.e. less than 5% at wavelengths of 300-1100 nm, leading to good wide-angle antireflection characteristics (i.e. solar-weighted reflection of 1.7-4.9% at θ i <50°) of Si solar cells.

  13. Applications of MICP source for next-generation photomask process

    NASA Astrophysics Data System (ADS)

    Kwon, Hyuk-Joo; Chang, Byung-Soo; Choi, Boo-Yeon; Park, Kyung H.; Jeong, Soo-Hong

    2000-07-01

    As critical dimensions of photomask extends into submicron range, critical dimension uniformity, edge roughness, macro loading effect, and pattern slope become tighter than before. Fabrication of photomask relies on the ability to pattern features with anisotropic profile. To improve critical dimension uniformity, dry etcher is one of the solution and inductively coupled plasma (ICP) sources have become one of promising high density plasma sources for dry etcher. In this paper, we have utilized dry etcher system with multi-pole ICP source for Cr etch and MoSi etch and have investigated critical dimension uniformity, slope, and defects. We will present dry etch process data by process optimization of newly designed dry etcher system. The designed pattern area is 132 by 132 mm2 with 23 by 23 matrix test patterns. 3 (sigma) of critical dimension uniformity is below 12 nm at 0.8 - 3.0 micrometers . In most cases, we can obtain zero defect masks which is operated by face- down loading.

  14. Method validation for simultaneous determination of chromium, molybdenum and selenium in infant formulas by ICP-OES and ICP-MS.

    PubMed

    Khan, Naeem; Jeong, In Seon; Hwang, In Min; Kim, Jae Sung; Choi, Sung Hwa; Nho, Eun Yeong; Choi, Ji Yeon; Kwak, Byung-Man; Ahn, Jang-Hyuk; Yoon, Taehyung; Kim, Kyong Su

    2013-12-15

    This study aimed to validate the analytical method for simultaneous determination of chromium (Cr), molybdenum (Mo), and selenium (Se) in infant formulas available in South Korea. Various digestion methods of dry-ashing, wet-digestion and microwave were evaluated for samples preparation and both inductively coupled plasma optical emission spectrometry (ICP-OES) and inductively coupled plasma mass spectrometry (ICP-MS) were compared for analysis. The analytical techniques were validated by detection limits, precision, accuracy and recovery experiments. Results showed that wet-digestion and microwave methods were giving satisfactory results for sample preparation, while ICP-MS was found more sensitive and effective technique than ICP-OES. The recovery (%) of Se, Mo and Cr by ICP-OES were 40.9, 109.4 and 0, compared to 99.1, 98.7 and 98.4, respectively by ICP-MS. The contents of Cr, Mo and Se in infant formulas by ICP-MS were found in good nutritional values in accordance to nutrient standards for infant formulas CODEX values. Copyright © 2013 Elsevier Ltd. All rights reserved.

  15. Analysis of InP-based single photon avalanche diodes based on a single recess-etching process

    NASA Astrophysics Data System (ADS)

    Lee, Kiwon

    2018-04-01

    Effects of the different etching techniques have been investigated by analyzing electrical and optical characteristics of two-types of single-diffused single photon avalanche diodes (SPADs). The fabricated two-types of SPADs have no diffusion depth variation by using a single diffusion process at the same time. The dry-etched SPADs show higher temperature dependence of a breakdown voltage, larger dark-count-rate (DCR), and lower photon-detection-efficiency (PDE) than those of the wet-etched SPADs due to plasma-induced damage of dry-etching process. The results show that the dry etching damages can more significantly affect the performance of the SPADs based on a single recess-etching process.

  16. Simulation of SiO2 etching in an inductively coupled CF4 plasma

    NASA Astrophysics Data System (ADS)

    Xu, Qing; Li, Yu-Xing; Li, Xiao-Ning; Wang, Jia-Bin; Yang, Fan; Yang, Yi; Ren, Tian-Ling

    2017-02-01

    Plasma etching technology is an indispensable processing method in the manufacturing process of semiconductor devices. Because of the high fluorine/carbon ratio of CF4, the CF4 gas is often used for etching SiO2. A commercial software ESI-CFD is used to simulate the process of plasma etching with an inductively coupled plasma model. For the simulation part, CFD-ACE is used to simulate the chamber, and CFD-TOPO is used to simulate the surface of the sample. The effects of chamber pressure, bias voltage and ICP power on the reactant particles were investigated, and the etching profiles of SiO2 were obtained. Simulation can be used to predict the effects of reaction conditions on the density, energy and angular distributions of reactant particles, which can play a good role in guiding the etching process.

  17. Electronic-carrier-controlled photochemical etching process in semiconductor device fabrication

    DOEpatents

    Ashby, C.I.H.; Myers, D.R.; Vook, F.L.

    1988-06-16

    An electronic-carrier-controlled photochemical etching process for carrying out patterning and selective removing of material in semiconductor device fabrication includes the steps of selective ion implanting, photochemical dry etching, and thermal annealing, in that order. In the selective ion implanting step, regions of the semiconductor material in a desired pattern are damaged and the remainder of the regions of the material not implanted are left undamaged. The rate of recombination of electrons and holes is increased in the damaged regions of the pattern compared to undamaged regions. In the photochemical dry etching step which follows ion implanting step, the material in the undamaged regions of the semiconductor are removed substantially faster than in the damaged regions representing the pattern, leaving the ion-implanted, damaged regions as raised surface structures on the semiconductor material. After completion of photochemical dry etching step, the thermal annealing step is used to restore the electrical conductivity of the damaged regions of the semiconductor material.

  18. Electronic-carrier-controlled photochemical etching process in semiconductor device fabrication

    DOEpatents

    Ashby, Carol I. H.; Myers, David R.; Vook, Frederick L.

    1989-01-01

    An electronic-carrier-controlled photochemical etching process for carrying out patterning and selective removing of material in semiconductor device fabrication includes the steps of selective ion implanting, photochemical dry etching, and thermal annealing, in that order. In the selective ion implanting step, regions of the semiconductor material in a desired pattern are damaged and the remainder of the regions of the material not implanted are left undamaged. The rate of recombination of electrons and holes is increased in the damaged regions of the pattern compared to undamaged regions. In the photochemical dry etching step which follows ion implanting step, the material in the undamaged regions of the semiconductor are removed substantially faster than in the damaged regions representing the pattern, leaving the ion-implanted, damaged regions as raised surface structures on the semiconductor material. After completion of photochemical dry etching step, the thermal annealing step is used to restore the electrical conductivity of the damaged regions of the semiconductor material.

  19. Large-aperture focusing of x rays with micropore optics using dry etching of silicon wafers.

    PubMed

    Ezoe, Yuichiro; Moriyama, Teppei; Ogawa, Tomohiro; Kakiuchi, Takuya; Mitsuishi, Ikuyuki; Mitsuda, Kazuhisa; Aoki, Tatsuhiko; Morishita, Kohei; Nakajima, Kazuo

    2012-03-01

    Large-aperture focusing of Al K(α) 1.49 keV x-ray photons using micropore optics made from a dry-etched 4 in. (100 mm) silicon wafer is demonstrated. Sidewalls of the micropores are smoothed with high-temperature annealing to work as x-ray mirrors. The wafer is bent to a spherical shape to collect parallel x rays into a focus. Our result supports that this new type of optics allows for the manufacturing of ultralight-weight and high-performance x-ray imaging optics with large apertures at low cost. © 2012 Optical Society of America

  20. High precision AlGaAsSb ridge-waveguide etching by in situ reflectance monitored ICP-RIE

    NASA Astrophysics Data System (ADS)

    Tran, N. T.; Breivik, Magnus; Patra, S. K.; Fimland, Bjørn-Ove

    2014-05-01

    GaSb-based semiconductor diode lasers are promising candidates for light sources working in the mid-infrared wavelength region of 2-5 μm. Using edge emitting lasers with ridge-waveguide structure, light emission with good beam quality can be achieved. Fabrication of the ridge waveguide requires precise etch stop control for optimal laser performance. Simulation results are presented that show the effect of increased confinement in the waveguide when the etch depth is well-defined. In situ reflectance monitoring with a 675 nm-wavelength laser was used to determine the etch stop with high accuracy. Based on the simulations of laser reflectance from a proposed sample, the etching process can be controlled to provide an endpoint depth precision within +/- 10 nm.

  1. Mechanisms of Hydrocarbon Based Polymer Etch

    NASA Astrophysics Data System (ADS)

    Lane, Barton; Ventzek, Peter; Matsukuma, Masaaki; Suzuki, Ayuta; Koshiishi, Akira

    2015-09-01

    Dry etch of hydrocarbon based polymers is important for semiconductor device manufacturing. The etch mechanisms for oxygen rich plasma etch of hydrocarbon based polymers has been studied but the mechanism for lean chemistries has received little attention. We report on an experimental and analytic study of the mechanism for etching of a hydrocarbon based polymer using an Ar/O2 chemistry in a single frequency 13.56 MHz test bed. The experimental study employs an analysis of transients from sequential oxidation and Ar sputtering steps using OES and surface analytics to constrain conceptual models for the etch mechanism. The conceptual model is consistent with observations from MD studies and surface analysis performed by Vegh et al. and Oehrlein et al. and other similar studies. Parameters of the model are fit using published data and the experimentally observed time scales.

  2. Vertical Si nanowire arrays fabricated by magnetically guided metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Chun, Dong Won; Kim, Tae Kyoung; Choi, Duyoung; Caldwell, Elizabeth; Kim, Young Jin; Paik, Jae Cheol; Jin, Sungho; Chen, Renkun

    2016-11-01

    In this work, vertically aligned Si nanowire arrays were fabricated by magnetically guided metal-assisted directional chemical etching. Using an anodized aluminum oxide template as a shadow mask, nanoscale Ni dot arrays were fabricated on an Si wafer to serve as a mask to protect the Si during the etching. For the magnetically guided chemical etching, we deposited a tri-layer metal catalyst (Au/Fe/Au) in a Swiss-cheese configuration and etched the sample under the magnetic field to improve the directionality of the Si nanowire etching and increase the etching rate along the vertical direction. After the etching, the nanowires were dried with minimal surface-tension-induced aggregation by utilizing a supercritical CO2 drying procedure. High-resolution transmission electron microscopy (HR-TEM) analysis confirmed the formation of single-crystal Si nanowires. The method developed here for producing vertically aligned Si nanowire arrays could find a wide range of applications in electrochemical and electronic devices.

  3. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the

  4. High density plasma etching of magnetic devices

    NASA Astrophysics Data System (ADS)

    Jung, Kee Bum

    Magnetic materials such as NiFe (permalloy) or NiFeCo are widely used in the data storage industry. Techniques for submicron patterning are required to develop next generation magnetic devices. The relative chemical inertness of most magnetic materials means they are hard to etch using conventional RIE (Reactive Ion Etching). Therefore ion milling has generally been used across the industry, but this has limitations for magnetic structures with submicron dimensions. In this dissertation, we suggest high density plasmas such as ECR (Electron Cyclotron Resonance) and ICP (Inductively Coupled Plasma) for the etching of magnetic materials (NiFe, NiFeCo, CoFeB, CoSm, CoZr) and other related materials (TaN, CrSi, FeMn), which are employed for magnetic devices like magnetoresistive random access memories (MRAM), magnetic read/write heads, magnetic sensors and microactuators. This research examined the fundamental etch mechanisms occurring in high density plasma processing of magnetic materials by measuring etch rate, surface morphology and surface stoichiometry. However, one concern with using Cl2-based plasma chemistry is the effect of residual chlorine or chlorinated etch residues remaining on the sidewalls of etched features, leading to a degradation of the magnetic properties. To avoid this problem, we employed two different processing methods. The first one is applying several different cleaning procedures, including de-ionized water rinsing or in-situ exposure to H2, O2 or SF6 plasmas. Very stable magnetic properties were achieved over a period of ˜6 months except O2 plasma treated structures, with no evidence of corrosion, provided chlorinated etch residues were removed by post-etch cleaning. The second method is using non-corrosive gas chemistries such as CO/NH3 or CO2/NH3. There is a small chemical contribution to the etch mechanism (i.e. formation of metal carbonyls) as determined by a comparison with Ar and N2 physical sputtering. The discharge should be NH3

  5. Isotropic plasma etching of Ge Si and SiN x films

    DOE PAGES

    Henry, Michael David; Douglas, Erica Ann

    2016-08-31

    This study reports on selective isotropic dry etching of chemically vapor deposited (CVD) Ge thin film, release layers using a Shibaura chemical downstream etcher (CDE) with NF 3 and Ar based plasma chemistry. Relative etch rates between Ge, Si and SiN x are described with etch rate reductions achieved by adjusting plasma chemistry with O 2. Formation of oxides reducing etch rates were measured for both Ge and Si, but nitrides or oxy-nitrides created using direct injection of NO into the process chamber were measured to increase Si and SiN x etch rates while retarding Ge etching.

  6. Single-crystal silicon trench etching for fabrication of highly integrated circuits

    NASA Astrophysics Data System (ADS)

    Engelhardt, Manfred

    1991-03-01

    The development of single crystal silicon trench etching for fabrication of memory cells in 4 16 and 64Mbit DRAMs is reviewed in this paper. A variety of both etch tools and process gases used for the process development is discussed since both equipment and etch chemistry had to be improved and changed respectively to meet the increasing requirements for high fidelity pattern transfer with increasing degree of integration. In additon to DRAM cell structures etch results for deep trench isolation in advanced bipolar ICs and ASICs are presented for these applications grooves were etched into silicon through a highly doped buried layer and at the borderline of adjacent p- and n-well areas respectively. Shallow trench etching of large and small exposed areas with identical etch rates is presented as an approach to replace standard LOCOS isolation by an advanced isolation technique. The etch profiles were investigated with SEM TEM and AES to get information on contathination and damage levels and on the mechanism leading to anisotropy in the dry etch process. Thermal wave measurements were performed on processed single crystal silicon substrates for a fast evaluation of the process with respect to plasma-induced substrate degradation. This useful technique allows an optimization ofthe etch process regarding high electrical performance of the fully processed memory chip. The benefits of the use of magnetic fields for the development of innovative single crystal silicon dry

  7. Influence of warm air-drying on enamel bond strength and surface free-energy of self-etch adhesives.

    PubMed

    Shiratsuchi, Koji; Tsujimoto, Akimasa; Takamizawa, Toshiki; Furuichi, Tetsuya; Tsubota, Keishi; Kurokawa, Hiroyasu; Miyazaki, Masashi

    2013-08-01

    We examined the effect of warm air-drying on the enamel bond strengths and the surface free-energy of three single-step self-etch adhesives. Bovine mandibular incisors were mounted in self-curing resin and then wet ground with #600 silicon carbide (SiC) paper. The adhesives were applied according to the instructions of the respective manufacturers and then dried in a stream of normal (23°C) or warm (37°C) air for 5, 10, and 20 s. After visible-light irradiation of the adhesives, resin composites were condensed into a mold and polymerized. Ten samples per test group were stored in distilled water at 37°C for 24 h and then the bond strengths were measured. The surface free-energies were determined by measuring the contact angles of three test liquids placed on the cured adhesives. The enamel bond strengths varied according to the air-drying time and ranged from 15.8 to 19.1 MPa. The trends for the bond strengths were different among the materials. The value of the γS⁺ component increased slightly when drying was performed with a stream of warm air, whereas that of the γS⁻ component decreased significantly. These data suggest that warm air-drying is essential to obtain adequate enamel bond strengths, although increasing the drying time did not significantly influence the bond strength. © 2013 Eur J Oral Sci.

  8. Inorganic Bi/In thermal resist as a high-etch-ratio patterning layer for CF4/CHF3/O2 plasma etch

    NASA Astrophysics Data System (ADS)

    Tu, Yuqiang; Chapman, Glenn H.; Peng, Jun

    2004-05-01

    Bimetallic thin films containing indium and with low eutectic points, such as Bi/In, have been found to form highly sensitive thermal resists. They can be exposed by lasers with a wide range of wavelengths and be developed by diluted RCA2 solutions. The exposed bimetallic resist Bi/In can work as an etch masking layer for alkaline-based (KOH, TMAH and EDP) "wet" Si anisotropic etching. Current research shows that it can also act as a patterning and masking layer for Si and SiO2 plasma "dry" etch using CF4/CHF3. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In, indicating that laser exposure is an oxidation process. Experiment result shows that single metal Indium film and bilayer Sn/In exhibit thermal resist characteristics but at higher exposure levels. They can be developed in diluted RCA2 solution and used as etch mask layers for Si anisotropic etch and plasma etch.

  9. Microleakage of self-etching sealant on noncontaminated and saliva-contaminated enamel.

    PubMed

    2011-01-01

    The purpose of this study was to compare the microleakage of a self-etching sealant with a traditional phosphoric acid-etched sealant under noncontaminated and saliva-contaminated conditions. Fifty-two sound extracted human molars were randomly divided into 4 groups (N=13). Teeth in Groups 1 and 2 were cleaned with pumice, etched with phosphoric acid, rinsed, coated with a drying agent, placed with sealants (UltraSeal XT Plus), and light cured. Teeth in Groups 3 and 4 were cleaned with a proprietary flour pumice and rinsed prior to being sealed with a self-etching sealant (Enamel Loc). Teeth in Groups 2 and 4 were contaminated with saliva and thoroughly air-dried prior to the sealant placement. All teeth were subjected to a thermocycling process, stained with silver nitrate, and sectioned, and images of the sealant on the occlusal surface were recorded. Microleakage distance was measured in millimeters and subjected to a 2-way analysis of variance. Significantly larger microleakage distances were found for the self-etching sealant vs the traditional sealant (P<.001). Saliva contamination did not significantly affect the microleakage distance (P<.17). Under the conditions used in this in vitro study, the self-etching sealant, regardless of contamination condition, had extensive microleakage distances vs. little microleakage in the traditional phosphoric acid-etched sealant.

  10. Lithography-free glass surface modification by self-masking during dry etching

    NASA Astrophysics Data System (ADS)

    Hein, Eric; Fox, Dennis; Fouckhardt, Henning

    2011-01-01

    Glass surface morphologies with defined shapes and roughness are realized by a two-step lithography-free process: deposition of an ~10-nm-thin lithographically unstructured metallic layer onto the surface and reactive ion etching in an Ar/CF4 high-density plasma. Because of nucleation or coalescence, the metallic layer is laterally structured during its deposition. Its morphology exhibits islands with dimensions of several tens of nanometers. These metal spots cause a locally varying etch velocity of the glass substrate, which results in surface structuring. The glass surface gets increasingly rougher with further etching. The mechanism of self-masking results in the formation of surface structures with typical heights and lateral dimensions of several hundred nanometers. Several metals, such as Ag, Al, Au, Cu, In, and Ni, can be employed as the sacrificial layer in this technology. Choice of the process parameters allows for a multitude of different glass roughness morphologies with individual defined and dosed optical scattering.

  11. Effect of the addition of SF6 and N2 in inductively coupled SiCl4 plasma for GaN etching

    NASA Astrophysics Data System (ADS)

    Oubensaid, E. H.; Duluard, C. Y.; Pichon, L. E.; Pereira, J.; Boufnichel, M.; Lefaucheux, P.; Dussart, R.; Ranson, P.

    2009-07-01

    The GaN etching by SiCl4 plasma is considered in an ICP tool. By respecting some material limitations, it has been possible to etch the gallium nitride in pure SiCl4 plasma, with an etch rate of 19 nm min-1. This result is comparable to other reported results. Thereafter, the combination of SiCl4 with SF6 and N2 was tested in order to increase the etch rate. The addition of SF6 in the plasma has enabled us to reach an etch rate of 53 nm min-1. However, best results were obtained with the addition of N2, with an increase of the etch rate by a factor of 6. Mass spectrometry was also performed in order to determine the effects of the additional gases. The surface morphology of the GaN was also analysed by scanning electron microscope after etching.

  12. Dry etching of copper phthalocyanine thin films: effects on morphology and surface stoichiometry.

    PubMed

    Van Dijken, Jaron G; Brett, Michael J

    2012-08-24

    We investigate the evolution of copper phthalocyanine thin films as they are etched with argon plasma. Significant morphological changes occur as a result of the ion bombardment; a planar surface quickly becomes an array of nanopillars which are less than 20 nm in diameter. The changes in morphology are independent of plasma power, which controls the etch rate only. Analysis by X-ray photoelectron spectroscopy shows that surface concentrations of copper and oxygen increase with etch time, while carbon and nitrogen are depleted. Despite these changes in surface stoichiometry, we observe no effect on the work function. The absorbance and X-ray diffraction spectra show no changes other than the peaks diminishing with etch time. These findings have important implications for organic photovoltaic devices which seek nanopillar thin films of metal phthalocyanine materials as an optimal structure.

  13. High-aspect ratio micro- and nanostructures enabled by photo-electrochemical etching for sensing and energy harvesting applications

    NASA Astrophysics Data System (ADS)

    Alhalaili, Badriyah; Dryden, Daniel M.; Vidu, Ruxandra; Ghandiparsi, Soroush; Cansizoglu, Hilal; Gao, Yang; Saif Islam, M.

    2018-03-01

    Photo-electrochemical (PEC) etching can produce high-aspect ratio features, such as pillars and holes, with high anisotropy and selectivity, while avoiding the surface and sidewall damage caused by traditional deep reactive ion etching (DRIE) or inductively coupled plasma (ICP) RIE. Plasma-based techniques lead to the formation of dangling bonds, surface traps, carrier leakage paths, and recombination centers. In pursuit of effective PEC etching, we demonstrate an optical system using long wavelength (λ = 975 nm) infra-red (IR) illumination from a high-power laser (1-10 W) to control the PEC etching process in n-type silicon. The silicon wafer surface was patterned with notches through a lithography process and KOH etching. Then, PEC etching was introduced by illuminating the backside of the silicon wafer to enhance depth, resulting in high-aspect ratio structures. The effect of the PEC etching process was optimized by varying light intensities and electrolyte concentrations. This work was focused on determining and optimizing this PEC etching technique on silicon, with the goal of expanding the method to a variety of materials including GaN and SiC that are used in designing optoelectronic and electronic devices, sensors and energy harvesting devices.

  14. Interactions of chlorine plasmas with silicon chloride-coated reactor walls during and after silicon etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Khare, Rohit; Srivastava, Ashutosh; Donnelly, Vincent M.

    2012-09-15

    The interplay between chlorine inductively coupled plasmas (ICP) and reactor walls coated with silicon etching products has been studied in situ by Auger electron spectroscopy and line-of-sight mass spectrometry using the spinning wall method. A bare silicon wafer mounted on a radio frequency powered electrode (-108 V dc self-bias) was etched in a 13.56 MHz, 400 W ICP. Etching products, along with some oxygen due to erosion of the discharge tube, deposit a Si-oxychloride layer on the plasma reactor walls, including the rotating substrate surface. Without Si-substrate bias, the layer that was previously deposited on the walls with Si-substrate biasmore » reacts with Cl-atoms in the chlorine plasma, forming products that desorb, fragment in the plasma, stick on the spinning wall and sometimes react, and then desorb and are detected by the mass spectrometer. In addition to mass-to-charge (m/e) signals at 63, 98, 133, and 168, corresponding to SiCl{sub x} (x = 1 - 4), many Si-oxychloride fragments with m/e = 107, 177, 196, 212, 231, 247, 275, 291, 294, 307, 329, 345, 361, and 392 were also observed from what appear to be major products desorbing from the spinning wall. It is shown that the evolution of etching products is a complex 'recycling' process in which these species deposit and desorb from the walls many times, and repeatedly fragment in the plasma before being detected by the mass spectrometer. SiCl{sub 3} sticks on the walls and appears to desorb for at least milliseconds after exposure to the chlorine plasma. Notably absent are signals at m/e = 70 and 72, indicating little or no Langmuir-Hinshelwood recombination of Cl on this surface, in contrast to previous studies done in the absence of Si etching.« less

  15. Predictions of ion energy distributions and radical fluxes in radio frequency biased inductively coupled plasma etching reactors

    NASA Astrophysics Data System (ADS)

    Hoekstra, Robert J.; Kushner, Mark J.

    1996-03-01

    Inductively coupled plasma (ICP) reactors are being developed for low gas pressure (<10s mTorr) and high plasma density ([e]≳1011 cm-3) microelectronics fabrication. In these reactors, the plasma is generated by the inductively coupled electric field while an additional radio frequency (rf) bias is applied to the substrate. One of the goals of these systems is to independently control the magnitude of the ion flux by the inductively coupled power deposition, and the acceleration of ions into the substrate by the rf bias. In high plasma density reactors the width of the sheath above the wafer may be sufficiently thin that ions are able to traverse it in approximately 1 rf cycle, even at 13.56 MHz. As a consequence, the ion energy distribution (IED) may have a shape typically associated with lower frequency operation in conventional reactive ion etching tools. In this paper, we present results from a computer model for the IED incident on the wafer in ICP etching reactors. We find that in the parameter space of interest, the shape of the IED depends both on the amplitude of the rf bias and on the ICP power. The former quantity determines the average energy of the IED. The latter quantity controls the width of the sheath, the transit time of ions across the sheath and hence the width of the IED. In general, high ICP powers (thinner sheaths) produce wider IEDs.

  16. Etching in Chlorine Discharges Using an Integrated Feature Evolution-Plasma Model

    NASA Technical Reports Server (NTRS)

    Hwang, Helen H.; Bose, Deepak; Govindan, T. R.; Meyyappan, M.; Biegel, Bryan (Technical Monitor)

    2001-01-01

    Etching of semiconductor materials is reliant on plasma properties. Quantities such as ion and neutral fluxes, both in magnitude and in direction, are often determined by reactor geometry (height, radius, position of the coils, etc.) In order to obtain accurate etching profiles, one must also model the plasma as a whole to obtain local fluxes and distributions. We have developed a set of three models that simulates C12 plasmas for etching of silicon, ion and neutral trajectories in the plasma, and feature profile evolution. We have found that the location of the peak in the ion densities in the reactor plays a major role in determining etching uniformity across the wafer. For a stove top coil inductively coupled plasma (ICP), the ion density is peaked at the top of the reactor. This leads to nearly uniform neutral and ion fluxes across the wafer. A side coil configuration causes the ion density to peak near the sidewalls. Ion fluxes are thus greater toward the wall's and decrease toward the center. In addition, the ions bombard the wafer at a slight angle. This angle is sufficient to cause slanted profiles, which is highly undesirable.

  17. Ultradeep electron cyclotron resonance plasma etching of GaN

    DOE PAGES

    Harrison, Sara E.; Voss, Lars F.; Torres, Andrea M.; ...

    2017-07-25

    Here, ultradeep (≥5 μm) electron cyclotron resonance plasma etching of GaN micropillars was investigated. Parametric studies on the influence of the applied radio-frequency power, chlorine content in a Cl 2/Ar etch plasma, and operating pressure on the etch depth, GaN-to-SiO 2 selectivity, and surface morphology were performed. Etch depths of >10 μm were achieved over a wide range of parameters. Etch rates and sidewall roughness were found to be most sensitive to variations in RF power and % Cl 2 in the etch plasma. Selectivities of >20:1 GaN:SiO 2 were achieved under several chemically driven etch conditions where a maximummore » selectivity of ~39:1 was obtained using a 100% Cl 2 plasma. The etch profile and (0001) surface morphology were significantly influenced by operating pressure and the chlorine content in the plasma. Optimized etch conditions yielded >10 μm tall micropillars with nanometer-scale sidewall roughness, high GaN:SiO 2 selectivity, and nearly vertical etch profiles. These results provide a promising route for the fabrication of ultradeep GaN microstructures for use in electronic and optoelectronic device applications. In addition, dry etch induced preferential crystallographic etching in GaN microstructures is also demonstrated, which may be of great interest for applications requiring access to non- or semipolar GaN surfaces.« less

  18. Fabrication of ultra-high aspect ratio (>160:1) silicon nanostructures by using Au metal assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Li, Hailiang; Ye, Tianchun; Shi, Lina; Xie, Changqing

    2017-12-01

    We present a facile and effective approach for fabricating high aspect ratio, dense and vertical silicon nanopillar arrays, using a combination of metal etching following electron-beam lithography and Au metal assisted chemical etching (MacEtch). Ti/Au nanostructures used as catalysts in MacEtch are formed by single layer resist-based electron-beam exposure followed by ion beam etching. The effects of MacEtch process parameters, including half period, etching time, the concentrations of H2O2 and HF, etching temperature and drying method are systematically investigated. Especially, we demonstrate an enhancement of etching quality by employing cold MacEtch process, and an enhancement in preventing the collapse of high aspect ratio nanostructures by employing low surface tension rinse liquid and natural evaporation in the drying stage. Using an optimized MacEtch process, vertical silicon nanopillar arrays with a period of 250 nm and aspect ratio up to 160:1 are realized. Our results should be instructive for exploring the achievable aspect ratio limit in silicon nanostructures and may find potential applications in photovoltaic devices, thermoelectric devices and x-ray diffractive optics.

  19. Cryo-Etched Black Silicon for Use as Optical Black

    NASA Technical Reports Server (NTRS)

    Yee, Karl Y.; White, Victor E.; Mouroulis, Pantazis; Eastwood, Michael L.

    2011-01-01

    Stray light reflected from the surface of imaging spectrometer components in particular, the spectrometer slit degrade the image quality. A technique has been developed for rapid, uniform, and cost-effective black silicon formation based on inductively coupled plasma (ICP) etching at cryogenic temperatures. Recent measurements show less than 1-percent total reflectance from 350 2,500 nm of doped black silicon formed in this way, making it an excellent option for texturing of component surfaces for reduction of stray light. Oxygen combines with SF6 + Si etch byproducts to form a passivation layer atop the Si when the etch is performed at cryogenic temperatures. Excess flow of oxygen results in micromasking and the formation of black silicon. The process is repeatable and reliable, and provides control over etch depth and sidewall profile. Density of the needles can be controlled to some extent. Regions to be textured can be patterned lithographically. Adhesion is not an issue as the nanotips are part of the underlying substrate. This is in contrast to surface growth/deposition techniques such as carbon nanotubes (CNTs). The black Si surface is compatible with wet processing, including processing with solvents, the textured surface is completely inorganic, and it does not outgas. In radiometry applications, optical absorbers are often constructed using gold black or CNTs. This black silicon technology is an improvement for these types of applications.

  20. In vivo effect of a self-etching primer on dentin.

    PubMed

    Milia, E; Lallai, M R; García-Godoy, F

    1999-08-01

    To determine the ultrastructural aspects of the dentin collagen area in the cavity preparation floor produced in vivo after phosphoric acid acid-etching or after using Clearfil Liner Bond 2 self-etching primer (LB2 Primer). Twenty-four non-carious third molars scheduled for extraction from young adult patients (16-30 years old) were used. Conventional Class I cavities (+/- 2 mm deep) were prepared on the occlusal surfaces of all teeth using a cylindrical diamond bur on a high-speed handpiece with copious water spray. To avoid dehydration of the dentin, the smear layer-covered dentin was briefly air-dried for 2 seconds. Cavities were assigned at random to the following groups: Group A: Dentin etched for 15 seconds with 34% phosphoric acid, rinsed for 20 seconds and then briefly air-dried for 2 seconds with oil-free compressed air leaving the surfaces slightly moist. Group B: LB2 Primer was applied to the cavity surfaces for 30 seconds and then briefly air-dried to remove the solvent. Group C: The untreated dentin smear layer was used as a control. In all three groups, the cavities were filled incrementally with a resin-based composite (APX), light curing every increment for 40 seconds. After 30 minutes, the teeth were extracted atraumatically and the samples immediately prepared for evaluation with the transmission electron microscope. The use of a self-etching primer did not produce significant morphological changes in the moist dentin substrate. Adverse morphological conditions where observed when there was an excess water on the dentin surface. Phosphoric acid altered the collagen more severely than the self-etching primer.

  1. A self-aligned dry etching method for mechanical strain enhancement of germanium and its uniformity improvement for photonic applications

    NASA Astrophysics Data System (ADS)

    Lin, Yiding; Ma, Danhao; Lee, Kwang Hong; Michel, Jurgen; Tan, Chuan Seng

    2018-02-01

    A self-aligned dry etching method was proposed and verified theoretically to enhance the magnitude and simultaneously improve the uniformity of the tensile strain in a germanium (Ge) wave-guide (WG), with the help of tensile-stressed SiN stressor at the WG sidewalls. The SiN-strained germanium-on-insulator (GOI) WG was also experimentally demonstrated. Significant tensile strain was observed in the Ge material via micro-Raman measurements. This method could potentially facilitate a Ge photodetector with its optical detection range extended further towards longer wavelength and to be comparable with that of state-of-the-art InGaAs detectors.

  2. Association between ICP pulse waveform morphology and ICP B waves.

    PubMed

    Kasprowicz, Magdalena; Bergsneider, Marvin; Czosnyka, Marek; Hu, Xiao

    2012-01-01

    The study aimed to investigate changes in the shape of ICP pulses associated with different patterns of the ICP slow waves (0.5-2.0 cycles/min) during ICP overnight monitoring in hydrocephalus. Four patterns of ICP slow waves were characterized in 44 overnight ICP recordings (no waves - NW, slow symmetrical waves - SW, slow asymmetrical waves - AS, slow waves with plateau phase - PW). The morphological clustering and analysis of ICP pulse (MOCAIP) algorithm was utilized to calculate a set of metrics describing ICP pulse morphology based on the location of three sub-peaks in an ICP pulse: systolic peak (P(1)), tidal peak (P(2)) and dicrotic peak (P(3)). Step-wise discriminant analysis was applied to select the most characteristic morphological features to distinguish between different ICP slow waves. Based on relative changes in variability of amplitudes of P(2) and P(3) we were able to distinguish between the combined groups NW + SW and AS + PW (p < 0.000001). The AS pattern can be differentiated from PW based on respective changes in the mean curvature of P(2) and P(3) (p < 0.000001); however, none of the MOCAIP feature separates between NW and SW. The investigation of ICP pulse morphology associated with different ICP B waves may provide additional information for analysing recordings of overnight ICP.

  3. Resistless lithography - selective etching of silicon with gallium doping regions

    NASA Astrophysics Data System (ADS)

    Abdullaev, D.; Milovanov, R.; Zubov, D.

    2016-12-01

    This paper presents the results for used of resistless lithography with a further reactive-ion etching (RIE) in various chemistry after local (Ga+) implantation of silicon with different doping dose and different size doped regions. We describe the different etching regimes for pattern transfer of FIB implanted Ga masks in silicon. The paper studied the influence of the implantation dose on the silicon surface, the masking effect and the mask resistance to erosion at dry etching. Based on these results we conclude about the possibility of using this method to create micro-and nanoscale silicon structures.

  4. Correlation between border traps and exposed surface properties in gate recessed normally-off Al2O3/GaN MOSFET

    NASA Astrophysics Data System (ADS)

    Yin, Ruiyuan; Li, Yue; Sun, Yu; Wen, Cheng P.; Hao, Yilong; Wang, Maojun

    2018-06-01

    We report the effect of the gate recess process and the surface of as-etched GaN on the gate oxide quality and first reveal the correlation between border traps and exposed surface properties in normally-off Al2O3/GaN MOSFET. The inductively coupled plasma (ICP) dry etching gate recess with large damage presents a rough and active surface that is prone to form detrimental GaxO validated by atomic force microscopy and X-ray photoelectron spectroscopy. Lower drain current noise spectral density of the 1/f form and less dispersive ac transconductance are observed in GaN MOSFETs fabricated with oxygen assisted wet etching compared with devices based on ICP dry etching. One decade lower density of border traps is extracted in devices with wet etching according to the carrier number fluctuation model, which is consistent with the result from the ac transconductance method. Both methods show that the density of border traps is skewed towards the interface, indicating that GaxO is of higher trap density than the bulk gate oxide. GaxO located close to the interface is the major location of border traps. The damage-free oxidation assisted wet etching gate recess technique presents a relatively smooth and stable surface, resulting in lower border trap density, which would lead to better MOS channel quality and improved device reliability.

  5. GaN MOSFET with Boron Trichloride-Based Dry Recess Process

    NASA Astrophysics Data System (ADS)

    Jiang, Y.; Wang, Q. P.; Tamai, K.; Miyashita, T.; Motoyama, S.; Wang, D. J.; Ao, J. P.; Ohno, Y.

    2013-06-01

    The dry recessed-gate GaN metal-oxide-semiconductor field-effect transistors (MOSFETs) on AlGaN/GaN heterostructure using boron trichloride (BCl3) as etching gas were fabricated and characterized. Etching with different etching power was conducted. Devices with silicon tetrachloride (SiCl4) etching gas were also prepared for comparison. Field-effect mobility and interface state density were extracted from current-voltage (I-V) characteristics. GaN MOSFETs on AlGaN/GaN heterostructure with BCl3 based dry recess achieved a high maximum electron mobility of 141.5 cm2V-1s-1 and a low interface state density.

  6. Measurement of technetium-99 in Marshall Islands soil samples by ICP-MS

    PubMed

    Tagami; Uchida; Hamilton; Robison

    2000-07-01

    Extraction techniques for recovery of technetium-99 (99Tc) for Inductively Coupled Plasma Mass Spectrometry (ICP-MS) measurements were evaluated using soil samples collected from the Marshall Islands. The results of three different extraction techniques were compared: (MI) acid leaching of Tc from ashed soil; (M2) acid leaching of Tc from raw dry soil; and (M3) Tc volatilization from ashed soil using a combustion apparatus. Total Tc recoveries varied considerably between the extraction techniques but each method yielded similar analytical results for 99Tc. Applications of these extraction techniques to a series of environmental samples and ICP-MS measurements have yielded first data on the 99Tc content of Marshall Islands soil samples contaminated with close-in radioactive fallout from nuclear weapons testing. The 99Tc activity concentration in the soil samples ranged between 0.1 and 1.1 mBq g(-1) dry weight (dw). The limit of detection for 99Tc by ICP-MS was 0.17 mBq per sample or 0.014 mBq g(-1) dw under standard operating conditions.

  7. Plasma etching of polymers like SU8 and BCB

    NASA Astrophysics Data System (ADS)

    Mischke, Helge; Gruetzner, Gabi; Shaw, Mark

    2003-01-01

    Polymers with high viscosity, like SU8 and BCB, play a dominant role in MEMS application. Their behavior in a well defined etching plasma environment in a RIE mode was investigated. The 40.68 MHz driven bottom electrode generates higher etch rates combined with much lower bias voltages by a factor of ten or a higher efficiency of the plasma with lower damaging of the probe material. The goal was to obtain a well-defined process for the removal and structuring of SU8 and BCB using fluorine/oxygen chemistry, defined using variables like electron density and collision rate. The plasma parameters are measured and varied using a production proven technology called SEERS (Self Excited Electron Resonance Spectroscopy). Depending on application and on Polymer several metals are possible (e.g., gold, aluminum). The characteristic of SU8 and BCB was examined in the case of patterning by dry etching in a CF4/O2 chemistry. Etch profile and etch rate correlate surprisingly well with plasma parameters like electron density and electron collision rate, thus allowing to define to adjust etch structure in situ with the help of plasma parameters.

  8. Etch challenges for DSA implementation in CMOS via patterning

    NASA Astrophysics Data System (ADS)

    Pimenta Barros, P.; Barnola, S.; Gharbi, A.; Argoud, M.; Servin, I.; Tiron, R.; Chevalier, X.; Navarro, C.; Nicolet, C.; Lapeyre, C.; Monget, C.; Martinez, E.

    2014-03-01

    This paper reports on the etch challenges to overcome for the implementation of PS-b-PMMA block copolymer's Directed Self-Assembly (DSA) in CMOS via patterning level. Our process is based on a graphoepitaxy approach, employing an industrial PS-b-PMMA block copolymer (BCP) from Arkema with a cylindrical morphology. The process consists in the following steps: a) DSA of block copolymers inside guiding patterns, b) PMMA removal, c) brush layer opening and finally d) PS pattern transfer into typical MEOL or BEOL stacks. All results presented here have been performed on the DSA Leti's 300mm pilot line. The first etch challenge to overcome for BCP transfer involves in removing all PMMA selectively to PS block. In our process baseline, an acetic acid treatment is carried out to develop PMMA domains. However, this wet development has shown some limitations in terms of resists compatibility and will not be appropriated for lamellar BCPs. That is why we also investigate the possibility to remove PMMA by only dry etching. In this work the potential of a dry PMMA removal by using CO based chemistries is shown and compared to wet development. The advantages and limitations of each approach are reported. The second crucial step is the etching of brush layer (PS-r-PMMA) through a PS mask. We have optimized this step in order to preserve the PS patterns in terms of CD, holes features and film thickness. Several integrations flow with complex stacks are explored for contact shrinking by DSA. A study of CD uniformity has been addressed to evaluate the capabilities of DSA approach after graphoepitaxy and after etching.

  9. A Transport Model for Non-Local Heating of Electrons in ICP Reactors

    NASA Technical Reports Server (NTRS)

    Chang, C. H.; Bose, Deepak; Arnold, James O. (Technical Monitor)

    1998-01-01

    A new model has been developed for non-local heating of electrons in ICP reactors, based on a hydrodynamic approach. The model has been derived using the electron momentum conservation in azimuthal direction with electromagnetic and frictional forces respectively as driving force and damper of harmonic oscillatory motion of electrons. The resulting transport equations include the convection of azimuthal electron momentum in radial and axial directions, thereby accounting for the non-local effects. The azimuthal velocity of electrons and the resulting electrical current are coupled to the Maxwell's relations, thus forming a self-consistent model for non-local heating. This model is being implemented along with a set of Navier-Stokes equations for plasma dynamics and gas flow to simulate low-pressure (few mTorr's) ICP discharges. Characteristics of nitrogen plasma in a TCP 300mm etch reactor is being studied. The results will be compared against the available Langmuir probe measurements.

  10. A transport model for non-local heating of electrons in ICP reactors

    NASA Astrophysics Data System (ADS)

    Chang, C. H.; Bose, Deepak

    1998-10-01

    A new model has been developed for non-local heating of electrons in ICP reactors, based on a hydrodynamic approach. The model has been derived using the electron momentum conservation in azimuthal direction with electromagnetic and frictional forces respectively as driving force and damper of harmonic oscillatory motion of electrons. The resulting transport equations include the convection of azimuthal electron momentum in radial and axial directions, thereby accounting for the non-local effects. The azimuthal velocity of electrons and the resulting electrical current are coupled to the Maxwell's relations, thus forming a self-consistent model for non-local heating. This model is being implemented along with a set of Navier-Stokes equations for plasma dynamics and gas flow to simulate low-pressure (few mTorr's) ICP discharges. Characteristics of nitrogen plasma in a TCP 300mm etch reactor is being studied. The results will be compared against the available Langmuir probe measurements [Collison et al. JVST-A 16(1),1998].

  11. Inductively Coupled Plasma and Electron Cyclotron Resonance Plasma Etching of InGaAlP Compound Semiconductor System

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Abernathy, C.R.; Hobson, W.S.; Hong, J.

    1998-11-04

    Current and future generations of sophisticated compound semiconductor devices require the ability for submicron scale patterning. The situation is being complicated since some of the new devices are based on a wider diversity of materials to be etched. Conventional IUE (Reactive Ion Etching) has been prevalent across the industry so far, but has limitations for materials with high bond strengths or multiple elements. IrI this paper, we suggest high density plasmas such as ECR (Electron Cyclotron Resonance) and ICP (Inductively Coupled Plasma), for the etching of ternary compound semiconductors (InGaP, AIInP, AlGaP) which are employed for electronic devices like heterojunctionmore » bipolar transistors (HBTs) or high electron mobility transistors (HEMTs), and photonic devices such as light-emitting diodes (LEDs) and lasers. High density plasma sources, opeiating at lower pressure, are expected to meet target goals determined in terms of etch rate, surface morphology, surface stoichiometry, selectivity, etc. The etching mechanisms, which are described in this paper, can also be applied to other III-V (GaAs-based, InP-based) as well as III-Nitride since the InGaAIP system shares many of the same properties.« less

  12. Micro-pyramidal structure fabrication on polydimethylsiloxane (PDMS) by Si (100) KOH wet etching

    NASA Astrophysics Data System (ADS)

    Hwang, Shinae; Lim, Kyungsuk; Shin, Hyeseon; Lee, Seongjae; Jang, Moongyu

    2017-10-01

    A high degree of accuracy in bulk micromachining is essential to fabricate micro-electro-mechanical systems (MEMS) devices. A series of etching experiments is carried out using 40 wt% KOH solutions at the constant temperature of 70 °C. Before wet etching, SF6 and O2 are used as the dry etching gas to etch the masking layers of a 100 nm thick Si3N4 and SiO2, respectively. The experimental results indicate that (100) silicon wafer form the pyramidal structures with (111) single crystal planes. All the etch profiles are analyzed using Scanning Electron Microscope (SEM) and the wet etch rates depend on the opening sizes. The manufactured pyramidal structures are used as the pattern of silicon mold. After a short hardening of coated polydimethylsiloxane (PDMS) layer, micro pyramidal structures are easily transferred to PDMS layer.

  13. Total etch technique and cavity isolation.

    PubMed

    Fusayama, T

    1992-01-01

    In the total etch technique for chemically adhesive composite restorations, the phosphoric acid penetrates only 10 microns or less into the vital dentin with the dentinal tubules being filled with the odontoblast processes. The acid is completely removed by subsequent air-water jet spray washing. The tubule apertures are perfectly sealed by the protective bonding agent layer with the resin tags adhering to the tubule walls and the resin-impregnated dentin surface. Isolation of the cavity from moisture contamination is required for only less than a few seconds after drying the etched cavity until the bonding agent coating and after this coating until the composite resin placement. Such a short time for isolation is quite easy even without a rubber dam when a trained assistant is cooperating.

  14. Optoelectronic properties of Black-Silicon generated through inductively coupled plasma (ICP) processing for crystalline silicon solar cells

    NASA Astrophysics Data System (ADS)

    Hirsch, Jens; Gaudig, Maria; Bernhard, Norbert; Lausch, Dominik

    2016-06-01

    The optoelectronic properties of maskless inductively coupled plasma (ICP) generated black silicon through SF6 and O2 are analyzed by using reflection measurements, scanning electron microscopy (SEM) and quasi steady state photoconductivity (QSSPC). The results are discussed and compared to capacitively coupled plasma (CCP) and industrial standard wet chemical textures. The ICP process forms parabolic like surface structures in a scale of 500 nm. This surface structure reduces the average hemispherical reflection between 300 and 1120 nm up to 8%. Additionally, the ICP texture shows a weak increase of the hemispherical reflection under tilted angles of incidence up to 60°. Furthermore, we report that the ICP process is independent of the crystal orientation and the surface roughness. This allows the texturing of monocrystalline, multicrystalline and kerf-less wafers using the same parameter set. The ICP generation of black silicon does not apply a self-bias on the silicon sample. Therefore, the silicon sample is exposed to a reduced ion bombardment, which reduces the plasma induced surface damage. This leads to an enhancement of the effective charge carrier lifetime up to 2.5 ms at 1015 cm-3 minority carrier density (MCD) after an atomic layer deposition (ALD) with Al2O3. Since excellent etch results were obtained already after 4 min process time, we conclude that the ICP generation of black silicon is a promising technique to substitute the industrial state of the art wet chemical textures in the solar cell mass production.

  15. Metal-assisted etch combined with regularizing etch

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yim, Joanne; Miller, Jeff; Jura, Michael

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performingmore » a chemical etch which results in regularized openings in the silicon substrate.« less

  16. Performance improvements of binary diffractive structures via optimization of the photolithography and dry etch processes

    NASA Astrophysics Data System (ADS)

    Welch, Kevin; Leonard, Jerry; Jones, Richard D.

    2010-08-01

    Increasingly stringent requirements on the performance of diffractive optical elements (DOEs) used in wafer scanner illumination systems are driving continuous improvements in their associated manufacturing processes. Specifically, these processes are designed to improve the output pattern uniformity of off-axis illumination systems to minimize degradation in the ultimate imaging performance of a lithographic tool. In this paper, we discuss performance improvements in both photolithographic patterning and RIE etching of fused silica diffractive optical structures. In summary, optimized photolithographic processes were developed to increase critical dimension uniformity and featuresize linearity across the substrate. The photoresist film thickness was also optimized for integration with an improved etch process. This etch process was itself optimized for pattern transfer fidelity, sidewall profile (wall angle, trench bottom flatness), and across-wafer etch depth uniformity. Improvements observed with these processes on idealized test structures (for ease of analysis) led to their implementation in product flows, with comparable increases in performance and yield on customer designs.

  17. Dissolution of uranophane: An AFM, XPS, SEM and ICP study

    NASA Astrophysics Data System (ADS)

    Schindler, Michael; Freund, Michael; Hawthorne, Frank C.; Burns, Peter C.; Maurice, Patricia A.

    2009-05-01

    Dissolution experiments on single crystals of uranophane and uranophane-β, Ca(H 2O) 5[(UO 2)(SiO 3(OH)] 2, from the Shinkolobwe mine of the Democratic Republic of Congo, were done in an aqueous HCl solution of pH 3.5 for 3 h, in HCl solutions of pH 2 for 5, 10 and 30 min, and in Pb 2+-, Ba-, Sr-, Ca- and Mg-HCl solutions of pH 2 for 30 min. The basal surfaces of the treated uranophane crystals were examined using atomic-force microscopy (AFM), X-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM). Solutions after dissolution experiments on single crystals and synthetic powders were analysed with inductively coupled plasma-optical emission spectroscopy (ICP-OES) and mass spectroscopy (ICP-MS). The morphology of the observed etch pits (measured by AFM) were compared to the morphology, predicted on the basis of the bond-valence deficiency of polyhedron chains along the edges of the basal surface. Etch pits form in HCl solutions of pH 2. Their decrease in depth with the duration of the dissolution experiment is explained with the stepwave dissolution model, which describes the lowering of the surrounding area of an etch pit with continuous waves of steps emanated from the etch pit into the rest of the crystal surface. Hillocks form in an HCl solution of pH 3.5, and the chemical composition of the surface (as indicated by XPS) shows that these hillocks are the result of the precipitation of a uranyl-hydroxy-hydrate phase. Well-orientated hillocks form on the surface of uranophane in a SrCl 2-HCl solution of pH 2. They are part of an aged silica coating of composition Si 2O 2(OH) 4(H 2O) n. An amorphous layer forms on the surface of uranophane in a MgCl 2-HCl solution of pH 2, which has a composition and structure similar to silicic acid. Small crystallites of uranyl-hydroxy-hydrate phases form on the surface of uranophane after treatment in Pb(NO 3) 2-HCl and BaCl 2-HCl solutions of pH 2. Dissolution experiments on synthetic uranophane powders

  18. Silicon etching using only Oxygen at high temperature: An alternative approach to Si micro-machining on 150 mm Si wafers

    NASA Astrophysics Data System (ADS)

    Chai, Jessica; Walker, Glenn; Wang, Li; Massoubre, David; Tan, Say Hwa; Chaik, Kien; Hold, Leonie; Iacopi, Alan

    2015-12-01

    Using a combination of low-pressure oxygen and high temperatures, isotropic and anisotropic silicon (Si) etch rates can be controlled up to ten micron per minute. By varying the process conditions, we show that the vertical-to-lateral etch rate ratio can be controlled from 1:1 isotropic etch to 1.8:1 anisotropic. This simple Si etching technique combines the main respective advantages of both wet and dry Si etching techniques such as fast Si etch rate, stiction-free, and high etch rate uniformity across a wafer. In addition, this alternative O2-based Si etching technique has additional advantages not commonly associated with dry etchants such as avoiding the use of halogens and has no toxic by-products, which improves safety and simplifies waste disposal. Furthermore, this process also exhibits very high selectivity (>1000:1) with conventional hard masks such as silicon carbide, silicon dioxide and silicon nitride, enabling deep Si etching. In these initial studies, etch rates as high as 9.2 μm/min could be achieved at 1150 °C. Empirical estimation for the calculation of the etch rate as a function of the feature size and oxygen flow rate are presented and used as proof of concepts.

  19. Scanning electron microscopy evaluation of the effect of etching agents on human enamel surface.

    PubMed

    Zanet, Caio G; Arana-Chavez, Victor E; Fava, Marcelo

    2006-01-01

    Acid etching promotes microporosities on enamel surface, which provide a better bonding surface to adhesive materials. The purpose of this study was to comparatively analyze the microstructure of enamel surface after etching with 37% phosphoric acid or with two self-etching primers, Non-rinse conditioner (NRC) and Clearfil SE Bond (CSEB) using scanning electron microscopy. Thirty sound premolars were divided into 3 groups with ten teeth each: Group 1: the buccal surface was etched with 37% phosphoric acid for 15 seconds; Group 2: the buccal surface was etched with NRC for 20 seconds; Group 3: the buccal surface was etched with CSEB for 20 seconds. Teeth from Group 1 were rinsed with water; teeth from all groups were air-dried for 15 seconds. After that, all specimens were processed for scanning electron microscopy and analyzed in a Jeol 6100 SEM. The results showed deeper etching when the enamel surface was etched with 37% phosphoric acid, followed by NRC and CSEB. It is concluded that 37% phosphoric acid is still the best agent for a most effective enamel etching.

  20. Processing, Fabrication and Characterization of Advanced Target Sensors Using Mercury Cadmium Telluride (MCT)

    DTIC Science & Technology

    2010-09-01

    doped with Au, Hg, Cd, Be, or Ga); or (3) photoemissive such as metal silicides and negative electron affinity materials. Photoconductive and...plasma (ICP) etching and metallization as required by the design of the sensors at different levels of processing were carried out using either AZ...Second, after all the processing and metallization is completed, the sensor material (Hg1–xCdxTe) and the substrate (silicon) must be dry etched

  1. Self-assembled titanium calcium oxide nanopatterns as versatile reactive nanomasks for dry etching lithographic transfer with high selectivity.

    PubMed

    Faustini, Marco; Drisko, Glenna L; Letailleur, Alban A; Montiel, Rafael Salas; Boissière, Cédric; Cattoni, Andrea; Haghiri-Gosnet, Anne Marie; Lerondel, Gilles; Grosso, David

    2013-02-07

    We report the simple preparation of ultra-thin self-assembled nanoperforated titanium calcium oxide films and their use as reactive nanomasks for selective dry etching of silicon. This novel reactive nanomask is composed of TiO(2) in which up to 50% of Ti was replaced by Ca (Ca(x)Ti(1-x)O(2-x)). The system was prepared by evaporation induced self-assembly of dip-coated solution of CaCl(2), TiCl(4) and poly(butadiene-block-ethylene oxide) followed by 5 min of thermal treatment at 500 °C in air. The mask exhibits enhanced selectivity by forming a CaF(2) protective layer in the presence of a chemically reactive fluorinated plasma. In particular it is demonstrated that ordered nano-arrays of dense Si pillars, or deep cylindrical wells, with high aspect ratio i.e. lateral dimensions as small as 20 nm and height up to 200 nm, can be formed. Both wells and pillars were formed by tuning the morphology and the homogeneity of the deposited mask. The mask preparation is extremely fast and simple, low-cost and easily scalable. Its combination with reactive ion etching constitutes one of the first examples of what can be achieved when sol-gel chemistry is coupled with top-down technologies. The resulting Si nanopatterns and nanostructures are of high interest for applications in many fields of nanotechnology including electronics and optics. This work extends and diversifies the toolbox of nanofabrication methods.

  2. Moving zone Marangoni drying of wet objects using naturally evaporated solvent vapor

    DOEpatents

    Britten, Jerald A.

    1997-01-01

    A surface tension gradient driven flow (a Marangoni flow) is used to remove the thin film of water remaining on the surface of an object following rinsing. The process passively introduces by natural evaporation and diffusion of minute amounts of alcohol (or other suitable material) vapor in the immediate vicinity of a continuously refreshed meniscus of deionized water or another aqueous-based, nonsurfactant rinsing agent. Used in conjunction with cleaning, developing or wet etching application, rinsing coupled with Marangoni drying provides a single-step process for 1) cleaning, developing or etching, 2) rinsing, and 3) drying objects such as flat substrates or coatings on flat substrates without necessarily using heat, forced air flow, contact wiping, centrifugation or large amounts of flammable solvents. This process is useful in one-step cleaning and drying of large flat optical substrates, one-step developing/rinsing and drying or etching/rinsing/drying of large flat patterned substrates and flat panel displays during lithographic processing, and room-temperature rinsing/drying of other large parts, sheets or continuous rolls of material.

  3. Moving zone Marangoni drying of wet objects using naturally evaporated solvent vapor

    DOEpatents

    Britten, J.A.

    1997-08-26

    A surface tension gradient driven flow (a Marangoni flow) is used to remove the thin film of water remaining on the surface of an object following rinsing. The process passively introduces by natural evaporation and diffusion of minute amounts of alcohol (or other suitable material) vapor in the immediate vicinity of a continuously refreshed meniscus of deionized water or another aqueous-based, nonsurfactant rinsing agent. Used in conjunction with cleaning, developing or wet etching application, rinsing coupled with Marangoni drying provides a single-step process for (1) cleaning, developing or etching, (2) rinsing, and (3) drying objects such as flat substrates or coatings on flat substrates without necessarily using heat, forced air flow, contact wiping, centrifugation or large amounts of flammable solvents. This process is useful in one-step cleaning and drying of large flat optical substrates, one-step developing/rinsing and drying or etching/rinsing/drying of large flat patterned substrates and flat panel displays during lithographic processing, and room-temperature rinsing/drying of other large parts, sheets or continuous rolls of material. 5 figs.

  4. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    NASA Astrophysics Data System (ADS)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  5. ICP27-dependent resistance of herpes simplex virus type 1 to leptomycin B is associated with enhanced nuclear localization of ICP4 and ICP0

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lengyel, Joy; Strain, Anna K.; Perkins, Keith D.

    2006-09-01

    It was previously shown that herpes simplex virus type 1 (HSV-1) is sensitive to leptomycin B (LMB), an inhibitor of nuclear export factor CRM1, and that a single methionine to threonine change at residue 50 (M50T) of viral immediate-early (IE) protein ICP27 can confer LMB resistance. In this work, we show that deletion of residues 21-63 from ICP27 can also confer LMB resistance. We further show that neither the M50T mutation nor the presence of LMB affects the nuclear shuttling activity of ICP27, suggesting that another function of ICP27 determines LMB resistance. A possible clue to this function emerged whenmore » it was discovered that LMB treatment of HSV-1-infected cells dramatically enhances the cytoplasmic accumulation of two other IE proteins, ICP0 and ICP4. This effect is completely dependent on ICP27 and is reversed in cells infected with LMB-resistant mutants. Moreover, LMB-resistant mutations in ICP27 enhance the nuclear localization of ICP0 and ICP4 even in the absence of LMB, and this effect can be discerned in transfected cells. Thus, the same amino (N)-terminal region of ICP27 that determines sensitivity to LMB also enhances ICP27's previously documented ability to promote the cytoplasmic accumulation of ICP4 and ICP0. We speculate that ICP27's effects on ICP4 and ICP0 may contribute to HSV-1 LMB sensitivity.« less

  6. Plasma etching of superconducting Niobium tips for scanning tunneling microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Roychowdhury, A.; Center for Nanophysics and Advanced Materials, Department of Physics, University of Maryland, College Park, Maryland 20742; Dana, R.

    We have developed a reproducible technique for the fabrication of sharp superconducting Nb tips for scanning tunneling microscopy (STM) and scanning tunneling spectroscopy. Sections of Nb wire with 250 μm diameter are dry etched in an SF₆ plasma in a Reactive Ion Etcher. The gas pressure, etching time, and applied power are chosen to control the ratio of isotropic to anisotropic etch rates and produce the desired tip shape. The resulting tips are atomically sharp, with radii of less than 100 nm, mechanically stable, and superconducting. They generate good STM images and spectroscopy on single crystal samples of Au(111), Au(100),more » and Nb(100), as well as a doped topological insulator Bi₂Se₃ at temperatures ranging from 30 mK to 9 K.« less

  7. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    PubMed

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  8. Diagnostic for Plasma Enhanced Chemical Vapor Deposition and Etch Systems

    NASA Technical Reports Server (NTRS)

    Cappelli, Mark A.

    1999-01-01

    In order to meet NASA's requirements for the rapid development and validation of future generation electronic devices as well as associated materials and processes, enabling technologies ion the processing of semiconductor materials arising from understanding etch chemistries are being developed through a research collaboration between Stanford University and NASA-Ames Research Center, Although a great deal of laboratory-scale research has been performed on many of materials processing plasmas, little is known about the gas-phase and surface chemical reactions that are critical in many etch and deposition processes, and how these reactions are influenced by the variation in operating conditions. In addition, many plasma-based processes suffer from stability and reliability problems leading to a compromise in performance and a potentially increased cost for the semiconductor manufacturing industry. Such a lack of understanding has hindered the development of process models that can aid in the scaling and improvement of plasma etch and deposition systems. The research described involves the study of plasmas used in semiconductor processes. An inductively coupled plasma (ICP) source in place of the standard upper electrode assembly of the Gaseous Electronics Conference (GEC) radio-frequency (RF) Reference Cell is used to investigate the discharge characteristics and chemistries. This ICP source generates plasmas with higher electron densities (approximately 10(exp 12)/cu cm) and lower operating pressures (approximately 7 mTorr) than obtainable with the original parallel-plate version of the GEC Cell. This expanded operating regime is more relevant to new generations of industrial plasma systems being used by the microelectronics industry. The motivation for this study is to develop an understanding of the physical phenomena involved in plasma processing and to measure much needed fundamental parameters, such as gas-phase and surface reaction rates. species

  9. Development of dried serum spot sampling techniques for the assessment of trace elements in serum samples by LA-ICP-MS.

    PubMed

    Chantada-Vázquez, María Pilar; Moreda-Piñeiro, Jorge; Cantarero-Roldán, Alicia; Bermejo-Barrera, Pilar; Moreda-Piñeiro, Antonio

    2018-08-15

    A novel approach for serum analysis by dried matrix spot (DMS) technique is proposed. The methodology consists of sampling filter paper discs (2.7 mm in diameter) containing the large amount of serum retained after a single spotting. Several oxidizers (sodium chlorate, sodium azide, acetic acid, formic acid, 1-butyl-3-methylimidazoliumm chloride/bromide) were tested (oxidizers premixed with the sample before spotting, and papers previously soaked in concentrated additive/oxidizer solutions). Direct multi-element determination (Al, Be, Ca, Cu, Fe, K, Li, Mg, Mn, Mo, Na, P, Rb, Se, V, and Zn) in dried serum spots at very low levels was therefore assessed by laser ablation (LA) coupled with inductively coupled plasma - mass spectrometry (ICP-MS). Laser ablation was performed using a focused Nd: YAG laser beam in lineal scan mode (wavelength 213 nm, laser fluency 2.2 J cm -2 , repetition rate 20 Hz, laser spot diameter 90 µm, depth 0 µm, scanning speed 12 µm s -1 ). Matrix-matched calibration mode and 13 C as internal standard (for signal intensities normalization) was used throughout the work. Limits of quantification were found to be from 21 µg L -1 to 221 mg L -1 . Repeatability (seven ablations of the same dried serum spot) and reproducibility (two ablations of seven dried serum spot from the same material) offered RSDs below 12% for all analytes, which seems satisfactory for clinical purposes. The method was validated by analyzing several certified reference materials (Seronorm™ level I and II trace elements in serum), and it was applied to several DMS from serum samples from healthy adults. Copyright © 2018 Elsevier B.V. All rights reserved.

  10. Etch bias inversion during EUV mask ARC etch

    NASA Astrophysics Data System (ADS)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  11. Fourier Transform Infrared Absorption Spectroscopy of Gas-Phase and Surface Reaction Products during Si Etching in Inductively Coupled Cl2 Plasmas

    NASA Astrophysics Data System (ADS)

    Miyata, Hiroki; Tsuda, Hirotaka; Fukushima, Daisuke; Takao, Yoshinori; Eriguchi, Koji; Ono, Kouichi

    2011-10-01

    A better understanding of plasma-surface interactions is indispensable during etching, including the behavior of reaction or etch products, because the products on surfaces and in the plasma are important in passivation layer formation through their redeposition on surfaces. In practice, the nanometer-scale control of plasma etching would still rely largely on such passivation layer formation as well as ion-enhanced etching on feature surfaces. This paper presents in situ Fourier transform infrared (FTIR) absorption spectroscopy of gas-phase and surface reaction products during inductively coupled plasma (ICP) etching of Si in Cl2. The observation was made in the gas phase by transmission absorption spectroscopy (TAS), and also on the substrate surface by reflection absorption spectroscopy (RAS). The quantum chemical calculation was also made of the vibrational frequency of silicon chloride molecules. The deconvolution of the TAS spectrum revealed absorption features of Si2Cl6 and SiClx (x = 1-3) as well as SiCl4, while that of the RAS spectrum revealed relatively increased absorption features of unsaturated silicon chlorides. A different behavior was also observed in bias power dependence between the TAS and RAS spectra.

  12. Addition of Si-Containing Gases for Anisotropic Etching of III-V Materials in Chlorine-Based Inductively Coupled Plasma

    NASA Astrophysics Data System (ADS)

    Gatilova, Lina; Bouchoule, Sophie; Patriarche, Gilles; Guilet, Stephane

    2011-08-01

    We discuss the possibility of obtaining high-aspect-ratio etching of InP materials in Cl2- and HBr-based inductively coupled plasmas (ICP) with the addition of Si-containing gases (SiH4 or SiCl4). A vertical and smooth etching profile is demonstrated in SiCl4/H2 plasma. The effect of adding of a small amount of SiH4 to a previously optimised Cl2/H2 chemistry is presented, and new SiH4/Cl2 and SiH4/HBr chemistries are proposed. Ex-situ energy-dispersive X-ray spectroscopy coupled to transmission electron microscopy (EDX-TEM) is used to analyze the composition of the thin passivation layer deposited on the etched sidewalls. We show that it consists of a Si-rich silicon oxide (Si/O˜1) in Cl2/H2/SiH4 chemistry, and is changed to nano-crystalline (nc-) Si in SiH4/Cl2 chemistry depending on the SiH4 percentage. Moreover, we show that deep anisotropic etching of InP independent of the electrode coverplate material can be obtained via a SiOx passivation mechanism with the addition of Si-containing gases.

  13. Vertical and bevel-structured SiC etching techniques incorporating different gas mixture plasmas for various microelectronic applications.

    PubMed

    Sung, Ho-Kun; Qiang, Tian; Yao, Zhao; Li, Yang; Wu, Qun; Lee, Hee-Kwan; Park, Bum-Doo; Lim, Woong-Sun; Park, Kyung-Ho; Wang, Cong

    2017-06-20

    This study presents a detailed fabrication method, together with validation, discussion, and analysis, for state-of-the-art silicon carbide (SiC) etching of vertical and bevelled structures by using inductively coupled plasma reactive ion etching (ICP-RIE) for microelectronic applications. Applying different gas mixtures, a maximum bevel angle of 87° (almost vertical), large-angle bevels ranging from 40° to 80°, and small-angel bevels ranging from 7° to 17° were achieved separately using distinct gas mixtures at different ratios. We found that SF 6 with additive O 2 was effective for vertical etching, with a best etching rate of 3050 Å/min. As for the large-angle bevel structures, BCl 3  + N 2 gas mixtures show better characteristics, exhibiting a controllable and large etching angle range from 40° to 80° through the adjustment of the mixture ratio. Additionally, a Cl 2  + O 2 mixture at different ratios is applied to achieve a small-angel bevels ranging from 7° to 17°. A minimum bevel angel of approximately 7° was achieved under the specific volume of 2.4 sccm Cl 2 and 3.6 sccm O 2 . These results can be used to improve performance in various microelectronic applications including MMIC via holes, PIN diodes, Schottky diodes, JFETs' bevel mesa, and avalanche photodiode fabrication.

  14. Anisotropic etching of amorphous perfluoropolymer films in oxygen-based inductively coupled plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ono, Takao; Akagi, Takanori; Center for NanoBio Integration, University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-8656

    2009-01-01

    An amorphous perfluoropolymer, 'Cytop' (Asahi Glass Co., Ltd.), is a preferable material for the fabrication of micro total analysis system devices because of its superior optical transparency over a wide wavelength range and low refractive index of 1.34, which is almost the same as that of water, as well as excellent chemical stability. To establish the precise microfabrication technology for this unique resin, the dry etching of the amorphous perfluoropolymer in Ar/O{sub 2} low-pressure inductively coupled plasma has been studied. A relatively high etch rate of approximately 6.3 {mu}m/min at maximum and highly anisotropic etched features was attained. Plasma measurementsmore » by a single Langmuir probe technique and actinometry revealed that etching is dominated by ion-assisted surface desorption above a 10%O{sub 2} mixing ratio, whereas the supply of active oxygen species is the rate-limiting process below 10%. Moreover, angled x-ray photoelectron spectroscopy measurements of an etched trench pattern revealed that a high anisotropy is attributed to the formation of a carbon-rich sidewall protection layer.« less

  15. ScAlN etch mask for highly selective silicon etching

    DOE PAGES

    Henry, Michael David; Young, Travis R.; Griffin, Ben

    2017-09-08

    Here, this work reports the utilization of a recently developed film, ScAlN, as a silicon etch mask offering significant improvements in high etch selectivity to silicon. Utilization of ScAlN as a fluorine chemistry based deep reactive ion etch mask demonstrated etch selectivity at 23 550:1, four times better than AlN, 11 times better than Al 2O 3, and 148 times better than silicon dioxide with significantly less resputtering at high bias voltage than either Al 2O 3 or AlN. Ellipsometry film thickness measurements show less than 0.3 nm/min mask erosion rates for ScAlN. Micromasking of resputtered Al for Al 2Omore » 3, AlN, and ScAlN etch masks is also reported here, utilizing cross-sectional scanning electron microscope and confocal microscope roughness measurements. With lower etch bias, the reduced etch rate can be optimized to achieve a trench bottom surface roughness that is comparable to SiO 2 etch masks. Etch mask selectivity enabled by ScAlN is likely to make significant improvements in microelectromechanical systems, wafer level packaging, and plasma dicing of silicon.« less

  16. Metal etching composition

    NASA Technical Reports Server (NTRS)

    Otousa, Joseph E. (Inventor); Thomas, Clark S. (Inventor); Foster, Robert E. (Inventor)

    1991-01-01

    The present invention is directed to a chemical etching composition for etching metals or metallic alloys. The composition includes a solution of hydrochloric acid, phosphoric acid, ethylene glycol, and an oxidizing agent. The etching composition is particularly useful for etching metal surfaces in preparation for subsequent fluorescent penetrant inspection.

  17. Determination of free amino acids and 18 elements in freeze-dried strawberry and blueberry fruit using an Amino Acid Analyzer and ICP-MS with micro-wave digestion.

    PubMed

    Zhang, Hua; Wang, Zhen-Yu; Yang, Xin; Zhao, Hai-Tian; Zhang, Ying-Chun; Dong, Ai-Jun; Jing, Jing; Wang, Jing

    2014-03-15

    The objective of this study was to investigate the level of 18 trace elements of two freeze-dried samples from the Blueberry (Vaccinium corymbosum) and the Strawberry (Fragaria × Ananassa). The total free amino acid composition in the blueberry and strawberry was determined by an Amino Acid Analyzer. Eleven free amino acids were found in both berries. The trace elements in each dried fruit sample were determined by ICP-MS with microwave digestion. The linearity range of the standard curves was 0-1250.0 μg L(-1) (Mg, P, K, Ca),while in all cases, except for B, Na, Al, Cr, Mn, Fe, Ni, Cu, Zn, Se, Cd, Pb, Ge and As, which was 125.0 μg mL(-1), all related coefficients were above 0.9999; recovery was in the range of 79.0-106.8%. Minor concentrations of nutritional elements were found in each freeze-dried berry. In sum, the toxic trace element analysis found the content of toxic trace elements in each freeze-dried berry sample was safe for human consumption and that the overall quality of the blueberry surpassed that of the strawberry. The results certify that the two freeze-dried berries have potential for human consumption in value-added products and have a certain theoretical and practical significance. Crown Copyright © 2013. Published by Elsevier Ltd. All rights reserved.

  18. Iridium-coated micropore x-ray optics using dry etching of a silicon wafer and atomic layer deposition.

    PubMed

    Ogawa, Tomohiro; Ezoe, Yuichiro; Moriyama, Teppei; Mitsuishi, Ikuyuki; Kakiuchi, Takuya; Ohashi, Takaya; Mitsuda, Kazuhisa; Putkonen, Matti

    2013-08-20

    To enhance x-ray reflectivity of silicon micropore optics using dry etching of silicon (111) wafers, iridium coating is tested by use of atomic layer deposition. An iridium layer is successfully formed on sidewalls of tiny micropores with a pore width of 20 μm and depth of 300 μm. The film thickness is ∼20  nm. An enhanced x-ray reflectivity compared to that of silicon is confirmed at Ti Kα 4.51 keV, for what we believe to be the first time, with this type of optics. Some discrepancies from a theoretical reflectivity curve of iridium-coated silicon are noticed at small incident angles <1.3°. When a geometrical shadowing effect due to occultation by a ridge existing on the sidewalls is taken into account, the observed reflectivity becomes well represented by the modified theoretical curve. An estimated surface micro roughness of ∼1  nm rms is consistent with atomic force microscope measurements of the sidewalls.

  19. Fabrication of wear-resistant silicon microprobe tips for high-speed surface roughness scanning devices

    NASA Astrophysics Data System (ADS)

    Wasisto, Hutomo Suryo; Yu, Feng; Doering, Lutz; Völlmeke, Stefan; Brand, Uwe; Bakin, Andrey; Waag, Andreas; Peiner, Erwin

    2015-05-01

    Silicon microprobe tips are fabricated and integrated with piezoresistive cantilever sensors for high-speed surface roughness scanning systems. The fabrication steps of the high-aspect-ratio silicon microprobe tips were started with photolithography and wet etching of potassium hydroxide (KOH) resulting in crystal-dependent micropyramids. Subsequently, thin conformal wear-resistant layer coating of aluminum oxide (Al2O3) was demonstrated on the backside of the piezoresistive cantilever free end using atomic layer deposition (ALD) method in a binary reaction sequence with a low thermal process and precursors of trimethyl aluminum and water. The deposited Al2O3 layer had a thickness of 14 nm. The captured atomic force microscopy (AFM) image exhibits a root mean square deviation of 0.65 nm confirming the deposited Al2O3 surface quality. Furthermore, vacuum-evaporated 30-nm/200-nm-thick Au/Cr layers were patterned by lift-off and served as an etch mask for Al2O3 wet etching and in ICP cryogenic dry etching. By using SF6/O2 plasma during inductively coupled plasma (ICP) cryogenic dry etching, micropillar tips were obtained. From the preliminary friction and wear data, the developed silicon cantilever sensor has been successfully used in 100 fast measurements of 5- mm-long standard artifact surface with a speed of 15 mm/s and forces of 60-100 μN. Moreover, the results yielded by the fabricated silicon cantilever sensor are in very good agreement with those of calibrated profilometer. These tactile sensors are targeted for use in high-aspect-ratio microform metrology.

  20. Effect of sulfur hexafluoride gas and post-annealing treatment for inductively coupled plasma etched barium titanate thin films

    PubMed Central

    2014-01-01

    Aerosol deposition- (AD) derived barium titanate (BTO) micropatterns are etched via SF6/O2/Ar plasmas using inductively coupled plasma (ICP) etching technology. The reaction mechanisms of the sulfur hexafluoride on BTO thin films and the effects of annealing treatment are verified through X-ray photoelectron spectroscopy (XPS) analysis, which confirms the accumulation of reaction products on the etched surface due to the low volatility of the reaction products, such as Ba and Ti fluorides, and these residues could be completely removed by the post-annealing treatment. The exact peak positions and chemicals shifts of Ba 3d, Ti 2p, O 1 s, and F 1 s are deduced by fitting the XPS narrow-scan spectra on as-deposited, etched, and post-annealed BTO surfaces. Compared to the as-deposited BTOs, the etched Ba 3d 5/2 , Ba 3d 3/2 , Ti 2p 3/2 , Ti 2p 1/2 , and O 1 s peaks shift towards higher binding energy regions by amounts of 0.55, 0.45, 0.4, 0.35, and 0.85 eV, respectively. A comparison of the as-deposited film with the post-annealed film after etching revealed that there are no significant differences in the fitted XPS narrow-scan spectra except for the slight chemical shift in the O 1 s peak due to the oxygen vacancy compensation in O2-excessive atmosphere. It is inferred that the electrical properties of the etched BTO film can be restored by post-annealing treatment after the etching process. Moreover, the relative permittivity and loss tangent of the post-annealed BTO thin films are remarkably improved by 232% and 2,695%, respectively. PMID:25249824

  1. Process dependency on threshold voltage of GaN MOSFET on AlGaN/GaN heterostructure

    NASA Astrophysics Data System (ADS)

    Wang, Qingpeng; Jiang, Ying; Miyashita, Takahiro; Motoyama, Shin-ichi; Li, Liuan; Wang, Dejun; Ohno, Yasuo; Ao, Jin-Ping

    2014-09-01

    GaN metal-oxide-semiconductor field-effect transistors (MOSFETs) with recessed gate on AlGaN/GaN heterostructure are reported in which the drain and source ohmic contacts were fabricated on the AlGaN/GaN heterostructure and the electron channel was formed on the GaN buffer layer by removing the AlGaN barrier layer. Negative threshold voltages were commonly observed in all devices. To investigate the reasons of the negative threshold voltages, different oxide thickness, etching gas and bias power of inductively-coupled plasma (ICP) system were utilized in the fabrication process of the GaN MOSFETs. It is found that positive charges of around 1 × 1012 q/cm2 exist near the interface at the just threshold condition in both silane- and tetraethylorthosilicate (TEOS)-based devices. It is also found that the threshold voltages do not obviously change with the different etching gas (SiCl4, BCl3 and two-step etching of SiCl4/Cl2) at the same ICP bias power level (20-25 W) and will become deeper when higher bias power is used in the dry recess process which may be related to the much serious ion bombardment damage. Furthermore, X-ray photoelectron spectroscopy (XPS) experiments were done to investigate the surface conditions. It is found that N 1s peaks become lower with higher bias power of the dry etching process. Also, silicon contamination was found and could be removed by HNO3/HF solution. It indicates that the nitrogen vacancies are mainly responsible for the negative threshold voltages rather than the silicon contamination. It demonstrates that optimization of the ICP recess conditions and improvement of the surface condition are still necessary to realize enhancement-mode GaN MOSFETs on AlGaN/GaN heterostructure.

  2. Aggressiveness of contemporary self-etching adhesives. Part II: etching effects on unground enamel.

    PubMed

    Pashley, D H; Tay, F R

    2001-09-01

    The aggressiveness of three self-etching adhesives on unground enamel was investigated. Ultrastructural features and microtensile bond strength were examined, first using these adhesives as both the etching and resin-infiltration components, and then examining their etching efficacy alone through substitution of the proprietary resins with the same control resins. For SEM examination, buccal, mid-coronal, unground enamel from human extracted bicuspids were etched with either Clearfil Mega Bond (Kuraray), Non-Rinse Conditioner (NRC; Dentsply DeTrey) or Prompt L-Pop (ESPE). Those in the control group were etched with 32% phosphoric acid (Bisco) for 15s. They were all rinsed off prior to examination of the etching efficacy. For TEM examination, the self-etching adhesives were used as recommended. Unground enamel treated with NRC were further bonded using Prime&Bond NT (Dentsply), while those in the etched, control group were bonded using All-Bond 2 (Bisco). Completely demineralized, resin replicas were embedded in epoxy resin for examination of the extent of resin infiltration. For microtensile bond strength evaluation, specimens were first etched and bonded using the self-etching adhesives. A second group of specimens were etched with the self-etching adhesives, rinsed but bonded using a control adhesive. Following restoration with Z100 (3M Dental Products), they were sectioned into beams of uniform cross-sectional areas and stressed to failure. Etching patterns of aprismatic enamel, as revealed by SEM, and the subsurface hybrid layer morphology, as revealed by TEM, varied according to the aggressiveness of the self-etching adhesives. Clearfil Mega Bond exhibited the mildest etching patterns, while Prompt L-Pop produced an etching effect that approached that of the total-etch control group. Microtensile bond strength of the three experimental groups were all significantly lower than the control group, but not different from one another. When the self-etching

  3. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    PubMed

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  4. Bulk vertical micromachining of single-crystal sapphire using inductively coupled plasma etching for x-ray resonant cavities

    NASA Astrophysics Data System (ADS)

    Chen, P.-C.; Lin, P.-T.; Mikolas, D. G.; Tsai, Y.-W.; Wang, Y.-L.; Fu, C.-C.; Chang, S.-L.

    2015-01-01

    To provide coherent x-ray sources for probing the dynamic structures of solid or liquid biological substances on the picosecond timescale, a high-aspect-ratio x-ray resonator cavity etched from a single crystal substrate with a nearly vertical sidewall structure is required. Although high-aspect-ratio resonator cavities have been produced in silicon, they suffer from unwanted multiple beam effects. However, this problem can be avoided by using the reduced symmetry of single-crystal sapphire in which x-ray cavities may produce a highly monochromatic transmitted x-ray beam. In this study, we performed nominal 100 µm deep etching and vertical sidewall profiles in single crystal sapphire using inductively coupled plasma (ICP) etching. The large depth is required to intercept a useful fraction of a stopped-down x-ray beam, as well as for beam clearance. An electroplated Ni hard mask was patterned using KMPR 1050 photoresist and contact lithography. The quality and performance of the x-ray cavity depended upon the uniformity of the cavity gap and therefore verticality of the fabricated vertical sidewall. To our knowledge, this is the first report of such deep, vertical etching of single-crystal sapphire. A gas mixture of Cl2/BCl3/Ar was used to etch the sapphire with process variables including BCl3 flow ratio and bias power. By etching for 540 min under optimal conditions, we obtained an x-ray resonant cavity with a depth of 95 µm, width of ~30 µm, gap of ~115 µm and sidewall profile internal angle of 89.5°. The results show that the etching parameters affected the quality of the vertical sidewall, which is essential for good x-ray resonant cavities.

  5. Low-loss slot waveguides with silicon (111) surfaces realized using anisotropic wet etching

    NASA Astrophysics Data System (ADS)

    Debnath, Kapil; Khokhar, Ali; Boden, Stuart; Arimoto, Hideo; Oo, Swe; Chong, Harold; Reed, Graham; Saito, Shinichi

    2016-11-01

    We demonstrate low-loss slot waveguides on silicon-on-insulator (SOI) platform. Waveguides oriented along the (11-2) direction on the Si (110) plane were first fabricated by a standard e-beam lithography and dry etching process. A TMAH based anisotropic wet etching technique was then used to remove any residual side wall roughness. Using this fabrication technique propagation loss as low as 3.7dB/cm was realized in silicon slot waveguide for wavelengths near 1550nm. We also realized low propagation loss of 1dB/cm for silicon strip waveguides.

  6. Defect formation during chlorine-based dry etching and their effects on the electronic and structural properties of InP/InAsP quantum wells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Landesman, Jean-Pierre, E-mail: jean-pierre.landesman@univ-rennes1.fr; Jiménez, Juan; Torres, Alfredo

    The general objective is the investigation of the defects formed by dry etching tools such as those involved in the fabrication of photonic devices with III–V semiconductors. Emphasis is put on plasma exposures with chlorine-based chemistries. In addition to identifying these defects and describing their effects on the electro-optic and structural properties, the long-term target would be to predict the impact on the parameters of importance for photonic devices, and possibly include these predictions in their design. The work is first centered on explaining the experimental methodology. This methodology starts with the design and growth of a quantum well structuremore » on indium phosphide, including ternary indium arsenide/phosphide quantum wells with graded arsenic/phosphor composition. These samples have then been characterized by luminescence methods (photo- and cathodoluminescence), high-resolution transmission electron microscopy, and secondary ion mass spectrometry. As one of the parameters of importance in this study, the authors have also included the doping level. The samples have been exposed to the etching plasmas for “short” durations that do not remove completely the quantum wells, but change their optical signature. No masking layer with lithographic features was involved as this work is purely oriented to study the interaction between the plasma and the samples. A significant difference in the luminescence spectra of the as-grown undoped and doped samples is observed. A mechanism describing the effect of the built-in electric field appearing as a consequence of the doping profile is proposed. This mechanism involves quantum confined Stark effect and electric-field induced carrier escape from the quantum wells. In the following part, the effects of exposure to various chlorine-based plasmas were explored. Differences are again observed between the undoped and doped samples, especially for chemistries containing silicon tetrachloride

  7. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    NASA Astrophysics Data System (ADS)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, <100> and <111> preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  8. Damage-Free Smooth-Sidewall InGaAs Nanopillar Array by Metal-Assisted Chemical Etching.

    PubMed

    Kong, Lingyu; Song, Yi; Kim, Jeong Dong; Yu, Lan; Wasserman, Daniel; Chim, Wai Kin; Chiam, Sing Yang; Li, Xiuling

    2017-10-24

    Producing densely packed high aspect ratio In 0.53 Ga 0.47 As nanostructures without surface damage is critical for beyond Si-CMOS nanoelectronic and optoelectronic devices. However, conventional dry etching methods are known to produce irreversible damage to III-V compound semiconductors because of the inherent high-energy ion-driven process. In this work, we demonstrate the realization of ordered, uniform, array-based In 0.53 Ga 0.47 As pillars with diameters as small as 200 nm using the damage-free metal-assisted chemical etching (MacEtch) technology combined with the post-MacEtch digital etching smoothing. The etching mechanism of In x Ga 1-x As is explored through the characterization of pillar morphology and porosity as a function of etching condition and indium composition. The etching behavior of In 0.53 Ga 0.47 As, in contrast to higher bandgap semiconductors (e.g., Si or GaAs), can be interpreted by a Schottky barrier height model that dictates the etching mechanism constantly in the mass transport limited regime because of the low barrier height. A broader impact of this work relates to the complete elimination of surface roughness or porosity related defects, which can be prevalent byproducts of MacEtch, by post-MacEtch digital etching. Side-by-side comparison of the midgap interface state density and flat-band capacitance hysteresis of both the unprocessed planar and MacEtched pillar In 0.53 Ga 0.47 As metal-oxide-semiconductor capacitors further confirms that the surface of the resultant pillars is as smooth and defect-free as before etching. MacEtch combined with digital etching offers a simple, room-temperature, and low-cost method for the formation of high-quality In 0.53 Ga 0.47 As nanostructures that will potentially enable large-volume production of In 0.53 Ga 0.47 As-based devices including three-dimensional transistors and high-efficiency infrared photodetectors.

  9. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    PubMed

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  10. Self-etching adhesive on intact enamel, with and without pre-etching.

    PubMed

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  11. Self-etching aspects of a three-step etch-and-rinse adhesive.

    PubMed

    Bahillo, Jose; Roig, Miguel; Bortolotto, Tissiana; Krejci, Ivo

    2013-11-01

    The purpose of this study is to assess the marginal adaptation of cavities restored with a three-step etch-and-rinse adhesive, OptiBond FL (OFL) under different application protocols. Twenty-four class V cavities were prepared with half of the margins located in enamel and half in dentin. Cavities were restored with OFL and a microhybrid resin composite (Clearfil AP-X). Three groups (n = 8) that differed in the etching technique were tested with thermomechanical loading, and specimens were subjected to quantitative marginal analysis before and after loading. Micromorphology of etching patters on enamel and dentin were observed with SEM. Data was evaluated with Kruskal-Wallis and Bonferroni post hoc test. Significantly lower percent CM (46.9 ± 19.5) were found after loading on enamel in group 3 compared to group 1 (96.5 ± 5.1) and group 2 (93.1 ± 8.1). However, no significant differences (p = 0.30) were observed on dentin margins. Etching enamel with phosphoric acid but avoiding etching dentin before the application of OFL, optimal marginal adaptation could be obtained, evidencing a self-etching primer effect. A reliable adhesive interface was attained with the application of the three-step etch-and-rinse OFL adhesive with a selective enamel etching, representing an advantage on restoring deep cavities.

  12. Impact of recess etching and surface treatments on ohmic contacts regrown by molecular-beam epitaxy for AlGaN/GaN high electron mobility transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Joglekar, S.; Azize, M.; Palacios, T.

    Ohmic contacts fabricated by regrowth of n{sup +} GaN are favorable alternatives to metal-stack-based alloyed contacts in GaN-based high electron mobility transistors. In this paper, the influence of reactive ion dry etching prior to regrowth on the contact resistance in AlGaN/GaN devices is discussed. We demonstrate that the dry etch conditions modify the surface band bending, dangling bond density, and the sidewall depletion width, which influences the contact resistance of regrown contacts. The impact of chemical surface treatments performed prior to regrowth is also investigated. The sensitivity of the contact resistance to the surface treatments is found to depend uponmore » the dangling bond density of the sidewall facets exposed after dry etching. A theoretical model has been developed in order to explain the observed trends.« less

  13. Boron detection from blood samples by ICP-AES and ICP-MS during boron neutron capture therapy.

    PubMed

    Linko, S; Revitzer, H; Zilliacus, R; Kortesniemi, M; Kouri, M; Savolainen, S

    2008-01-01

    The concept of boron neutron capture therapy (BNCT) involves infusion of a (10)B containing tracer into the patient's bloodstream followed by local neutron irradiation(s). Accurate estimation of the blood boron level for the treatment field before irradiation is required. Boron concentration can be quantified by inductively coupled plasma atomic emission spectrometry (ICP-AES), mass spectrometry (ICP-MS), spectrofluorometric and direct current atomic emission spectrometry (DCP-AES) or by prompt gamma photon detection methods. The blood boron concentrations were analysed and compared using ICP-AES and ICP-MS to ensure congruency of the results if the analysis had to be changed during the treatment, e.g. for technical reasons. The effect of wet-ashing on the results was studied in addition. The mean of all samples analysed with ICP-MS was 5.8 % lower than with ICP-AES coupled to wet-ashing (R (2) = 0.88). Without wet-ashing, the mean of all samples analysed with ICP-MS was 9.1 % higher than with ICP-AES (R (2) = 0.99). Boron concentration analysed from whole blood samples with ICP-AES correlated well with the values of ICP-MS with wet-ashing of the sample matrix, which is generally considered the reference method. When using these methods in parallel at certain intervals during the treatments, reliability of the blood boron concentration values remains satisfactory, taking into account the required accuracy of dose determination in the irradiation of cancer patients.

  14. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    PubMed Central

    Zhan, Zhan; Li, Wei; Yu, Lingke; Wang, Lingyun; Sun, Daoheng

    2017-01-01

    In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching) is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement. PMID:28772521

  15. Bond strength of self-etch adhesives after saliva contamination at different application steps.

    PubMed

    Cobanoglu, N; Unlu, N; Ozer, F F; Blatz, M B

    2013-01-01

    This study evaluated and compared the effect of saliva contamination and possible decontamination methods on bond strengths of two self-etching adhesive systems (Clearfil SE Bond [CSE], Optibond Solo Plus SE [OSE]). Flat occlusal dentin surfaces were created on 180 extracted human molar teeth. The two bonding systems and corresponding composite resins (Clearfil AP-X, Kerr Point 4) were bonded to the dentin under six surface conditions (n=15/group): group 1 (control): primer/bonding/composite; group 2: saliva/drying/primer/bonding/composite; group 3: primer/saliva/rinsing/drying/primer/bonding/composite; group 4: primer/saliva/rinsing/drying/bonding/composite; group 5: primer/bonding (cured)/saliva/rinsing/drying/primer/bonding/composite; group 6: primer/bonding (cured)/saliva/removing contaminated layer with a bur/rinsing/drying/primer/bonding/composite. Shear bond strength was tested after specimens were stored in distilled water at 37°C for 24 hours. One-way analysis of variance and Tukey post hoc tests were used for statistical analyses. For CSE, groups 2, 3, and 4 and for OSE, groups 6, 2, and 4 showed significantly lower bond strengths than the control group (p<0.05). CSE groups 5 and 6 and OSE groups 3 and 5 revealed bond strengths similar to the control. When saliva contamination occurred after light polymerization of the bonding agent, repeating the bonding procedure recovered the bonding capacity of both self-etch adhesives. However, saliva contamination before or after primer application negatively affected their bond strength.

  16. ICPS Removal from Shipping Container

    NASA Image and Video Library

    2017-03-09

    Inside the United Launch Alliance (ULA) Horizontal Integration Facility at Cape Canaveral Air Force Station in Florida, a crane lifts the shipping container cover away from the Interim Cryogenic Propulsion Stage (ICPS) for NASA's Space Launch System rocket, followed by the ICPS bring removed and placed on a work stand for processing. The ICPS is the first integrated piece of flight hardware to arrive for the SLS. The ICPS arrived from the ULA facility in Decatur, Alabama. The ICPS is the in-space stage that is located toward the top of the rocket, between the Launch Vehicle Stage Adapter and the Orion Spacecraft Adapter. It will provide some of the in-space propulsion during Orion's first flight test atop the SLS on Exploration Mission 1.

  17. A nontransferring dry adhesive with hierarchical polymer nanohairs.

    PubMed

    Jeong, Hoon Eui; Lee, Jin-Kwan; Kim, Hong Nam; Moon, Sang Heup; Suh, Kahp Y

    2009-04-07

    We present a simple yet robust method for fabricating angled, hierarchically patterned high-aspect-ratio polymer nanohairs to generate directionally sensitive dry adhesives. The slanted polymeric nanostructures were molded from an etched polySi substrate containing slanted nanoholes. An angled etching technique was developed to fabricate slanted nanoholes with flat tips by inserting an etch-stop layer of silicon dioxide. This unique etching method was equipped with a Faraday cage system to control the ion-incident angles in the conventional plasma etching system. The polymeric nanohairs were fabricated with tailored leaning angles, sizes, tip shapes, and hierarchical structures. As a result of controlled leaning angle and bulged flat top of the nanohairs, the replicated, slanted nanohairs showed excellent directional adhesion, exhibiting strong shear attachment (approximately 26 N/cm(2) in maximum) in the angled direction and easy detachment (approximately 2.2 N/cm(2)) in the opposite direction, with a hysteresis value of approximately 10. In addition to single scale nanohairs, monolithic, micro-nanoscale combined hierarchical hairs were also fabricated by using a 2-step UV-assisted molding technique. These hierarchical nanoscale patterns maintained their adhesive force even on a rough surface (roughness <20 microm) because of an increase in the contact area by the enhanced height of hierarchy, whereas simple nanohairs lost their adhesion strength. To demonstrate the potential applications of the adhesive patch, the dry adhesive was used to transport a large-area glass (47.5 x 37.5 cm(2), second-generation TFT-LCD glass), which could replace the current electrostatic transport/holding system with further optimization.

  18. A nontransferring dry adhesive with hierarchical polymer nanohairs

    PubMed Central

    Jeong, Hoon Eui; Lee, Jin-Kwan; Kim, Hong Nam; Moon, Sang Heup; Suh, Kahp Y.

    2009-01-01

    We present a simple yet robust method for fabricating angled, hierarchically patterned high-aspect-ratio polymer nanohairs to generate directionally sensitive dry adhesives. The slanted polymeric nanostructures were molded from an etched polySi substrate containing slanted nanoholes. An angled etching technique was developed to fabricate slanted nanoholes with flat tips by inserting an etch-stop layer of silicon dioxide. This unique etching method was equipped with a Faraday cage system to control the ion-incident angles in the conventional plasma etching system. The polymeric nanohairs were fabricated with tailored leaning angles, sizes, tip shapes, and hierarchical structures. As a result of controlled leaning angle and bulged flat top of the nanohairs, the replicated, slanted nanohairs showed excellent directional adhesion, exhibiting strong shear attachment (≈26 N/cm2 in maximum) in the angled direction and easy detachment (≈2.2 N/cm2) in the opposite direction, with a hysteresis value of ≈10. In addition to single scale nanohairs, monolithic, micro-nanoscale combined hierarchical hairs were also fabricated by using a 2-step UV-assisted molding technique. These hierarchical nanoscale patterns maintained their adhesive force even on a rough surface (roughness <20 μm) because of an increase in the contact area by the enhanced height of hierarchy, whereas simple nanohairs lost their adhesion strength. To demonstrate the potential applications of the adhesive patch, the dry adhesive was used to transport a large-area glass (47.5 × 37.5 cm2, second-generation TFT-LCD glass), which could replace the current electrostatic transport/holding system with further optimization. PMID:19304801

  19. Influence of different pre-etching times on fatigue strength of self-etch adhesives to dentin.

    PubMed

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Suzuki, Takayuki; Scheidel, Donal D; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-04-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence on dentin bonding of phosphoric acid pre-etching times before the application of self-etch adhesives. Two single-step self-etch universal adhesives [Prime & Bond Elect (EL) and Scotchbond Universal (SU)], a conventional single-step self-etch adhesive [G-aenial Bond (GB)], and a two-step self-etch adhesive [OptiBond XTR (OX)] were used. The SBS and SFS values were obtained with phosphoric acid pre-etching times of 3, 10, or 15 s before application of the adhesives, and for a control without pre-etching. For groups with 3 s of pre-etching, SU and EL showed higher SBS values than control groups. No significant difference was observed for GB among the 3 s, 10 s, and control groups, but the 15 s pre-etching group showed significantly lower SBS and SFS values than the control group. No significant difference was found for OX among the pre-etching groups. Reducing phosphoric acid pre-etching time can minimize the adverse effect on dentin bonding durability for the conventional self-etch adhesives. Furthermore, a short phosphoric acid pre-etching time enhances the dentin bonding performance of universal adhesives. © 2016 Eur J Oral Sci.

  20. Etching Selectivity of Cr, Fe and Ni Masks on Si & SiO2 Wafers

    NASA Astrophysics Data System (ADS)

    Garcia, Jorge; Lowndes, Douglas H.

    2000-10-01

    During this Summer 2000 I joined the Semiconductors and Thin Films group led by Dr. Douglas H. Lowndes at Oak Ridge National Laboratory’s Solid State Division. Our objective was to evaluate the selectivity that Trifluoromethane (CHF3), and Sulfur Hexafluoride (SF6) plasmas have for Si, SiO2 wafers and the Ni, Cr, and Fe masks; being this etching selectivity the ratio of the etching rates of the plasmas for each of the materials. We made use of Silicon and Silicon Dioxide-coated wafers that have Fe, Cr or Ni masks. In the semiconductor field, metal layers are often used as masks to protect layers underneath during processing steps; when these wafers are taken to the dry etching process, both the wafer and the mask layers’ thickness are reduced.

  1. Optimization of the etch-and-rinse technique: New perspectives to improve resin-dentin bonding and hybrid layer integrity by reducing residual water using dimethyl sulfoxide pretreatments.

    PubMed

    Stape, Thiago Henrique Scarabello; Tjäderhane, Leo; Abuna, Gabriel; Sinhoreti, Mário Alexandre Coelho; Martins, Luís Roberto Marcondes; Tezvergil-Mutluay, Arzu

    2018-04-13

    To determine whether bonding effectiveness and hybrid layer integrity on acid-etched dehydrated dentin would be comparable to the conventional wet-bonding technique through new dentin biomodification approaches using dimethyl sulfoxide (DMSO). Etched dentin surfaces from extracted sound molars were randomly bonded in wet or dry conditions (30s air drying) with DMSO/ethanol or DMSO/H 2 O as pretreatments using a simplified (Scotchbond Universal Adhesive, 3M ESPE: SU) and a multi-step (Adper Scotchbond Multi-Purpose, 3M ESPE: SBMP) etch-and-rinse adhesives. Untreated dentin surfaces served as control. Bonded teeth (n=8) were stored in distilled water for 24h and sectioned into resin-dentin beams (0.8mm 2 ) for microtensile bond strength test and quantitative interfacial nanoleakage analysis (n=8) under SEM. Additional teeth (n=2) were prepared for micropermeability assessment by CFLSM under simulated pulpar pressure (20cm H 2 O) using 5mM fluorescein as a tracer. Microtensile data was analyzed by 3-way ANOVA followed by Tukey Test and nanoleakage by Kruskal-Wallis and Dunn-Bonferroni multiple comparison test (α=0.05). While dry-bonding of SBMP produced significantly lower bond strengths than wet-bonding (p<0.05), DMSO/H 2 O and DMSO/ethanol produced significantly higher bond strengths for SBMP irrespective of dentin condition (p<0.05). SU presented significantly higher nanoleakage levels (p<0.05) and micropermeability than SBMP. Improvement in hybrid layer integrity occurred for SBMP and SU for both pretreatments, albeit most pronouncedly for DMSO/ethanol regardless of dentin moisture. DMSO pretreatments may be used as a new suitable strategy to improve bonding of water-based adhesives to demineralized air-dried dentin beyond conventional wet-bonding. Less porous resin-dentin interfaces with higher bond strengths on air-dried etched dentin were achieved; nonetheless, overall efficiency varied according to DMSO's co-solvent and adhesive type. DMSO pretreatments

  2. Quadrilateral Micro-Hole Array Machining on Invar Thin Film: Wet Etching and Electrochemical Fusion Machining

    PubMed Central

    Choi, Woong-Kirl; Kim, Seong-Hyun; Choi, Seung-Geon; Lee, Eun-Sang

    2018-01-01

    Ultra-precision products which contain a micro-hole array have recently shown remarkable demand growth in many fields, especially in the semiconductor and display industries. Photoresist etching and electrochemical machining are widely known as precision methods for machining micro-holes with no residual stress and lower surface roughness on the fabricated products. The Invar shadow masks used for organic light-emitting diodes (OLEDs) contain numerous micro-holes and are currently machined by a photoresist etching method. However, this method has several problems, such as uncontrollable hole machining accuracy, non-etched areas, and overcutting. To solve these problems, a machining method that combines photoresist etching and electrochemical machining can be applied. In this study, negative photoresist with a quadrilateral hole array pattern was dry coated onto 30-µm-thick Invar thin film, and then exposure and development were carried out. After that, photoresist single-side wet etching and a fusion method of wet etching-electrochemical machining were used to machine micro-holes on the Invar. The hole machining geometry, surface quality, and overcutting characteristics of the methods were studied. Wet etching and electrochemical fusion machining can improve the accuracy and surface quality. The overcutting phenomenon can also be controlled by the fusion machining. Experimental results show that the proposed method is promising for the fabrication of Invar film shadow masks. PMID:29351235

  3. Complementary molecular and elemental detection of speciated thioarsenicals using ESI-MS in combination with a xenon-based collision-cell ICP-MS with application to fortified NIST freeze-dried urine.

    PubMed

    Ellis, Jenny L; Conklin, Sean D; Gallawa, Christina M; Kubachka, Kevin M; Young, Andrea R; Creed, Patricia A; Caruso, Joseph A; Creed, John T

    2008-04-01

    The simultaneous detection of arsenic and sulfur in thioarsenicals was achieved using xenon-based collision-cell inductively coupled plasma (ICP) mass spectrometry (MS) in combination with high-performance liquid chromatography. In an attempt to minimize the (16)O(16)O(+) interference at m/z 32, both sample introduction and collision-cell experimental parameters were optimized. Low flow rates (0.25 mL/min) and a high methanol concentration (8%) in the mobile phase produced a fourfold decrease in the m/z 32 background. A plasma sampling depth change from 3 to 7 mm produced a twofold decrease in background at m/z 32, with a corresponding fourfold increase in the signal associated with a high ionization surrogate for sulfur. The quadrupole bias and the octopole bias were used as a kinetic energy discriminator between background and analyte ions, but a variety of tuning conditions produced similar (less than twofold change) detection limits for sulfur ((32)S). A 34-fold improvement in the (32)S detection limit was achieved using xenon instead of helium as a collision gas. The optimized xenon-based collision cell ICP mass spectrometer was then used with electrospray ionization MS to provide elemental and molecular-based information for the analysis of a fortified sample of NIST freeze-dried urine. The 3sigma detection limits, based on peak height for dimethylthioarsinic acid (DMTA) and trimethylarsine sulfide (TMAS), were 15 and 12 ng/g, respectively. Finally, the peak area reproducibilities (percentage relative standard deviation) of a 5-ppm fortified sample of NIST freeze dried urine for DMTA and TMAS were 7.4 and 5.4%, respectively.

  4. Using ICP-OES and SEM-EDX in biosorption studies

    PubMed Central

    Chojnacka, Katarzyna; Marycz, Krzysztof

    2010-01-01

    We have compared the analytical results obtained by inductively coupled plasma optical emission spectroscopy (ICP-OES) and by scanning electron microscopy with an energy dispersive X-ray analytical system (SEM-EDX) in order to explore the mechanism of metal ions biosorption by biomass using two independent methods. The marine macroalga Enteromorpha sp. was enriched with Cu(II), Mn(II), Zn(II), and Co(II) ions via biosorption, and the biosorption capacity of alga determined from the solution and biomass composition before and after biosorption process was compared. The first technique was used to analyze the composition of the natural and metal-loaded biomass, and additionally the composition of the solution before and after biosorption. The second technique was used to obtain a picture of the surface of natural and metal ion-loaded macroalgae, to map the elements on the cell wall of dry biomass, and to determine their concentration before and after biosorption. ICP-OES showed a better precision and lower detection limit than EDX, but SEM-EDX gave more information regarding the sample composition of Enteromorpha sp. Both techniques confirmed that biosorption is a surface phenomenon, in which alkali and alkaline earth metal ions were exchanged by metal ions from aqueous solution. Figure The advantages and disadvantages of ICP-OES and SEM-EDX techniques Electronic supplementary material The online version of this article (doi:10.1007/s00604-010-0468-0) contains supplementary material, which is available to authorized users. PMID:21423317

  5. Using ICP-OES and SEM-EDX in biosorption studies.

    PubMed

    Michalak, Izabela; Chojnacka, Katarzyna; Marycz, Krzysztof

    2011-02-01

    We have compared the analytical results obtained by inductively coupled plasma optical emission spectroscopy (ICP-OES) and by scanning electron microscopy with an energy dispersive X-ray analytical system (SEM-EDX) in order to explore the mechanism of metal ions biosorption by biomass using two independent methods. The marine macroalga Enteromorpha sp. was enriched with Cu(II), Mn(II), Zn(II), and Co(II) ions via biosorption, and the biosorption capacity of alga determined from the solution and biomass composition before and after biosorption process was compared. The first technique was used to analyze the composition of the natural and metal-loaded biomass, and additionally the composition of the solution before and after biosorption. The second technique was used to obtain a picture of the surface of natural and metal ion-loaded macroalgae, to map the elements on the cell wall of dry biomass, and to determine their concentration before and after biosorption. ICP-OES showed a better precision and lower detection limit than EDX, but SEM-EDX gave more information regarding the sample composition of Enteromorpha sp. Both techniques confirmed that biosorption is a surface phenomenon, in which alkali and alkaline earth metal ions were exchanged by metal ions from aqueous solution.FigureThe advantages and disadvantages of ICP-OES and SEM-EDX techniques ELECTRONIC SUPPLEMENTARY MATERIAL: The online version of this article (doi:10.1007/s00604-010-0468-0) contains supplementary material, which is available to authorized users.

  6. Overview of several applications of chemical downstream etching (CDE) for IC manufacturing: advantages and drawbacks versus WET processes

    NASA Astrophysics Data System (ADS)

    de Buttet, Côme; Prevost, Emilie; Campo, Alain; Garnier, Philippe; Zoll, Stephane; Vallier, Laurent; Cunge, Gilles; Maury, Patrick; Massin, Thomas; Chhun, Sonarith

    2017-03-01

    Today the IC manufacturing faces lots of problematics linked to the continuous down scaling of printed structures. Some of those issues are related to wet processing, which are often used in the IC manufacturing flow for wafer cleaning, material etching and surface preparation. In the current work we summarize the limitations for the next nodes of wet processing such as metallic contaminations, wafer charging, corrosion and pattern collapse. As a replacement, we promoted the isotropic chemical dry etching (CDE) which is supposed to fix all the above drawbacks. Etching steps of SI3N4 layers were evaluated in order to prove the interest of such technique.

  7. Controlled ion track etching

    NASA Astrophysics Data System (ADS)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  8. More vertical etch profile using a Faraday cage in plasma etching

    NASA Astrophysics Data System (ADS)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  9. Shear bond strength of self-etch adhesives to enamel with additional phosphoric acid etching.

    PubMed

    Lührs, Anne-Katrin; Guhr, Silke; Schilke, Reinhard; Borchers, Lothar; Geurtsen, Werner; Günay, Hüsamettin

    2008-01-01

    This study evaluated the shear bond strength of self-etch adhesives to enamel and the effect of additional phosphoric acid etching. Seventy sound human molars were randomly divided into three test groups and one control group. The enamel surfaces of the control group (n=10) were treated with Syntac Classic (SC). Each test group was subdivided into two groups (each n=10). In half of each test group, ground enamel surfaces were coated with the self-etch adhesives AdheSe (ADH), Xeno III (XE) or Futurabond NR (FNR). In the remaining half of each test group, an additional phosphoric acid etching of the enamel surface was performed prior to applying the adhesives. The shear bond strength was measured with a universal testing machine at a crosshead speed of 1 mm/minute after storing the samples in distilled water at 37 degrees C for 24 hours. Fracture modes were determined by SEM examination. For statistical analysis, one-way ANOVA and the two-sided Dunnett Test were used (p>0.05). Additional phosphoric etching significantly increased the shear bond strength of all the examined self-etch adhesives (p<0.001). The highest shear bond strength was found for FNR after phosphoric acid etching. Without phosphoric acid etching, only FNR showed no significant differences compared to the control (SC). SEM evaluations showed mostly adhesive fractures. For all the self-etch adhesives, a slight increase in mixed fractures occurred after conditioning with phosphoric acid. An additional phosphoric acid etching of enamel should be considered when using self-etch adhesives. More clinical studies are needed to evaluate the long-term success of the examined adhesives.

  10. Phase Analysis of Laser Direct Etching and Water Assisted Laser Combined Etching of SiC Ceramics

    NASA Astrophysics Data System (ADS)

    Yuan, Genfu; Cong, Qidong; Zhang, Chen; Xie, Bingbing

    2017-12-01

    In this study, to discover the etching mechanism of SiC ceramics under laser direct etching and water-jet assisted laser combined etching, the phenomena of substance change on the etched surface were investigated. Also, the rules of substance transfer in etching are discussed. The elemental content change and the phase change of the etching products on the etched surface were analyzed by energy dispersive spectroscopy (EDS) and X-ray diffraction (XRD), respectively. These studies showed a high amount of carbon black on the etched surface, because of the decomposition of SiC ceramics under the high-power-density laser irradiation. SiC decomposed to Si under the laser irradiation, and the subsequent chemical reaction of Si and O2 easily produced SiO2. The SiO2 on the etched surface melted and vaporized, whereas most of SiO2 was removed through splashing, changing the chemical composition of the etched surface. Following the water jet introduction, an increased amount of O existed on the combined etching surface, because the chemical reaction of SiC and H2O easily produced SiO2 under the high-power-density laser irradiation.

  11. Bottom-up and top-down fabrication of nanowire-based electronic devices: In situ doping of vapor liquid solid grown silicon nanowires and etch-dependent leakage current in InGaAs tunnel junctions

    NASA Astrophysics Data System (ADS)

    Kuo, Meng-Wei

    Semiconductor nanowires are important components in future nanoelectronic and optoelectronic device applications. These nanowires can be fabricated using either bottom-up or top-down methods. While bottom-up techniques can achieve higher aspect ratio at reduced dimension without having surface and sub-surface damage, uniform doping distributions with abrupt junction profiles are less challenging for top-down methods. In this dissertation, nanowires fabricated by both methods were systematically investigated to understand: (1) the in situ incorporation of boron (B) dopants in Si nanowires grown by the bottom-up vapor-liquid-solid (VLS) technique, and (2) the impact of plasma-induced etch damage on InGaAs p +-i-n+ nanowire junctions for tunnel field-effect transistors (TFETs) applications. In Chapter 2 and 3, the in situ incorporation of B in Si nanowires grown using silane (SiH4) or silicon tetrachloride (SiCl4) as the Si precursor and trimethylboron (TMB) as the p-type dopant source is investigated by I-V measurements of individual nanowires. The results from measurements using a global-back-gated test structure reveal nonuniform B doping profiles on nanowires grown from SiH4, which is due to simultaneous incorporation of B from nanowire surface and the catalyst during VLS growth. In contrast, a uniform B doping profile in both the axial and radial directions is achieved for TMBdoped Si nanowires grown using SiCl4 at high substrate temperatures. In Chapter 4, the I-V characteristics of wet- and dry-etched InGaAs p+-i-n+ junctions with different mesa geometries, orientations, and perimeter-to-area ratios are compared to evaluate the impact of the dry etch process on the junction leakage current properties. Different post-dry etch treatments, including wet etching and thermal annealing, are performed and the effectiveness of each is assessed by temperaturedependent I-V measurements. As compared to wet-etched control devices, dry-etched junctions have a significantly

  12. Controlled in situ etch-back

    NASA Technical Reports Server (NTRS)

    Mattauch, R. J.; Seabaugh, A. C. (Inventor)

    1981-01-01

    A controlled in situ etch-back technique is disclosed in which an etch melt and a growth melt are first saturated by a source-seed crystal and thereafter etch-back of a substrate takes place by the slightly undersaturated etch melt, followed by LPE growth of a layer by the growth melt, which is slightly supersaturated.

  13. Effect of Phosphoric Acid Pre-etching on Fatigue Limits of Self-etching Adhesives.

    PubMed

    Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Scheidel, D D; Erickson, R L; Latta, M A; Miyazaki, M

    2015-01-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue limit (SFL) testing to determine the effect of phosphoric acid pre-etching of enamel and dentin prior to application of self-etch adhesives for bonding resin composite to these substrates. Three self-etch adhesives--1) G- ænial Bond (GC Corporation, Tokyo, Japan); 2) OptiBond XTR (Kerr Corp, Orange, CA, USA); and 3) Scotchbond Universal (3M ESPE Dental Products, St Paul, MN, USA)--were used to bond Z100 Restorative resin composite to enamel and dentin surfaces. A stainless-steel metal ring with an inner diameter of 2.4 mm was used to bond the resin composite to flat-ground (4000 grit) tooth surfaces for determination of both SBS and SFL. Fifteen specimens each were used to determine initial SBS to human enamel/dentin, with and without pre-etching with a 35% phosphoric acid (Ultra-Etch, Ultradent Products Inc, South Jordan, UT, USA) for 15 seconds prior to the application of the adhesives. A staircase method of fatigue testing (25 specimens for each test) was then used to determine the SFL of resin composite bonded to enamel/dentin using a frequency of 10 Hz for 50,000 cycles or until failure occurred. A two-way analysis of variance and Tukey post hoc test were used for analysis of SBS data, and a modified t-test with Bonferroni correction was used for the SFL data. Scanning electron microscopy was used to examine the area of the bonded restorative/tooth interface. For all three adhesive systems, phosphoric acid pre-etching of enamel demonstrated significantly higher (p<0.05) SBS and SFL with pre-etching than it did without pre-etching. The SBS and SFL of dentin bonds decreased with phosphoric acid pre-etching. The SBS and SFL of bonds using phosphoric acid prior to application of self-etching adhesives clearly demonstrated different tendencies between enamel and dentin. The effect of using phosphoric acid, prior to the application of the self-etching adhesives, on SBS and SFL was

  14. Pattern sampling for etch model calibration

    NASA Astrophysics Data System (ADS)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2017-06-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels as well as the choice of calibration patterns is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels -"internal, external, curvature, Gaussian, z_profile" - designed to capture the finest details of the resist contours and represent precisely any etch bias. By evaluating the etch kernels on various structures it is possible to map their etch signatures in a multi-dimensional space and analyze them to find an optimal sampling of structures to train an etch model. The method was specifically applied to a contact layer containing many different geometries and was used to successfully select appropriate calibration structures. The proposed kernels evaluated on these structures were combined to train an etch model significantly better than the standard one. We also illustrate the usage of the specific kernel "z_profile" which adds a third dimension to the description of the resist profile.

  15. AlGaN-Cladding-Free m-Plane InGaN/GaN Laser Diodes with p-Type AlGaN Etch Stop Layers

    NASA Astrophysics Data System (ADS)

    Farrell, Robert M.; Haeger, Daniel A.; Hsu, Po Shan; Hardy, Matthew T.; Kelchner, Kathryn M.; Fujito, Kenji; Feezell, Daniel F.; Mishra, Umesh K.; DenBaars, Steven P.; Speck, James S.; Nakamura, Shuji

    2011-09-01

    We present a new method of improving the accuracy and reproducibility of dry etching processes for ridge waveguide InGaN/GaN laser diodes (LDs). A GaN:Al0.09Ga0.91N etch rate selectivity of 11:1 was demonstrated for an m-plane LD with a 40 nm p-Al0.09Ga0.91N etch stop layer (ESL) surrounded by Al-free cladding layers, establishing the effectiveness of AlGaN-based ESLs for controlling etch depth in ridge waveguide InGaN/GaN LDs. These results demonstrate the potential for integrating AlGaN ESLs into commercial device designs where accurate control of the etch depth of the ridge waveguide is necessary for stable, kink-free operation at high output powers.

  16. In vitro bonding effectiveness of three different one-step self-etch adhesives with additional enamel etching.

    PubMed

    Batra, Charu; Nagpal, Rajni; Tyagi, Shashi Prabha; Singh, Udai Pratap; Manuja, Naveen

    2014-08-01

    To evaluate the effect of additional enamel etching on the shear bond strength of three self-etch adhesives. Class II box type cavities were made on extracted human molars. Teeth were randomly divided into one control group of etch and rinse adhesive and three test groups of self-etch adhesives (Clearfil S3 Bond, Futurabond NR, Xeno V). The teeth in the control group (n = 10) were treated with Adper™ Single Bond 2. The three test groups were further divided into two subgroups (n = 10): (i) self-etch adhesive was applied as per the manufacturer's instructions; (ii) additional etching of enamel surfaces was done prior to the application of self-etch adhesives. All cavities were restored with Filtek Z250. After thermocycling, shear bond strength was evaluated using a Universal testing machine. Data were analyzed using anova independent sample's 't' test and Dunnett's test. The failure modes were evaluated with a stereomicroscope at a magnification of 10×. Additional phosphoric acid etching of the enamel surface prior to the application of the adhesive system significantly increased the shear bond strength of all the examined self-etch adhesives. Additional phosphoric acid etching of enamel surface significantly improved the shear bond strength. © 2013 Wiley Publishing Asia Pty Ltd.

  17. Thermodynamics of nuclear track chemical etching

    NASA Astrophysics Data System (ADS)

    Rana, Mukhtar Ahmed

    2018-05-01

    This is a brief paper with new and useful scientific information on nuclear track chemical etching. Nuclear track etching is described here by using basic concepts of thermodynamics. Enthalpy, entropy and free energy parameters are considered for the nuclear track etching. The free energy of etching is determined using etching experiments of fission fragment tracks in CR-39. Relationship between the free energy and the etching temperature is explored and is found to be approximately linear. The above relationship is discussed. A simple enthalpy-entropy model of chemical etching is presented. Experimental and computational results presented here are of fundamental interest in nuclear track detection methodology.

  18. III-Nitride Blue Laser Diode with Photoelectrochemically Etched Current Aperture

    NASA Astrophysics Data System (ADS)

    Megalini, Ludovico

    Group III-nitride is a remarkable material system to make highly efficient and high-power optoelectronics and electronic devices because of the unique electrical, physical, chemical and structural properties it offers. In particular, InGaN-based blue Laser Diodes (LDs) have been successfully employed in a variety of applications ranging from biomedical and military devices to scientific instrumentation and consumer electronics. Recently their use in highly efficient Solid State Lighting (SSL) has been proposed because of their superior beam quality and higher efficiency at high input power density. Tremendous advances in research of GaN semi-polar and non-polar crystallographic planes have led both LEDs and LDs grown on these non-basal planes to rival with, and with the promise to outperform, their equivalent c-plane counterparts. However, still many issues need to be addressed, both related to material growth and device fabrication, including a lack of conventional wet etching techniques. GaN and its alloys with InN and AlN have proven resistant essentially to all known standard wet etching techniques, and the predominant etching methods rely on chlorine-based dry etching (RIE). These introduce sub-surface damage which can degrade the electrical properties of the epitaxial structure and reduce the reliability and lifetime of the final device. Such reasons and the limited effectiveness of passivation techniques have so far suggested to etch the LD ridges before the active region, although it is well-known that this can badly affect the device performance, especially in narrow stripe width LDs, because the gain guiding obtained in the planar configuration is weak and the low index step and high lateral current leakage result in devices with threshold current density higher than devices whose ridge is etched beyond the active region. Moreover, undercut etching of III-nitride layers has proven even more challenging, with limitations in control of the lateral etch

  19. Correlation between surface chemistry and ion energy dependence of the etch yield in multicomponent oxides etching

    NASA Astrophysics Data System (ADS)

    Bérubé, P.-M.; Poirier, J.-S.; Margot, J.; Stafford, L.; Ndione, P. F.; Chaker, M.; Morandotti, R.

    2009-09-01

    The influence of surface chemistry in plasma etching of multicomponent oxides was investigated through measurements of the ion energy dependence of the etch yield. Using pulsed-laser-deposited CaxBa(1-x)Nb2O6 (CBN) and SrTiO3 thin films as examples, it was found that the etching energy threshold shifts toward values larger or smaller than the sputtering threshold depending on whether or not ion-assisted chemical etching is the dominant etching pathway and whether surface chemistry is enhancing or inhibiting desorption of the film atoms. In the case of CBN films etched in an inductively coupled Cl2 plasma, it is found that the chlorine uptake is inhibiting the etching reaction, with the desorption of nonvolatile NbCl2 and BaCl2 compounds being the rate-limiting step.

  20. Comparison of Self-Etch Primers with Conventional Acid Etching System on Orthodontic Brackets

    PubMed Central

    Zope, Amit; Zope-Khalekar, Yogita; Chitko, Shrikant S.; Kerudi, Veerendra V.; Patil, Harshal Ashok; Jaltare, Pratik; Dolas, Siddhesh G

    2016-01-01

    Introduction The self-etching primer system consists of etchant and primer dispersed in a single unit. The etching and priming are merged as a single step leading to fewer stages in bonding procedure and reduction in the number of steps that also reduces the chance of introduction of error, resulting in saving time for the clinician. It also results in smaller extent of enamel decalcification. Aim To compare the Shear Bond Strength (SBS) of orthodontic bracket bonded with Self-Etch Primers (SEP) and conventional acid etching system and to study the surface appearance of teeth after debonding; etching with conventional acid etch and self-etch priming, using stereomicroscope. Materials and Methods Five Groups (n=20) were created randomly from a total of 100 extracted premolars. In a control Group A, etching of enamel was done with 37% phosphoric acid and bonding of stainless steel brackets with Transbond XT (3M Unitek, Monrovia, California). Enamel conditioning in left over four Groups was done with self-etching primers and adhesives as follows: Group B-Transbond Plus (3M Unitek), Group C Xeno V+ (Dentsply), Group D-G-Bond (GC), Group E-One-Coat (Coltene). The Adhesive Remnant Index (ARI) score was also evaluated. Additionally, the surface roughness using profilometer were observed. Results Mean SBS of Group A was 18.26±7.5MPa, Group B was 10.93±4.02MPa, Group C was 6.88±2.91MPa while of Group D was 7.78±4.13MPa and Group E was 10.39±5.22MPa respectively. In conventional group ARI scores shows that over half of the adhesive was remaining on the surface of tooth (score 1 to 3). In self-etching primer groups ARI scores show that there was no or minor amount of adhesive remaining on the surface of tooth (score 4 and 5). SEP produces a lesser surface roughness on the enamel than conventional etching. However, statistical analysis shows significant correlation (p<0.001) of bond strength with surface roughness of enamel. Conclusion All groups might show clinically

  1. Surface etching technologies for monocrystalline silicon wafer solar cells

    NASA Astrophysics Data System (ADS)

    Tang, Muzhi

    With more than 200 GW of accumulated installations in 2015, photovoltaics (PV) has become an important green energy harvesting method. The PV market is dominated by solar cells made from crystalline silicon wafers. The engineering of the wafer surfaces is critical to the solar cell cost reduction and performance enhancement. Therefore, this thesis focuses on the development of surface etching technologies for monocrystalline silicon wafer solar cells. It aims to develop a more efficient alkaline texturing method and more effective surface cleaning processes. Firstly, a rapid, isopropanol alcohol free texturing method is successfully demonstrated to shorten the process time and reduce the consumption of chemicals. This method utilizes the special chemical properties of triethylamine, which can form Si-N bonds with wafer surface atoms. Secondly, a room-temperature anisotropic emitter etch-back process is developed to improve the n+ emitter passivation. Using this method, 19.0% efficient screen-printed aluminium back surface field solar cells are developed that show an efficiency gain of 0.15% (absolute) compared with conventionally made solar cells. Finally, state-of-the-art silicon surface passivation results are achieved using hydrogen plasma etching as a dry alternative to the classical hydrofluoric acid wet-chemical process. The effective native oxide removal and the hydrogenation of the silicon surface are shown to be the reasons for the excellent level of surface passivation achieved with this novel method.

  2. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    NASA Astrophysics Data System (ADS)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  3. New frontiers of atomic layer etching

    NASA Astrophysics Data System (ADS)

    Sherpa, Sonam D.; Ranjan, Alok

    2018-03-01

    Interest in atomic layer etching (ALE) has surged recently because it offers several advantages over continuous or quasicontinuous plasma etching. These benefits include (1) independent control of ion energy, ion flux, and radical flux, (2) flux-independent etch rate that mitigates the iso-dense loading effects, and (3) ability to control the etch rate with atomic or nanoscale precision. In addition to these benefits, we demonstrate an area-selective etching for maskless lithography as a new frontier of ALE. In this paper, area-selective etching refers to the confinement of etching into the specific areas of the substrate. The concept of area-selective etching originated during our studies on quasi-ALE of silicon nitride which consists of sequential exposure of silicon nitride to hydrogen and fluorinated plasma. The findings of our studies reported in this paper suggest that it may be possible to confine the etching into specific areas of silicon nitride without using any mask by replacing conventional hydrogen plasma with a localized source of hydrogen ions.

  4. Ion-beam-assisted etching of diamond

    NASA Technical Reports Server (NTRS)

    Efremow, N. N.; Geis, M. W.; Flanders, D. C.; Lincoln, G. A.; Economou, N. P.

    1985-01-01

    The high thermal conductivity, low RF loss, and inertness of diamond make it useful in traveling wave tubes operating in excess of 500 GHz. Such use requires the controlled etching of type IIA diamond to produce grating like structures tens of micrometers deep. Previous work on reactive ion etching with O2 gave etching rates on the order of 20 nm/min and poor etch selectivity between the masking material (Ni or Cr) and the diamond. An alternative approach which uses a Xe(+) beam and a reactive gas flux of NO2 in an ion-beam-assisted etching system is reported. An etching rate of 200 nm/min was obtained with an etching rate ratio of 20 between the diamond and an aluminum mask.

  5. ICPS Turnover GSDO Employee Event

    NASA Image and Video Library

    2017-11-07

    Kennedy Space Center Associate Director Kelvin Manning, right, speaks with a guest during a ceremony marking NASA's Spacecraft/Payload Integration and Evolution (SPIE) organization formally turning over processing of the Space Launch System (SLS) rocket's Interim Cryogenic Propulsion Stage (ICPS) to the center's Ground Systems Development and Operations (GSDO) Directorate. The ICPS is the first integrated piece of flight hardware to arrive in preparation for the uncrewed Exploration Mission-1. With the Orion attached, the ICPS sits atop the SLS rocket and will provide the spacecraft with the additional thrust needed to travel tens of thousands of miles beyond the Moon.

  6. Microtensile bond strength of etch and rinse versus self-etch adhesive systems.

    PubMed

    Hamouda, Ibrahim M; Samra, Nagia R; Badawi, Manal F

    2011-04-01

    The aim of this study was to compare the microtensile bond strength of the etch and rinse adhesive versus one-component or two-component self-etch adhesives. Twelve intact human molar teeth were cleaned and the occlusal enamel of the teeth was removed. The exposed dentin surfaces were polished and rinsed, and the adhesives were applied. A microhybride composite resin was applied to form specimens of 4 mm height and 6 mm diameter. The specimens were sectioned perpendicular to the adhesive interface to produce dentin-resin composite sticks, with an adhesive area of approximately 1.4 mm(2). The sticks were subjected to tensile loading until failure occurred. The debonded areas were examined with a scanning electron microscope to determine the site of failure. The results showed that the microtensile bond strength of the etch and rinse adhesive was higher than that of one-component or two-component self-etch adhesives. The scanning electron microscope examination of the dentin surfaces revealed adhesive and mixed modes of failure. The adhesive mode of failure occurred at the adhesive/dentin interface, while the mixed mode of failure occurred partially in the composite and partially at the adhesive/dentin interface. It was concluded that the etch and rinse adhesive had higher microtensile bond strength when compared to that of the self-etch adhesives. Copyright © 2010 Elsevier Ltd. All rights reserved.

  7. Metabolomics and Trace Element Analysis of Camel Tear by GC-MS and ICP-MS.

    PubMed

    Ahamad, Syed Rizwan; Raish, Mohammad; Yaqoob, Syed Hilal; Khan, Altaf; Shakeel, Faiyaz

    2017-06-01

    Camel tear metabolomics and elemental analysis are useful in getting the information regarding the components responsible for maintaining the protective system that allows living in the desert and dry regions. The aim of this study was to correlate that the camel tears can be used as artificial tears for the evaluation of dryness in the eye. Eye biomarkers of camel tears were analyzed by gas chromatography-mass spectroscopy (GC-MS) and inductively coupled plasma mass spectroscopy (ICP-MS). The major compounds detected in camel tears by GC-MS were alanine, valine, leucine, norvaline, glycine, cadaverine, urea, ribitol, sugars, and higher fatty acids like octadecanoic acid and hexadecanoic acid. GC-MS analysis of camel tears also finds several products of metabolites and its associated metabolic participants. ICP-MS analysis showed the presence of different concentration of elemental composition in the camel tears.

  8. Alternative process for thin layer etching: Application to nitride spacer etching stopping on silicon germanium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Posseme, N., E-mail: nicolas.posseme@cea.fr; Pollet, O.; Barnola, S.

    2014-08-04

    Silicon nitride spacer etching realization is considered today as one of the most challenging of the etch process for the new devices realization. For this step, the atomic etch precision to stop on silicon or silicon germanium with a perfect anisotropy (no foot formation) is required. The situation is that none of the current plasma technologies can meet all these requirements. To overcome these issues and meet the highly complex requirements imposed by device fabrication processes, we recently proposed an alternative etching process to the current plasma etch chemistries. This process is based on thin film modification by light ionsmore » implantation followed by a selective removal of the modified layer with respect to the non-modified material. In this Letter, we demonstrate the benefit of this alternative etch method in term of film damage control (silicon germanium recess obtained is less than 6 A), anisotropy (no foot formation), and its compatibility with other integration steps like epitaxial. The etch mechanisms of this approach are also addressed.« less

  9. Etching fission tracks in zircons

    USGS Publications Warehouse

    Naeser, C.W.

    1969-01-01

    A new technique has been developed whereby fission tracks can be etched in zircon with a solution of sodium hydroxide at 220??C. Etching time varied between 15 minutes and 5 hours. Colored zircon required less etching time than the colorless varieties.

  10. Influence of drying time and temperature on bond strength of contemporary adhesives to dentine.

    PubMed

    Garcia, Fernanda C P; Almeida, Júlio C F; Osorio, Raquel; Carvalho, Ricardo M; Toledano, Manuel

    2009-04-01

    To evaluate the bond strength (microTBS) of self-etching adhesives in different solvent evaporation conditions. Flat dentine surfaces from extracted human third molars were bonded with: (1) 2 two-steps self-etching adhesives (Clearfil SE Bond-CSEB); (Protect Bond-PB) and (2) 2 one-step self-etch systems (Adper Prompt L Pop-ADPLP); (Xeno III-XIII). Bonded dentine surfaces were air-dried for 5s, 20s, 30s or 40s at either 21 degrees C or 38 degrees C. Composite build-ups were constructed incrementally. After storage in water for 24h at 37 degrees C, the specimens were prepared for microtensile bond strength testing. Data were analyzed by two-way ANOVA and Student-Newman-Keuls at alpha=0.05. CSEB and PB performed better at warm temperature with only 20s of air-blowing. The bond strength increased when XIII was performed at warm temperature at 40s air-blowing. Extended air-blowing not affect the performance of ADPLP, except at 30s air-blowing time at warm temperature. The use of a warm air-dry stream seems to be a clinical tool to improve the bond strength to self-etching adhesives.

  11. Laser-driven fusion etching process

    DOEpatents

    Ashby, C.I.H.; Brannon, P.J.; Gerardo, J.B.

    1987-08-25

    The surfaces of solids are etched by a radiation-driven chemical reaction. The process involves exposing a substrate coated with a layer of a reactant material on its surface to radiation, e.g., a laser, to induce localized melting of the substrate which results in the occurrence of a fusion reaction between the substrate and coating material. The resultant reaction product and excess reactant salt are then removed from the surface of the substrate with a solvent which is relatively inert towards the substrate. The laser-driven chemical etching process is especially suitable for etching ionic substrates, e.g., LiNbO/sub 3/, such as used in electro-optical/acousto-optic devices. It is also suitable for applications wherein the etching process is required to produce an etched ionic substrate having a smooth surface morphology or when a very rapid etching rate is desired.

  12. Submicron patterned metal hole etching

    DOEpatents

    McCarthy, Anthony M.; Contolini, Robert J.; Liberman, Vladimir; Morse, Jeffrey

    2000-01-01

    A wet chemical process for etching submicron patterned holes in thin metal layers using electrochemical etching with the aid of a wetting agent. In this process, the processed wafer to be etched is immersed in a wetting agent, such as methanol, for a few seconds prior to inserting the processed wafer into an electrochemical etching setup, with the wafer maintained horizontal during transfer to maintain a film of methanol covering the patterned areas. The electrochemical etching setup includes a tube which seals the edges of the wafer preventing loss of the methanol. An electrolyte composed of 4:1 water: sulfuric is poured into the tube and the electrolyte replaces the wetting agent in the patterned holes. A working electrode is attached to a metal layer of the wafer, with reference and counter electrodes inserted in the electrolyte with all electrodes connected to a potentiostat. A single pulse on the counter electrode, such as a 100 ms pulse at +10.2 volts, is used to excite the electrochemical circuit and perform the etch. The process produces uniform etching of the patterned holes in the metal layers, such as chromium and molybdenum of the wafer without adversely effecting the patterned mask.

  13. Laser-driven fusion etching process

    DOEpatents

    Ashby, Carol I. H.; Brannon, Paul J.; Gerardo, James B.

    1989-01-01

    The surfaces of solid ionic substrates are etched by a radiation-driven chemical reaction. The process involves exposing an ionic substrate coated with a layer of a reactant material on its surface to radiation, e.g. a laser, to induce localized melting of the substrate which results in the occurrance of a fusion reaction between the substrate and coating material. The resultant reaction product and excess reactant salt are then removed from the surface of the substrate with a solvent which is relatively inert towards the substrate. The laser-driven chemical etching process is especially suitable for etching ionic salt substrates, e.g., a solid inorganic salt such as LiNbO.sub.3, such as used in electro-optical/acousto-optic devices. It is also suitable for applications wherein the etching process is required to produce an etched ionic substrate having a smooth surface morphology or when a very rapid etching rate is desired.

  14. Self-etching ceramic primer versus hydrofluoric acid etching: Etching efficacy and bonding performance.

    PubMed

    El-Damanhoury, Hatem M; Gaintantzopoulou, Maria D

    2018-01-01

    This study assessed the effect of pretreatment of hybrid and glass ceramics using a self-etching primer on the shear bond strength (SBS) and surface topography, in comparison to pretreatment with hydrofluoric acid and silane. 40 rectangular discs from each ceramic material (IPS e.max CAD;EM, Vita Mark II;VM, Vita Enamic;VE), were equally divided (n=10) and assigned to one of four surface pretreatment methods; etching with 4.8% hydrofluoric acid followed by Monobond plus (HFMP), Monobond etch & prime (Ivoclar Vivadent) (MEP), No treatment (NT) as negative control and Monobond plus (Ivoclar Vivadent) with no etching (MP) as positive control. SBS of resin cement (Multilink-N, Ivoclar Vivadent) to ceramic surfaces was tested following a standard protocol. Surface roughness was evaluated using an Atomic force microscope (AFM). Surface topography and elemental analysis were analyzed using SEM/EDX. Data were analyzed with two-way analysis of variance (ANOVA) and post-hoc Bonferroni test at a significance level of α=0.05. Pretreatment with HFMP resulted in higher SBS and increased surface roughness in comparison to MEP and MP. Regardless the method of surface pretreatment, the mean SBS values of EM ceramic was significantly higher (p<0.05) than those recorded for VM and VE, except when VE was treated with MEP, where the difference was statistically insignificant. Traces of fluoride ion were detected when MEP was used with VE and VM. Under limited conditions, using MEP resulted in comparable SBS results to HFMP; meanwhile HFMP remains the gold standard for pretreatment of glass ceramics for resin-luting cementation. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  15. Bond strength of a pit-and-fissure sealant associated to etch-and-rinse and self-etching adhesive systems to saliva-contaminated enamel: individual vs. simultaneous light curing.

    PubMed

    Gomes-Silva, Jaciara Miranda; Torres, Carolina Paes; Contente, Marta Maria Martins Giamatei; Oliveira, Maria Angélica Hueb de Menezes; Palma-Dibb, Regina Guenka; Borsatto, Maria Cristina

    2008-01-01

    This study evaluated in vitro the shear bond strength (SBS) of a resin-based pit-and-fissure sealant [Fluroshield (F), Dentsply/Caulk] associated with either an etch-and-rinse [Adper Single Bond 2 (SB), 3M/ESPE] or a self-etching adhesive system [Clearfil S3 Bond (S3), Kuraray Co., Ltd.] to saliva-contaminated enamel, comparing two curing protocols: individual light curing of the adhesive system and the sealant or simultaneous curing of both materials. Mesial and distal enamel surfaces from 45 sound third molars were randomly assigned to 6 groups (n=15), according to the bonding technique: I - F was applied to 37% phosphoric acid etched enamel. The other groups were contaminated with fresh human saliva (0.01 mL; 10 s) after acid etching: II - SB and F were light cured separately; III - SB and F were light cured together; IV - S3 and F were light cured separately; V - S3 and F were light cured simultaneously; VI - F was applied to saliva-contaminated, acid-etched enamel without an intermediate bonding agent layer. SBS was tested to failure in a universal testing machine at 0.5 mm/min. Data were analyzed by one-way ANOVA and Fisher's test (alpha=0.05).The debonded specimens were examined with a stereomicroscope to assess the failure modes. Three representative specimens from each group were observed under scanning electron microscopy for a qualitative analysis. Mean SBS in MPa were: I-12.28 (+/-4.29); II-8.57 (+/-3.19); III-7.97 (+/-2.16); IV-12.56 (+/-3.11); V-11.45 (+/-3.77); and VI-7.47 (+/-1.99). In conclusion, individual or simultaneous curing of the intermediate bonding agent layer and the resin sealant did not seem to affect bond strength to saliva-contaminated enamel. S3/F presented significantly higher SBS than the that of the groups treated with SB etch-and-rinse adhesive system and similar SBS to that of the control group, in which the sealant was applied under ideal dry, noncontaminated conditions.

  16. Etching and Growth of GaAs

    NASA Technical Reports Server (NTRS)

    Seabaugh, A. C.; Mattauch, R., J.

    1983-01-01

    In-place process for etching and growth of gallium arsenide calls for presaturation of etch and growth melts by arsenic source crystal. Procedure allows precise control of thickness of etch and newly grown layer on substrate. Etching and deposition setup is expected to simplify processing and improve characteristics of gallium arsenide lasers, high-frequency amplifiers, and advanced integrated circuits.

  17. Characterization of gate recessed GaN/AlGaN/GaN high electron mobility transistors fabricated using a SiCl4/SF6 dry etch recipe

    NASA Astrophysics Data System (ADS)

    Green, R. T.; Luxmoore, I. J.; Lee, K. B.; Houston, P. A.; Ranalli, F.; Wang, T.; Parbrook, P. J.; Uren, M. J.; Wallis, D. J.; Martin, T.

    2010-07-01

    Incorporating GaN capping layers in conjunction with recessing has been identified as a means to maximize the high frequency performance of AlGaN/GaN high electron mobility transistors (HEMTs). Doping the cap heavily n-type is required in order to ensure minimal loss of carriers from the channel. Using a SiCl4/SF6 dry etch plasma recipe, 250 nm gate length HEMTs with recess lengths varying from 300 nm to 5 μm are fabricated. Heavily doped n+GaN caps enabled contact resistances of 0.3 Ω mm to be achieved. Recessing using a SiCl4/SF6 recipe does not introduce significant numbers of bulk traps. Gate recessing in conjunction with Si3N4 passivation reduces rf dispersion to negligible levels.

  18. Metabolomic and elemental analysis of camel and bovine urine by GC-MS and ICP-MS.

    PubMed

    Ahamad, Syed Rizwan; Alhaider, Abdul Qader; Raish, Mohammad; Shakeel, Faiyaz

    2017-01-01

    Recent studies from the author's laboratory indicated that camel urine possesses antiplatelet activity and anti-cancer activity which is not present in bovine urine. The objective of this study is to compare the volatile and elemental components of bovine and camel urine using GC-MS and ICP-MS analysis. We are interested to know the component that performs these biological activities. The freeze dried urine was dissolved in dichloromethane and then derivatization process followed by using BSTFA for GC-MS analysis. Thirty different compounds were analyzed by the derivatization process in full scan mode. For ICP-MS analysis twenty eight important elements were analyzed in both bovine and camel urine. The results of GC-MS and ICP-MS analysis showed marked difference in the urinary metabolites. GC-MS evaluation of camel urine finds a lot of products of metabolism like benzene propanoic acid derivatives, fatty acid derivatives, amino acid derivatives, sugars, prostaglandins and canavanine. Several research reports reveal the metabolomics studies on camel urine but none of them completely reported the pharmacology related metabolomics. The present data of GC-MS suggest and support the previous studies and activities related to camel urine.

  19. Effects of etch-and-rinse and self-etch adhesives on dentin MMP-2 and MMP-9.

    PubMed

    Mazzoni, A; Scaffa, P; Carrilho, M; Tjäderhane, L; Di Lenarda, R; Polimeni, A; Tezvergil-Mutluay, A; Tay, F R; Pashley, D H; Breschi, L

    2013-01-01

    Auto-degradation of collagen matrices occurs within hybrid layers created by contemporary dentin bonding systems, by the slow action of host-derived matrix metalloproteinases (MMPs). This study tested the null hypothesis that there are no differences in the activities of MMP-2 and -9 after treatment with different etch-and-rinse or self-etch adhesives. Tested adhesives were: Adper Scotchbond 1XT (3M ESPE), PQ1 (Ultradent), Peak LC (Ultradent), Optibond Solo Plus (Kerr), Prime&Bond NT (Dentsply) (all 2-step etch-and-rinse adhesives), and Adper Easy Bond (3M ESPE), Tri-S (Kuraray), and Xeno-V (Dentsply) (1-step self-etch adhesives). MMP-2 and -9 activities were quantified in adhesive-treated dentin powder by means of an activity assay and gelatin zymography. MMP-2 and MMP-9 activities were found after treatment with all of the simplified etch-and-rinse and self-etch adhesives; however, the activation was adhesive-dependent. It is concluded that all two-step etch-and-rinse and the one-step self-etch adhesives tested can activate endogenous MMP-2 and MMP-9 in human dentin. These results support the role of endogenous MMPs in the degradation of hybrid layers created by these adhesives.

  20. Effects of Etch-and-Rinse and Self-etch Adhesives on Dentin MMP-2 and MMP-9

    PubMed Central

    Mazzoni, A.; Scaffa, P.; Carrilho, M.; Tjäderhane, L.; Di Lenarda, R.; Polimeni, A.; Tezvergil-Mutluay, A.; Tay, F.R.; Pashley, D.H.; Breschi, L.

    2013-01-01

    Auto-degradation of collagen matrices occurs within hybrid layers created by contemporary dentin bonding systems, by the slow action of host-derived matrix metalloproteinases (MMPs). This study tested the null hypothesis that there are no differences in the activities of MMP-2 and -9 after treatment with different etch-and-rinse or self-etch adhesives. Tested adhesives were: Adper Scotchbond 1XT (3M ESPE), PQ1 (Ultradent), Peak LC (Ultradent), Optibond Solo Plus (Kerr), Prime&Bond NT (Dentsply) (all 2-step etch-and-rinse adhesives), and Adper Easy Bond (3M ESPE), Tri-S (Kuraray), and Xeno-V (Dentsply) (1-step self-etch adhesives). MMP-2 and -9 activities were quantified in adhesive-treated dentin powder by means of an activity assay and gelatin zymography. MMP-2 and MMP-9 activities were found after treatment with all of the simplified etch-and-rinse and self-etch adhesives; however, the activation was adhesive-dependent. It is concluded that all two-step etch-and-rinse and the one-step self-etch adhesives tested can activate endogenous MMP-2 and MMP-9 in human dentin. These results support the role of endogenous MMPs in the degradation of hybrid layers created by these adhesives. PMID:23128110

  1. Removing Al and regenerating caustic soda from the spent washing liquor of Al etching

    NASA Astrophysics Data System (ADS)

    Barakat, M. A.; El-Sheikh, S. M.; Farghly, F. E.

    2005-08-01

    Spent liquor from washing of aluminum section materials after etching with caustic soda (NaOH) has been treated. Aluminum was removed from the liquor and caustic soda was regenerated by adding precipitating agents to hydrolyze sodium aluminate (Na2AlO2), separating the aluminumprecipitate, and concentrating free NaOH in the resulting solution for reuse in the etching process. Four systems were investigated: hydrated lime [Ca(OH)2], hydrogen peroxide (H2O2), H2O2/Ca(OH)2 mixture, and dry lime (CaO). Results revealed that CaO was more efficient in the removal of aluminum from the spent liquor with a higher hydrolyzing rate of Na2AlO2 than Ca(OH)2, H2O2, or their mixture.

  2. ICPS Turnover GSDO Employee Event

    NASA Image and Video Library

    2017-11-07

    In the Space Station Processing Facility at NASA's Kennedy Space Center in Florida, a ceremony is underway marking the agency's Spacecraft/Payload Integration and Evolution (SPIE) organization formally turning over processing of the Space Launch System (SLS) rocket's Interim Cryogenic Propulsion Stage (ICPS), to the center's Ground Systems Development and Operations (GSDO) Directorate. The ICPS is seen on the left in its shipping container and is the first integrated piece of flight hardware to arrive in preparation for the uncrewed Exploration Mission-1. With the Orion attached, the ICPS sits atop the SLS rocket and will provide the spacecraft with the additional thrust needed to travel tens of thousands of miles beyond the Moon.

  3. TrackEtching - A Java based code for etched track profile calculations in SSNTDs

    NASA Astrophysics Data System (ADS)

    Muraleedhara Varier, K.; Sankar, V.; Gangadathan, M. P.

    2017-09-01

    A java code incorporating a user friendly GUI has been developed to calculate the parameters of chemically etched track profiles of ion-irradiated solid state nuclear track detectors. Huygen's construction of wavefronts based on secondary wavelets has been used to numerically calculate the etched track profile as a function of the etching time. Provision for normal incidence and oblique incidence on the detector surface has been incorporated. Results in typical cases are presented and compared with experimental data. Different expressions for the variation of track etch rate as a function of the ion energy have been utilized. The best set of values of the parameters in the expressions can be obtained by comparing with available experimental data. Critical angle for track development can also be calculated using the present code.

  4. ICP measurement accuracy: the effect of temperature drift. Design of a laboratory test for assessment of ICP transducers.

    PubMed

    Morgalla, M H; Mettenleiter, H; Katzenberger, T

    1999-01-01

    Intracranial pressure (ICP) monitoring has become the mainstay of multimodal neuromonitoring of comatous patients after head injury. In the presence of rising ICP and faced with pressures, difficult to control, aggressive measures, such as hypothermia may be used. The ICP readings should not be influenced by temperature changes. A laboratory test was designed to simulate temperature variations between 20 degrees C and 45 degrees C at different pressure levels under physiological conditions. Five types of transducers were examined: Epidyn Braun Melsungen, ICT/B-Titan Gaeltec, Camino-OLM-110-4B, Codman MicroSensor ICP-Transducer, Neurovent ICP transducer Rehau Ag+Co. Tests were performed at 6 different pressure levels between 0 mmHg and 50 mmHg. The results show very low drifts of less than 0.15 mmHg degree C-1 for Codman, Epidyn and Neurovent. Gaeltec and Camino exhibited higher drifts of 0.18 mmHg and 0.2 mmHg degree C-1 respectively. Within the temperature range from 35 degrees C to 42 degrees C all probes tested show insignificant temperature drift. Whether these results also apply to other types of transducers needs further evaluation. Problems and requirements related to the design of a laboratory test for the in vitro assessment of ICP transducers are discussed in detail.

  5. Adhesive capability of total-etch, self-etch, and self-adhesive systems for fiber post cementation

    NASA Astrophysics Data System (ADS)

    Theodor, Y.; Koesmaningati, H.; Gita, F.

    2017-08-01

    The aim of this study was to analyze whether self-etch and self-adhesive systems are comparable to the total-etch system for fiber post cementation. This experimental laboratory study, which was approved by an ethics committee, was performed using 27 mandibular premolar teeth randomly divided into three groups. Fiber post cementation was done using three different adhesive systems. Specimens were prepared with a thickness of 5 mm, which was measured from the cervical to medial areas of the root, and stored for 24 h in saline solution at room temperature. A push-out test was performed using a universal testing machine (Shimidzu AG-5000E) with a crosshead speed of 0.5 mm/min. The results of one way ANOVA bivariate testing showed that the total-etch and self-etch systems have comparable adhesion capability (p<0.05) and that the self-adhesive system has the lowest adhesion capability (p>0.05). With easier application, the self-etch system has a comparable adhesion capability to the total-etch system.

  6. LC coupled to ESI, MALDI and ICP MS - A multiple hyphenation for metalloproteomic studies.

    PubMed

    Coufalíková, Kateřina; Benešová, Iva; Vaculovič, Tomáš; Kanický, Viktor; Preisler, Jan

    2017-05-22

    A new multiple detection arrangement for liquid chromatography (LC) that supplements conventional electrospray ionization (ESI) mass spectrometry (MS) detection with two complementary detection techniques, matrix-assisted laser desorption/ionization (MALDI) MS and substrate-assisted laser desorption inductively coupled plasma (SALD ICP) MS has been developed. The combination of the molecular and elemental detectors in a single separation run is accomplished by utilizing a commercial MALDI target made of conductive plastic. The proposed platform provides a number of benefits in today's metalloproteomic applications, which are demonstrated by analysis of a metallothionein mixture. To maintain metallothionein complexes, separation is carried out at a neutral pH. The effluent is split; a major portion is directed to ESI MS while the remaining 1.8% fraction is deposited onto a plastic MALDI target. Dried droplets are overlaid with MALDI matrix and analysed consecutively by MALDI MS and SALD ICP MS. In the ESI MS spectra, the MT isoform complexes with metals and their stoichiometry are determined; the apoforms are revealed in the MALDI MS spectra. Quantitative determination of metallothionein isoforms is performed via determination of metals in the complexes of the individual protein isoforms using SALD ICP MS. Copyright © 2017 Elsevier B.V. All rights reserved.

  7. Comparison of enamel bond fatigue durability between universal adhesives and two-step self-etch adhesives: Effect of phosphoric acid pre-etching.

    PubMed

    Suda, Shunichi; Tsujimoto, Akimasa; Barkmeier, Wayne W; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-03-30

    The effect of phosphoric acid pre-etching on enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives was investigated. Four universal adhesives and three two-step self-etch adhesives were used. The initial shear bond strengths and shear fatigue strengths to enamel with and without phosphoric acid pre-etching using the adhesives were determined. SEM observations were also conducted. Phosphoric acid pre-etching of enamel was found to increase the bond fatigue durability of universal adhesives, but its effect on two-step self-etch adhesives was material-dependent. In addition, some universal adhesives with phosphoric acid pre-etching showed similar bond fatigue durability to the two-step self-etch adhesives, although the bond fatigue durability of universal adhesives in self-etch mode was lower than that of the two-step self-etch adhesives. Phosphoric acid pre-etching enhances enamel bond fatigue durability of universal adhesives, but the effect of phosphoric acid pre-etching on the bond fatigue durability of two-step self-etch adhesives was material-dependent.

  8. Effect of etching on bonding of a self-etch adhesive to dentine affected by amelogenesis imperfecta.

    PubMed

    Epasinghe, Don Jeevanie; Yiu, Cynthia Kar Yung

    2018-02-01

    Dentine affected by amelogenesis imperfecta (AI) is histologically altered due to loss of hypoplastic enamel and becomes hypermineralized. In the present study, we examined the effect of additional acid etching on microtensile bond strength of a self-etch adhesive to AI-affected dentine. Flat coronal dentine obtained from extracted AI-affected and non-carious permanent molars were allocated to two groups: (a) Clearfil SE Bond (control); and (b) Clearfil SE Bond and additional etching with 34% phosphoric acid for 15 seconds. The bonded teeth were sectioned into .8-mm 2 beams for microtensile bond strength testing, and stressed to failure under tension. The bond strength data were analyzed using two-way analysis of variance (dentine type and etching step) and Student-Newman-Keuls multiple comparison test (P<.05). Representative fractured beams from each group were examined under scanning electron microscopy. Both factors, dentine substrate (P<.001) and etching step (P<.05), and their interactions (P<.001), were statistically significant. Additional etching had an adverse effect on the bond strength of Clearfil SE Bond to normal dentine (P<.005), and no significant improvement was found for AI-affected dentine (P=.479). Additional acid etching does not improve the bond strength of a self-etch adhesive to AI-affected dentine. © 2017 John Wiley & Sons Australia, Ltd.

  9. [Study on the determination of 28 inorganic elements in sunflower seeds by ICP-OES/ICP-MS].

    PubMed

    Liu, Hong-Wei; Qin, Zong-Hui; Xie, Hua-Lin; Cao, Shu

    2013-01-01

    The present paper describes a simple method for the determination of trace elements in sunflower seeds by using inductively coupled plasma optical emission spectrometry (ICP-OES) and inductively coupled plasma spectrometry (ICP-MS). HNO3 + H2O2 were used to achieve the complete decomposition of the organic matrix in a closed-vessel microwave oven. The contents of 10 trace elements (Al, B, Ca, Fe, K, Mg, Na, Si, P and S) in sunflower seeds were determined by ICP-OES while 18 trace elements (As, Ba, Cd, Co, Cr, Cu, Li, Mn, Mo, Ni, Pb, Rb, Sr, Sn, Sb, Ti, V and Zn) were determined by ICP-MS. The rice reference material (GBW10045) was used as standard reference materials. The results showed a good agreement between measured and certified values for all analytes. The concentrations of necessary micro elements Ca, K, Mg, P and S were higher. This method was simple, sensitive and precise and can perform simultaneous multi-elements determination of sunflower seeds.

  10. Process technologies of MPACVD planar waveguide devices and fiber attachment

    NASA Astrophysics Data System (ADS)

    Li, Cheng-Chung; Qian, Fan; Boudreau, Robert A.; Rowlette, John R., Sr.; Bowen, Terry P.

    1999-03-01

    Optical circuits based on low-loss glass waveguide on silicon are a practical and promising approach to integrate different functional components. Fiber attachment to planar waveguide provides a practical application for optical communications. Microwave Plasma Assisted Chemical Vapor Deposition (MPACVD) produces superior quality, low birefringence, low-loss, planar waveguides for integrated optical devices. Microwave plasma initiates the chemical vapor of SiCl4, GeCl4 and oxygen. A Ge-doped silica layer is thus deposited with a compatible high growth rate (i.e. 0.4 - 0.5 micrometer/min). Film properties are based on various parameters, such as chemical flow rates, chamber pressure and temperature, power level and injector design. The resultant refractive index can be varied between 1.46 (i.e. pure silica) and 1.60 (i.e. pure germania). Waveguides can be fabricated with any desired refractive index profile. Standard photolithography defines the waveguide pattern on a mask layer. The core layer is removed by plasma dry etch which has been investigated by both reactive ion etch (RIE) and inductively coupled plasma (ICP) etch. Etch rates of 3000 - 4000 angstrom/min have been achieved using ICP compared to typical etch rates of 200 - 300 angstrom/min using conventional RIE. Planar waveguides offer good mode matching to optical fiber. A polished fiber end can be glued to the end facet of waveguide with a very low optical coupling loss. In addition, anisotropic etching of silicon V- grooves provides a passive alignment capability. Epoxy and solder were used to fix the fiber within the guiding groove. Several designs of waveguide-fiber attachment will be discussed.

  11. Nanoparticle-based etching of silicon surfaces

    DOEpatents

    Branz, Howard [Boulder, CO; Duda, Anna [Denver, CO; Ginley, David S [Evergreen, CO; Yost, Vernon [Littleton, CO; Meier, Daniel [Atlanta, GA; Ward, James S [Golden, CO

    2011-12-13

    A method (300) of texturing silicon surfaces (116) such to reduce reflectivity of a silicon wafer (110) for use in solar cells. The method (300) includes filling (330, 340) a vessel (122) with a volume of an etching solution (124) so as to cover the silicon surface 116) of a wafer or substrate (112). The etching solution (124) is made up of a catalytic nanomaterial (140) and an oxidant-etchant solution (146). The catalytic nanomaterial (140) may include gold or silver nanoparticles or noble metal nanoparticles, each of which may be a colloidal solution. The oxidant-etchant solution (146) includes an etching agent (142), such as hydrofluoric acid, and an oxidizing agent (144), such as hydrogen peroxide. Etching (350) is performed for a period of time including agitating or stirring the etching solution (124). The etch time may be selected such that the etched silicon surface (116) has a reflectivity of less than about 15 percent such as 1 to 10 percent in a 350 to 1000 nanometer wavelength range.

  12. Etching method for photoresists or polymers

    NASA Technical Reports Server (NTRS)

    Lerner, Narcinda R. (Inventor); Wydeven, Theodore J., Jr. (Inventor)

    1991-01-01

    A method for etching or removing polymers, photoresists, and organic contaminants from a substrate is disclosed. The method includes creating a more reactive gas species by producing a plasma discharge in a reactive gas such as oxygen and contacting the resulting gas species with a sacrificial solid organic material such as polyethylene or polyvinyl fluoride, reproducing a highly reactive gas species, which in turn etches the starting polymer, organic contaminant, or photoresist. The sample to be etched is located away from the plasma glow discharge region so as to avoid damaging the substrate by exposure to high energy particles and electric fields encountered in that region. Greatly increased etching rates are obtained. This method is highly effective for etching polymers such as polyimides and photoresists that are otherwise difficult or slow to etch downstream from an electric discharge in a reactive gas.

  13. Anisotropic Etching Using Reactive Cluster Beams

    NASA Astrophysics Data System (ADS)

    Koike, Kunihiko; Yoshino, Yu; Senoo, Takehiko; Seki, Toshio; Ninomiya, Satoshi; Aoki, Takaaki; Matsuo, Jiro

    2010-12-01

    The characteristics of Si etching using nonionic cluster beams with highly reactive chlorine-trifluoride (ClF3) gas were examined. An etching rate of 40 µm/min or higher was obtained even at room temperature when a ClF3 molecular cluster was formed and irradiated on a single-crystal Si substrate in high vacuum. The etching selectivity of Si with respect to a photoresist and SiO2 was at least 1:1000. We also succeeded in highly anisotropic etching with an aspect ratio of 10 or higher. Moreover, this etching method has a great advantage of low damage, compared with the conventional plasma process.

  14. Nitrogen reactive ion etch processes for the selective removal of poly-(4-vinylpyridine) in block copolymer films.

    PubMed

    Flynn, Shauna P; Bogan, Justin; Lundy, Ross; Khalafalla, Khalafalla E; Shaw, Matthew; Rodriguez, Brian J; Swift, Paul; Daniels, Stephen; O'Connor, Robert; Hughes, Greg; Kelleher, Susan M

    2018-08-31

    Self-assembling block copolymer (BCP) patterns are one of the main contenders for the fabrication of nanopattern templates in next generation lithography technology. Transforming these templates to hard mark materials is key for pattern transfer and in some cases, involves selectively removing one block from the nanopattern. For poly(styrene)-block-poly(4-vinylpyridine) (PS-b-P4VP), a high χ BCP system which could be potentially incorporated into semiconductor nanofabrication, this selective removal is predominantly done by a wet etch/activation process. Conversely, this process has numerous disadvantages including lack of control and high generation of waste leading to high cost. For these reasons, our motivation was to move away from the wet etch process and optimise a dry etch which would overcome the limitations associated with the activation process. The work presented herein shows the development of a selective plasma etch process for the removal of P4VP cores from PS-b-P4VP nanopatterned film. Results have shown that a nitrogen reactive ion etch plasma has a selectivity for P4VP of 2.2:1 and suggest that the position of the nitrogen in the aromatic ring of P4VP plays a key role in this selectivity. In situ plasma etching and x-ray photoelectron spectrometry measurements were made without breaking vacuum, confirming that the nitrogen plasma has selectivity for removal of P4VP over PS.

  15. Alternating SiCl4/O2 passivation steps with SF6 etch steps for silicon deep etching

    NASA Astrophysics Data System (ADS)

    Duluard, C. Y.; Ranson, P.; Pichon, L. E.; Pereira, J.; Oubensaid, E. H.; Lefaucheux, P.; Puech, M.; Dussart, R.

    2011-06-01

    Deep etching of silicon has been investigated in an inductively coupled plasma etch reactor using short SiCl4/O2 plasma steps to passivate the sidewalls of the etched structures. A study was first carried out to define the appropriate parameters to create, at a substrate temperature of -20 °C, a passivation layer by SiCl4/O2 plasma that resists lateral chemical etching in SF6 plasma. The most efficient passivation layer was obtained for a SiCl4/O2 gas flow ratio of 2:1, a pressure of 1 Pa and a source power of 1000 W. Ex situ analyses on a film deposited with these parameters show that it is very rich in oxygen. Silicon etching processes that alternate SF6 plasma etch steps with SiCl4/O2 plasma passivation steps were then developed. Preliminary tests in pulsed-mode conditions have enabled etch rates greater than 2 µm min-1 with selectivities higher than 220. These results show that it is possible to develop a silicon deep etching process at substrate temperatures around -20 °C that uses low SiCl4 and O2 gas flows instead of conventional fluorocarbon gases for sidewall protection.

  16. Effect of additional etching and ethanol-wet bonding on the dentin bond strength of one-step self-etch adhesives

    PubMed Central

    Ahn, Joonghee; Jung, Kyoung-Hwa; Son, Sung-Ae; Hur, Bock; Kwon, Yong-Hoon

    2015-01-01

    Objectives This study examined the effects of additional acid etching on the dentin bond strength of one-step self-etch adhesives with different compositions and pH. The effect of ethanol wetting on etched dentin bond strength of self-etch adhesives was also evaluated. Materials and Methods Forty-two human permanent molars were classified into 21 groups according to the adhesive types (Clearfil SE Bond [SE, control]; G-aenial Bond [GB]; Xeno V [XV]; Beauti Bond [BB]; Adper Easy Bond [AE]; Single Bond Universal [SU]; All Bond Universal [AU]), and the dentin conditioning methods. Composite resins were placed on the dentin surfaces, and the teeth were sectioned. The microtensile bond strength was measured, and the failure mode of the fractured specimens was examined. The data were analyzed statistically using two-way ANOVA and Duncan's post hoc test. Results In GB, XV and SE (pH ≤ 2), the bond strength was decreased significantly when the dentin was etched (p < 0.05). In BB, AE and SU (pH 2.4 - 2.7), additional etching did not affect the bond strength (p > 0.05). In AU (pH = 3.2), additional etching increased the bond strength significantly (p < 0.05). When adhesives were applied to the acid etched dentin with ethanol-wet bonding, the bond strength was significantly higher than that of the no ethanol-wet bonding groups, and the incidence of cohesive failure was increased. Conclusions The effect of additional acid etching on the dentin bond strength was influenced by the pH of one-step self-etch adhesives. Ethanol wetting on etched dentin could create a stronger bonding performance of one-step self-etch adhesives for acid etched dentin. PMID:25671215

  17. Application of isotope-dilution laser ablation ICP-MS for direct determination of Pu concentrations in soils at pg g(-1) levels.

    PubMed

    Boulyga, Sergei F; Tibi, Markus; Heumann, Klaus G

    2004-01-01

    The methods available for determination of environmental contamination by plutonium at ultra-trace levels require labor-consuming sample preparation including matrix removal and plutonium extraction in both nuclear spectroscopy and mass spectrometry. In this work, laser-ablation inductively coupled plasma mass spectrometry (LA-ICP-MS) was applied for direct analysis of Pu in soil and sediment samples. Application of a LINA-Spark-Atomizer system (a modified laser ablation system providing high ablation rates) coupled with a sector-field ICP-MS resulted in detection limits as low as 3x10(-13) g g(-1) for Pu isotopes in soil samples containing uranium at a concentration of a few microg g(-1). The isotope dilution (ID) technique was used for quantification, which compensated for matrix effects in LA-ICP-MS. Interferences by UH+ and PbO2+ ions and by the peak tail of 238U+ ions were reduced or separated by use of dry plasma conditions and a mass resolution of 4000, respectively. No other effects affecting measurement accuracy, except sample inhomogeneity, were revealed. Comparison of results obtained for three contaminated soil samples by use of alpha-spectrometry, ICP-MS with sample decomposition, and LA-ICP-IDMS showed, in general, satisfactory agreement of the different methods. The specific activity of (239+240)Pu (9.8 +/- 3.0 mBq g(-1)) calculated from LA-ICP-IDMS analysis of SRM NIST 4357 coincided well with the certified value of 10.4 +/- 0.2 mBq g(-1). However, the precision of LA-ICP-MS for determination of plutonium in inhomogeneous samples, i.e. if "hot" particles are present, is limited. As far as we are aware this paper reports the lowest detection limits and element concentrations yet measured in direct LA-ICP-MS analysis of environmental samples.

  18. Self-aliquoting micro-grooves in combination with laser ablation-ICP-mass spectrometry for the analysis of challenging liquids: quantification of lead in whole blood.

    PubMed

    Nischkauer, Winfried; Vanhaecke, Frank; Limbeck, Andreas

    2016-08-01

    We present a technique for the fast screening of the lead concentration in whole blood samples using laser ablation-inductively coupled plasma-mass spectrometry (LA-ICP-MS). The whole blood sample is deposited on a polymeric surface and wiped across a set of micro-grooves previously engraved into the surface. The engraving of the micro-grooves was accomplished with the same laser system used for LA-ICP-MS analysis. In each groove, a part of the liquid blood is trapped, and thus, the sample is divided into sub-aliquots. These aliquots dry quasi instantly and are then investigated by means of LA-ICP-MS. For quantification, external calibration against aqueous standard solutions was relied on, with iron as an internal standard to account for varying volumes of the sample aliquots. The (208)Pb/(57)Fe nuclide ratio used for quantification was obtained via a data treatment protocol so far only used in the context of isotope ratio determination involving transient signals. The method presented here was shown to provide reliable results for Recipe ClinChek® Whole Blood Control levels I-III (nos. 8840-8842), with a repeatability of typically 3 % relative standard deviation (n = 6, for Pb at 442 μg L(-1)). Spiked and non-spiked real whole blood was analysed as well, and the results were compared with those obtained via dilution and sectorfield ICP-MS. A good agreement between both methods was observed. The detection limit (3 s) for lead in whole blood was established to be 10 μg L(-1) for the laser ablation method presented here. Graphical Abstract Micro-grooves are filled with whole blood, dried, and analyzed by laser ablation ICP-mass spectrometry. Notice that the laser moves in perpendicular direction with regard to the micro-grooves.

  19. Adaptive wettability-enhanced surfaces ordered on molded etched substrates using shrink film

    NASA Astrophysics Data System (ADS)

    Jayadev, Shreshta; Pegan, Jonathan; Dyer, David; McLane, Jolie; Lim, Jessica; Khine, Michelle

    2013-01-01

    Superhydrophobic surfaces in nature exhibit desirable properties including self-cleaning, bacterial resistance, and flight efficiency. However, creating such intricate multi-scale features with conventional fabrication approaches is difficult, expensive, and not scalable. By patterning photoresist on pre-stressed shrink-wrap film, which contracts by 95% in surface area when heated, such features over large areas can be obtained easily. Photoresist serves as a dry etch mask to create complex and high-aspect ratio microstructures in the film. Using a double-shrink process, we introduce adaptive wettability-enhanced surfaces ordered on molded etched (AWESOME) substrates. We first create a mask out of the children’s toy ‘Shrinky-Dinks’ by printing dots using a laserjet printer. Heating this thermoplastic sheet causes the printed dots to shrink to a fraction of their original size. We then lithographically transfer the inverse pattern onto photoresist-coated shrink-wrap polyolefin film. The film is then plasma etched. After shrinking, the film serves as a high-aspect ratio mold for polydimethylsiloxane, creating a superhydrophobic surface with water contact angles >150° and sliding angles <10°. We pattern a microarray of ‘sticky’ spots with a dramatically different sliding angle compared to that of the superhydrophobic region, enabling microtiter-plate type assays without the need for a well plate.

  20. Optimization of etching and reading procedures for the Autoscan 60 track etch system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McKeever, R.; Devine, R.; Coennen, C.

    1997-02-11

    The Los Alamos National Laboratory is charged with measuring the occupational exposure to radiological workers and contractors throughout the Laboratory, which includes many different sites with multiple and varied radiation fields. Of concern here are the high energy neutrons such as those generated during accelerator operations at Los Alamos Neutron Science Center (LANSCE). In 1993, the Los Alamos National Laboratory purchased an Autoscan 60 automated reader for use with chemically etched CR39 detectors. The dosimeter design employed at LANL uses a plastic, hemispherical case, encompassing a polystyrene pyramidal detector holder. The pyramidal holder supports three detectors at a 35{degree} angle.more » Averaging the results of the three detectors minimizes the angular dependence normally associated with a planar dosimeter. The Autoscan 60 is an automated reading system for use with CR39 chemical etch detectors. The detectors are immersed in an etch solution to enhance the visibility of the damage sites caused by recoil proton impact with the hydrogen atoms in the detector. The authors decided to increase the etch time from six hours to 15 hours, while retaining the 70 C temperature. The reason for the change in the etch is to enhance the sensitivity and precision of the CR39 detector as indicated by this study.« less

  1. Longevity of Self-etch Dentin Bonding Adhesives Compared to Etch-and-rinse Dentin Bonding Adhesives: A Systematic Review.

    PubMed

    Masarwa, Nader; Mohamed, Ahmed; Abou-Rabii, Iyad; Abu Zaghlan, Rawan; Steier, Liviu

    2016-06-01

    A systematic review and meta-analysis were performed to compare longevity of Self-Etch Dentin Bonding Adhesives to Etch-and-Rinse Dentin Bonding Adhesives. The following databases were searched for PubMed, MEDLINE, Web of Science, CINAHL, the Cochrane Library complemented by a manual search of the Journal of Adhesive Dentistry. The MESH keywords used were: "etch and rinse," "total etch," "self-etch," "dentin bonding agent," "bond durability," and "bond degradation." Included were in-vitro experimental studies performed on human dental tissues of sound tooth structure origin. The examined Self-Etch Bonds were of two subtypes; Two Steps and One Step Self-Etch Bonds, while Etch-and-Rinse Bonds were of two subtypes; Two Steps and Three Steps. The included studies measured micro tensile bond strength (μTBs) to evaluate bond strength and possible longevity of both types of dental adhesives at different times. The selected studies depended on water storage as the aging technique. Statistical analysis was performed for outcome measurements compared at 24 h, 3 months, 6 months and 12 months of water storage. After 24 hours (p-value = 0.051), 3 months (p-value = 0.756), 6 months (p-value=0.267), 12 months (p-value=0.785) of water storage self-etch adhesives showed lower μTBs when compared to the etch-and-rinse adhesives, but the comparisons were statistically insignificant. In this study, longevity of Dentin Bonds was related to the measured μTBs. Although Etch-and-Rinse bonds showed higher values at all times, the meta-analysis found no difference in longevity of the two types of bonds at the examined aging times. Copyright © 2016 Elsevier Inc. All rights reserved.

  2. Comparison of enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives in self-etch mode.

    PubMed

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Hosoya, Yumiko; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2017-10-01

    To comparatively evaluate universal adhesives and two-step self-etch adhesives for enamel bond fatigue durability in self-etch mode. Three universal adhesives (Clearfil Universal Bond; G-Premio Bond; Scotchbond Universal Adhesive) and three two-step self-etch adhesives (Clearfil SE Bond; Clearfil SE Bond 2; OptiBond XTR) were used. The initial shear bond strength and shear fatigue strength of the adhesive to enamel in self-etch mode were determined. The initial shear bond strengths of the universal adhesives to enamel in self-etch mode was significantly lower than those of two-step self-etch adhesives and initial shear bond strengths were not influenced by type of adhesive in each adhesive category. The shear fatigue strengths of universal adhesives to enamel in self-etch mode were significantly lower than that of Clearfil SE Bond and Clearfil SE Bond 2, but similar to that OptiBond XTR. Unlike two-step self-etch adhesives, the initial shear bond strength and shear fatigue strength of universal adhesives to enamel in self-etch mode was not influenced by the type of adhesive. This laboratory study showed that the enamel bond fatigue durability of universal adhesives was lower than Clearfil SE Bond and Clearfil SE Bond 2, similar to Optibond XTR, and was not influenced by type of adhesive, unlike two-step self-etch adhesives.

  3. [Determination of twenty one elements in lithium hexafluorophosphate by ICP-AES].

    PubMed

    Fang, Yi-wen; Hao, Zhi-feng; Song, Yi-bing; Sun, Chang-yong; Yu, Jian; Yu, Lin

    2005-02-01

    One gram (+/- 0.0001 g) of lithium hexafluorophosphate was weighed exactly under dry atmosphere and was dissolved with an adequate amount of dimethyl carbonate (DMC). After the sample solution was pretreated with a series of methods, Be, Cu, Pb, Ca, Zr, Co, Mg, V, Ti, Mo, Ni, Mn, Sr, Zn, K, Al, Ba, Cd, Fe, Cr and Na were determined by ICP-AES. The results show that the recoveries of standard addition were 93.3%-102.1%, and the relative standard deviations (n = 11) were 0%-3.56%. The method is efficient, accurate and easy to operate. It has been applied to the determination of lithium hexafluorophosphate products with satisfactory results.

  4. Etching Behavior of Aluminum Alloy Extrusions

    NASA Astrophysics Data System (ADS)

    Zhu, Hanliang

    2014-11-01

    The etching treatment is an important process step in influencing the surface quality of anodized aluminum alloy extrusions. The aim of etching is to produce a homogeneously matte surface. However, in the etching process, further surface imperfections can be generated on the extrusion surface due to uneven materials loss from different microstructural components. These surface imperfections formed prior to anodizing can significantly influence the surface quality of the final anodized extrusion products. In this article, various factors that influence the materials loss during alkaline etching of aluminum alloy extrusions are investigated. The influencing variables considered include etching process parameters, Fe-rich particles, Mg-Si precipitates, and extrusion profiles. This study provides a basis for improving the surface quality in industrial extrusion products by optimizing various process parameters.

  5. Developments in ICP-MS: electrochemically modulated liquid chromatography for the clean-up of ICP-MS blanks and reduction of matrix effects by flow injection ICP-MS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gross, Cory Thomas

    2008-01-01

    The focus of this dissertation is the development of techniques with which to enhance the existing abilities of inductively coupled plasma mass spectrometry (ICP-MS). ICP-MS is a powerful technique for trace metal analysis in samples of many types, but like any technique it has certain strengths and weaknesses. Attempts are made to improve upon those strengths and to overcome certain weaknesses.

  6. Anisotropic Hydrogen Etching of Chemical Vapor Deposited Graphene

    NASA Astrophysics Data System (ADS)

    Zhang, Yi; Li, Zhen; Zhang, Luyao; Kim, Pyojae; Zhou, Chongwu

    2012-02-01

    In terms of the preparation of graphene, chemical vapor deposition (CVD) has raised its popularity as a scalable and cost effective approach for graphene synthesis. While the formation of graphene on copper foil has been intensively studied, the reverse reaction of graphene reacts with hydrogen has not been systematically studied. In this talk we will present a simple, clean, and highly anisotropic hydrogen etching method for CVD graphene catalyzed by the copper substrate. By exposing CVD graphene on copper foil to hydrogen flow around 800 ^oC, we observed that the initially continuous graphene can be etched to have many hexagonal openings. In addition, we found that the etching is temperature dependent and the etching of graphene at 800 oC is most efficient and anisotropic. 80% of the angles of graphene edges after etching are 120^o, indicating the etching is highly anisotropic. No increase of D band along the etched edges indicates that the crystallographic orientation of etching is zigzag direction. Furthermore, we observed that copper played an important role in catalyzing the etching reaction, as no etching was observed for graphene transferred to Si/SiO2 under similar conditions. This highly anisotropic hydrogen etching technology may work as a simple and convenient way to determine graphene crystal orientation and grain size, and may enable the etching of graphene into nanoribbons for electronic applications.

  7. The Effect of Phosphoric Acid Pre-etching Times on Bonding Performance and Surface Free Energy with Single-step Self-etch Adhesives.

    PubMed

    Tsujimoto, A; Barkmeier, W W; Takamizawa, T; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to evaluate the effect of phosphoric acid pre-etching times on shear bond strength (SBS) and surface free energy (SFE) with single-step self-etch adhesives. The three single-step self-etch adhesives used were: 1) Scotchbond Universal Adhesive (3M ESPE), 2) Clearfil tri-S Bond (Kuraray Noritake Dental), and 3) G-Bond Plus (GC). Two no pre-etching groups, 1) untreated enamel and 2) enamel surfaces after ultrasonic cleaning with distilled water for 30 seconds to remove the smear layer, were prepared. There were four pre-etching groups: 1) enamel surfaces were pre-etched with phosphoric acid (Etchant, 3M ESPE) for 3 seconds, 2) enamel surfaces were pre-etched for 5 seconds, 3) enamel surfaces were pre-etched for 10 seconds, and 4) enamel surfaces were pre-etched for 15 seconds. Resin composite was bonded to the treated enamel surface to determine SBS. The SFEs of treated enamel surfaces were determined by measuring the contact angles of three test liquids. Scanning electron microscopy was used to examine the enamel surfaces and enamel-adhesive interface. The specimens with phosphoric acid pre-etching showed significantly higher SBS and SFEs than the specimens without phosphoric acid pre-etching regardless of the adhesive system used. SBS and SFEs did not increase for phosphoric acid pre-etching times over 3 seconds. There were no significant differences in SBS and SFEs between the specimens with and without a smear layer. The data suggest that phosphoric acid pre-etching of ground enamel improves the bonding performance of single-step self-etch adhesives, but these bonding properties do not increase for phosphoric acid pre-etching times over 3 seconds.

  8. Copper-assisted, anti-reflection etching of silicon surfaces

    DOEpatents

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  9. Graphene nanoribbons: Relevance of etching process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Simonet, P., E-mail: psimonet@phys.ethz.ch; Bischoff, D.; Moser, A.

    2015-05-14

    Most graphene nanoribbons in the experimental literature are patterned using plasma etching. Various etching processes induce different types of defects and do not necessarily result in the same electronic and structural ribbon properties. This study focuses on two frequently used etching techniques, namely, O{sub 2} plasma ashing and O{sub 2 }+ Ar reactive ion etching (RIE). O{sub 2} plasma ashing represents an alternative to RIE physical etching for sensitive substrates, as it is a more gentle chemical process. We find that plasma ashing creates defective graphene in the exposed trenches, resulting in instabilities in the ribbon transport. These are probably caused bymore » more or larger localized states at the edges of the ashed device compared to the RIE defined device.« less

  10. Fabrication of porous microrings via laser printing and ion-beam post-etching

    NASA Astrophysics Data System (ADS)

    Syubaev, S.; Nepomnyashchiy, A.; Mitsai, E.; Pustovalov, E.; Vitrik, O.; Kudryashov, S.; Kuchmizhak, A.

    2017-08-01

    Pulsed-laser dry printing of noble-metal microrings with a tunable internal porous structure, which can be revealed via an ion-beam etching post-procedure, was demonstrated. The abundance and average size of the pores inside the microrings were shown to be tuned in a wide range by varying the incident pulse energy and a nitrogen doping level controlled in the process of magnetron deposition of the gold film in the appropriate gaseous environment. The fabricated porous microrings were shown to provide many-fold near-field enhancement of incident electromagnetic fields, which was confirmed by mapping of the characteristic Raman band of a nanometer-thick covering layer of Rhodamine 6G dye molecules and supporting finite-difference time-domain calculations. The proposed laser-printing/ion-beam etching approach is demonstrated to be a unique tool aimed at designing and fabricating multifunctional plasmonic structures and metasurfaces for spectroscopic bioidentification based on surface-enhanced infrared absorption, Raman scattering, and photoluminescence detection schemes.

  11. Comparative Evaluation of the Etching Pattern of Er,Cr:YSGG & Acid Etching on Extracted Human Teeth-An ESEM Analysis

    PubMed Central

    Mazumdar, Dibyendu; Ranjan, Shashi; Krishna, Naveen Kumar; Kole, Ravindra; Singh, Priyankar; Lakiang, Deirimika; Jayam, Chiranjeevi

    2016-01-01

    Introduction Etching of enamel and dentin surfaces increases the surface area of the substrate for better bonding of the tooth colored restorative materials. Acid etching is the most commonly used method. Recently, hard tissue lasers have been used for this purpose. Aim The aim of the present study was to evaluate and compare the etching pattern of Er,Cr:YSGG and conventional etching on extracted human enamel and dentin specimens. Materials and Methods Total 40 extracted non-diseased teeth were selected, 20 anterior and 20 posterior teeth each for enamel and dentin specimens respectively. The sectioned samples were polished by 400 grit Silicon Carbide (SiC) paper to a thickness of 1.0 ± 0.5 mm. The enamel and dentin specimens were grouped as: GrE1 & GrD1 as control specimens, GrE2 & GrD2 were acid etched and GrE3 & GrD3 were lased. Acid etching was done using Conditioner 36 (37 % phosphoric acid) according to manufacturer instructions. Laser etching was done using Er,Cr:YSGG (Erbium, Chromium : Ytrium Scandium Gallium Garnet) at power settings of 3W, air 70% and water 20%. After surface treatment with assigned agents the specimens were analyzed under ESEM (Environmental Scanning Electron Microscope) at X1000 and X5000 magnification. Results Chi Square and Student “t” statistical analysis was used to compare smear layer removal and etching patterns between GrE2-GrE3. GrD2 and GrD3 were compared for smear layer removal and diameter of dentinal tubule opening using the same statistical analysis. Chi-square test for removal of smear layer in any of the treated surfaces i.e., GrE2-E3 and GrD2-D3 did not differ significantly (p>0.05). While GrE2 showed predominantly type I etching pattern (Chi-square=2.78, 0.05

    0.10) and GrE3 showed type III etching (Chi-square=4.50, p<0.05). The tubule diameters were measured using GSA (Gesellschaft fur Softwareentwicklung und Analytik, Germany) image analyzer and the ‘t’ value of student ‘t’ test was 18.10 which was a

  12. Effects of blood contamination on microtensile bond strength to dentin of three self-etch adhesives.

    PubMed

    Chang, Seok Woo; Cho, Byeong Hoon; Lim, Ran Yeob; Kyung, Seung Hyun; Park, Dong Sung; Oh, Tae Seok; Yoo, Hyun Mi

    2010-01-01

    This study evaluated the effects of blood contamination and decontamination methods during different steps of bonding procedures on the microtensile bond strength of two-step self-etch adhesives to dentin. Sixty extracted human molars were ground flat to expose occlusal dentin. The 60 molars were randomly assigned to three groups, each treated with a different two-step self-etch adhesive: Clearfil SE Bond, AdheSE and Tyrian SPE. In turn, these groups were subdivided into five subgroups (n = 20), each treated using different experimental conditions as follows: control group-no contamination; contamination group 1-CG1: primer application/ contamination/primer re-application; contamination group 2-CG2: primer application/contamination/wash/dry/primer re-application; contamination group 3-CG3: primer application/adhesive application/light curing/contamination/ adhesive re-application/light curing; contamina- tion group 4-CG4: primer application/adhesive application/light curing/contamination/wash/ dry/adhesive re-application/light curing. Composite buildup was performed using Z250. After 24 hours of storage in distilled water at 37 degrees C, the bonded specimens were trimmed to an hourglass shape and serially sectioned into slabs with 0.6 mm2 cross-sectional areas. Microtensile bond strengths (MTBS) were assessed for each specimen using a universal testing machine. The data were analyzed by two-way ANOVA followed by a post hoc LSD test. SEM evaluations of the fracture modes were also performed. The contaminated specimens showed lower bond strengths than specimens in the control group (p < 0.05), with the exception of CG1 in the Clearfil SE group and CG2 and CG3 in the Tyrian SPE group. Among the three self-etch adhesives, the Tyrian SPE group exhibited a significantly lower average MTBS compared to the Clearfil SE Bond and AdheSE (p < 0.05) groups. Based on the results of the current study, it was found that blood contamination reduced the MTBS of all three self-etch

  13. [Evaluation of shear bond strengths of self-etching and total-etching dental adhesives to enamel and dentin].

    PubMed

    Yu, Ling; Liu, Jing-Ming; Wang, Xiao-Yan; Gao, Xue-Jun

    2009-03-01

    To evaluate the shear bond strengths of four dental adhesives in vitro. The facial surfaces of 20 human maxillary incisors were prepared to expose fresh enamel and randomly divided into four groups, in each group 5 teeth were bonded with one adhesives: group A (Clearfil Protect Bond, self-etching two steps), group B (Adper( Prompt, self-etching one step), group C (SwissTEC SL Bond, total-etching two steps), group D (Single Bond, total-etching two steps). Shear bond strengths were determined using an universal testing machine after being stored in distilled water for 24 h at 37 degrees C. The bond strengths to enamel and dentin were (25.33 +/- 2.84) and (26.07 +/- 5.56) MPa in group A, (17.08 +/- 5.13) and (17.93 +/- 4.70) MPa in group B, (33.14 +/- 6.05) and (41.92 +/- 6.25) MPa in group C, (22.51 +/- 6.25) and (21.45 +/- 7.34) MPa in group D. Group C showed the highest and group B the lowest shear bond strength to enamel and dentin among the four groups. The two-step self-etching adhesive showed comparable shear bond strength to some of the total-etching adhesives and higher shear bond strength than one-step self-etching adhesive.

  14. Precise and accurate isotope ratio measurements by ICP-MS.

    PubMed

    Becker, J S; Dietze, H J

    2000-09-01

    The precise and accurate determination of isotope ratios by inductively coupled plasma mass spectrometry (ICP-MS) and laser ablation ICP-MS (LA-ICP-MS) is important for quite different application fields (e.g. for isotope ratio measurements of stable isotopes in nature, especially for the investigation of isotope variation in nature or age dating, for determining isotope ratios of radiogenic elements in the nuclear industry, quality assurance of fuel material, for reprocessing plants, nuclear material accounting and radioactive waste control, for tracer experiments using stable isotopes or long-lived radionuclides in biological or medical studies). Thermal ionization mass spectrometry (TIMS), which used to be the dominant analytical technique for precise isotope ratio measurements, is being increasingly replaced for isotope ratio measurements by ICP-MS due to its excellent sensitivity, precision and good accuracy. Instrumental progress in ICP-MS was achieved by the introduction of the collision cell interface in order to dissociate many disturbing argon-based molecular ions, thermalize the ions and neutralize the disturbing argon ions of plasma gas (Ar+). The application of the collision cell in ICP-QMS results in a higher ion transmission, improved sensitivity and better precision of isotope ratio measurements compared to quadrupole ICP-MS without the collision cell [e.g., for 235U/238U approximately 1 (10 microg x L(-1) uranium) 0.07% relative standard deviation (RSD) vs. 0.2% RSD in short-term measurements (n = 5)]. A significant instrumental improvement for ICP-MS is the multicollector device (MC-ICP-MS) in order to obtain a better precision of isotope ratio measurements (with a precision of up to 0.002%, RSD). CE- and HPLC-ICP-MS are used for the separation of isobaric interferences of long-lived radionuclides and stable isotopes by determination of spallation nuclide abundances in an irradiated tantalum target.

  15. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    PubMed Central

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Rinse adhesive, AdheSE One (ADSE-1), a 1-step Self-Etch adhesive, and AdheSE (ADSE), a 2-step Self-Etch adhesive. Teeth were thermocycled and immersed in 50% silver nitrate solution. When both interfaces were considered, SBMP has exhibited significantly less microleakage than other adhesive systems (resp., for SB1, ADSE-1 and ADSE, P = 0.0007, P < 0.0001 and P < 0.0001). When enamel and dentin interfaces were evaluated separately, (1) for the Self-Etch adhesives, microleakage was found greater at enamel than at dentin interfaces (for ADSE, P = 0.024 and for ADSE-1, P < 0.0001); (2) for the Etch and Rinse adhesive systems, there was no significant difference between enamel and dentin interfaces; (3) SBMP was found significantly better than other adhesives both at enamel and dentin interfaces. In our experiment Etch and Rinse adhesives remain better than Self-Etch adhesives at enamel interface. In addition, there was no statistical difference between 1-step (ADSE-1) and 2-step (ADSE) Self-Etch adhesives. PMID:22675358

  16. Inductively coupled plasma mass spectrometry (ICP-MS) and laser ablation ICP-MS for isotope analysis of long-lived radionuclides

    NASA Astrophysics Data System (ADS)

    Becker, J. Sabine

    2005-04-01

    For a few years now inductively coupled plasma mass spectrometry has been increasingly used for precise and accurate determination of isotope ratios of long-lived radionuclides at the trace and ultratrace level due to its excellent sensitivity, good precision and accuracy. At present, ICP-MS and also laser ablation ICP-MS are applied as powerful analytical techniques in different fields such as the characterization of nuclear materials, recycled and by-products (e.g., spent nuclear fuel or depleted uranium ammunitions), radioactive waste control, in environmental monitoring and in bioassay measurements, in health control, in geochemistry and geochronology. Especially double-focusing sector field ICP mass spectrometers with single ion detector or with multiple ion collector device have been used for the precise determination of long-lived radionuclides isotope ratios at very low concentration levels. Progress has been achieved by the combination of ultrasensitive mass spectrometric techniques with effective separation and enrichment procedures in order to improve detection limits or by the introduction of the collision cell in ICP-MS for reducing disturbing interfering ions (e.g., of 129Xe+ for the determination of 129I). This review describes the state of the art and the progress of ICP-MS and laser ablation ICP-MS for isotope ratio measurements of long-lived radionuclides in different sample types, especially in the main application fields of characterization of nuclear and radioactive waste material, environmental research and health controls.

  17. Semiconductor etching by hyperthermal neutral beams

    NASA Technical Reports Server (NTRS)

    Minton, Timothy K. (Inventor); Giapis, Konstantinos P. (Inventor)

    1999-01-01

    An at-least dual chamber apparatus and method in which high flux beams of fast moving neutral reactive species are created, collimated and used to etch semiconductor or metal materials from the surface of a workpiece. Beams including halogen atoms are preferably used to achieve anisotropic etching with good selectivity at satisfactory etch rates. Surface damage and undercutting are minimized.

  18. Environmental applications of single collector high resolution ICP-MS.

    PubMed

    Krachler, Michael

    2007-08-01

    The number of environmental applications of single collector high resolution ICP-MS (HR-ICP-MS) has increased rapidly in recent years. There are many factors that contribute to make HR-ICP-MS a very powerful tool in environmental analysis. They include the extremely low detection limits achievable, tremendously high sensitivity, the ability to separate ICP-MS signals of the analyte from spectral interferences, enabling the reliable determination of many trace elements, and the reasonable precision of isotope ratio measurements. These assets are improved even further using high efficiency sample introduction systems. Therefore, external factors such as the stability of laboratory blanks are frequently the limiting factor in HR-ICP-MS analysis rather than the detection power. This review aims to highlight the most recent applications of HR-ICP-MS in this sector, focusing on matrices and applications where the superior capabilities of the instrumental technique are most useful and often ultimately required.

  19. Analysis and comparison of glass fragments by laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS) and ICP-MS.

    PubMed

    Trejos, Tatiana; Montero, Shirly; Almirall, José R

    2003-08-01

    The discrimination potential of Laser Ablation Inductively Coupled Plasma Mass Spectrometry (LA-ICP-MS) is compared with previously reported solution ICP-MS methods using external calibration (EC) with internal standardization and a newly reported solution isotope dilution (ID) method for the analysis of two different glass populations. A total of 91 different glass samples were used for the comparison study; refractive index and elemental composition were measured by the techniques mentioned above. One set consisted of 45 headlamps taken from a variety of automobiles that represents a range of 20 years of manufacturing dates. A second set consisted of 46 automotive glasses (side windows, rear windows, and windshields) representing casework glass from different vehicle manufacturers over several years. The element menu for the LA-ICP-MS and EC-ICP-MS methods include Mg, Al, Ca, Mn, Ce, Ti, Zr, Sb, Ga, Ba, Rb, Sm, Sr, Hf, La, and Pb. The ID method was limited to the analysis of two isotopes each of Mg, Sr, Zr, Sb, Ba, Sm, Hf, and Pb. Laser ablation analyses were performed with a Q switched Nd:YAG, 266 nm, 6 mJ output energy laser. The laser was used in depth profile mode while sampling using a 50 microm spot size for 50 sec at 10 Hz (500 shots). The typical bias for the analysis of NIST 612 by LA-ICP-MS was less than 5% in all cases and typically better than 5% for most isotopes. The precision for the vast majority of the element menu was determined generally less than 10% for all the methods when NIST 612 was measured (40 microg x g(-1)). Method detection limits (MDL) for the EC and LA-ICP-MS methods were similar and generally reported as less than 1 microg x g(-1) for the analysis of NIST 612. While the solution sample introduction methods using EC and ID presented excellent sensitivity and precision, these methods have the disadvantages of destroying the sample, and also involve complex sample preparation. The laser ablation method was simpler, faster, and

  20. Trends in Dielectric Etch for Microelectronics Processing

    NASA Astrophysics Data System (ADS)

    Hudson, Eric A.

    2003-10-01

    Dielectric etch technology faces many challenges to meet the requirements for leading-edge microelectronics processing. The move to sub 100-nm device design rules increases the aspect ratios of certain features, imposes tighter restrictions on etched features' critical dimensions, and increases the density of closely packed arrays of features. Changes in photolithography are driving transitions to new photoresist materials and novel multilayer resist methods. The increasing use of copper metallization and low-k interlayer dielectric materials has introduced dual-damascene integration methods, with specialized dielectric etch applications. A common need is the selective removal of multiple layers which have very different compositions, while maintaining close control of the etched features' profiles. To increase productivity, there is a growing trend toward in-situ processing, which allows several films to be successively etched during a single pass through the process module. Dielectric etch systems mainly utilize capacitively coupled etch reactors, operating with medium-density plasmas and low gas residence time. Commercial technology development increasingly relies upon plasma diagnostics and modeling to reduce development cycle time and maximize performance.

  1. Triangle pore arrays fabricated on Si (111) substrate by sphere lithography combined with metal-assisted chemical etching and anisotropic chemical etching

    NASA Astrophysics Data System (ADS)

    Asoh, Hidetaka; Fujihara, Kosuke; Ono, Sachiko

    2012-07-01

    The morphological change of silicon macropore arrays formed by metal-assisted chemical etching using shape-controlled Au thin film arrays was investigated during anisotropic chemical etching in tetramethylammonium hydroxide (TMAH) aqueous solution. After the deposition of Au as the etching catalyst on (111) silicon through a honeycomb mask prepared by sphere lithography, the specimens were etched in a mixed solution of HF and H2O2 at room temperature, resulting in the formation of ordered macropores in silicon along the [111] direction, which is not achievable by conventional chemical etching without a catalyst. In the anisotropic etching in TMAH, the macropores changed from being circular to being hexagonal and finally to being triangular, owing to the difference in etching rate between the crystal planes.

  2. Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

    NASA Astrophysics Data System (ADS)

    Karecki, Simon; Chatterjee, Ritwik; Pruette, Laura; Reif, Rafael; Sparks, Terry; Beu, Laurie; Vartanian, Victor

    2000-07-01

    In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H-CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.

  3. Investigation of Nitride Morphology After Self-Aligned Contact Etch

    NASA Technical Reports Server (NTRS)

    Hwang, Helen H.; Keil, J.; Helmer, B. A.; Chien, T.; Gopaladasu, P.; Kim, J.; Shon, J.; Biegel, Bryan (Technical Monitor)

    2001-01-01

    Self-Aligned Contact (SAC) etch has emerged as a key enabling technology for the fabrication of very large-scale memory devices. However, this is also a very challenging technology to implement from an etch viewpoint. The issues that arise range from poor oxide etch selectivity to nitride to problems with post etch nitride surface morphology. Unfortunately, the mechanisms that drive nitride loss and surface behavior remain poorly understood. Using a simple langmuir site balance model, SAC nitride etch simulations have been performed and compared to actual etched results. This approach permits the study of various etch mechanisms that may play a role in determining nitride loss and surface morphology. Particle trajectories and fluxes are computed using Monte-Carlo techniques and initial data obtained from double Langmuir probe measurements. Etched surface advancement is implemented using a shock tracking algorithm. Sticking coefficients and etch yields are adjusted to obtain the best agreement between actual etched results and simulated profiles.

  4. Measurement of isotope ratios on transient signals by MC-ICP-MS.

    PubMed

    Günther-Leopold, Ines; Wernli, Beat; Kopajtic, Zlatko; Günther, Detlef

    2004-01-01

    Precise and accurate isotope ratio measurements are an important task in many applications such as isotope-dilution mass spectrometry, bioavailability studies, or the determination of isotope variations in geological or nuclear samples. The technique of MC-ICP-MS has attracted much attention because it permits the precise measurement of isotope compositions for a wide range of elements combined with excellent detection limits due to high ionisation efficiencies. However, the results are based mainly on measurements using continuous sample introduction. In the present study the determination of isotope ratios on various transient signals with a time duration of 30 to 60 s has been achieved by coupling high-performance liquid chromatography to a multicollector inductively coupled plasma mass spectrometer. In order to investigate the origin of ratio drifts across the transient signals for this hyphenated technique, measurements with the same standard solutions were also carried out using a flow-injection device for sample introduction. As a result of this application it could be concluded that the main source of the bias in the measured isotope ratios is within the ICP-MS instead of fractionation effects on the chromatographic column material. Preliminary studies on short transient signals of gaseous samples (dry plasma) showed a reverse fractionation effect compared with wet plasma conditions (flow injection and HPLC).

  5. Interim Cryogenic Propulsion Stage (ICPS) Handover Signing

    NASA Image and Video Library

    2017-10-26

    Meeting in the Launch Control Center of NASA's Kennedy Space Center in Florida, officials of the agency's Spacecraft/Payload Integration and Evolution (SPIE) organization formally turn over processing of the Space Launch System (SLS) rocket's Interim Cryogenic Propulsion Stage (ICPS) to the center's Ground Systems Development and Operations (GSDO) directorate. The ICPS is the first integrated piece of flight hardware to arrive in preparation for the uncrewed Exploration Mission-1. With the Orion attached, the ICPS sits atop the SLS rocket and will provide the spacecraft with the additional thrust needed to travel tens of thousands of miles beyond the Moon.

  6. Introduction of pre-etch deposition techniques in EUV patterning

    NASA Astrophysics Data System (ADS)

    Xiang, Xun; Beique, Genevieve; Sun, Lei; Labonte, Andre; Labelle, Catherine; Nagabhirava, Bhaskar; Friddle, Phil; Schmitz, Stefan; Goss, Michael; Metzler, Dominik; Arnold, John

    2018-04-01

    The thin nature of EUV (Extreme Ultraviolet) resist has posed significant challenges for etch processes. In particular, EUV patterning combined with conventional etch approaches suffers from loss of pattern fidelity in the form of line breaks. A typical conventional etch approach prevents the etch process from having sufficient resist margin to control the trench CD (Critical Dimension), minimize the LWR (Line Width Roughness), LER (Line Edge Roughness) and reduce the T2T (Tip-to-Tip). Pre-etch deposition increases the resist budget by adding additional material to the resist layer, thus enabling the etch process to explore a wider set of process parameters to achieve better pattern fidelity. Preliminary tests with pre-etch deposition resulted in blocked isolated trenches. In order to mitigate these effects, a cyclic deposition and etch technique is proposed. With optimization of deposition and etch cycle time as well as total number of cycles, it is possible to open the underlying layers with a beneficial over etch and simultaneously keep the isolated trenches open. This study compares the impact of no pre-etch deposition, one time deposition and cyclic deposition/etch techniques on 4 aspects: resist budget, isolated trench open, LWR/LER and T2T.

  7. ICPS Turnover GSDO Employee Event

    NASA Image and Video Library

    2017-11-07

    Mike Bolger, Ground Systems Development and Operations Program manager at NASA's Kennedy Space Center, speaks to guests during a ceremony in the high bay of the Space Station Processing Facility. The event marked the milestone of the Space Launch System rocket's Interim Cryogenic Propulsion Stage (ICPS) being turned over from NASA's Spacecraft/Payload Integration and Evolution organization to the spaceport's Ground Systems Development and Operations directorate. The ICPS is the first integrated piece of flight hardware to arrive in preparation for the uncrewed Exploration Mission-1.

  8. Imaging of metal bioaccumulation in hay-scented fern (Dennstaedtia punctilobula) rhizomes growing on contaminated soils by laser ablation ICP-MS.

    PubMed

    Koelmel, Jeremy; Amarasiriwardena, Dulasiri

    2012-09-01

    Understanding Pb removal from the translocation stream is vital to engineering Pb hyperaccumulation in above ground organs, which would enhance the economic feasibility of Pb phytoextraction technologies. We investigated Cu, Pb, Sb and Zn distributions in Hay-scented fern (Dennstaedtia punctilobula) rhizomes on shooting range soils by laser ablation-inductively coupled plasma-mass spectrometry (LA-ICP-MS), analyzing digested rhizomes, stems, and fronds using ICP-MS. Nutrients Cu and Zn concentrated in fronds while toxic elements Pb and Sb did not, showing potential Pb and Sb sequestration in the rhizome. Frond and rhizome concentration of Pb was 0.17 ± 0.10% and 0.32 ± 0.21% of dry biomass, respectively. The 208Pb/13C and 121Sb/13C determined by LA-ICP-MS increased from inner sclerotic cortex to the epidermis, while Pb concentrated in the starchy cortex only in contaminated sites. These results suggest that concentration dependent bioaccumulation in the rhizome outer cortex removes Pb from the vascular transport stream. Copyright © 2012 Elsevier Ltd. All rights reserved.

  9. Porous silicon formation during Au-catalyzed etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Algasinger, Michael; Bernt, Maximilian; Koynov, Svetoslav

    2014-04-28

    The formation of “black” nano-textured Si during the Au-catalyzed wet-chemical etch process was investigated with respect to photovoltaic applications. Cross-sectional scanning electron microscopy (SEM) images recorded at different stages of the etch process exhibit an evolution of a two-layer structure, consisting of cone-like Si hillocks covered with a nano-porous Si (np-Si) layer. Optical measurements confirm the presence of a np-Si phase which appears after the first ∼10 s of the etch process and continuously increases with the etch time. Furthermore, the etch process was investigated on Si substrates with different doping levels (∼0.01–100 Ω cm). SEM images show a transition frommore » the two-layer morphology to a structure consisting entirely of np-Si for higher doping levels (<0.1 Ω cm). The experimental results are discussed on the basis of the model of a local electrochemical etch process. A better understanding of the metal-catalyzed etch process facilitates the fabrication of “black” Si on various Si substrates, which is of significant interest for photovoltaic applications.« less

  10. Deep Reactive Ion Etching (DRIE) of High Aspect Ratio SiC Microstructures using a Time-Multiplexed Etch-Passivate Process

    NASA Technical Reports Server (NTRS)

    Evans, Laura J.; Beheim, Glenn M.

    2006-01-01

    High aspect ratio silicon carbide (SiC) microstructures are needed for microengines and other harsh environment micro-electro-mechanical systems (MEMS). Previously, deep reactive ion etching (DRIE) of low aspect ratio (AR less than or = 1) deep (greater than 100 micron) trenches in SiC has been reported. However, existing DRIE processes for SiC are not well-suited for definition of high aspect ratio features because such simple etch-only processes provide insufficient control over sidewall roughness and slope. Therefore, we have investigated the use of a time-multiplexed etch-passivate (TMEP) process, which alternates etching with polymer passivation of the etch sidewalls. An optimized TMEP process was used to etch high aspect ratio (AR greater than 5) deep (less than 100 micron) trenches in 6H-SiC. Power MEMS structures (micro turbine blades) in 6H-SiC were also fabricated.

  11. Morphological Evaluation of the Adhesive/Enamel interfaces of Two-step Self-etching Adhesives and Multimode One-bottle Self-etching Adhesives.

    PubMed

    Sato, Takaaki; Takagaki, Tomohiro; Matsui, Naoko; Hamba, Hidenori; Sadr, Alireza; Nikaido, Toru; Tagami, Junji

    To evaluate the acid-base resistant zone (ABRZ) at the adhesive/enamel interface of self-etching adhesives with or without prior phosphoric acid etching. Four adhesives were used in 8 groups: Clearfil SE Bond (SEB), Optibond XTR (XTR), Scotchbond Universal Adhesive (SBU), and Clearfil BOND SE ONE (ONE) without prior phosphoric-acid etching, and each adhesive with phosphoric acid etching for 10 s (P-SEB, P-XTR, P-SBU and P-ONE, respectively). After application of self-etching adhesives on ground enamel surfaces of human teeth, a flowable composite was placed. For observation of the acid-base resistant zone (ABRZ), the bonded interface was exposed to demineralizing solution (pH 4.5) for 4.5 h, followed by 5% NaOCl with ultrasonication for 20 min. After the acid-base challenge, morphological attributes of the interface were observed using SEM. ABRZ formation was confirmed in all groups. The funnel-shaped erosion beneath the interface was present in SBU and ONE, where nearly 10 to 15 μm of enamel was dissolved. With phosphoric acid etching, the ABRZs were obviously thicker compared with no phosphoric acid etching. Enamel beneath the bonding interface was more susceptible to acid dissolution in SBU and ONE. In the case of the one-bottle self-etching adhesives and universal adhesives that intrinsically have higher pH values, enamel etching should be recommended to improve the interfacial quality.

  12. Ophthalmodynamometry for ICP prediction and pilot test on Mt. Everest.

    PubMed

    Querfurth, Henry W; Lieberman, Philip; Arms, Steve; Mundell, Steve; Bennett, Michael; van Horne, Craig

    2010-11-01

    A recent development in non-invasive techniques to predict intracranial pressure (ICP) termed venous ophthalmodynamometry (vODM) has made measurements in absolute units possible. However, there has been little progress to show utility in the clinic or field. One important application would be to predict changes in actual ICP during adaptive responses to physiologic stress such as hypoxia. A causal relationship between raised intracranial pressure and acute mountain sickness (AMS) is suspected. Several MRI studies report that modest physiologic increases in cerebral volume, from swelling, normally accompany subacute ascent to simulated high altitudes. 1) Validate and calibrate an advanced, portable vODM instrument on intensive patients with raised intracranial pressure and 2) make pilot, non-invasive ICP estimations of normal subjects at increasing altitudes. The vODM was calibrated against actual ICP in 12 neurosurgical patients, most affected with acute hydrocephalus and monitored using ventriculostomy/pressure transducers. The operator was blinded to the transducer read-out. A clinical field test was then conducted on a variable data set of 42 volunteer trekkers and climbers scaling Mt. Everest, Nepal. Mean ICPs were estimated at several altitudes on the ascent both across and within subjects. Portable vODM measurements increased directly and linearly with ICP resulting in good predictability (r = 0.85). We also found that estimated ICP increases normally with altitude (10 ± 3 mm Hg; sea level to 20 ± 2 mm Hg; 6553 m) and that AMS symptoms did not correlate with raised ICP. vODM technology has potential to reliably estimate absolute ICP and is portable. Physiologic increases in ICP and mild-mod AMS are separate responses to high altitude, possibly reflecting swelling and vasoactive instability, respectively.

  13. ICP-AES determination of minor- and major elements in apples after microwave assisted digestion.

    PubMed

    Juranović Cindrić, Iva; Krizman, Ivona; Zeiner, Michaela; Kampić, Štefica; Medunić, Gordana; Stingeder, Gerhard

    2012-12-15

    The aim of this paper was to determine the content of minor and major elements in apples by inductively coupled plasma atomic emission spectrometry (ICP-AES). Prior to ICP-AES measurement, dried apples were digested in a microwave assisted digestion system. The differences in the measured element concentrations after application of open and closed microwave system as sample preparation procedures are discussed. In whole apples, flesh and peel Ag, Al, Ba, Ca, Cd, Co, Cr, Cu, Fe, K, Mg, Mn, Na, Ni, Pb, Sr and Zn were analysed after optimisation and validating the analytical method using ICP-AES. The accuracy of the method determined by spiking experiments was very good (recoveries 88-115%) and the limits of detection of elements of interest were from 0.01 up to 14.7 μg g(-1). The reference ranges determined in all apple samples are 39-47 mg g(-1) for K, 9-14 mg g(-1) for Na, 3-7 mg g(-1) for Mg, 3-7 μg g(-1) for Zn, 0.7-2.8 μg g(-1) for Sr. The range of Mn in peel 4-6 μg g(-1) is higher compared to whole apple from 0.7 to 1.7 μg g(-1). Cd is found only in peel, in the concentration range of 0.4-1.1 μg g(-1). Copyright © 2012 Elsevier Ltd. All rights reserved.

  14. Selective etching of silicon carbide films

    DOEpatents

    Gao, Di; Howe, Roger T.; Maboudian, Roya

    2006-12-19

    A method of etching silicon carbide using a nonmetallic mask layer. The method includes providing a silicon carbide substrate; forming a non-metallic mask layer by applying a layer of material on the substrate; patterning the mask layer to expose underlying areas of the substrate; and etching the underlying areas of the substrate with a plasma at a first rate, while etching the mask layer at a rate lower than the first rate.

  15. Non-invasively estimated ICP pulse amplitude strongly correlates with outcome after TBI.

    PubMed

    Budohoski, Karol P; Schmidt, Bernhard; Smielewski, Peter; Kasprowicz, Magdalena; Plontke, Ronny; Pickard, John D; Klingelhöfer, Jurgen; Czosnyka, Marek

    2012-01-01

    An existing monitoring database of brain signal recordings in patients with head injury has been re-evaluated with regard to the accuracy of estimation of non-invasive ICP (nICP) and its components, with a particular interest in the implications for outcome after head injury. Middle cerebral artery blood flow velocity (FV), ICP and arterial blood pressure (ABP) were recorded. Non-invasive ICP (nICP) was calculated using a mathematical model. Other signals analysed included components of ICP (n" indicates non-invasive): ICP pulse amplitude (Amp, nAmp), amplitude of the respiratory component (Resp, nResp), amplitude of slow vasogenic waves of ICP (Slow, nSlow) and index of compensatory reserve (RAP, nRAP). Mean values of analysed signals were compared against each other and between patients who died and survived. The correlation between ICP and nICP was moderately strong, R = 0.51 (95% prediction interval [PI] 17 mm Hg). The components of nICP and ICP were also moderately correlated with each other: the strongest correlation was observed for Resp vs. nResp (r = 0.66), while weaker for Amp vs. nAmp (r = 0.41). Non-invasive pulse amplitude of ICP showed the strongest association with outcome, with the -difference between those who survived and those who died reaching a significance level of p < 0.000001. When compared between patients who died and who survived mean nAmp showed the greatest difference, suggesting its potential to predict mortality after TBI.

  16. Comparison between universal adhesives and two-step self-etch adhesives in terms of dentin bond fatigue durability in self-etch mode.

    PubMed

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Takamizawa, Toshiki; Watanabe, Hidehiko; Johnson, William W; Latta, Mark A; Miyazaki, Masashi

    2017-06-01

    This aim of this study was to compare universal adhesives and two-step self-etch adhesives in terms of dentin bond fatigue durability in self-etch mode. Three universal adhesives - Clearfil Universal, G-Premio Bond, and Scotchbond Universal Adhesive - and three-two-step self-etch adhesives - Clearfil SE Bond, Clearfil SE Bond 2, and OptiBond XTR - were used. The initial shear bond strength and shear fatigue strength of resin composite bonded to adhesive on dentin in self-etch mode were determined. Scanning electron microscopy observations of fracture surfaces after bond strength tests were also made. The initial shear bond strength of universal adhesives was material dependent, unlike that of two-step self-etch adhesives. The shear fatigue strength of Scotchbond Universal Adhesive was not significantly different from that of two-step self-etch adhesives, unlike the other universal adhesives. The shear fatigue strength of universal adhesives differed depending on the type of adhesive, unlike those of two-step self-etch adhesives. The results of this study encourage the continued use of two-step self-etch adhesive over some universal adhesives but suggest that changes to the composition of universal adhesives may lead to a dentin bond fatigue durability similar to that of two-step self-etch adhesives. © 2017 Eur J Oral Sci.

  17. LA-ICP-MS of magnetite: Methods and reference materials

    USGS Publications Warehouse

    Nadoll, P.; Koenig, A.E.

    2011-01-01

    Magnetite (Fe3O4) is a common accessory mineral in many geologic settings. Its variable geochemistry makes it a powerful petrogenetic indicator. Electron microprobe (EMPA) analyses are commonly used to examine major and minor element contents in magnetite. Laser ablation ICP-MS (LA-ICP-MS) is applicable to trace element analyses of magnetite but has not been widely employed to examine compositional variations. We tested the applicability of the NIST SRM 610, the USGS GSE-1G, and the NIST SRM 2782 reference materials (RMs) as external standards and developed a reliable method for LA-ICP-MS analysis of magnetite. LA-ICP-MS analyses were carried out on well characterized magnetite samples with a 193 nm, Excimer, ArF LA system. Although matrix-matched RMs are sometimes important for calibration and normalization of LA-ICP-MS data, we demonstrate that glass RMs can produce accurate results for LA-ICP-MS analyses of magnetite. Cross-comparison between the NIST SRM 610 and USGS GSE-1G indicates good agreement for magnetite minor and trace element data calibrated with either of these RMs. Many elements show a sufficiently good match between the LA-ICP-MS and the EMPA data; for example, Ti and V show a close to linear relationship with correlation coefficients, R2 of 0.79 and 0.85 respectively. ?? 2011 The Royal Society of Chemistry.

  18. Two-year clinical trial of a universal adhesive in total-etch and self-etch mode in non-carious cervical lesions☆

    PubMed Central

    Lawson, Nathaniel C.; Robles, Augusto; Fu, Chin-Chuan; Lin, Chee Paul; Sawlani, Kanchan; Burgess, John O.

    2016-01-01

    Objectives To compare the clinical performance of Scotchbond™ Universal Adhesive used in self- and total-etch modes and two-bottle Scotchbond™ Multi-purpose Adhesive in total-etch mode for Class 5 non-carious cervical lesions (NCCLs). Methods 37 adults were recruited with 3 or 6 NCCLs (>1.5 mm deep). Teeth were isolated, and a short cervical bevel was prepared. Teeth were restored randomly with Scotchbond Universal total-etch, Scotchbond Universal self-etch or Scotchbond Multi-purpose followed with a composite resin. Restorations were evaluated at baseline, 6, 12 and 24 months for marginal adaptation, marginal discoloration, secondary caries, and sensitivity to cold using modified USPHS Criteria. Patients and evaluators were blinded. Logistic and linear regression models using a generalized estimating equation were applied to evaluate the effects of time and adhesive material on clinical assessment outcomes over the 24 month follow-up period. Kaplan–Meier method was used to compare the retention between adhesive materials. Results Clinical performance of all adhesive materials deteriorated over time for marginal adaptation, and discoloration (p <0.0001). Both Scotchbond Universal self-etch and Scotchbond Multi-purpose materials were more than three times as likely to contribute to less satisfying performance in marginal discoloration over time than Scotchbond Universal total-etch. The retention rates up to 24 months were 87.6%, 94.9% and 100% for Scotchbond Multi-purpose and Scotchbond Universal self-etch and total-etch, respectively. Conclusions Scotchbond Universal in self- and total- etch modes performed similar to or better than Scotchbond Multipurpose, respectively. Clinical significance 24 month evaluation of a universal adhesive indicates acceptable clinical performance, particularly in a total-etch mode. PMID:26231300

  19. Comparative evaluation of self-etching primers and phosphoric acid effectiveness on composite to enamel bond: an in vitro study.

    PubMed

    Patil, Basanagouda S; Rao, Bk Raghavendra; Sharathchandra, Sm; Hegde, Reshma; Kumar, G Vinay

    2013-09-01

    The aim of the present study was to investigate the effectiveness of the one total-etch self-priming adhesive, one two-step self-etching primer adhesive, and one 'all-in-one' self-etching adhesive system on the adhesion of a resin composite to enamel. Thirty-six freshly extracted human mandibular molars were selected for this study. A fat area about 5 mm in diameter was created on the exposed mesial surface of enamel of each tooth by moist grinding with 320, 420 and 600 grit silicon carbide paper. Twelve teeth were randomly assigned into three groups. In group 1, Adper Easy One (3M ESPE), a one step self-etching primer adhesive was applied and light curing unit for 10 seconds. In group 2, Adper SE Plus, a two-step self-etching primer with bottle A containing the aqueous primer and bottle B containing the acidic adhesive was applied and light cured for 10 seconds. Group 3 (control)-etchant 37% phosphoric acid is applied to the surface for 15 seconds and rinsed with water and air dried and adhesive (single bond 2) is applied to the surface and tube is placed and light cured for 20 seconds. Composite material (Z350) was placed in the tube and light cured for 40 seconds in all the groups. Bond strength testing was done using universal testing machine at the enamel-composite interface. The debonded enamel surface was evaluated in stereomicroscope to assess the cohesive, adhesive or mixed fracture. Data was statistically analyzed by one way analysis of variance (ANOVA). Group 1 performed least among all groups with a mean score of 19.46 MPa. Group 2 had a mean score of 25.67 MPa. Group 3 had a mean score of 27.16 MPa. Under the conditions of this in vitro study, the bond strength values of the two-step self-etching primer systems tested were similar to the total-etch. And, one step self-etching primers have lower bond strength compared to the total-etch.

  20. Fabrication of biomimetic dry-adhesion structures through nanosphere lithography

    NASA Astrophysics Data System (ADS)

    Kuo, P. C.; Chang, N. W.; Suen, Y.; Yang, S. Y.

    2018-03-01

    Components with surface nanostructures suitable for biomimetic dry adhesion have a great potential in applications such as gecko tape, climbing robots, and skin patches. In this study, a nanosphere lithography technique with self-assembly nanospheres was developed to achieve effective and efficient fabrication of dry-adhesion structures. Self-assembled monolayer nanospheres with high regularity were obtained through tilted dip-coating. Reactive-ion etching of the self-assembled nanospheres was used to fabricate nanostructures of different shapes and aspect ratios by varying the etching time. Thereafter, nickel molds with inverse nanostructures were replicated using the electroforming process. Polydimethylsiloxane (PDMS) nanostructures were fabricated through a gas-assisted hot-embossing method. The pulling test was performed to measure the shear adhesion on the glass substrate of a sample, and the static contact angle was measured to verify the hydrophobic property of the structure. The enhancement of the structure indicates that the adhesion force increased from 1.2 to 4.05 N/cm2 and the contact angle increased from 118.6° to 135.2°. This columnar structure can effectively enhance the adhesion ability of PDMS, demonstrating the potential of using nanosphere lithography for the fabrication of adhesive structures.

  1. [Development of ICP-OES, ICP-MS and GF-AAS Methods for Simultaneous Quantification of Lead, Total Arsenic and Cadmium in Soft Drinks].

    PubMed

    Kataoka, Yohei; Watanabe, Takahiro; Hayashi, Tomoko; Teshima, Reiko; Matsuda, Rieko

    2015-01-01

    In this study, we developed methods to quantify lead, total arsenic and cadmium contained in various kinds of soft drinks, and we evaluated their performance. The samples were digested by common methods to prepare solutions for measurement by ICP-OES, ICP-MS and graphite furnace atomic absorption spectrometry (GF-AAS). After digestion, internal standard was added to the digestion solutions for measurements by ICP-OES and ICP-MS. For measurement by GF-AAS, additional purification of the digestion solution was conducted by back-extraction of the three metals into nitric acid solution after extraction into an organic solvent with ammonium pyrrolidine dithiocarbamate. Performance of the developed methods were evaluated for eight kinds of soft drinks.

  2. A comparison of orthodontic bracket shear bond strength on enamel deproteinized by 5.25% sodium hypochlorite using total etch and self-etch primer

    NASA Astrophysics Data System (ADS)

    Ongkowidjaja, F.; Soegiharto, B. M.; Purbiati, M.

    2017-08-01

    The shear bond strength (SBS) can be increased by removing protein pellicles from the enamel surface by deproteinization using 5.25% sodium hypochlorite (NaOCl). The SBS of a self-etch primer is lower than that of a total etch primer; nonetheless, it prevents white spot lesions. This study aimed to assess the SBS of the Anyetch (AE) total etch primer and FL-Bond II Shofu (FL) self-etch primer after enamel deproteinization using 5.25% NaOCl. Forty eight human maxillary first premolars were extracted, cleaned, and divided into four groups. In group A, brackets were bonded to the enamel without deproteinization before etching (A1: 10 teeth using total etch primer (AE); A2: 10 teeth using self-etch primer (FL)). In group B, brackets were bonded to the enamel after deproteinization with 5.25% NaOCl before etching (B1: 10 teeth using total etch primer (AE); B2: 10 teeth using self-etch primer (FL)). Brackets were bonded using Transbond XT, stored in artificial saliva for 24 h at 37°C, mounted on acrylic cylinders, and debonded using a Shimadzu AG-5000 universal testing machine. There were no significant differences in SBS between the total etch (AE) groups (p > 0.05) and between the self-etch (FL) groups (p > 0.05). There were significant differences in SBS between groups A and B. The mean SBS for groups A1, A2, B1, and B2 was 12.91±3.99, 4.46±2.47, 13.06±3.66, and 3.62±2.36 MPa, respectively. Deproteinization using NaOCl did not affect the SBS of the total etch primer (AE) group; it reduced the SBS of the self-etch primer (FL) group, but not with a statistically significant difference.

  3. Selective dry etching of III-V nitrides in Cl{sub 2}/Ar, CH{sub 4}/H{sub 2}/Ar, ICi/Ar, and IBr/Ar

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vartuli, C.B.; Pearton, S.J.; MacKenzie, J.D.

    1996-10-01

    The selectivity for etching the binary (GaN, AlN, and InN) and ternary nitrides (InGaN and InAlN) relative to each other in Cl{sub 2}/Ar, CH{sub 4}/H{sub 2}/Ar, ICl/Ar, or IBr/Ar electron cyclotron resonance (ECR) plasmas, and Cl{sub 2}/Ar or CH{sub 4}/H{sub 2}/Ar reactive ion (RIE) plasmas was investigated. Cl-based etches appear to be the best choice for maximizing the selectivity of GaN over the other nitrides. GaN/AlN and GaN/InGaN etch rate ratios of {approximately} 10 were achieved at low RF power in Cl{sub 2}/Ar under ECR and RIE conditions, respectively. GaN/InN selectivity of 10 was found in ICl under ECR conditions.more » A relatively high selectivity (> 6) of InN/GaN was achieved in CH{sub 4}/H{sub 2}/Ar under ECR conditions at low RF powers (50 W). Since the high bond strengths of the nitrides require either high ion energies or densities to achieve practical etch rates it is difficult to achieve high selectivities.« less

  4. Artificial neural networks can be effectively used to model changes of intracranial pressure (ICP) during spinal surgery using different non invasive ICP surrogate estimators.

    PubMed

    Watad, Abdulla; Bragazzi, Nicola L; Bacigaluppi, Susanna; Amital, Howard; Watad, Samaa; Sharif, Kassem; Bisharat, Bishara; Siri, Anna; Mahamid, Ala; Abu Ras, Hakim; Nasr, Ahmed; Bilotta, Federico; Robba, Chiara; Adawi, Mohammad

    2018-02-23

    Artificial Intelligence (AI) techniques play a major role in anesthesiology, even though their importance is often overlooked. In the extant literature, AI approaches, such as Artificial Neural Networks (ANNs), have been underutilized, mainly being used to model patient's consciousness state, to predict the precise amount of anesthetic gases, the level of analgesia, or the need of anesthesiological blocks, among others. In the field of neurosurgery, ANNs have been effectively applied to the diagnosis and prognosis of cerebral tumors, seizures, low back pain, and also to the monitoring of intracranial pressure (ICP). A MultiLayer Perceptron (MLP), which is a feedforward ANN, with hyperbolic tangent as activation function in the input/hidden layers, softmax as activation function in the output layer, and cross-entropy as error function, was used to model the impact of prone versus supine position and the use of positive end expiratory pressure (PEEP) on ICP in a sample of 30 patients undergoing spinal surgery. Different non invasive surrogate estimations of ICP have been used and compared: namely, mean optic nerve sheath diameter (ONSD), non invasive estimated cerebral perfusion pressure (NCPP), pulsatility index (PI), ICP derived from PI (ICP-PI), and flow velocity diastolic formula (FVDICP). ONSD proved to be a more robust surrogate estimation of ICP, with a predictive power of 75%, whilst the power of NCPP, ICP-PI, PI, and FVDICP were 60.5%, 54.8%, 53.1%, and 47.7%, respectively. Our MLP analysis confirmed our findings previously obtained with regression, correlation, multivariate Receiving Operator Curve (multi-ROC) analyses. ANNs can be successfully used to predict the effects of prone versus supine position and PEEP on ICP in patients undergoing spinal surgery using different non invasive surrogate estimators of ICP.

  5. Temperature-Dependent Nanofabrication on Silicon by Friction-Induced Selective Etching.

    PubMed

    Jin, Chenning; Yu, Bingjun; Xiao, Chen; Chen, Lei; Qian, Linmao

    2016-12-01

    Friction-induced selective etching provides a convenient and practical way for fabricating protrusive nanostructures. A further understanding of this method is very important for establishing a controllable nanofabrication process. In this study, the effect of etching temperature on the formation of protrusive hillocks and surface properties of the etched silicon surface was investigated. It is found that the height of the hillock produced by selective etching increases with the etching temperature before the collapse of the hillock. The temperature-dependent selective etching rate can be fitted well by the Arrhenius equation. The etching at higher temperature can cause rougher silicon surface with a little lower elastic modulus and hardness. The contact angle of the etched silicon surface decreases with the etching temperature. It is also noted that no obvious contamination can be detected on silicon surface after etching at different temperatures. As a result, the optimized condition for the selective etching was addressed. The present study provides a new insight into the control and application of friction-induced selective nanofabrication.

  6. Method of sputter etching a surface

    DOEpatents

    Henager, Jr., Charles H.

    1984-01-01

    The surface of a target is textured by co-sputter etching the target surface with a seed material adjacent thereto, while the target surface is maintained at a pre-selected temperature. By pre-selecting the temperature of the surface while sputter etching, it is possible to predetermine the reflectance properties of the etched surface. The surface may be textured to absorb sunlight efficiently and have minimal emittance in the infrared region so as to be well-suited for use as a solar absorber for photothermal energy conversion.

  7. Provenance establishment of coffee using solution ICP-MS and ICP-AES.

    PubMed

    Valentin, Jenna L; Watling, R John

    2013-11-01

    Statistical interpretation of the concentrations of 59 elements, determined using solution based inductively coupled plasma mass spectrometry (ICP-MS) and inductively coupled plasma emission spectroscopy (ICP-AES), was used to establish the provenance of coffee samples from 15 countries across five continents. Data confirmed that the harvest year, degree of ripeness and whether the coffees were green or roasted had little effect on the elemental composition of the coffees. The application of linear discriminant analysis and principal component analysis of the elemental concentrations permitted up to 96.9% correct classification of the coffee samples according to their continent of origin. When samples from each continent were considered separately, up to 100% correct classification of coffee samples into their countries, and plantations of origin was achieved. This research demonstrates the potential of using elemental composition, in combination with statistical classification methods, for accurate provenance establishment of coffee. Copyright © 2013 Elsevier Ltd. All rights reserved.

  8. Tuning the thickness of exfoliated quasi-two-dimensional β-Ga2O3 flakes by plasma etching

    NASA Astrophysics Data System (ADS)

    Kwon, Yongbeom; Lee, Geonyeop; Oh, Sooyeoun; Kim, Jihyun; Pearton, Stephen J.; Ren, Fan

    2017-03-01

    We demonstrated the thinning of exfoliated quasi-two-dimensional β-Ga2O3 flakes by using a reactive ion etching technique. Mechanical exfoliation of the bulk β-Ga2O3 by using an adhesive tape was followed by plasma etching to tune its thickness. Since β-Ga2O3 is not a van der Waals material, it is challenging to obtain ultra-thin flakes below a thickness of 100 nm. In this study, an etch rate of approximately 16 nm/min was achieved at a power of 200 W with a flow of 50 sccm of SF6, and under these conditions, thinning of β-Ga2O3 flakes from 300 nm down to ˜60 nm was achieved with smooth morphology. We believe that the reaction between SF6 and Ga2O3 results in oxygen and volatile oxygen fluoride compounds, and non-volatile compounds such as GaFX that can be removed by ion bombardment. The opto-electrical properties were also characterized by fabricating solar-blind photodetectors using the plasma-thinned β-Ga2O3 flakes; these detectors showed fast response and decay with excellent responsivity and selectivity. Our results pave the way for tuning the thickness of two-dimensional materials by using this scalable, industry-compatible dry etching technique.

  9. High mobility bottom gate InGaZnO thin film transistors with SiOx etch stopper

    NASA Astrophysics Data System (ADS)

    Kim, Minkyu; Jeong, Jong Han; Lee, Hun Jung; Ahn, Tae Kyung; Shin, Hyun Soo; Park, Jin-Seong; Jeong, Jae Kyeong; Mo, Yeon-Gon; Kim, Hye Dong

    2007-05-01

    The authors report on the fabrication of thin film transistors (TFTs), which use an amorphous indium gallium zinc oxide (a-IGZO) channel, by rf sputtering at room temperature and for which the channel length and width are patterned by photolithography and dry etching. To prevent plasma damage to the active channel, a 100-nm-thick SiOx layer deposited by plasma enhanced chemical vapor deposition was adopted as an etch stopper structure. The a-IGZO TFT (W /L=10μm/50μm) fabricated on glass exhibited a high field-effect mobility of 35.8cm2/Vs, a subthreshold gate swing value of 0.59V/decade, a thrseshold voltage of 5.9V, and an Ion/off ratio of 4.9×106, which is acceptable for use as the switching transistor of an active-matrix TFT backplane.

  10. Radicals are required for thiol etching of gold particles

    PubMed Central

    Dreier, Timothy A.

    2016-01-01

    Etching of gold with excess thiol ligand is used in both synthesis and analysis of gold particles. Mechanistically, the process of etching gold with excess thiol is opaque. Previous studies have obliquely considered the role of oxygen in thiolate etching of gold. Herein, we show that oxygen or a radical initator is a necessary component for efficient etching of gold by thiolates. Attenuation of the etching process by radical scavengers in the presence of oxygen, and the restoration of activity by radical initiators under inert atmosphere, strongly implicate the oxygen radical. These data led us to propose an atomistic mechanism in which the oxygen radical initiates the etching process. PMID:26089294

  11. Micromorphological characterization of adhesive interface of sound dentin and total-etch and self-etch adhesives.

    PubMed

    Drobac, Milan; Stojanac, Igor; Ramić, Bojana; Premović, Milica; Petrović, Ljubomir

    2015-01-01

    The ultimate goal in restorative dentistry has always been to achieve strong and permanent bond between the dental tissues and filling materials. It is not easy to achieve this task because the bonding process is different for enamel and dentin-dentin is more humid and more organic than enamel. It is moisture and organic nature of dentin that make this hard tissue very complex to achieve adhesive bond. One of the first and most widely used tools for examining the adhesive bond between hard dental tissues and composite restorative materials is scanning electron microscopy. The aim of this study was scanning electron microscopy analyzes the interfacial micro morphology of total-etch and self-etch adhesives. Micro morphological characteristics of interface between total-etch adhesive (Prime & Bond NT) in combination with the corresponding composite (Ceram X Mono) were compared with those of self-etching adhesive (AdheSE One) in, combination with the corresponding composite (Tetric EvoCeram). The specimens were observed under 1000 x magnification of scanning electron microscopy (JEOL, JSM-6460 Low Vacuum). Measurement of the thickness of the hybrid layer of the examined com posite systems was performed with the software of the device used (NIH Image Analyser). Micromorphological analysis of interface showed that the hybrid layer in sound dentin was well formed, its average thickness being 2.68 microm, with a large number of resin tags and a large amount of lateral branches for specimens with a composite system Prime & Bond NT-Ceram X Mono. However, the specimens' with composite systems Adhese One-Tetric EvoCeram did not show the presence of hybrid layer and the resin tags were poorly represented. The results of this study suggest that total-etch adhesives bond better with sound dentin than self-etch adhesive.

  12. Major to ultra trace element bulk rock analysis of nanoparticulate pressed powder pellets by LA-ICP-MS

    NASA Astrophysics Data System (ADS)

    Peters, Daniel; Pettke, Thomas

    2016-04-01

    An efficient, clean procedure for bulk rock major to trace element analysis by 193 nm Excimer LA-ICP-MS analysis of nanoparticulate pressed powder pellets (PPPs) employing a binder is presented. Sample powders are milled in water suspension in a planetary ball mill, reducing average grain size by about one order of magnitude compared to common dry milling protocols. Microcrystalline cellulose (MCC) is employed as a binder, improving the mechanical strength of the PPP and the ablation behaviour, because MCC absorbs 193 nm laser light well. Use of MCC binder allows for producing cohesive pellets of materials that cannot be pelletized in their pure forms, such as quartz powder. Rigorous blank quantification was performed on synthetic quartz treated like rock samples, demonstrating that procedural blanks are irrelevant except for a few elements at the 10 ng g-1 concentration level. The LA-ICP-MS PPP analytical procedure was optimised and evaluated using six different SRM powders (JP-1, UB-N, BCR-2, GSP-2, OKUM, and MUH-1). Calibration based on external standardization using SRM 610, SRM 612, BCR-2G, and GSD-1G glasses allows for evaluation of possible matrix effects during LA-ICP-MS analysis. The data accuracy of the PPP LA-ICP-MS analytical procedure compares well to that achieved for liquid ICP-MS and LA-ICP-MS glass analysis, except for element concentrations below ˜30 ng g-1, where liquid ICP-MS offers more precise data and in part lower limits of detection. Uncertainties on the external reproducibility of LA-ICP-MS PPP element concentrations are of the order of 0.5 to 2 % (1σ standard deviation) for concentrations exceeding ˜1 μg g-1. For lower element concentrations these uncertainties increase to 5-10% or higher when analyte-depending limits of detection (LOD) are approached, and LODs do not significantly differ from glass analysis. Sample homogeneity is demonstrated by the high analytical precision, except for very few elements where grain size effects can

  13. Silicon Carbide Etching Using Chlorine Trifluoride Gas

    NASA Astrophysics Data System (ADS)

    Habuka, Hitoshi; Oda, Satoko; Fukai, Yasushi; Fukae, Katsuya; Takeuchi, Takashi; Aihara, Masahiko

    2005-03-01

    The etch rate, chemical reactions and etched surface of β-silicon carbide are studied in detail using chlorine trifluoride gas. The etch rate is greater than 10 μm min-1 at 723 K with a flow rate of 0.1 \\ell min-1 at atmospheric pressure in a horizontal reactor. The maximum etch rate at a substrate temperature of 773 K is 40 μm min-1 with a flow rate of 0.25 \\ell min-1. The step-like pattern that initially exists on the β-silicon carbide surface tends to be smoothed; the root-mean-square surface roughness decreases from its initial value of 5 μm to 1 μm within 15 min; this minimum value is maintained for more than 15 min. Therefore, chlorine trifluoride gas is considered to have a large etch rate for β-silicon carbide associated with making a rough surface smooth.

  14. Anisotropic etching of silicon in solutions containing tensioactive compounds

    NASA Astrophysics Data System (ADS)

    Zubel, Irena

    2016-12-01

    The results of investigations concerning anisotropic etching in 3M KOH and 25% TMAH solutions modified by tensioactive compounds such as alcohols, diols and a typical surfactant Triton X100 have been compared. Etching anisotropy was assessed on the basis of etch rates ratio V(110)/V(100). It was stated that the relation between surface tension of the solutions and etch rates of particular planes depend not only on the kind of surfactant but also on the kind of etching solution (KOH, TMAH). It points out an important role of TMA+ ions in the etching process, probably in the process of forming an adsorption layer, consisting of the molecules of tensioactive compounds on Si surface, which decides about etch rate. We have observed that this phenomenon occurs only at high concentration of TMA+ ions (25% TMAH). Reduction of TMAH concentration changes the properties of surfactant containing TMAH solutions. From all investigated solutions, the solutions that assured developing of (110) plane inclined at the angle of 45° to (100) substrate were selected. Such planes can be used as micromirrors in MOEMS structures. The solutions provide the etch rate ratio V(110)/V(100)<0.7, thus they were selected from hydroxide solutions containing surfactants. A simple way for etch rate anisotropy V(110)/V(100) assessment based on microscopic images etched structures has been proposed.

  15. Directional Etching of Silicon by Silver Nanostructures

    NASA Astrophysics Data System (ADS)

    Sharma, Pradeep; Wang, Yuh-Lin

    2011-02-01

    We report directional etching of nanostructures (nanochannels and nanotrenches) into the Si(100) substrates in aqueous HF and H2O2 solution by lithographically defined Ag patterns (nanoparticles, nanorods, and nanorings). The Effect of Ag/Si interface oxide on the directional etching has been studied by etching Ag/SiOx/Si samples of known interface oxide thickness. Based on high resolution transmission electron microscopy (HRTEM) imaging and TEM-energy dispersive X-ray (EDX) spectra of the Ag/Si interfaces, we propose that maintenance of the sub-nanometer oxide at the Ag/Si interfaces and Ag-Si interaction are the key factors which regulate the directional etching of Si.

  16. Coating and dispersion of ceramic nanoparticles by UV-ozone etching assisted surface-initiated living radical polymerization.

    PubMed

    Arita, Toshihiko

    2010-10-01

    Commercially available unmodified ceramic nanoparticles (NPs) in dry powder state were surface-modified and dispersed in almost single-crystal size. The surface-initiated living radical polymerization after just UV-ozone soft etching enables one to graft polymers onto the surface of ceramic NPs and disperse them in solvents. Furthermore, a number of NPs were dispersed with single-crystal sizes. The technique developed here could be applied to almost all ceramic NPs including metal nitrides.

  17. Method of sputter etching a surface

    DOEpatents

    Henager, C.H. Jr.

    1984-02-14

    The surface of a target is textured by co-sputter etching the target surface with a seed material adjacent thereto, while the target surface is maintained at a pre-selected temperature. By pre-selecting the temperature of the surface while sputter etching, it is possible to predetermine the reflectance properties of the etched surface. The surface may be textured to absorb sunlight efficiently and have minimal emittance in the infrared region so as to be well-suited for use as a solar absorber for photothermal energy conversion. 4 figs.

  18. Electroless epitaxial etching for semiconductor applications

    DOEpatents

    McCarthy, Anthony M.

    2002-01-01

    A method for fabricating thin-film single-crystal silicon on insulator substrates using electroless etching for achieving efficient etch stopping on epitaxial silicon substrates. Microelectric circuits and devices are prepared on epitaxial silicon wafers in a standard fabrication facility. The wafers are bonded to a holding substrate. The silicon bulk is removed using electroless etching leaving the circuit contained within the epitaxial layer remaining on the holding substrate. A photolithographic operation is then performed to define streets and wire bond pad areas for electrical access to the circuit.

  19. Determination of alkyllead compounds by HPLC/ICP using a glass-frit nebulizer ICP interface

    NASA Astrophysics Data System (ADS)

    Ibrahim, Mona; Nisamaneepong, Wipawan; Haas, David L.; Caruso, Joseph A.

    The glass-frit nebulizer, by forming a very fine mist, has improved the ability of the ICP to accept the introduction of organic solvents with high evaporation rates. The reversed-phase chromatographic separation of TML and TEL, and their determination with glass frit nebulization ICP was accomplished with various mobile phases and columns. The separation of several trialkyllead salts also was studied on a strong cation exchange column, but these compounds were not determined with the glass frit nebulizer interface. Detection limits as low as 33 pg s -1 for TML and 100 pg s -1 for TEL and precision of 3.4% for TML and 6.9% relative standard deviation for TEL were obtained.

  20. CR-39 track etching and blow-up method

    DOEpatents

    Hankins, Dale E.

    1987-01-01

    This invention is a method of etching tracks in CR-39 foil to obtain uniformly sized tracks. The invention comprises a step of electrochemically etching the foil at a low frequency and a "blow-up" step of electrochemically etching the foil at a high frequency.

  1. Nanofabrication of 10-nm T-shaped gates using a double patterning process with electron beam lithography and dry etch

    NASA Astrophysics Data System (ADS)

    Shao, Jinhai; Deng, Jianan; Lu, W.; Chen, Yifang

    2017-07-01

    A process to fabricate T-shaped gates with the footprint scaling down to 10 nm using a double patterning procedure is reported. One of the keys in this process is to separate the definition of the footprint from that for the gate-head so that the proximity effect originated from electron forward scattering in the resist is significantly minimized, enabling us to achieve as narrow as 10-nm foot width. Furthermore, in contrast to the reported technique for 10-nm T-shaped profile in resist, this process utilizes a metallic film with a nanoslit as an etch mask to form a well-defined 10-nm-wide foot in a SiNx layer by reactive ion etch. Such a double patterning process has demonstrated enhanced reliability. The detailed process is comprehensively described, and its advantages and limitations are discussed. Nanofabrication of InP-based high-electron-mobility transistors using the developed process for 10- to 20-nm T-shaped gates is currently under the way.

  2. Formation of nanostructured silicon surfaces by stain etching

    PubMed Central

    2014-01-01

    In this work, we report the fabrication of ordered silicon structures by chemical etching of silicon in vanadium oxide (V2O5)/hydrofluoric acid (HF) solution. The effects of the different etching parameters including the solution concentration, temperature, and the presence of metal catalyst film deposition (Pd) on the morphologies and reflective properties of the etched Si surfaces were studied. Scanning electron microscopy (SEM) was carried out to explore the morphologies of the etched surfaces with and without the presence of catalyst. In this case, the attack on the surfaces with a palladium deposit begins by creating uniform circular pores on silicon in which we distinguish the formation of pyramidal structures of silicon. Fourier transform infrared spectroscopy (FTIR) demonstrates that the surfaces are H-terminated. A UV-Vis-NIR spectrophotometer was used to study the reflectance of the structures obtained. A reflectance of 2.21% from the etched Si surfaces in the wavelength range of 400 to 1,000 nm was obtained after 120 min of etching while it is of 4.33% from the Pd/Si surfaces etched for 15 min. PMID:25435830

  3. Effect of Minocycline on the Durability of Dentin Bonding Produced with Etch-and-Rinse Adhesives.

    PubMed

    Loguercio, A D; Stanislawczuk, R; Malaquias, P; Gutierrez, M F; Bauer, J; Reis, A

    2016-01-01

    To evaluate the effect of minocycline and chlorhexidine pretreatment of acid-etched dentin on the longevity of resin-dentin bond strength (μTBS) and nanoleakage of two-step etch-and-rinse adhesives. Before application of Prime & Bond NT and Adper Single Bond 2 in occlusal dentin, the dentin surfaces were treated with 37% phosphoric acid, rinsed, air-dried, and rewetted with water (control group), 2% minocycline, or 2% chlorexidine digluconate. Composite buildups were constructed incrementally, and specimens were longitudinally sectioned to obtain bonded sticks (0.8 mm 2 ) to be tested in tension (0.5 mm/min) immediately or after 24 months of water storage. For nanoleakage, two specimens of each tooth/period were immersed in the silver nitrate solution, photo-developed, and polished with SiC paper for analysis under energy-dispersive X-ray spectroscopy/scanning electron microscopy. Reductions of the μTBS and increases in the nanoleakage were observed for both adhesives when the rewetting procedure was performed with water. Stable bonds were observed for the 2% minocycline and 2% chlorexidine digluconate groups after 24 months. The use of 2% minocycline as pretreatment of acid-etched dentin is one alternative to retard the degradation of resin-dentin interfaces over a 24-month period as well as 2% chlorexidine digluconate.

  4. Highly selective dry etching of GaP in the presence of AlxGa1–xP with a SiCl4/SF6 plasma

    NASA Astrophysics Data System (ADS)

    Hönl, Simon; Hahn, Herwig; Baumgartner, Yannick; Czornomaz, Lukas; Seidler, Paul

    2018-05-01

    We present an inductively coupled-plasma reactive-ion etching process that simultaneously provides both a high etch rate and unprecedented selectivity for gallium phosphide (GaP) in the presence of aluminum gallium phosphide (AlxGa1–xP). Utilizing mixtures of silicon tetrachloride (SiCl4) and sulfur hexafluoride (SF6), selectivities exceeding 2700:1 are achieved at GaP etch rates above 3000 nm min‑1. A design of experiments has been employed to investigate the influence of the inductively coupled-plasma power, the chamber pressure, the DC bias and the ratio of SiCl4 to SF6. The process enables the use of thin AlxGa1–xP stop layers even at aluminum contents of a few percent.

  5. Barium-strontium-titanate etching characteristics in chlorinated discharges

    NASA Astrophysics Data System (ADS)

    Stafford, Luc; Margot, Joëlle; Langlois, Olivier; Chaker, Mohamed

    2003-07-01

    The etching characteristics of barium-strontium-titanate (BST) were investigated using a high-density plasma sustained by surface waves at 190 MHz in Ar/Cl2 gas mixtures. The etch rate was examined as a function of both the total gas pressure and the Cl2 fraction in Ar/Cl2 using a wafer temperature of 10 °C. The results were correlated to positive ion density and plasma composition obtained from Langmuir probes and mass spectrometry. The BST etch rate was found to increase linearly with the positive ion density and to decrease with increasing chlorine atom concentration. This result indicates that for the temperature conditions used, the interaction between chlorine and BST yields compounds having a volatility that is lower than the original material. As a consequence, the contribution of neutral atomic Cl atoms to the etch mechanism is detrimental, thereby reducing the etch rate. As the wafer temperature increases, the role of chemistry in the etching process is enhanced.

  6. An integrated analysis for determining the geographical origin of medicinal herbs using ICP-AES/ICP-MS and (1)H NMR analysis.

    PubMed

    Kwon, Yong-Kook; Bong, Yeon-Sik; Lee, Kwang-Sik; Hwang, Geum-Sook

    2014-10-15

    ICP-MS and (1)H NMR are commonly used to determine the geographical origin of food and crops. In this study, data from multielemental analysis performed by ICP-AES/ICP-MS and metabolomic data obtained from (1)H NMR were integrated to improve the reliability of determining the geographical origin of medicinal herbs. Astragalus membranaceus and Paeonia albiflora with different origins in Korea and China were analysed by (1)H NMR and ICP-AES/ICP-MS, and an integrated multivariate analysis was performed to characterise the differences between their origins. Four classification methods were applied: linear discriminant analysis (LDA), k-nearest neighbour classification (KNN), support vector machines (SVM), and partial least squares-discriminant analysis (PLS-DA). Results were compared using leave-one-out cross-validation and external validation. The integration of multielemental and metabolomic data was more suitable for determining geographical origin than the use of each individual data set alone. The integration of the two analytical techniques allowed diverse environmental factors such as climate and geology, to be considered. Our study suggests that an appropriate integration of different types of analytical data is useful for determining the geographical origin of food and crops with a high degree of reliability. Copyright © 2014 Elsevier Ltd. All rights reserved.

  7. Chemical etching of nitinol stents.

    PubMed

    Katona, Bálint; Bognár, Eszter; Berta, Balázs; Nagy, Péter; Hirschberg, Kristóf

    2013-01-01

    At present the main cause of death originates from cardiovascular diseases. Primarily the most frequent cause is vessel closing thus resulting in tissue damage. The stent can help to avoid this. It expands the narrowed vessel section and allows free blood flow. The good surface quality of stents is important. It also must have adequate mechanical characteristics or else it can be damaged which can easily lead to the fracture of the implant. Thus, we have to consider the importance of the surface treatment of these implants. In our experiments the appropriate design was cut from a 1.041 mm inner diameter and 0.100 mm wall thickness nitinol tube by using Nd:YAG laser device. Then, the stent was subjected to chemical etching. By doing so, the burr created during the laser cutting process can be removed and the surface quality refined. In our research, we changed the time of chemical etching and monitored the effects of this parameter. The differently etched stents were subjected to microscopic analysis, mass measurement and in vivo environment tests. The etching times that gave suitable surface and mechanical features were identified.

  8. Ultra-Shallow Depth Profiling of Arsenic Implants in Silicon by Hydride Generation-Inductively Coupled Plasma Atomic Emission Spectrometry

    NASA Astrophysics Data System (ADS)

    Matsubara, Atsuko; Kojima, Hisao; Itoga, Toshihiko; Kanehori, Keiichi

    1995-08-01

    High resolution depth profiling of arsenic (As) implanted into silicon wafers by a chemical technique is described. Silicon wafers are precisely etched through repeated oxidation by hydrogen peroxide solution and dissolution of the oxide by hydrofluoric acid solution. The etched silicon thickness is determined by inductively-coupled plasma atomic emission spectrometry (ICP-AES). Arsenic concentration is determined by hydride generation ICP-AES (HG-ICP-AES) with prereduction using potassium iodide. The detection limit of As in a 4-inch silicon wafer is 2.4×1018 atoms/cm3. The etched silicon thickness is controlled to less than 4±2 atomic layers. Depth profiling of an ultra-shallow As diffusion layer with the proposed method shows good agreement with profiling using the four-probe method or secondary ion mass spectrometry.

  9. Radicals Are Required for Thiol Etching of Gold Particles.

    PubMed

    Dreier, Timothy A; Ackerson, Christopher J

    2015-08-03

    Etching of gold with an excess of thiol ligand is used in both synthesis and analysis of gold particles. Mechanistically, the process of etching gold with excess thiol is unclear. Previous studies have obliquely considered the role of oxygen in thiolate etching of gold. Herein, we show that oxygen or a radical initiator is a necessary component for efficient etching of gold by thiolates. Attenuation of the etching process by radical scavengers in the presence of oxygen, and the restoration of activity by radical initiators under inert atmosphere, strongly implicate the oxygen radical. These data led us to propose an atomistic mechanism in which the oxygen radical initiates the etching process. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Screening of TiO2 and Au nanoparticles in cosmetics and determination of elemental impurities by multiple techniques (DLS, SP-ICP-MS, ICP-MS and ICP-OES).

    PubMed

    de la Calle, Inmaculada; Menta, Mathieu; Klein, Marlène; Séby, Fabienne

    2017-08-15

    Cosmetics are part of the daily life of most of the people. Thus, a complete characterization of the products we applied in our skin is necessary. In this work, an analytical investigation of a wide variety of cosmetics from the point of view of total element content and metallic nanoparticles (NPs) has been performed. Firstly, we analyzed the total element content by ICP-MS and ICP-OES after acid digestion as an assessment of the presence of metal impurities. Prohibited elements in cosmetics, according to the European Commission regulation No 1223/2009, were not detected, and only elements mentioned in the label were found (e.g. Al, Fe, Ti and Si). Secondly, a screening of the presence of NPs has been performed by Dynamic Light Scattering (DLS) and Single Particle Inductively-Coupled Plasma Mass Spectrometry (SP-ICP-MS). Two sample preparation procedures were applied. The first protocol consisted in the preparation of suspensions in 0.1% w/v SDS and the second based on defatting with hexane followed by resuspension in water. DLS was employed as a routine method for a fast analysis of NPs, but this technique showed limitations due to the lack of specificity. SP-ICP-MS analyses were then performed, first as a screening technique to evaluate the presence of TiO 2 and Au NPs in cosmetics suspensions prepared in SDS; and second, when a positive answer was obtained about the presence of NPs from the screening, SP-ICP-MS was used for particle size determination. Results showed that only TiO 2 NPs were present in two sunscreens, one anti-wrinkle day cream, one lip balm protector labeled as 'nano' and in one brand of toothpaste not labeled as 'nano'. Sizes obtained for both sample preparations were compared and ranged from 30 to 120nm in most of the samples. Copyright © 2017 Elsevier B.V. All rights reserved.

  11. 3D memory: etch is the new litho

    NASA Astrophysics Data System (ADS)

    Petti, Christopher

    2018-03-01

    This paper discusses the process challenges and limitations for 3D NAND processes, focusing on vertical 3D architectures. The effect of deep memory hole etches on die cost is calculated, with die cost showing a minimum at a given number of layers because of aspect-ratio dependent etch effects. Techniques to mitigate these etch effects are summarized, as are other etch issues, such as bowing and twisting. Metal replacement gate processes and their challenges are also described. Lastly, future directions of vertical 3D NAND technologies are explored.

  12. Influence of Blood Contamination on Bond Strength of a Self-Etching System

    PubMed Central

    de Carvalho Mendonça, Ellen Cristina; Vieira, Samuel Nilo; Kawaguchi, Fernando Aparecido; Powers, John; Matos, Adriana Bona

    2010-01-01

    Objectives: To detect the influence of blood contamination (BC) on the bond strength (BS) of a self-etching bonding system (SES) to enamel and dentine. Methods: 25 human molars were longitudinally sectioned on the mesio-distal axis in order to obtain 50 specimens, which were embedded in acrylic resin. At first, the specimens were ground to expose a flat surface of enamel, and a bond strength test was performed. Afterwards, the samples were ground again in order to obtain a flat surface of dentine. Ten groups (total: n=100) were assigned according to substrate (enamel and dentine), step in the bonding sequence when contamination occurred (before the acidic primer and after the bonding resin), and contamination treatment (dry or rinse and dry procedure). Fresh human blood was introduced either before or after SES application (Clearfil SE Bond) and treated with air drying, or by rinsing and drying following application. Composite resin (Filtek Z-250,3M ESPE) was applied as inverted, truncated cured cones that were debonded in tension. Results: The mean tensile BS values (MPa) for enamel/dentine were 19.4/23.0 and 17.1/10.0 for rinse-and-dry treatment (contamination before and after SES, respectively); while the measurements for the dry treatment, 16.2/23.3 and 0.0/0.0 contamination before and after SES, respectively. Conclusions: It was determined that blood contamination impaired adhesion to enamel and dentine when it occurred after bond light curing. Among the tested contamination treatments, the rinse-and-dry treatment produced the highest bond strength with BC after SES application, but it was not sufficient to recover the BS in the contamination-free group. PMID:20613916

  13. Tunable Nanoantennas for Surface Enhanced Infrared Absorption Spectroscopy by Colloidal Lithography and Post-Fabrication Etching

    NASA Astrophysics Data System (ADS)

    Chen, Kai; Duy Dao, Thang; Nagao, Tadaaki

    2017-03-01

    We fabricated large-area metallic (Al and Au) nanoantenna arrays on Si substrates using cost-effective colloidal lithography with different micrometer-sized polystyrene spheres. Variation of the sphere size leads to tunable plasmon resonances in the middle infrared (MIR) range. The enhanced near-fields allow us to detect the surface phonon polaritons in the natural SiO2 thin layers. We demonstrated further tuning capability of the resonances by employing dry etching of the Si substrates with the nanoantennas acting as the etching masks. The effective refractive index of the nanoantenna surroundings is efficiently decreased giving rise to blueshifts of the resonances. In addition, partial removal of the Si substrates elevates the nanoantennas from the high-refractive-index substrates making more enhanced near-fields accessible for molecular sensing applications as demonstrated here with surface-enhanced infrared absorption (SEIRA) spectroscopy for a thin polymer film. We also directly compared the plasmonic enhancement from the Al and Au nanoantenna arrays.

  14. Semiconductor structure and recess formation etch technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching processmore » stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.« less

  15. Epoxy bond and stop etch fabrication method

    DOEpatents

    Simmons, Jerry A.; Weckwerth, Mark V.; Baca, Wes E.

    2000-01-01

    A class of epoxy bond and stop etch (EBASE) microelectronic fabrication techniques is disclosed. The essence of such techniques is to grow circuit components on top of a stop etch layer grown on a first substrate. The first substrate and a host substrate are then bonded together so that the circuit components are attached to the host substrate by the bonding agent. The first substrate is then removed, e.g., by a chemical or physical etching process to which the stop etch layer is resistant. EBASE fabrication methods allow access to regions of a device structure which are usually blocked by the presence of a substrate, and are of particular utility in the fabrication of ultrafast electronic and optoelectronic devices and circuits.

  16. Multi-Sensor Fused Interrogation of Brain to Determine ICP Level

    DTIC Science & Technology

    1997-08-01

    manifestations, but the decision is considerably more difficult for soldiers who are rendered immediately unconscious through blunt injury and concussion...is an example of swept sine excitation yielding low frequency resonance and attenuation data using head-down tilt to elevate ICP, and Figure 2 is an... excitation ) in an adult male excitation ) in female adult volunteer with ICP volunteer with ICP elevation induced through elevation induced through

  17. Dopant Selective Reactive Ion Etching of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Okojie, Robert (Inventor)

    2016-01-01

    A method for selectively etching a substrate is provided. In one embodiment, an epilayer is grown on top of the substrate. A resistive element may be defined and etched into the epilayer. On the other side of the substrate, the substrate is selectively etched up to the resistive element, leaving a suspended resistive element.

  18. Anisotropic selective etching between SiGe and Si

    NASA Astrophysics Data System (ADS)

    Ishii, Yohei; Scott-McCabe, Ritchie; Yu, Alex; Okuma, Kazumasa; Maeda, Kenji; Sebastian, Joseph; Manos, Jim

    2018-06-01

    In Si/SiGe dual-channel FinFETs, it is necessary to simultaneously control the etched amounts of SiGe and Si. However, the SiGe etch rate is higher than the Si etch rate in not only halogen plasmas but also physical sputtering. In this study, we found that hydrogen plasma selectively etches Si over SiGe. The result shows that the selectivity of Si over SiGe can be up to 38 with increasing Ge concentration in SiGe. Attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR) results indicate that hydrogen selectively bonds with Si rather than with Ge in SiGe. During the etching, hydrogen-induced Si surface segregation is also observed. It is also observed that the difference in etched amount between SiGe and Si can be controlled from positive to negative values even in Si/SiGe dual-channel fin patterning while maintaining the vertical profiles. Furthermore, no plasma-induced lattice damage was observed by transmission electron microscopy for both Si and SiGe fin sidewalls.

  19. Plasma/Neutral-Beam Etching Apparatus

    NASA Technical Reports Server (NTRS)

    Langer, William; Cohen, Samuel; Cuthbertson, John; Manos, Dennis; Motley, Robert

    1989-01-01

    Energies of neutral particles controllable. Apparatus developed to produce intense beams of reactant atoms for simulating low-Earth-orbit oxygen erosion, for studying beam-gas collisions, and for etching semiconductor substrates. Neutral beam formed by neutralization and reflection of accelerated plasma on metal plate. Plasma ejected from coaxial plasma gun toward neutralizing plate, where turned into beam of atoms or molecules and aimed at substrate to be etched.

  20. Depth of Etch Comparison Between Self-limiting and Traditional Etchant Systems

    DTIC Science & Technology

    2016-06-18

    two different etchants (Ultradent’s Opal Etch 35%, a self-limiting phosphoric acid, or 34% Tooth Conditioning Gel by Dentsply) at varied time... Opal versus Dentsply and there was also a significant difference between etch time. There is no significant difference between the interaction of...etch material and etch time. Conclusion: The depth of etch of Opal etchant was consistently less than Dentsply etchant but continued to etch and

  1. Performance of a new one-step multi-mode adhesive on etched vs non-etched enamel on bond strength and interfacial morphology.

    PubMed

    de Goes, Mario Fernando; Shinohara, Mirela Sanae; Freitas, Marcela Santiago

    2014-06-01

    To compare microtensile bond strength (μTBS) and interfacial morphology of a new one-step multimode adhesive with a two-step self-etching adhesive and two etch-and-rinse adhesives systems on enamel. Thirty human third molars were sectioned to obtain two enamel fragments. For μTBS, 48 enamel surfaces were ground using 600-grit SiC paper and randomly assigned into 6 groups (n = 8): nonetched Scotchbond Universal [SBU]; etched SBU [SBU-et]; non-etched Clearfil SE Bond [CSE]; etched CSE [CSE-et]; Scotchbond Multi-PURPOSE [SBMP]; Excite [EX]. The etched specimens were conditioned with 37% phosphoric acid for 30 s, each adhesive system was applied according to manufacturers' instructions, and composite resin blocks (Filtek Supreme Plus, 3M ESPE) were incrementally built up. Specimens were sectioned into beams with a cross-sectional area of 0.8-mm2 and tested under tension (1 mm/min). The data were analyzed with oneway ANOVA and Fisher's PLSD (α = 0.05). For interface analysis, two samples from each group were embedded in epoxy resin, polished, and then observed using scanning electron microscopy (SEM). The μTBS values (in MPa) and the standard deviations were: SBU = 27.4 (8.5); SBU-et = 33.6 (9.3); CSE = 28.5 (8.3); CSE-et = 34.2 (9.0); SBMP = 30.4 (11.0); EX = 23.3 (8.2). CSE-et and SBU-et presented the highest bond strength values, followed by SBMP, CSE, and SBU which did not differ significantly from each other. EX showed the statistically significantly lowest bond strength values. SEM images of interfaces from etched samples showed long adhesive-resin tags penetrating into demineralized enamel. Preliminary etching of enamel significantly increased bond strength for the new one-step multimode adhesive SBU and two-step self-etching adhesive CSE.

  2. Comparative study of resist stabilization techniques for metal etch processing

    NASA Astrophysics Data System (ADS)

    Becker, Gerry; Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Livesay, William R.

    1999-06-01

    This study investigates resist stabilization techniques as they are applied to a metal etch application. The techniques that are compared are conventional deep-UV/thermal stabilization, or UV bake, and electron beam stabilization. The electron beam tool use din this study, an ElectronCure system from AlliedSignal Inc., ELectron Vision Group, utilizes a flood electron source and a non-thermal process. These stabilization techniques are compared with respect to a metal etch process. In this study, two types of resist are considered for stabilization and etch: a g/i-line resist, Shipley SPR-3012, and an advanced i-line, Shipley SPR 955- Cm. For each of these resist the effects of stabilization on resist features are evaluated by post-stabilization SEM analysis. Etch selectivity in all cases is evaluated by using a timed metal etch, and measuring resists remaining relative to total metal thickness etched. Etch selectivity is presented as a function of stabilization condition. Analyses of the effects of the type of stabilization on this method of selectivity measurement are also presented. SEM analysis was also performed on the features after a compete etch process, and is detailed as a function of stabilization condition. Post-etch cleaning is also an important factor impacted by pre-etch resist stabilization. Results of post- etch cleaning are presented for both stabilization methods. SEM inspection is also detailed for the metal features after resist removal processing.

  3. Effects of etching time on enamel bond strengths.

    PubMed

    Triolo, P T; Swift, E J; Mudgil, A; Levine, A

    1993-12-01

    This study evaluated the effects of etching time on bond strengths of composite to enamel. Proximal surfaces of extracted molars were etched with either a conventional etchant (35% phosphoric acid) or one of two dentin/enamel conditioners, 10% maleic acid (Scotchbond Multi-Purpose Etchant), or a solution of oxalic acid, aluminum nitrate, and glycine (Gluma 1 & 2 Conditioner). Each agent was applied for 15, 30, or 60 seconds. Specimens etched with 35% phosphoric acid had the highest mean bond strengths at each etching time. At the manufacturer's recommended application times, the other two agents gave significantly lower shear bond strengths than phosphoric acid.

  4. Recovery of GaN surface after reactive ion etching

    NASA Astrophysics Data System (ADS)

    Fan, Qian; Chevtchenko, S.; Ni, Xianfeng; Cho, Sang-Jun; Morko, Hadis

    2006-02-01

    Surface properties of GaN subjected to reactive ion etching and the impact on device performance have been investigated by surface potential, optical and electrical measurements. Different etching conditions were studied and essentially high power levels and low chamber pressures resulted in higher etch rates accompanying with the roughening of the surface morphology. Surface potential for the as-grown c-plane GaN was found to be in the range of 0.5~0.7 V using Scanning Kevin Probe Microscopy. However, after reactive ion etching at a power level of 300 W, it decreased to 0.1~0.2 V. A nearly linear reduction was observed on c-plane GaN with increasing power. The nonpolar a-plane GaN samples also showed large surface band bending before and after etching. Additionally, the intensity of the near band-edge photoluminescence decreased and the free carrier density increased after etching. These results suggest that the changes in the surface potential may originate from the formation of possible nitrogen vacancies and other surface oriented defects and adsorbates. To recover the etched surface, N II plasma, rapid thermal annealing, and etching in wet KOH were performed. For each of these methods, the surface potential was found to increase by 0.1~0.3 V, also the reverse leakage current in Schottky diodes fabricated on treated samples was reduced considerably compared with as-etched samples, which implies a partial-to-complete recovery from the plasma-induced damage.

  5. Influence of water storage on fatigue strength of self-etch adhesives.

    PubMed

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Scheidel, Donal D; Watanabe, Hidehiko; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2015-12-01

    The purpose of this study was to determine enamel and dentin bond durability after long-term water storage using self-etch adhesives. Two single step self-etch adhesives (SU, Scotchbond Universal and GB, G-ӕnial Bond) and a two-step self-etch adhesive (OX, OptiBond XTR) were used. The shear bond strength (SBS) and shear fatigue strength (FS) of the enamel and dentin were obtained with and without phosphoric acid pre-etching prior to application of the adhesives. The specimens were stored in distilled water at 37 °C for 24 h, 6 months, and one year. A staircase method was used to determine the FS using a frequency of 10 Hz for 50,000 cycles or until failure occurred. The SBS and FS of enamel bonds were significantly higher with pre-etching, when compared to no pre-etching for the same water storage period. The FS of dentin bonds with pre-etching tended to decrease relative to no pre-etching at the same storage period. For the one year storage period, SU and GB with pre-etching showed significantly lower FS values than the groups without pre-etching. The influence of water storage on FS of the self-etch adhesives was dependent on the adhesive material, storage period and phosphoric acid pre-etching of the bonding site. Phosphoric acid pre-etching of enamel improves the effectiveness of self-etch adhesive systems. Inadvertent contact of phosphoric acid on dentin appears to reduce the ability of self-etch adhesives to effectively bond resin composite materials. Copyright © 2015 Elsevier Ltd. All rights reserved.

  6. The influence of laser pulse duration and energy on ICP-MS signal intensity, elemental fractionation, and particle size distribution in NIR fs-LA-ICP-MS

    PubMed Central

    Diwakar, Prasoon K.; Harilal, Sivanandan S.; LaHaye, Nicole L.; Hassanein, Ahmed; Kulkarni, Pramod

    2015-01-01

    Laser parameters, typically wavelength, pulse width, irradiance, repetition rate, and pulse energy, are critical parameters which influence the laser ablation process and thereby influence the LA-ICP-MS signal. In recent times, femtosecond laser ablation has gained popularity owing to the reduction in fractionation related issues and improved analytical performance which can provide matrix-independent sampling. The advantage offered by fs-LA is due to shorter pulse duration of the laser as compared to the phonon relaxation time and heat diffusion time. Hence the thermal effects are minimized in fs-LA. Recently, fs-LA-ICP-MS demonstrated improved analytical performance as compared to ns-LA-ICP-MS, but detailed mechanisms and processes are still not clearly understood. Improvement of fs-LA-ICP-MS over ns-LA-ICP-MS elucidates the importance of laser pulse duration and related effects on the ablation process. In this study, we have investigated the influence of laser pulse width (40 fs to 0.3 ns) and energy on LA-ICP-MS signal intensity and repeatability using a brass sample. Experiments were performed in single spot ablation mode as well as rastering ablation mode to monitor the Cu/Zn ratio. The recorded ICP-MS signal was correlated with total particle counts generated during laser ablation as well as particle size distribution. Our results show the importance of pulse width effects in the fs regime that becomes more pronounced when moving from femtosecond to picosecond and nanosecond regimes. PMID:26664120

  7. Physics and chemistry of complex oxide etching and redeposition control

    NASA Astrophysics Data System (ADS)

    Margot, Joëlle

    2012-10-01

    Since its introduction in the 1970s, plasma etching has become the universal method for fine-line pattern transfer onto thin films and is anticipated to remain so in foreseeable future. Despite many success stories, plasma etching processes fail to meet the needs for several of the newest materials involved in advanced devices for photonic, electronic and RF applications like ferroelectrics, electro-optic materials, high-k dielectrics, giant magnetoresistance materials and unconventional conductors. In this context, the work achieved over the last decade on the etching of multicomponent oxides thin films such as barium strontium titanate (BST), strontium titanate (STO) and niobate of calcium and barium (CBN) will be reviewed. These materials present a low reactivity with usual etching gases such as fluorinated and chlorinated gases, their etching is mainly governed by ion sputtering and reactive gases sometimes interact with surface materials to form compounds that inhibit etching. The etching of platinum will also be presented as an example of unconventional conductor materials for which severe redeposition limits the achievable etching quality. Finally, it will be shown how simulation can help to understand the etching mechanisms and to define avenues for higher quality patterning.

  8. Wafer hotspot prevention using etch aware OPC correction

    NASA Astrophysics Data System (ADS)

    Hamouda, Ayman; Power, Dave; Salama, Mohamed; Chen, Ao

    2016-03-01

    As technology development advances into deep-sub-wavelength nodes, multiple patterning is becoming more essential to achieve the technology shrink requirements. Recently, Optical Proximity Correction (OPC) technology has proposed simultaneous correction of multiple mask-patterns to enable multiple patterning awareness during OPC correction. This is essential to prevent inter-layer hot-spots during the final pattern transfer. In state-of-art literature, multi-layer awareness is achieved using simultaneous resist-contour simulations to predict and correct for hot-spots during mask generation. However, this approach assumes a uniform etch shrink response for all patterns independent of their proximity, which isn't sufficient for the full prevention of inter-exposure hot-spot, for example different color space violations post etch or via coverage/enclosure post etch. In this paper, we explain the need to include the etch component during multiple patterning OPC. We also introduce a novel approach for Etch-aware simultaneous Multiple-patterning OPC, where we calibrate and verify a lumped model that includes the combined resist and etch responses. Adding this extra simulation condition during OPC is suitable for full chip processing from a computation intensity point of view. Also, using this model during OPC to predict and correct inter-exposures hot-spots is similar to previously proposed multiple-patterning OPC, yet our proposed approach more accurately corrects post-etch defects too.

  9. Method for anisotropic etching in the manufacture of semiconductor devices

    NASA Technical Reports Server (NTRS)

    Koontz, Steven L. (Inventor); Cross, Jon B. (Inventor)

    1993-01-01

    Hydrocarbon polymer coatings used in microelectronic manufacturing processes are anisotropically etched by hyperthermal atomic oxygen beams (translational energies of 0.2 to 20 eV, preferably 1 to 10 eV). Etching with hyperthermal oxygen atom species obtains highly anisotropic etching with sharp boundaries between etched and mask protected areas.

  10. ICP-MS Workshop

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Carman, April J.; Eiden, Gregory C.

    2014-11-01

    This is a short document that explains the materials that will be transmitted to LLNL and DNN HQ regarding the ICP-MS Workshop held at PNNL June 17-19th. The goal of the information is to pass on to LLNL information regarding the planning and preparations for the Workshop at PNNL in preparation of the SIMS workshop at LLNL.

  11. Chemical Etching of Zinc Oxide for Thin-Film Silicon Solar Cells

    PubMed Central

    Hüpkes, Jürgen; Owen, Jorj I; Pust, Sascha E; Bunte, Eerke

    2012-01-01

    Abstract Chemical etching is widely applied to texture the surface of sputter-deposited zinc oxide for light scattering in thin-film silicon solar cells. Based on experimental findings from the literature and our own results we propose a model that explains the etching behavior of ZnO depending on the structural material properties and etching agent. All grain boundaries are prone to be etched to a certain threshold, that is defined by the deposition conditions and etching solution. Additionally, several approaches to modify the etching behavior through special preparation and etching steps are provided. PMID:22162035

  12. Method for anisotropic etching in the manufacture of semiconductor devices

    DOEpatents

    Koontz, Steven L.; Cross, Jon B.

    1993-01-01

    Hydrocarbon polymer coatings used in microelectronic manufacturing processes are anisotropically etched by atomic oxygen beams (translational energies of 0.2-20 eV, preferably 1-10 eV). Etching with hyperthermal (kinetic energy>1 eV) oxygen atom species obtains highly anisotropic etching with sharp boundaries between etched and mask-protected areas.

  13. CDU improvement technology of etching pattern using photo lithography

    NASA Astrophysics Data System (ADS)

    Tadokoro, Masahide; Shinozuka, Shinichi; Jyousaka, Megumi; Ogata, Kunie; Morimoto, Tamotsu; Konishi, Yoshitaka

    2008-03-01

    Semiconductor manufacturing technology has shifted towards finer design rules, and demands for critical dimension uniformity (CDU) of resist patterns have become greater than ever. One of the methods for improving Resist Pattern CDU is to control post-exposure bake (PEB) temperature. When ArF resist is used, there is a certain relationship between critical dimension (CD) and PEB temperature. By utilizing this relationship, Resist Pattern CDU can be improved through control of within-wafer temperature distribution in the PEB process. Resist Pattern CDU improvement contributes to Etching Pattern CDU improvement to a certain degree. To further improve Etching Pattern CDU, etcher-specific CD variation needs to be controlled. In this evaluation, 1. We verified whether etcher-specific CD variation can be controlled and consequently Etching Pattern CDU can be further improved by controlling resist patterns through PEB control. 2. Verifying whether Etching Pattern CDU improvement through has any effect on the reduction in wiring resistance variation. The evaluation procedure is as follows.1. Wafers with base film of Doped Poly-Si (D-Poly) were prepared. 2. Resist patterns were created on them. 3. To determine etcher-specific characteristics, the first etching was performed, and after cleaning off the resist and BARC, CD of etched D-Poly was measured. 4. Using the obtained within-wafer CD distribution of the etching patterns, within-wafer temperature distribution in the PEB process was modified. 5. Resist patterns were created again, followed by the second etching and cleaning, which was followed by CD measurement. We used Optical CD Measurement (OCD) for measurement of resist patterns and etching patterns as OCD is minimally affected by Line Edge Roughness (LER). As a result, 1. We confirmed the effect of Resist Pattern CD control through PEB control on the reduction in etcher-specific CD variation and the improvement in Etching Pattern CDU. 2. The improvement in Etching

  14. Atomic precision etch using a low-electron temperature plasma

    NASA Astrophysics Data System (ADS)

    Dorf, L.; Wang, J.-C.; Rauf, S.; Zhang, Y.; Agarwal, A.; Kenney, J.; Ramaswamy, K.; Collins, K.

    2016-03-01

    Sub-nm precision is increasingly being required of many critical plasma etching processes in the semiconductor industry. Accurate control over ion energy and ion/radical composition is needed during plasma processing to meet these stringent requirements. Described in this work is a new plasma etch system which has been designed with the requirements of atomic precision plasma processing in mind. In this system, an electron sheet beam parallel to the substrate surface produces a plasma with an order of magnitude lower electron temperature Te (~ 0.3 eV) and ion energy Ei (< 3 eV without applied bias) compared to conventional radio-frequency (RF) plasma technologies. Electron beam plasmas are characterized by higher ion-to-radical fraction compared to RF plasmas, so a separate radical source is used to provide accurate control over relative ion and radical concentrations. Another important element in this plasma system is low frequency RF bias capability which allows control of ion energy in the 2-50 eV range. Presented in this work are the results of etching of a variety of materials and structures performed in this system. In addition to high selectivity and low controllable etch rate, an important requirement of atomic precision etch processes is no (or minimal) damage to the remaining material surface. It has traditionally not been possible to avoid damage in RF plasma processing systems, even during atomic layer etch. The experiments for Si etch in Cl2 based plasmas in the aforementioned etch system show that damage can be minimized if the ion energy is kept below 10 eV. Layer-by-layer etch of Si is also demonstrated in this etch system using electrical and gas pulsing.

  15. Vapor etching of nuclear tracks in dielectric materials

    DOEpatents

    Musket, Ronald G.; Porter, John D.; Yoshiyama, James M.; Contolini, Robert J.

    2000-01-01

    A process involving vapor etching of nuclear tracks in dielectric materials for creating high aspect ratio (i.e., length much greater than diameter), isolated cylindrical holes in dielectric materials that have been exposed to high-energy atomic particles. The process includes cleaning the surface of the tracked material and exposing the cleaned surface to a vapor of a suitable etchant. Independent control of the temperatures of the vapor and the tracked materials provide the means to vary separately the etch rates for the latent track region and the non-tracked material. As a rule, the tracked regions etch at a greater rate than the non-tracked regions. In addition, the vapor-etched holes can be enlarged and smoothed by subsequent dipping in a liquid etchant. The 20-1000 nm diameter holes resulting from the vapor etching process can be useful as molds for electroplating nanometer-sized filaments, etching gate cavities for deposition of nano-cones, developing high-aspect ratio holes in trackable resists, and as filters for a variety of molecular-sized particles in virtually any liquid or gas by selecting the dielectric material that is compatible with the liquid or gas of interest.

  16. Hafnium Oxide Film Etching Using Hydrogen Chloride Gas

    NASA Astrophysics Data System (ADS)

    Habuka, Hitoshi; Yamaji, Masahiko; Kobori, Yoshitsugu; Horii, Sadayoshi; Kunii, Yasuo

    2009-12-01

    Hydrogen chloride gas removes the hafnium oxide film formed by atomic layer deposition at the etch rate of about 1 nm/min. A 100 nm-thick hafnium oxide film was perfectly etched off at 1173 K for 60 min by 100% hydrogen chloride gas at 100 sccm. A weight decrease in the hafnium oxide film was observed at temperatures higher than ca. 600 K, which corresponds to the sublimation point of hafnium tetrachloride. The etching by-product is considered to be hafnium tetrachloride. The etching technique developed in this study is expected to be applicable to various processes, such as the cleaning of a hafnium oxide film deposition reactor.

  17. Photonic jet μ-etching: from static to dynamic process

    NASA Astrophysics Data System (ADS)

    Abdurrochman, A.; Lecler, S.; Zelgowski, J.; Mermet, F.; Fontaine, J.; Tumbelaka, B. Y.

    2017-05-01

    Photonic jet etching is a direct-laser etching method applying photonic jet phenomenon to concentrate the laser beam onto the proceeded material. We call photonic jet the phenomenon of the localized sub-wavelength propagative beam generated at the shadow-side surfaces of micro-scale dielectric cylinders or spheres, when they are illuminated by an electromagnetic plane-wave or laser beam. This concentration has made possible the laser to yield sub-μ etching marks, despite the laser was a near-infrared with nano-second pulses sources. We will present these achievements from the beginning when some spherical glasses were used for static etching to dynamic etching using an optical fiber with a semi-elliptical tip.

  18. Qualitative modeling of silica plasma etching using neural network

    NASA Astrophysics Data System (ADS)

    Kim, Byungwhan; Kwon, Kwang Ho

    2003-01-01

    An etching of silica thin film is qualitatively modeled by using a neural network. The process was characterized by a 23 full factorial experiment plus one center point, in which the experimental factors and ranges include 100-800 W radio-frequency source power, 100-400 W bias power and gas flow rate ratio CHF3/CF4. The gas flow rate ratio varied from 0.2 to 5.0. The backpropagation neural network (BPNN) was trained on nine experiments and tested on six experiments, not pertaining to the original training data. The prediction ability of the BPNN was optimized as a function of the training parameters. Prediction errors are 180 Å/min and 1.33, for the etch rate and anisotropy models, respectively. Physical etch mechanisms were estimated from the three-dimensional plots generated from the optimized models. Predicted response surfaces were consistent with experimentally measured etch data. The dc bias was correlated to the etch responses to evaluate its contribution. Both the source power (plasma density) and bias power (ion directionality) strongly affected the etch rate. The source power was the most influential factor for the etch rate. A conflicting effect between the source and bias powers was noticed with respect to the anisotropy. The dc bias played an important role in understanding or separating physical etch mechanisms.

  19. Electronegativity-dependent tin etching from thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pachecka, M., E-mail: m.pachecka@utwente.nl; Sturm, J. M.; Kruijs, R. W. E. van de

    2016-07-15

    The influence of a thin film substrate material on the etching of a thin layer of deposited tin (Sn) by hydrogen radicals was studied. The amount of remaining Sn was quantified for materials that cover a range of electronegativities. We show that, for metals, etching depends on the relative electronegativity of the surface material and Sn. Tin is chemically etched from surfaces with an electronegativity smaller than Sn, while incomplete Sn etching is observed for materials with an electronegativity larger than Sn. Furthermore, the amount of remaining Sn increases as the electronegativity of the surface material increases. We speculate, that,more » due to Fermi level differences in the material’s electronic structure, the energy of the two conduction bands shift such that the availability of electrons for binding with hydrogen is significantly reduced.« less

  20. Etching of enamel for direct bonding with a thulium fiber laser

    NASA Astrophysics Data System (ADS)

    Kabaş Sarp, Ayşe S.; Gülsoy, Murat

    2011-03-01

    Background: Laser etching of enamel for direct bonding can decrease the risk of surface enamel loss and demineralization which are the adverse effects of acid etching technique. However, in excess of +5.5°C can cause irreversible pulpal responses. In this study, a 1940- nm Thulium Fiber Laser in CW mode was used for laser etching. Aim: Determination of the suitable Laser parameters of enamel surface etching for direct bonding of ceramic brackets and keeping that intrapulpal temperature changes below the threshold value. Material and Method: Polycrystalline ceramic orthodontic brackets were bonded on bovine teeth by using 2 different kinds of etching techniques: Acid and Laser Etching. In addition to these 3 etched groups, there was also a group which was bonded without etching. Brackets were debonded with a material testing machine. Breaking time and the load at the breaking point were measured. Intrapulpal temperature changes were recorded by a K-type Thermocouple. For all laser groups, intrapulpal temperature rise was below the threshold value of 5.5°C. Results and Conclusion: Acid-etched group ( 11.73 MPa) significantly required more debonding force than 3- second- irradiated ( 5.03 MPa) and non-etched groups ( 3.4 MPa) but the results of acid etched group and 4- second- irradiated group (7.5 MPa) showed no significant difference. Moreover, 4- second irradiated group was over the minimum acceptable value for clinical use. Also, 3- second lasing caused a significant reduction in time according to acid-etch group. As a result, 1940- nm laser irradiation is a promising method for laser etching.

  1. Lateral electrochemical etching of III-nitride materials for microfabrication

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Han, Jung

    Conductivity-selective lateral etching of III-nitride materials is described. Methods and structures for making vertical cavity surface emitting lasers with distributed Bragg reflectors via electrochemical etching are described. Layer-selective, lateral electrochemical etching of multi-layer stacks is employed to form semiconductor/air DBR structures adjacent active multiple quantum well regions of the lasers. The electrochemical etching techniques are suitable for high-volume production of lasers and other III-nitride devices, such as lasers, HEMT transistors, power transistors, MEMs structures, and LEDs.

  2. Effect of different monomer-based composites and acid etching pre-treatment of enamel on the microleakage using self-etch adhesives systems.

    PubMed

    Catelan, Anderson; Giorgi, Maria Cecília Caldas; Soares, Giulliana Panfiglio; Lima, Debora Alves Nunes Leite; Marchi, Giselle Maria; Aguiar, Flávio Henrique Baggio

    2014-11-01

    To evaluate quantitatively the marginal microleakage of restorations carried out with self-etching adhesives with or without prior phosphoric enamel acid etching of silorane or methacrylate resin-based composite restorations subjected to thermal cycling. Forty cavities were prepared at the proximal surface of bovine incisors and randomly divided according to the etching of the enamel and restorative system used. The groups were restored with methacrylate [Adper SE Plus adhesive (3M ESPE) + Filtek Z250 (3M ESPE)] or silorane [Filtek LS adhesive (3M ESPE) + Filtek LS composite (3M ESPE)] restorative systems, light-cured using a LED unit (Bluephase 16i, Vivadent). After restorative procedure and thermocycling (1000 cycles), the specimens were immersed in methylene blue for 2 h. The specimens were triturated and the powder was used for analysis in an absorbance spectrophotometer. Data were statistically analyzed by 2-way ANOVA (alpha = 0.05). No statistical difference between the restorative materials tested with or without previous acid etching of enamel in Class II marginal microleakage was observed (p > 0.05). The use of acid etching prior to self-etching adhesives did not interfere on the microleakage of methacrylate- or silorane-based restorations.

  3. The research on conformal acid etching process of glass ceramic

    NASA Astrophysics Data System (ADS)

    Wang, Kepeng; Guo, Peiji

    2014-08-01

    A series of experiments have been done to explore the effect of different conditions on the hydrofluoric acid etching. The hydrofluoric acid was used to etch the glass ceramic called "ZERODUR", which is invented by SCHOTT in Germany. The glass ceramic was processed into cylindrical samples. The hydrofluoric acid etching was done in a plastic beaker. The concentration of hydrofluoric acid and the etching time were changed to measure the changes of geometric tolerance and I observed the surface using a microscope in order to find an appropriate condition of hydrofluoric acid etching.

  4. Consideration of VT5 etch-based OPC modeling

    NASA Astrophysics Data System (ADS)

    Lim, ChinTeong; Temchenko, Vlad; Kaiser, Dieter; Meusel, Ingo; Schmidt, Sebastian; Schneider, Jens; Niehoff, Martin

    2008-03-01

    Including etch-based empirical data during OPC model calibration is a desired yet controversial decision for OPC modeling, especially for process with a large litho to etch biasing. While many OPC software tools are capable of providing this functionality nowadays; yet few were implemented in manufacturing due to various risks considerations such as compromises in resist and optical effects prediction, etch model accuracy or even runtime concern. Conventional method of applying rule-based alongside resist model is popular but requires a lot of lengthy code generation to provide a leaner OPC input. This work discusses risk factors and their considerations, together with introduction of techniques used within Mentor Calibre VT5 etch-based modeling at sub 90nm technology node. Various strategies are discussed with the aim of better handling of large etch bias offset without adding complexity into final OPC package. Finally, results were presented to assess the advantages and limitations of the final method chosen.

  5. Optical-fiber strain sensors with asymmetric etched structures.

    PubMed

    Vaziri, M; Chen, C L

    1993-11-01

    Optical-fiber strain gauges with asymmetric etched structures have been analyzed, fabricated, and tested. These sensors are very sensitive with a gauge factor as high as 170 and a flat frequency response to at least 2.7 kHz. The gauge factor depends on the asymmetry of the etched structures and the number of etched sections. To understand the physical principles involved, researchers have used structural analysis programs based on a finite-element method to analyze fibers with asymmetric etched structures under tensile stress. The results show that lateral bends are induced on the etched fibers when they are stretched axially. To relate the lateral bending to the optical attenuation, we have also employed a ray-tracing technique to investigate the dependence of the attenuation on the structural deformation. Based on the structural analysis and the ray-tracing study parameters affecting the sensitivity have been studied. These results agree with the results of experimental investigations.

  6. Etched-multilayer phase shifting masks for EUV lithography

    DOEpatents

    Chapman, Henry N.; Taylor, John S.

    2005-04-05

    A method is disclosed for the implementation of phase shifting masks for EUV lithography. The method involves directly etching material away from the multilayer coating of the mask, to cause a refractive phase shift in the mask. By etching into the multilayer (for example, by reactive ion etching), rather than depositing extra material on the top of the multilayer, there will be minimal absorption loss associated with the phase shift.

  7. Separation techniques for the clean-up of radioactive mixed waste for ICP-AES/ICP-MS analysis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Swafford, A.M.; Keller, J.M.

    1993-03-17

    Two separation techniques were investigated for the clean-up of typical radioactive mixed waste samples requiring elemental analysis by Inductively Coupled Plasma-Atomic Emission Spectroscopy (ICP-AES) or Inductively Coupled Plasma-Mass Spectrometry (ICP-MS). These measurements frequently involve regulatory or compliance criteria which include the determination of elements on the EPA Target Analyte List (TAL). These samples usually consist of both an aqueous phase and a solid phase which is mostly an inorganic sludge. Frequently, samples taken from the waste tanks contain high levels of uranium and thorium which can cause spectral interferences in ICP-AES or ICP-MS analysis. The removal of these interferences ismore » necessary to determine the presence of the EPA TAL elements in the sample. Two clean-up methods were studied on simulated aqueous waste samples containing the EPA TAL elements. The first method studied was a classical procedure based upon liquid-liquid extraction using tri-n- octylphosphine oxide (TOPO) dissolved in cyclohexane. The second method investigated was based on more recently developed techniques using extraction chromatography; specifically the use of a commercially available Eichrom TRU[center dot]Spec[trademark] column. Literature on these two methods indicates the efficient removal of uranium and thorium from properly prepared samples and provides considerable qualitative information on the extraction behavior of many other elements. However, there is a lack of quantitative data on the extraction behavior of elements on the EPA Target Analyte List. Experimental studies on these two methods consisted of determining whether any of the analytes were extracted by these methods and the recoveries obtained. Both methods produced similar results; the EPA target analytes were only slightly or not extracted. Advantages and disadvantages of each method were evaluated and found to be comparable.« less

  8. An evaluation of shear bond strength of self-etch adhesive on pre-etched enamel: an in vitro study.

    PubMed

    Rao, Bhadra; Reddy, Satti Narayana; Mujeeb, Abdul; Mehta, Kanchan; Saritha, G

    2013-11-01

    To determine the shear bond strength of self-etch adhesive G-bond on pre-etched enamel. Thirty caries free human mandibular premolars extracted for orthodontic purpose were used for the study. Occlusal surfaces of all the teeth were flattened with diamond bur and a silicon carbide paper was used for surface smoothening. The thirty samples were randomly grouped into three groups. Three different etch systems were used for the composite build up: group 1 (G-bond self-etch adhesive system), group 2 (G-bond) and group 3 (Adper single bond). Light cured was applied for 10 seconds with a LED unit for composite buildup on the occlusal surface of each tooth with 8 millimeters (mm) in diameter and 3 mm in thickness. The specimens in each group were tested in shear mode using a knife-edge testing apparatus in a universal testing machine across head speed of 1 mm/ minute. Shear bond strength values in Mpa were calculated from the peak load at failure divided by the specimen surface area. The mean shear bond strength of all the groups were calculated and statistical analysis was carried out using one-way Analysis of Variance (ANOVA). The mean bond strength of group 1 is 15.5 Mpa, group 2 is 19.5 Mpa and group 3 is 20.1 Mpa. Statistical analysis was carried out between the groups using one-way ANOVA. Group 1 showed statistically significant lower bond strength when compared to groups 2 and 3. No statistical significant difference between groups 2 and 3 (p < 0.05). Self-etch adhesive G-bond showed increase in shear bond strength on pre-etched enamel.

  9. Effects of attrition, prior acid-etching, and cyclic loading on the bond strength of a self-etching adhesive system to dentin.

    PubMed

    Shinkai, Koichi; Ebihara, Takashi; Shirono, Manabu; Seki, Hideaki; Wakaki, Suguru; Suzuki, Masaya; Suzuki, Shiro; Katoh, Yoshiroh

    2009-03-01

    The purpose of this study was to evaluate the effects of dentin attrition, phosphoric acid etching, and cyclic loading on the microtensile bond strength (microTBS) of a self-etching adhesive system to dentin. Flat dentin surfaces of human molars were assigned to eight experimental groups based on those with or without attrition, prior acid-etching, and cyclic loading. Resin composite paste was placed and polymerized after the bonding procedure according to manufacturer's instructions. The specimens were subjected to microTBS testing at a crosshead speed of 0.5 mm/min. Results showed that the minimum mean value of microTBS was 14.9 MPa in the group without attrition and acid-etching but with loading, while the maximum mean value of microTBS was 40.0 MPa in the group without attrition and loading but with acid etching. Therefore, the value of microTBS to dentin without attrition was significantly decreased by cyclic loading but that to dentin with attrition was not affected.

  10. Etching of germanium-tin using ammonia peroxide mixture

    NASA Astrophysics Data System (ADS)

    Dong, Yuan; Ong, Bin Leong; Wang, Wei; Zhang, Zheng; Pan, Jisheng; Gong, Xiao; Tok, Eng-Soon; Liang, Gengchiau; Yeo, Yee-Chia

    2015-12-01

    The wet etching of germanium-tin (Ge1-xSnx) alloys (4.2% < x < 16.0%) in ammonia peroxide mixture (APM) is investigated. Empirical fitting of the data points indicates that the etch depth of Ge1-xSnx is proportional to the square root of the etch time t and decreases exponentially with increasing x for a given t. In addition, X-ray photoelectron spectroscopy results show that increasing t increases the intensity of the Sn oxide peak, whereas no obvious change is observed for the Ge oxide peak. This indicates that an accumulation of Sn oxide on the Ge1-xSnx surface decreases the amount of Ge atoms exposed to the etchant, which accounts for the decrease in etch rate with increasing etch time. Atomic force microscopy was used to examine the surface morphologies of the Ge0.918Sn0.082 samples. Both root-mean-square roughness and undulation periods of the Ge1-xSnx surface were observed to increase with increasing t. This work provides further understanding of the wet etching of Ge1-xSnx using APM and may be used for the fabrication of Ge1-xSnx-based electronic and photonic devices.

  11. Improvement in etching rate for epilayer lift-off with surfactant

    NASA Astrophysics Data System (ADS)

    Wu, Fan-Lei; Horng, Ray-Hua; Lu, Jian-Heng; Chen, Chun-Li; Kao, Yu-Cheng

    2013-03-01

    In this study, the GaAs epilayer is quickly separated from GaAs substrate by epitaxial lift-off (ELO) process with mixture etchant solution. The HF solution mixes with surfactant as mixture etchant solution to etch AlAs sacrificial layer for the selective wet etching of AlAs sacrificial layer. Addiction surfactants etchant significantly enhance the etching rate in the hydrofluoric acid etching solution. It is because surfactant provides hydrophilicity to change the contact angle with enhances the fluid properties of the mixture etchant between GaAs epilayer and GaAs substrate. Arsine gas was released from the etchant solution because the critical reaction product in semiconductor etching is dissolved arsine gas. Arsine gas forms a bubble, which easily displaces the etchant solution, before the AlAs layer was undercut. The results showed that acetone and hydrofluoric acid ratio of about 1:1 for the fastest etching rate of 13.2 μm / min. The etching rate increases about 4 times compared with pure hydrofluoric acid, moreover can shorten the separation time about 70% of GaAs epilayer with GaAs substrate. The results indicate that etching ratio and stability are improved by mixture etchant solution. It is not only saving the epilayer and the etching solution exposure time, but also reducing the damage to the epilayer structure.

  12. Laser etching of austenitic stainless steels for micro-structural evaluation

    NASA Astrophysics Data System (ADS)

    Baghra, Chetan; Kumar, Aniruddha; Sathe, D. B.; Bhatt, R. B.; Behere, P. G.; Afzal, Mohd

    2015-06-01

    Etching is a key step in metallography to reveal microstructure of polished specimen under an optical microscope. A conventional technique for producing micro-structural contrast is chemical etching. As an alternate, laser etching is investigated since it does not involve use of corrosive reagents and it can be carried out without any physical contact with sample. Laser induced etching technique will be beneficial especially in nuclear industry where materials, being radioactive in nature, are handled inside a glove box. In this paper, experimental results of pulsed Nd-YAG laser based etching of few austenitic stainless steels such as SS 304, SS 316 LN and SS alloy D9 which are chosen as structural material for fabrication of various components of upcoming Prototype Fast Breeder Reactor (PFBR) at Kalpakkam India were reported. Laser etching was done by irradiating samples using nanosecond pulsed Nd-YAG laser beam which was transported into glass paneled glove box using optics. Experiments were carried out to understand effect of laser beam parameters such as wavelength, fluence, pulse repetition rate and number of exposures required for etching of austenitic stainless steel samples. Laser etching of PFBR fuel tube and plug welded joint was also carried to evaluate base metal grain size, depth of fusion at welded joint and heat affected zone in the base metal. Experimental results demonstrated that pulsed Nd-YAG laser etching is a fast and effortless technique which can be effectively employed for non-contact remote etching of austenitic stainless steels for micro-structural evaluation.

  13. Photo-assisted etching of silicon in chlorine- and bromine-containing plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhu, Weiye; Sridhar, Shyam; Liu, Lei

    2014-05-28

    Cl{sub 2}, Br{sub 2}, HBr, Br{sub 2}/Cl{sub 2}, and HBr/Cl{sub 2} feed gases diluted in Ar (50%–50% by volume) were used to study etching of p-type Si(100) in a rf inductively coupled, Faraday-shielded plasma, with a focus on the photo-assisted etching component. Etching rates were measured as a function of ion energy. Etching at ion energies below the threshold for ion-assisted etching was observed in all cases, with Br{sub 2}/Ar and HBr/Cl{sub 2}/Ar plasmas having the lowest and highest sub-threshold etching rates, respectively. Sub-threshold etching rates scaled with the product of surface halogen coverage (measured by X-ray photoelectron spectroscopy) andmore » Ar emission intensity (7504 Å). Etching rates measured under MgF{sub 2}, quartz, and opaque windows showed that sub-threshold etching is due to photon-stimulated processes on the surface, with vacuum ultraviolet photons being much more effective than longer wavelengths. Scanning electron and atomic force microscopy revealed that photo-etched surfaces were very rough, quite likely due to the inability of the photo-assisted process to remove contaminants from the surface. Photo-assisted etching in Cl{sub 2}/Ar plasmas resulted in the formation of 4-sided pyramidal features with bases that formed an angle of 45° with respect to 〈110〉 cleavage planes, suggesting that photo-assisted etching can be sensitive to crystal orientation.« less

  14. Comparison of laser ablation and dried solution aerosol as sampling systems in inductively coupled plasma mass spectrometry.

    PubMed

    Coedo, A G; Padilla, I; Dorado, M T

    2004-12-01

    This paper describes a study designed to determine the possibility of using a dried aerosol solution for calibration in laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS). The relative sensitivities of tested materials mobilized by laser ablation and by aqueous nebulization were established, and the experimentally determined relative sensitivity factors (RSFs) were used in conjunction with aqueous calibration for the analysis of solid steel samples. To such a purpose a set of CRM carbon steel samples (SS-451/1 to SS-460/1) were sampled into an ICP-MS instrument by solution nebulization using a microconcentric nebulizer with membrane desolvating (D-MCN) and by laser ablation (LA). Both systems were applied with the same ICP-MS operating parameters and the analyte signals were compared. The RSF (desolvated aerosol response/ablated solid response) values were close to 1 for the analytes Cr, Ni, Co, V, and W, about 1.3 for Mo, and 1.7 for As, P, and Mn. Complementary tests were carried out using CRM SS-455/1 as a solid standard for one-point calibration, applying LAMTRACE software for data reduction and quantification. The analytical results are in good agreement with the certified values in all cases, showing that the applicability of dried aerosol solutions is a good alternative calibration system for laser ablation sampling.

  15. Effect of evaporation of solvents from one-step, self-etching adhesives.

    PubMed

    Furuse, Adilson Yoshio; Peutzfeldt, Anne; Asmussen, Erik

    2008-02-01

    To investigate whether and to what extent the bonding capacity of one-step, self-etching adhesives is influenced by the degree to which solvent is evaporated. Seven one-step, self-etching adhesives were tested (Adper Prompt L-Pop, Clearfil S3 Bond, Futurabond NR, G-Bond, Hybrid Bond, iBond, Xeno III). The variation in degree of evaporation was obtained by varying the duration of the air-blowing step. The duration required to immobilize the adhesive layer, as established in a pilot study, was used as control. Two experimental air-blowing durations, shorter (half the control duration) and longer (double the control duration) than the control duration, were chosen. The resin composite Herculite XRV was bonded to flat human dentin surfaces treated with one of the adhesives following manufacturer's instructions, except for the air-blowing duration after application. After being stored in water at 37 degrees C for 1 week, the bonded specimens were broken in shear. Failure modes were evaluated under stereomicroscope. Air-blowing duration and brand of adhesive both had an effect on shear bond strength. An interaction was found between adhesive and air-blowing duration. Some adhesives were insensitive to variations in air-drying duration, but in general, air-blowing durations shorter than the control duration produced lower shear bond strengths. Significant effects of adhesive and air-blowing duration were also detected in relation to failure mode. More adhesive failures were observed with shorter air-blowing durations. A significant negative correlation between number of adhesive failures and bond strength was found. On the basis of this in vitro study, it may be concluded that the one-step, self-etching adhesives evaluated were sensitive to degree of evaporation of the solvents.

  16. Selectively-etched nanochannel electrophoretic and electrochemical devices

    DOEpatents

    Surh, Michael P.; Wilson, William D.; Barbee, Jr., Troy W.; Lane, Stephen M.

    2004-11-16

    Nanochannel electrophoretic and electrochemical devices having selectively-etched nanolaminates located in the fluid transport channel. The normally flat surfaces of the nanolaminate having exposed conductive (metal) stripes are selectively-etched to form trenches and baffles. The modifications of the prior utilized flat exposed surfaces increase the amount of exposed metal to facilitate electrochemical redox reaction or control the exposure of the metal surfaces to analytes of large size. These etched areas variously increase the sensitivity of electrochemical detection devices to low concentrations of analyte, improve the plug flow characteristic of the channel, and allow additional discrimination of the colloidal particles during cyclic voltammetry.

  17. Selectively-etched nanochannel electrophoretic and electrochemical devices

    DOEpatents

    Surh, Michael P [Livermore, CA; Wilson, William D [Pleasanton, CA; Barbee, Jr., Troy W.; Lane, Stephen M [Oakland, CA

    2006-06-27

    Nanochannel electrophoretic and electrochemical devices having selectively-etched nanolaminates located in the fluid transport channel. The normally flat surfaces of the nanolaminate having exposed conductive (metal) stripes are selectively-etched to form trenches and baffles. The modifications of the prior utilized flat exposed surfaces increase the amount of exposed metal to facilitate electrochemical redox reaction or control the exposure of the metal surfaces to analytes of large size. These etched areas variously increase the sensitivity of electrochemical detection devices to low concentrations of analyte, improve the plug flow characteristic of the channel, and allow additional discrimination of the colloidal particles during cyclic voltammetry.

  18. Plasma etching a ceramic composite. [evaluating microstructure

    NASA Technical Reports Server (NTRS)

    Hull, David R.; Leonhardt, Todd A.; Sanders, William A.

    1992-01-01

    Plasma etching is found to be a superior metallographic technique for evaluating the microstructure of a ceramic matrix composite. The ceramic composite studied is composed of silicon carbide whiskers (SiC(sub W)) in a matrix of silicon nitride (Si3N4), glass, and pores. All four constituents are important in evaluating the microstructure of the composite. Conventionally prepared samples, both as-polished or polished and etched with molten salt, do not allow all four constituents to be observed in one specimen. As-polished specimens allow examination of the glass phase and porosity, while molten salt etching reveals the Si3N4 grain size by removing the glass phase. However, the latter obscures the porosity. Neither technique allows the SiC(sub W) to be distinguished from the Si3N4. Plasma etching with CF4 + 4 percent O2 selectively attacks the Si3N4 grains, leaving SiC(sub W) and glass in relief, while not disturbing the pores. An artifact of the plasma etching reaction is the deposition of a thin layer of carbon on Si3N4, allowing Si3N4 grains to be distinguished from SiC(sub W) by back scattered electron imaging.

  19. No-waiting dentine self-etch concept-Merit or hype.

    PubMed

    Huang, Xue-Qing; Pucci, César R; Luo, Tao; Breschi, Lorenzo; Pashley, David H; Niu, Li-Na; Tay, Franklin R

    2017-07-01

    A recently-launched universal adhesive, G-Premio Bond, provides clinicians with the alternative to use the self-etch technique for bonding to dentine without waiting for the adhesive to interact with the bonding substrate (no-waiting self-etch; Japanese brochure), or after leaving the adhesive undisturbed for 10s (10-s self-etch; international brochure). The present study was performed to examine in vitro performance of this new universal adhesive bonded to human coronal dentine using the two alternative self-etch modes. One hundred and ten specimens were bonded using two self-etch application modes and examined with or without thermomechanical cycling (10,000 thermal cycles and 240,000 mechanical cycles) to simulate one year of intraoral functioning. The bonded specimens were sectioned for microtensile bond testing, ultrastructural and nanoleakage examination using transmission electron microscopy. Changes in the composition of mineralised dentine after adhesive application were examined using Fourier transform infrared spectroscopy. Both reduced application time and thermomechanical cycling resulted in significantly lower bond strengths, thinner hybrid layers, and significantly more extensive nanoleakage after thermomechanical cycling. Using the conventional 10-s application time improved bonding performance when compared with the no-waiting self-etch technique. Nevertheless, nanoleakage was generally extensive under all testing parameters employed for examining the adhesive. Although sufficient bond strength to dentine may be achieved using the present universal adhesive in the no-waiting self-etch mode that does not require clinicians to wait prior to polymerisation of the adhesive, this self-etch concept requires further technological refinement before it can be recommended as a clinical technique. Although the surge for cutting application time to increase user friendliness remains the most frequently sought conduit for advancement of dentine bonding

  20. Etching Rate of Silicon Dioxide Using Chlorine Trifluoride Gas

    NASA Astrophysics Data System (ADS)

    Miura, Yutaka; Kasahara, Yu; Habuka, Hitoshi; Takechi, Naoto; Fukae, Katsuya

    2009-02-01

    The etching rate behavior of silicon dioxide (SiO2, fused silica) using chlorine trifluoride (ClF3) gas is studied at substrate temperatures between 573 and 1273 K at atmospheric pressure in a horizontal cold-wall reactor. The etching rate increases with the ClF3 gas concentration, and the overall reaction is recognized to be of the first order. The change of the etching rate with increasing substrate temperature is nonlinear, and the etching rate tends to approach a constant value at temperatures exceeding 1173 K. The overall rate constant is estimated by numerical calculation, taking into account the transport phenomena in the reactor, including the chemical reaction at the substrate surface. The activation energy obtained in this study is 45.8 kJ mol-1, and the rate constant is consistent with the measured etching rate behavior. A reactor system in which there is minimum etching of the fused silica chamber by ClF3 gas can be achieved using an IR lamp heating unit and a chamber cooling unit to maintain a sufficiently low temperature of the chamber wall.

  1. What's new in dentine bonding? Self-etch adhesives.

    PubMed

    Burke, F J Trevor

    2004-12-01

    Bonding to dentine is an integral part of contemporary restorative dentistry, but early systems were not user-friendly. The introduction of new systems which have a reduced number of steps--the self-etch adhesives--could therefore be an advantage to clinicians, provided that they are as effective as previous adhesives. These new self-etch materials appear to form hybrid layers as did the previous generation of materials. However, there is a need for further clinical research on these new materials. Advantages of self-etch systems include, no need to etch and rinse, reduced post-operative sensitivity and low technique sensitivity. Disadvantages include, the inhibition of set of self- or dual-cure resin materials and the need to roughen untreated enamel surfaces prior to bonding.

  2. Etching radical controlled gas chopped deep reactive ion etching

    DOEpatents

    Olynick, Deidre; Rangelow, Ivo; Chao, Weilun

    2013-10-01

    A method for silicon micromachining techniques based on high aspect ratio reactive ion etching with gas chopping has been developed capable of producing essentially scallop-free, smooth, sidewall surfaces. The method uses precisely controlled, alternated (or chopped) gas flow of the etching and deposition gas precursors to produce a controllable sidewall passivation capable of high anisotropy. The dynamic control of sidewall passivation is achieved by carefully controlling fluorine radical presence with moderator gasses, such as CH.sub.4 and controlling the passivation rate and stoichiometry using a CF.sub.2 source. In this manner, sidewall polymer deposition thicknesses are very well controlled, reducing sidewall ripples to very small levels. By combining inductively coupled plasmas with controlled fluorocarbon chemistry, good control of vertical structures with very low sidewall roughness may be produced. Results show silicon features with an aspect ratio of 20:1 for 10 nm features with applicability to nano-applications in the sub-50 nm regime. By comparison, previous traditional gas chopping techniques have produced rippled or scalloped sidewalls in a range of 50 to 100 nm roughness.

  3. Process for etching mixed metal oxides

    DOEpatents

    Ashby, Carol I. H.; Ginley, David S.

    1994-01-01

    An etching process using dicarboxylic and tricarboxylic acids as chelating etchants for mixed metal oxide films such as high temperature superconductors and ferroelectric materials. Undesirable differential etching rates between different metal oxides are avoided by selection of the proper acid or combination of acids. Feature sizes below one micron, excellent quality vertical edges, and film thicknesses in the 100 Angstom range may be achieved by this method.

  4. Ion beam sputter etching and deposition of fluoropolymers

    NASA Technical Reports Server (NTRS)

    Banks, B. A.; Sovey, J. S.; Miller, T. B.; Crandall, K. S.

    1978-01-01

    Fluoropolymer etching and deposition techniques including thermal evaporation, RF sputtering, plasma polymerization, and ion beam sputtering are reviewed. Etching and deposition mechanism and material characteristics are discussed. Ion beam sputter etch rates for polytetrafluoroethylene (PTFE) were determined as a function of ion energy, current density and ion beam power density. Peel strengths were measured for epoxy bonds to various ion beam sputtered fluoropolymers. Coefficients of static and dynamic friction were measured for fluoropolymers deposited from ion bombarded PTFE.

  5. Inductively coupled plasma mass spectrometry (ICP MS): a versatile tool.

    PubMed

    Ammann, Adrian A

    2007-04-01

    Inductively coupled plasma (ICP) mass spectrometry (MS) is routinely used in many diverse research fields such as earth, environmental, life and forensic sciences and in food, material, chemical, semiconductor and nuclear industries. The high ion density and the high temperature in a plasma provide an ideal atomizer and element ionizer for all types of samples and matrices introduced by a variety of specialized devices. Outstanding properties such as high sensitivity (ppt-ppq), relative salt tolerance, compound-independent element response and highest quantitation accuracy lead to the unchallenged performance of ICP MS in efficiently detecting, identifying and reliably quantifying trace elements. The increasing availability of relevant reference compounds and high separation selectivity extend the molecular identification capability of ICP MS hyphenated to species-specific separation techniques. While molecular ion source MS is specialized in determining the structure of unknown molecules, ICP MS is an efficient and highly sensitive tool for target-element orientated discoveries of relevant and unknown compounds. This special-feature, tutorial article presents the principle and advantages of ICP MS, highlighting these using examples from recently published investigations. Copyright 2007 John Wiley & Sons, Ltd.

  6. Dyract compomer: comparison of total etch vs. no etch technique.

    PubMed

    Kugel, G; Perry, R D; Hoang, E; Hoang, T; Ferrari, M

    1998-01-01

    Different dental materials and methods can influence the integrity of the marginal seal of restorations. To evaluate the microleakage of Dyract AP Light Cured Compomer, a polyacid modified resin (Caulk), using etched and unetched techniques, standardized trapezoidal Class V restorations were placed on facial or lingual surfaces of 20 human molars with the gingival margin in the cementum. Each restoration was scored at the cervical by two independent, double blinded operators, with reference to the DEJ, for dye penetration on a ranking system of: 0 = no evidence of dye penetration; 1 = dye penetration up to one-half the distance to the axial wall; 2 = dye penetration beyond one-half the distance to the axial wall but short of the axial wall; 3 = dye penetration to the axial wall or beyond. Statistical analysis (Fisher Exact Test) indicated that the etched compomer demonstrated significantly less microleakage when compared to the unetched compomer (p < 0.05).

  7. Determination of phosphorus in small amounts of protein samples by ICP-MS.

    PubMed

    Becker, J Sabine; Boulyga, Sergei F; Pickhardt, Carola; Becker, J; Buddrus, Stefan; Przybylski, Michael

    2003-02-01

    Inductively coupled plasma mass spectrometry (ICP-MS) is used for phosphorus determination in protein samples. A small amount of solid protein sample (down to 1 micro g) or digest (1-10 micro L) protein solution was denatured in nitric acid and hydrogen peroxide by closed-microvessel microwave digestion. Phosphorus determination was performed with an optimized analytical method using a double-focusing sector field inductively coupled plasma mass spectrometer (ICP-SFMS) and quadrupole-based ICP-MS (ICP-QMS). For quality control of phosphorus determination a certified reference material (CRM), single cell proteins (BCR 273) with a high phosphorus content of 26.8+/-0.4 mg g(-1), was analyzed. For studies on phosphorus determination in proteins while reducing the sample amount as low as possible the homogeneity of CRM BCR 273 was investigated. Relative standard deviation and measurement accuracy in ICP-QMS was within 2%, 3.5%, 11% and 12% when using CRM BCR 273 sample weights of 40 mg, 5 mg, 1 mg and 0.3 mg, respectively. The lowest possible sample weight for an accurate phosphorus analysis in protein samples by ICP-MS is discussed. The analytical method developed was applied for the analysis of homogeneous protein samples in very low amounts [1-100 micro g of solid protein sample, e.g. beta-casein or down to 1 micro L of protein or digest in solution (e.g., tau protein)]. A further reduction of the diluted protein solution volume was achieved by the application of flow injection in ICP-SFMS, which is discussed with reference to real protein digests after protein separation using 2D gel electrophoresis.The detection limits for phosphorus in biological samples were determined by ICP-SFMS down to the ng g(-1) level. The present work discusses the figure of merit for the determination of phosphorus in a small amount of protein sample with ICP-SFMS in comparison to ICP-QMS.

  8. Etching of germanium-tin using ammonia peroxide mixture

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dong, Yuan; Ong, Bin Leong; Wang, Wei

    The wet etching of germanium-tin (Ge{sub 1-x}Sn{sub x}) alloys (4.2% < x < 16.0%) in ammonia peroxide mixture (APM) is investigated. Empirical fitting of the data points indicates that the etch depth of Ge{sub 1-x}Sn{sub x} is proportional to the square root of the etch time t and decreases exponentially with increasing x for a given t. In addition, X-ray photoelectron spectroscopy results show that increasing t increases the intensity of the Sn oxide peak, whereas no obvious change is observed for the Ge oxide peak. This indicates that an accumulation of Sn oxide on the Ge{sub 1-x}Sn{sub x} surface decreases the amount ofmore » Ge atoms exposed to the etchant, which accounts for the decrease in etch rate with increasing etch time. Atomic force microscopy was used to examine the surface morphologies of the Ge{sub 0.918}Sn{sub 0.082} samples. Both root-mean-square roughness and undulation periods of the Ge{sub 1-x}Sn{sub x} surface were observed to increase with increasing t. This work provides further understanding of the wet etching of Ge{sub 1-x}Sn{sub x} using APM and may be used for the fabrication of Ge{sub 1-x}Sn{sub x}-based electronic and photonic devices.« less

  9. Defect sensitive etching of hexagonal boron nitride single crystals

    NASA Astrophysics Data System (ADS)

    Edgar, J. H.; Liu, S.; Hoffman, T.; Zhang, Yichao; Twigg, M. E.; Bassim, Nabil D.; Liang, Shenglong; Khan, Neelam

    2017-12-01

    Defect sensitive etching (DSE) was developed to estimate the density of non-basal plane dislocations in hexagonal boron nitride (hBN) single crystals. The crystals employed in this study were precipitated by slowly cooling (2-4 °C/h) a nickel-chromium flux saturated with hBN from 1500 °C under 1 bar of flowing nitrogen. On the (0001) planes, hexagonal-shaped etch pits were formed by etching the crystals in a eutectic mixture of NaOH and KOH between 450 °C and 525 °C for 1-2 min. There were three types of pits: pointed bottom, flat bottom, and mixed shape pits. Cross-sectional transmission electron microscopy revealed that the pointed bottom etch pits examined were associated with threading dislocations. All of these dislocations had an a-type burgers vector (i.e., they were edge dislocations, since the line direction is perpendicular to the [ 2 11 ¯ 0 ]-type direction). The pit widths were much wider than the pit depths as measured by atomic force microscopy, indicating the lateral etch rate was much faster than the vertical etch rate. From an Arrhenius plot of the log of the etch rate versus the inverse temperature, the activation energy was approximately 60 kJ/mol. This work demonstrates that DSE is an effective method for locating threading dislocations in hBN and estimating their densities.

  10. Process for etching mixed metal oxides

    DOEpatents

    Ashby, C.I.H.; Ginley, D.S.

    1994-10-18

    An etching process is described using dicarboxylic and tricarboxylic acids as chelating etchants for mixed metal oxide films such as high temperature superconductors and ferroelectric materials. Undesirable differential etching rates between different metal oxides are avoided by selection of the proper acid or combination of acids. Feature sizes below one micron, excellent quality vertical edges, and film thicknesses in the 100 Angstrom range may be achieved by this method. 1 fig.

  11. Selected problems with boron determination in water treatment processes. Part I: comparison of the reference methods for ICP-MS and ICP-OES determinations.

    PubMed

    Kmiecik, Ewa; Tomaszewska, Barbara; Wątor, Katarzyna; Bodzek, Michał

    2016-06-01

    The aim of the study was to compare the two reference methods for the determination of boron in water samples and further assess the impact of the method of preparation of samples for analysis on the results obtained. Samples were collected during different desalination processes, ultrafiltration and the double reverse osmosis system, connected in series. From each point, samples were prepared in four different ways: the first was filtered (through a membrane filter of 0.45 μm) and acidified (using 1 mL ultrapure nitric acid for each 100 mL of samples) (FA), the second was unfiltered and not acidified (UFNA), the third was filtered but not acidified (FNA), and finally, the fourth was unfiltered but acidified (UFA). All samples were analysed using two analytical methods: inductively coupled plasma mass spectrometry (ICP-MS) and inductively coupled plasma optical emission spectrometry (ICP-OES). The results obtained were compared and correlated, and the differences between them were studied. The results show that there are statistically significant differences between the concentrations obtained using the ICP-MS and ICP-OES techniques regardless of the methods of sampling preparation (sample filtration and preservation). Finally, both the ICP-MS and ICP-OES methods can be used for determination of the boron concentration in water. The differences in the boron concentrations obtained using these two methods can be caused by several high-level concentrations in selected whole-water digestates and some matrix effects. Higher concentrations of iron (from 1 to 20 mg/L) than chromium (0.02-1 mg/L) in the samples analysed can influence boron determination. When iron concentrations are high, we can observe the emission spectrum as a double joined and overlapping peak.

  12. Thermal Atomic Layer Etching of SiO2 by a "Conversion-Etch" Mechanism Using Sequential Reactions of Trimethylaluminum and Hydrogen Fluoride.

    PubMed

    DuMont, Jaime W; Marquardt, Amy E; Cano, Austin M; George, Steven M

    2017-03-22

    The thermal atomic layer etching (ALE) of SiO 2 was performed using sequential reactions of trimethylaluminum (TMA) and hydrogen fluoride (HF) at 300 °C. Ex situ X-ray reflectivity (XRR) measurements revealed that the etch rate during SiO 2 ALE was dependent on reactant pressure. SiO 2 etch rates of 0.027, 0.15, 0.20, and 0.31 Å/cycle were observed at static reactant pressures of 0.1, 0.5, 1.0, and 4.0 Torr, respectively. Ex situ spectroscopic ellipsometry (SE) measurements were in agreement with these etch rates versus reactant pressure. In situ Fourier transform infrared (FTIR) spectroscopy investigations also observed SiO 2 etching that was dependent on the static reactant pressures. The FTIR studies showed that the TMA and HF reactions displayed self-limiting behavior at the various reactant pressures. In addition, the FTIR spectra revealed that an Al 2 O 3 /aluminosilicate intermediate was present after the TMA exposures. The Al 2 O 3 /aluminosilicate intermediate is consistent with a "conversion-etch" mechanism where SiO 2 is converted by TMA to Al 2 O 3 , aluminosilicates, and reduced silicon species following a family of reactions represented by 3SiO 2 + 4Al(CH 3 ) 3 → 2Al 2 O 3 + 3Si(CH 3 ) 4 . Ex situ X-ray photoelectron spectroscopy (XPS) studies confirmed the reduction of silicon species after TMA exposures. Following the conversion reactions, HF can fluorinate the Al 2 O 3 and aluminosilicates to species such as AlF 3 and SiO x F y . Subsequently, TMA can remove the AlF 3 and SiO x F y species by ligand-exchange transmetalation reactions and then convert additional SiO 2 to Al 2 O 3 . The pressure-dependent conversion reaction of SiO 2 to Al 2 O 3 and aluminosilicates by TMA is critical for thermal SiO 2 ALE. The "conversion-etch" mechanism may also provide pathways for additional materials to be etched using thermal ALE.

  13. Process margin enhancement for 0.25-μm metal etch process

    NASA Astrophysics Data System (ADS)

    Lee, Chung Y.; Ma, Wei Wen; Lim, Eng H.; Cheng, Alex T.; Joy, Raymond; Ross, Matthew F.; Wong, Selmer S.; Marlowe, Trey

    2000-06-01

    This study evaluates electron beam stabilization of UV6, a positive tone Deep-UV (DUV) resist from Shipley, for a 0.25 micrometer metal etch application. Results are compared between untreated resist and resist treated with different levels of electron beam stabilization. The electron beam processing was carried out in an ElectronCureTM flood electron beam exposure system from Honeywell International Inc., Electron Vision. The ElectronCureTM system utilizes a flood electron beam source which is larger in diameter than the substrate being processed, and is capable of variable energy so that the electron range is matched to the resist film thickness. Changes in the UV6 resist material as a result of the electron beam stabilization are monitored via spectroscopic ellipsometry for film thickness and index of refraction changes and FTIR for analysis of chemical changes. Thermal flow stability is evaluated by applying hot plate bakes of 150 degrees Celsius and 200 degrees Celsius, to patterned resist wafers with no treatment and with an electron beam dose level of 2000 (mu) C/cm2. A significant improvement in the thermal flow stability of the patterned UV6 resist features is achieved with the electron beam stabilization process. Etch process performance of the UV6 resist was evaluated by performing a metal pattern transfer process on wafers with untreated resist and comparing these with etch results on wafers with different levels of electron beam stabilization. The etch processing was carried out in an Applied Materials reactor with an etch chemistry including BCl3 and Cl2. All wafers were etched under the same conditions and the resist was treated after etch to prevent further erosion after etch but before SEM analysis. Post metal etch SEM cross-sections show the enhancement in etch resistance provided by the electron beam stabilization process. Enhanced process margin is achieved as a result of the improved etch resistance, and is observed in reduced resist side

  14. Sodium Bicarbonate for Control of ICP: A Systematic Review.

    PubMed

    Zeiler, Frederick A; Sader, Nicholas; West, Michael; Gillman, Lawrence M

    2018-01-01

    Our goal was to perform a systematic review of the literature on the use of intravenous sodium bicarbonate for intracranial pressure (ICP) reduction in patients with neurologic illness. Data sources: articles from MEDLINE, BIOSIS, EMBASE, Global Health, Scopus, Cochrane Library, the International Clinical Trials Registry Platform (inception to April 2015), reference lists of relevant articles, and gray literature were searched. 2 reviewers independently extracted data including population characteristics and treatment characteristics. The strength of evidence was adjudicated using both the Oxford and Grading of Recommendation Assessment Development and Education methodology. Our search strategy produced a total 559 citations. Three original articles were included in the review. There were 2 prospective studies, 1 randomized control trial and 1 single arm, and 1 retrospective case report.Across all studies there were a total of 19 patients studied, with 31 episodes of elevated ICP being treated. Twenty-one of those episodes were treated with sodium bicarbonate infusion, with the remaining 10 treated with hypertonic saline in a control model. All elevated ICP episodes treated with sodium bicarbonate solution demonstrated a significant drop in ICP, without an elevation of serum partial pressure of carbon dioxide. No significant complications were described. There currently exists Oxford level 4, Grading of Recommendation Assessment Development and Education D evidence to support an ICP reduction effect with intravenous sodium bicarbonate in TBI. No comments on its impact in other neuropathologic states, or on patient outcomes, can be made at this time.

  15. Microfluidic etching and oxime-based tailoring of biodegradable polyketoesters.

    PubMed

    Barrett, Devin G; Lamb, Brian M; Yousaf, Muhammad N

    2008-09-02

    A straightforward, flexible, and inexpensive method to etch biodegradable poly(1,2,6-hexanetriol alpha-ketoglutarate) films is reported. Microfluidic delivery of the etchant, a solution of NaOH, can create micron-scale channels through local hydrolysis of the polyester film. In addition, the presence of a ketone in the repeat unit allows for prior or post chemoselective modifications, enabling the design of functionalized microchannels. Delivery of oxyamine tethered ligands react with ketone groups on the polyketoester to generate covalent oxime linkages. By thermally sealing an etched film to a second flat surface, poly(1,2,6-hexanetriol alpha-ketoglutarate) can be used to create biodegradable microfluidic devices. In order to determine the versatility of the microfluidic etch technique, poly(epsilon-caprolactone) was etched with acetone. This strategy provides a facile method for the direct patterning of biodegradable materials, both through etching and chemoselective ligand immobilization.

  16. Silicon nanowire photodetectors made by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Xu, Ying; Ni, Chuan; Sarangan, Andrew

    2016-09-01

    Silicon nanowires have unique optical effects, and have potential applications in photodetectors. They can exhibit simple optical effects such as anti-reflection, but can also produce quantum confined effects. In this work, we have fabricated silicon photodetectors, and then post-processed them by etching nanowires on the incident surface. These nanowires were produced by a wet-chemical etching process known as the metal-assisted-chemical etching, abbreviated as MACE. N-type silicon substrates were doped by thermal diffusion from a solid ceramic source, followed by etching, patterning and contact metallization. The detectors were first tested for functionality and optical performance. The nanowires were then made by depositing an ultra-thin film of gold below its percolation thickness to produce an interconnected porous film. This was then used as a template to etch high aspect ratio nanowires into the face of the detectors with a HF:H2O2 mixture.

  17. Scalloping minimization in deep Si etching on Unaxis DSE tools

    NASA Astrophysics Data System (ADS)

    Lai, Shouliang; Johnson, Dave J.; Westerman, Russ J.; Nolan, John J.; Purser, David; Devre, Mike

    2003-01-01

    Sidewall smoothness is often a critical requirement for many MEMS devices, such as microfludic devices, chemical, biological and optical transducers, while fast silicon etch rate is another. For such applications, the time division multiplex (TDM) etch processes, so-called "Bosch" processes are widely employed. However, in the conventional TDM processes, rough sidewalls result due to scallop formation. To date, the amplitude of the scalloping has been directly linked to the silicon etch rate. At Unaxis USA Inc., we have developed a proprietary fast gas switching technique that is effective for scalloping minimization in deep silicon etching processes. In this technique, process cycle times can be reduced from several seconds to as little as a fraction of second. Scallop amplitudes can be reduced with shorter process cycles. More importantly, as the scallop amplitude is progressively reduced, the silicon etch rate can be maintained relatively constant at high values. An optimized experiment has shown that at etch rate in excess of 7 μm/min, scallops with length of 116 nm and depth of 35 nm were obtained. The fast gas switching approach offers an ideal manufacturing solution for MEMS applications where extremely smooth sidewall and fast etch rate are crucial.

  18. Deep Etching Process Developed for the Fabrication of Silicon Carbide Microsystems

    NASA Technical Reports Server (NTRS)

    Beheim, Glenn M.

    2000-01-01

    Silicon carbide (SiC), because of its superior electrical and mechanical properties at elevated temperatures, is a nearly ideal material for the microminiature sensors and actuators that are used in harsh environments where temperatures may reach 600 C or greater. Deep etching using plasma methods is one of the key processes used to fabricate silicon microsystems for more benign environments, but SiC has proven to be a more difficult material to etch, and etch depths in SiC have been limited to several micrometers. Recently, the Sensors and Electronics Technology Branch at the NASA Glenn Research Center at Lewis Field developed a plasma etching process that was shown to be capable of etching SiC to a depth of 60 mm. Deep etching of SiC is achieved by inductive coupling of radiofrequency electrical energy to a sulfur hexafluoride (SF6) plasma to direct a high flux of energetic ions and reactive fluorine atoms to the SiC surface. The plasma etch is performed at a low pressure, 5 mtorr, which together with a high gas throughput, provides for rapid removal of the gaseous etch products. The lateral topology of the SiC microstructure is defined by a thin film of etch-resistant material, such as indium-tin-oxide, which is patterned using conventional photolithographic processes. Ions from the plasma bombard the exposed SiC surfaces and supply the energy needed to initiate a reaction between SiC and atomic fluorine. In the absence of ion bombardment, no reaction occurs, so surfaces perpendicular to the wafer surface (the etch sidewalls) are etched slowly, yielding the desired vertical sidewalls.

  19. Metal ion transport quantified by ICP-MS in intact cells

    PubMed Central

    Figueroa, Julio A. Landero; Stiner, Cory A.; Radzyukevich, Tatiana L.; Heiny, Judith A.

    2016-01-01

    The use of ICP-MS to measure metal ion content in biological tissues offers a highly sensitive means to study metal-dependent physiological processes. Here we describe the application of ICP-MS to measure membrane transport of Rb and K ions by the Na,K-ATPase in mouse skeletal muscles and human red blood cells. The ICP-MS method provides greater precision and statistical power than possible with conventional tracer flux methods. The method is widely applicable to studies of other metal ion transporters and metal-dependent processes in a range of cell types and conditions. PMID:26838181

  20. Metal ion transport quantified by ICP-MS in intact cells.

    PubMed

    Figueroa, Julio A Landero; Stiner, Cory A; Radzyukevich, Tatiana L; Heiny, Judith A

    2016-02-03

    The use of ICP-MS to measure metal ion content in biological tissues offers a highly sensitive means to study metal-dependent physiological processes. Here we describe the application of ICP-MS to measure membrane transport of Rb and K ions by the Na,K-ATPase in mouse skeletal muscles and human red blood cells. The ICP-MS method provides greater precision and statistical power than possible with conventional tracer flux methods. The method is widely applicable to studies of other metal ion transporters and metal-dependent processes in a range of cell types and conditions.

  1. Inductively Coupled Plasma Mass Spectrometry (ICP-MS) Applications in Quantitative Proteomics.

    PubMed

    Chahrour, Osama; Malone, John

    2017-01-01

    Recent advances in inductively coupled plasma mass spectrometry (ICP-MS) hyphenated to different separation techniques have promoted it as a valuable tool in protein/peptide quantification. These emerging ICP-MS applications allow absolute quantification by measuring specific elemental responses. One approach quantifies elements already present in the structure of the target peptide (e.g. phosphorus and sulphur) as natural tags. Quantification of these natural tags allows the elucidation of the degree of protein phosphorylation in addition to absolute protein quantification. A separate approach is based on utilising bi-functional labelling substances (those containing ICP-MS detectable elements), that form a covalent chemical bond with the protein thus creating analogs which are detectable by ICP-MS. Based on the previously established stoichiometries of the labelling reagents, quantification can be achieved. This technique is very useful for the design of precise multiplexed quantitation schemes to address the challenges of biomarker screening and discovery. This review discusses the capabilities and different strategies to implement ICP-MS in the field of quantitative proteomics. Copyright© Bentham Science Publishers; For any queries, please email at epub@benthamscience.org.

  2. Thermal etching of silver: Influence of rolling defects

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ollivier, M., E-mail: o.maelig@imperial.ac.uk

    2016-08-15

    Silver is well known to be thermally etched in an oxygen-rich atmosphere and has been extensively studied in the laboratory to understand thermal etching and to limit its effect when this material is used as a catalyst. Yet, in many industrial applications the surface of rolled silver sheets is used without particular surface preparation. Here, it is shown by combining FIB-tomography, FIB-SIMS and analytical SEM that the kinetics of thermal etch pitting are significantly faster on rolled Ag surfaces than on polished surfaces. This occurs due to range of interacting phenomena including (i) the reaction of subsurface carbon-contamination with dissolvedmore » oxygen to form pores that grow to intersect the surface, (ii) surface reconstruction around corrosion pits and surface scratches, and (iii) sublimation at low pressure and high temperature. A method to identify subsurface pores is developed to show that the pores have (111) and (100) internal facets and may be filled with a gas coming from the chemical reaction of oxygen and carbon contamination. - Highlights: Thermal etching of industrial silver sheets vs. polished silver sheets Effect of annealing atmosphere on the thermal etching of silver: surface and subsurface characterization Link between etch pitting and defects induced by rolling. FIB-tomography coupled with EBSD for determining crystal planes of the facets of subsurface pores. FIB-SIMS characterization to probe the gas confined inside subsurface pores.« less

  3. Etch Profile Simulation Using Level Set Methods

    NASA Technical Reports Server (NTRS)

    Hwang, Helen H.; Meyyappan, Meyya; Arnold, James O. (Technical Monitor)

    1997-01-01

    Etching and deposition of materials are critical steps in semiconductor processing for device manufacturing. Both etching and deposition may have isotropic and anisotropic components, due to directional sputtering and redeposition of materials, for example. Previous attempts at modeling profile evolution have used so-called "string theory" to simulate the moving solid-gas interface between the semiconductor and the plasma. One complication of this method is that extensive de-looping schemes are required at the profile corners. We will present a 2D profile evolution simulation using level set theory to model the surface. (1) By embedding the location of the interface in a field variable, the need for de-looping schemes is eliminated and profile corners are more accurately modeled. This level set profile evolution model will calculate both isotropic and anisotropic etch and deposition rates of a substrate in low pressure (10s mTorr) plasmas, considering the incident ion energy angular distribution functions and neutral fluxes. We will present etching profiles of Si substrates in Ar/Cl2 discharges for various incident ion energies and trench geometries.

  4. Pattern transfer with stabilized nanoparticle etch masks

    NASA Astrophysics Data System (ADS)

    Hogg, Charles R.; Picard, Yoosuf N.; Narasimhan, Amrit; Bain, James A.; Majetich, Sara A.

    2013-03-01

    Self-assembled nanoparticle monolayer arrays are used as an etch mask for pattern transfer into Si and SiOx substrates. Crack formation within the array is prevented by electron beam curing to fix the nanoparticles to the substrate, followed by a brief oxygen plasma to remove excess carbon. This leaves a dot array of nanoparticle cores with a minimum gap of 2 nm. Deposition and liftoff can transform the dot array mask into an antidot mask, where the gap is determined by the nanoparticle core diameter. Reactive ion etching is used to transfer the dot and antidot patterns into the substrate. The effect of the gap size on the etching rate is modeled and compared with the experimental results.

  5. Determination of 238u/235u, 236u/238u and uranium concentration in urine using sf-icp-ms and mc-icp-ms: an interlaboratory comparison.

    PubMed

    Parrish, Randall R; Thirlwall, Matthew F; Pickford, Chris; Horstwood, Matthew; Gerdes, Axel; Anderson, James; Coggon, David

    2006-02-01

    Accidental exposure to depleted or enriched uranium may occur in a variety of circumstances. There is a need to quantify such exposure, with the possibility that the testing may post-date exposure by months or years. Therefore, it is important to develop a very sensitive test to measure precisely the isotopic composition of uranium in urine at low levels of concentration. The results of an interlaboratory comparison using sector field (SF)-inductively coupled plasma-mass spectrometry (ICP-MS) and multiple collector (MC)-ICP-MS for the measurement of uranium concentration and U/U and U/U isotopic ratios of human urine samples are presented. Three urine samples were verified to contain uranium at 1-5 ng L and shown to have natural uranium isotopic composition. Portions of these urine batches were doped with depleted uranium (DU) containing small quantities of U, and the solutions were split into 100 mL and 400 mL aliquots that were subsequently measured blind by three laboratories. All methods investigated were able to measure accurately U/U with precisions of approximately 0.5% to approximately 4%, but only selected MC-ICP-MS methods were capable of consistently analyzing U/U to reasonable precision at the approximately 20 fg L level of U abundance. Isotope dilution using a U tracer demonstrates the ability to measure concentrations to better than +/-4% with the MC-ICP-MS method, though sample heterogeneity in urine samples was shown to be problematic in some cases. MC-ICP-MS outperformed SF-ICP-MS methods, as was expected. The MC-ICP-MS methodology described is capable of measuring to approximately 1% precision the U/U of any sample of human urine over the entire range of uranium abundance down to <1 ng L, and detecting very small amounts of DU contained therein.

  6. Ion track etching revisited: II. Electronic properties of aged tracks in polymers

    NASA Astrophysics Data System (ADS)

    Fink, D.; Muñoz Hernández, G.; Cruz, S. A.; Garcia-Arellano, H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-02-01

    We compile here electronic ion track etching effects, such as capacitive-type currents, current spike emission, phase shift, rectification and background currents that eventually emerge upon application of sinusoidal alternating voltages across thin, aged swift heavy ion-irradiated polymer foils during etching. Both capacitive-type currents and current spike emission occur as long as obstacles still prevent a smooth continuous charge carrier passage across the foils. In the case of sufficiently high applied electric fields, these obstacles are overcome by spike emission. These effects vanish upon etchant breakthrough. Subsequent transmitted currents are usually of Ohmic type, but shortly after breakthrough (during the track' core etching) often still exhibit deviations such as strong positive phase shifts. They stem from very slow charge carrier mobility across the etched ion tracks due to retarding trapping/detrapping processes. Upon etching the track's penumbra, one occasionally observes a split-up into two transmitted current components, one with positive and another one with negative phase shifts. Usually, these phase shifts vanish when bulk etching starts. Current rectification upon track etching is a very frequent phenomenon. Rectification uses to inverse when core etching ends and penumbra etching begins. When the latter ends, rectification largely vanishes. Occasionally, some residual rectification remains which we attribute to the aged polymeric bulk itself. Last not least, we still consider background currents which often emerge transiently during track etching. We could assign them clearly to differences in the electrochemical potential of the liquids on both sides of the etched polymer foils. Transient relaxation effects during the track etching cause their eventually chaotic behaviour.

  7. Metallographic examination of TD-nickel base alloys. [thermal and chemical etching technique evaluation

    NASA Technical Reports Server (NTRS)

    Kane, R. D.; Petrovic, J. J.; Ebert, L. J.

    1975-01-01

    Techniques are evaluated for chemical, electrochemical, and thermal etching of thoria dispersed (TD) nickel alloys. An electrochemical etch is described which yielded good results only for large grain sizes of TD-nickel. Two types of thermal etches are assessed for TD-nickel: an oxidation etch and vacuum annealing of a polished specimen to produce an etch. It is shown that the first etch was somewhat dependent on sample orientation with respect to the processing direction, the second technique was not sensitive to specimen orientation or grain size, and neither method appear to alter the innate grain structure when the materials were fully annealed prior to etching. An electrochemical etch is described which was used to observe the microstructures in TD-NiCr, and a thermal-oxidation etch is shown to produce better detail of grain boundaries and to have excellent etching behavior over the entire range of grain sizes of the sample.

  8. Cyclic etching of tin-doped indium oxide using hydrogen-induced modified layer

    NASA Astrophysics Data System (ADS)

    Hirata, Akiko; Fukasawa, Masanaga; Nagahata, Kazunori; Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi; Tatsumi, Tetsuya

    2018-06-01

    The rate of etching of tin-doped indium oxide (ITO) and the effects of a hydrogen-induced modified layer on cyclic, multistep thin-layer etching were investigated. It was found that ITO cyclic etching is possible by precisely controlling the hydrogen-induced modified layer. Highly selective etching of ITO/SiO2 was also investigated, and it was suggested that cyclic etching by selective surface adsorption of Si can precisely control the etch rates of ITO and SiO2, resulting in an almost infinite selectivity for ITO over SiO2 and in improved profile controllability.

  9. Adsorptive conversion of nitrogen dioxide from etching vent gases over activated carbon.

    PubMed

    Fang, Mei-Ling; Wu, Ching-Yi; Chou, Ming-Shean

    2018-04-13

    Some metal etching operations emit limited flow rates of waste gases with reddish-brown NO 2 fume, which may cause visual and acidic-odor complaints, as well as negative health effects. In this study, tests were performed by passing caustic-treated waste gases vented from Al-etching operations through columns packed either with virgin or regenerated granular activated carbon (GAC) to test their adsorptive conversion performance of NO 2 in the gases. The gases contained 5-55 ppm NO 2 and acetic and nitric acids of below 3 ppm. Exhausted carbon was regenerated by scrubbing it with caustic solution and water, and dried for further adsorption tests. Results indicate that with an (empty bed residence time (EBRT) of 0.15 sec for the gas through the GAC-packed space, around 60% of the influent NO 2 of 54 ppm could be removed, and 47% of the removed NO 2 was converted by and desorbed from the carbon as NO. GAC used in the present study could be regenerated at least twice to restore its capacity for NO 2 adsorption. Within EBRTs of 0.076-0.18 sec, the adsorptive conversion capacity was linearly varied with EBRT. In practice, with an EBRT of 0.20 sec, a conversion capacity of 0.80 kg NO 2 (kg GAC) -1 with an influent NO 2 of 40 ppm can be used as a basis for system design. Some metal etching operations emit waste gases with reddish-brown (yellow when diluted) NO 2 fume which may cause visual and acidic-odor complaints, as well as negative health effects. This study provides a simple process for the adsorptive conversion of NO 2 in caustic-treated waste gases vented from metal-etching operations through a GAC column. With an EBRT of 0.20 sec, a conversion capacity of 0.80 kg NO 2 (kg GAC) -1 with an influent NO 2 of 40 ppm can be used as a basis for system design. Saturated GAC can be regenerated at least twice by simply scrubbing it with aqueous caustic solution.

  10. Antireflective hydrophobic si subwavelength structures using thermally dewetted Ni/SiO2 nanomask patterns.

    PubMed

    Joo, Dong Hyuk; Leem, Jung Woo; Yu, Jae Su

    2011-11-01

    We report the disordered silicon (Si) subwavelength structures (SWSs), which are fabricated with the use of inductively coupled plasma (ICP) etching in SiCl4 gas using nickel/silicon dioxide (Ni/SiO2) nanopattens as the etch mask, on Si substrates by varying the etching parameters for broadband antireflective and self-cleaning surfaces. For the fabricated Si SWSs, the antireflection characteristics are experimentally investigated and a theoretical analysis is made based on the rigorous coupled-wave analysis method. The desirable dot-like Ni nanoparticles on SiO2/Si substrates are formed by the thermal dewetting process of Ni films at 900 degrees C. The truncated cone shaped Si SWS with a high average height of 790 +/- 23 nm, which is fabricated by ICP etching with 5 sccm SiCl4 at 50 W RF power with additional 200 W ICP power under 10 mTorr process pressure, exhibits a low average reflectance of approximately 5% over a wide wavelength range of 450-1050 nm. The water contact angle of 110 degrees is obtained, indicating a hydrophobic surface. The calculated reflectance results are also reasonably consistent with the experimental data.

  11. Ion beam sputtering of fluoropolymers. [etching polymer films and target surfaces

    NASA Technical Reports Server (NTRS)

    Sovey, J. S.

    1978-01-01

    Ion beam sputter processing rates as well as pertinent characteristics of etched targets and films are described. An argon ion beam source was used to sputter etch and deposit the fluoropolymers PTFE, FEP, and CTFE. Ion beam energy, current density, and target temperature were varied to examine effects on etch and deposition rates. The ion etched fluoropolymers yield cone or spire-like surface structures which vary depending upon the type of polymer, ion beam power density, etch time, and target temperature. Sputter target and film characteristics documented by spectral transmittance measurements, X-ray diffraction, ESCA, and SEM photomicrographs are included.

  12. Improving contact layer patterning using SEM contour based etch model

    NASA Astrophysics Data System (ADS)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka; Hertzsch, Tino; Moll, Hans-Peter

    2016-10-01

    The patterning of the contact layer is modulated by strong etch effects that are highly dependent on the geometry of the contacts. Such litho-etch biases need to be corrected to ensure a good pattern fidelity. But aggressive designs contain complex shapes that can hardly be compensated with etch bias table and are difficult to characterize with standard CD metrology. In this work we propose to implement a model based etch compensation method able to deal with any contact configuration. With the help of SEM contours, it was possible to get reliable 2D measurements particularly helpful to calibrate the etch model. The selections of calibration structures was optimized in combination with model form to achieve an overall errRMS of 3nm allowing the implementation of the model in production.

  13. Application of ICP-MS and HPLC-ICP-MS for diagnosis and therapy of a severe intoxication with hexavalent chromium and inorganic arsenic.

    PubMed

    Heitland, Peter; Blohm, Martin; Breuer, Christian; Brinkert, Florian; Achilles, Eike Gert; Pukite, Ieva; Köster, Helmut Dietrich

    2017-05-01

    ICP-MS and HPLC-ICP-MS were applied for diagnosis and therapeutic monitoring in a severe intoxication with a liquid containing hexavalent chromium (Cr(VI)) and inorganic arsenic (iAs). In this rare case a liver transplantation of was considered as the only chance of survival. We developed and applied methods for the determination of Cr(VI) in erythrocytes and total chromium (Cr) and arsenic (As) in blood, plasma, urine and liver tissue by ICP-MS. Exposure to iAs was diagnosed by determination of iAs species and their metabolites in urine by anion exchange HPLC-ICP-MS. Three days after ingestion of the liquid the total Cr concentrations were 2180 and 1070μg/L in whole blood and plasma, respectively, and 4540μg/L Cr(VI) in erythrocytes. The arsenic concentration in blood was 206μg/L. The urinary As species concentrations were <0.5, 109, 115, 154 and 126μg/L for arsenobetaine, As(III), As(V), methylarsonate (V) and dimethylarsinate (V), respectively. Total Cr and As concentrations in the explanted liver were 11.7 and 0.9mg/kg, respectively. Further analytical results of this case study are tabulated and provide valuable data for physicians and toxicologists. Copyright © 2017. Published by Elsevier GmbH.

  14. [Determination of heavy metals for RoHS compliance by ICP-OES spectrometry coupled with microwave extraction system].

    PubMed

    Hua, Li; Wu, Yi-Ping; An, Bing; Lai, Xiao-Wei

    2008-11-01

    The harm of heavy metals contained in electronic and electrical equipment (EEE) on environment is of high concern by human. Aiming to handle the great challenge of RoHS compliance, the determinations of trace or ultratrace chromium (Cr), cadmium (Cd), mercury (Hg) and lead (Pb) by inductively coupled plasma optical emission spectrometry (ICP-OES) was performed in the present paper, wherein, microwave extraction technology was used to prepare the sample solutions. In addition, the precision, recovery, repeatability and interference issues of this method were also discussed. The results exhibited that using the microwave extraction system to prepare samples is more quick, lossless, contamination-free in comparison with the conventional extraction methods such as dry ashing, wet-oven extraction etc. By analyzing the recoveries of these four heavy metals over different working time and wavelengths, the good recovery range between 85% and 115% showed that there was only tiny loss or contamination during the process of microwave extraction, sample introduction and ICP detection. Repeatability experiments proved that ICP plasma had a good stability during the working time and the matrix effect was small. Interference was a problem troublesome for atomic absorption spectrometry (AAS), however, the techniques of standard additions or inter-element correction (IEC) method can effectively eliminated the interferences of Ni, As, Fe etc. with the Cd determination. By employing the multi-wavelengths and two correction point methods, the issues of background curve sloping shift and spectra overlap were successfully overcome. Besides, for the determinations of trace heavy metal elements, the relative standard deviation (RSD) was less than 3% and the detection limits were less than 1 microg x L(-10 (3sigma, n = 5) for samples, standard solutions, and standard additions, which proved that ICP-OES has a good precision and high reliability. This provided a reliable technique support

  15. Interim Cryogenic Propulsion Stage (ICPS) for EM-1 Transport fro

    NASA Image and Video Library

    2017-04-11

    The Interim Cryogenic Propulsion Stage (ICPS) for NASA's Space Launch System rocket is moved inside the Delta Operations Center at Cape Canaveral Air Force Station in Florida. The ICPS was moved from the United Launch Alliance (ULA) Horizontal Integration Facility near Space Launch Complex 37 at the Cape. The ICPS is the first integrated piece of flight hardware to arrive for the SLS. It is the in-space stage that is located toward the top of the rocket, between the Launch Vehicle Stage Adapter and the Orion Spacecraft Adapter. It will provide some of the in-space propulsion during Orion's first flight test atop the SLS on Exploration Mission-1.

  16. Interim Cryogenic Propulsion Stage (ICPS) for EM-1 Transport fro

    NASA Image and Video Library

    2017-04-11

    The Interim Cryogenic Propulsion Stage (ICPS) for NASA's Space Launch System rocket arrives at the Delta Operations Center at Cape Canaveral Air Force Station in Florida. The ICPS was moved from the United Launch Alliance (ULA) Horizontal Integration Facility near Space Launch Complex 37 at the Cape. The ICPS is the first integrated piece of flight hardware to arrive for the SLS. It is the in-space stage that is located toward the top of the rocket, between the Launch Vehicle Stage Adapter and the Orion Spacecraft Adapter. It will provide some of the in-space propulsion during Orion's first flight test atop the SLS on Exploration Mission-1.

  17. Molecular mechanism and species specificity of TAP inhibition by herpes simplex virus ICP47.

    PubMed Central

    Ahn, K; Meyer, T H; Uebel, S; Sempé, P; Djaballah, H; Yang, Y; Peterson, P A; Früh, K; Tampé, R

    1996-01-01

    The immediate early protein ICP47 of herpes simplex virus (HSV) inhibits the transporter for antigen processing (TAP)-mediated translocation of antigen-derived peptides across the endoplasmic reticulum (ER) membrane. This interference prevents assembly of peptides with class I MHC molecules in the ER and ultimately recognition of HSV-infected cells by cytotoxic T-lymphocytes, potentially leading to immune evasion of the virus. Here, we demonstrate that recombinant, purified ICP47 containing a hexahistidine tag inhibits peptide import into microsomes of insect cells expressing human TAP, whereas inhibition of peptide transport by murine TAP was much less effective. This finding indicates an intrinsic species-specificity of ICP47 and suggests that no additional proteins interacting specifically with either ICP47 or TAP are required for inhibition of peptide transport. Since neither purified nor induced ICP47 inhibited photocrosslinking of 8-azido-ATP to TAP1 and TAP2 it seems that ICP47 does not prevent ATP from binding to TAP. By contrast, peptide binding was completely blocked by ICP47 as shown both by photoaffinity crosslinking of peptides to TAP and peptide binding to microsomes from TAP-transfected insect cells. Competition experiments indicated that ICP47 binds to human TAP with a higher affinity (50 nM) than peptides whereas the affinity to murine TAP was 100-fold lower. Our data suggest that ICP47 prevents peptides from being translocated by blocking their binding to the substrate-binding site of TAP. Images PMID:8670825

  18. Relationship between mechanical properties of one-step self-etch adhesives and water sorption.

    PubMed

    Hosaka, Keiichi; Nakajima, Masatoshi; Takahashi, Masahiro; Itoh, Shima; Ikeda, Masaomi; Tagami, Junji; Pashley, David H

    2010-04-01

    The purpose of this study was to evaluate the relationship between changes in the modulus of elasticity and ultimate tensile strength of one-step self-etch adhesives, and their degree of water sorption. Five one-step self-etch adhesives, Xeno IV (Dentsply Caulk), G Bond (GC Corp.), Clearfil S3 Bond (Kuraray Medical Inc.), Bond Force (Tokuyama Dental Corp.), and One-Up Bond F Plus (Tokuyama Dental Corp.) were used. Ten dumbelled-shaped polymers of each adhesive were used to obtain the modulus of elasticity by the three-point flexural bending test and the ultimate tensile strength by microtensile testing. The modulus of elasticity and the ultimate tensile strength were measured in both dry and wet conditions before/after immersion in water for 24h. Water sorption was measured, using a modification of the ISO-4049 standard. Each result of the modulus of elasticity and ultimate tensile strength was statistically analyzed using a two-way ANOVA and the result of water sorption was statistically analyzed using a one-way ANOVA. Regression analyses were used to determine the correlations between the modulus of elasticity and the ultimate tensile strength in dry or wet states, and also the percent decrease in these properties before/after immersion of water vs. water sorption. In the dry state, the moduli of elasticity of the five adhesive polymers varied from 948 to 1530 MPa, while the ultimate tensile strengths varied from 24.4 to 61.5 MPa. The wet specimens gave much lower moduli of elasticity (from 584 to 1073 MPa) and ultimate tensile strengths (from 16.5 to 35.0 MPa). Water sorption varied from 32.1 to 105.8 g mm(-3). The moduli of elasticity and ultimate tensile strengths of the adhesives fell significantly after water-storage. Water sorption depended on the constituents of the adhesive systems. The percent decreases in the ultimate tensile strengths of the adhesives were related to water sorption, while the percent reductions in the moduli of elasticity of the

  19. Cryogenic Etching of High Aspect Ratio 400 nm Pitch Silicon Gratings.

    PubMed

    Miao, Houxun; Chen, Lei; Mirzaeimoghri, Mona; Kasica, Richard; Wen, Han

    2016-10-01

    The cryogenic process and Bosch process are two widely used processes for reactive ion etching of high aspect ratio silicon structures. This paper focuses on the cryogenic deep etching of 400 nm pitch silicon gratings with various etching mask materials including polymer, Cr, SiO 2 and Cr-on-polymer. The undercut is found to be the key factor limiting the achievable aspect ratio for the direct hard masks of Cr and SiO 2 , while the etch selectivity responds to the limitation of the polymer mask. The Cr-on-polymer mask provides the same high selectivity as Cr and reduces the excessive undercut introduced by direct hard masks. By optimizing the etching parameters, we etched a 400 nm pitch grating to ≈ 10.6 μ m depth, corresponding to an aspect ratio of ≈ 53.

  20. ICP-MS: Analytical Method for Identification and Detection of Elemental Impurities.

    PubMed

    Mittal, Mohini; Kumar, Kapil; Anghore, Durgadas; Rawal, Ravindra K

    2017-01-01

    Aim of this article is to review and discuss the currently used quantitative analytical method ICP-MS, which is used for quality control of pharmaceutical products. ICP-MS technique has several applications such as determination of single elements, multi element analysis in synthetic drugs, heavy metals in environmental water, trace element content of selected fertilizers and dairy manures. ICP-MS is also used for determination of toxic and essential elements in different varieties of food samples and metal pollutant present in the environment. The pharmaceuticals may generate impurities at various stages of development, transportation and storage which make them risky to be administered. Thus, it is essential that these impurities must be detected and quantified. ICP-MS plays an important function in the recognition and revealing of elemental impurities. Copyright© Bentham Science Publishers; For any queries, please email at epub@benthamscience.org.

  1. Improvement of a block co-polymer (PS-b-PDMS) template etch profile using amorphous carbon layer

    NASA Astrophysics Data System (ADS)

    Oh, JiSoo; Oh, Jong Sik; Sung, DaIn; Yim, SoonMin; Song, SeungWon; Yeom, GeunYoung

    2017-03-01

    Block copolymers (BCPs) are consisted of at least two types of monomers which have covalent bonding. One of the widely investigated BCPs is polystyrene-block-polydimethylsiloxane (PS-b-PDMS), which is used as an alternative patterning method for various deep nanoscale devices due to its high Flory-Huggins interaction parameter (χ), such as optical devices and transistors, replacing conventional photolithography. As an alternate or supplementary nextgeneration lithography technology to extreme ultraviolet lithography (EUVL), BCP lithography utilizing the DSA of BCP has been actively studied. However, the nanoscale BCP mask material is easily damaged by the plasma and has a very low etch selectivity over bottom semiconductor materials, because it is composed of polymeric materials even though it contains Si in PDMS. In this study, an amorphous carbon layer (ACL) was inserted as a hardmask material between BCP and materials to be patterned, and, by using O2 plasmas, the characteristics of dry etching of ACL for high aspect ratio (HAR) using a 10 nm PDMS pattern were investigated. The results showed that, by using a PS-b-PDMS pattern with an aspect ratio of 0.3 0.9:1, a HAR PDMS/ACL double layer mask with an aspect ratio of 10:1 could be fabricated. In addition, by the optimization of the plasma etch process, ACL masks with excellent sidewall roughness (SWR,1.35 nm) and sidewall angle (SWA, 87.9˚) could be fabricated.

  2. Etching of moldavities under natural conditions

    NASA Technical Reports Server (NTRS)

    Knobloch, V.; Knoblochova, Z.; Urbanec, Z.

    1983-01-01

    The hypothesis that a part of the lechatellierites which originated by etching from a basic moldavite mass became broken off after deposition of moldavite in the sedimentation layer is advanced. Those found close to the original moldavite were measured for statistical averaging of length. The average length of lechatelierite fibers per cubic mm of moldavite mass volume was determined by measurement under a microscope in toluene. The data were used to calculate the depth of the moldavite layer that had to be etched to produce the corresponding amount of lechatelierite fragments. The calculations from five "fields" of moldavite surface, where layers of fixed lechatelierite fragments were preserved, produced values of 2.0, 3.1, 3.5, 3.9 and 4.5. Due to inadvertent loss of some fragments the determined values are somewhat lower than those found in references. The difference may be explained by the fact that the depth of the layer is only that caused by etching after moldavite deposition.

  3. Influence of Etching Protocol and Silane Treatment with a Universal Adhesive on Lithium Disilicate Bond Strength.

    PubMed

    Kalavacharla, V K; Lawson, N C; Ramp, L C; Burgess, J O

    2015-01-01

    To measure the effects of hydrofluoric acid (HF) etching and silane prior to the application of a universal adhesive on the bond strength between lithium disilicate and a resin. Sixty blocks of lithium disilicate (e.max CAD, Ivoclar Vivadent) were sectioned into coupons and polished. Specimens were divided into six groups (n=10) based on surface pretreatments, as follows: 1) no treatment (control); 2) 5% HF etch for 20 seconds (5HF); 3) 9.5% HF etch for 60 seconds (9.5HF); 4) silane with no HF (S); 5) 5% HF for 20 seconds + silane (5HFS); and 6) 9.5% HF for 60 seconds + silane (9.5HFS). All etching was followed by rinsing, and all silane was applied in one coat for 20 seconds and then dried. The universal adhesive (Scotchbond Universal, 3M ESPE) was applied onto the pretreated ceramic surface, air thinned, and light cured for 10 seconds. A 1.5-mm-diameter plastic tube filled with Z100 composite (3M ESPE) was applied over the bonded ceramic surface and light cured for 20 seconds on all four sides. The specimens were thermocycled for 10,000 cycles (5°C-50°C/15 s dwell time). Specimens were loaded until failure using a universal testing machine at a crosshead speed of 1 mm/min. The peak failure load was used to calculate the shear bond strength. Scanning electron microscopy images were taken of representative e.max specimens from each group. A two-way analysis of variance (ANOVA) determined that there were significant differences between HF etching, silane treatment, and the interaction between HF and silane treatment (p<0.01). Silane treatment provided higher shear bond strength regardless of the use or concentration of the HF etchant. Individual one-way ANOVA and Tukey post hoc analyses were performed for each silane group. Shear bond strength values for each etch time were significantly different (p<0.01) and could be divided into significantly different groups based on silane treatment: no silane treatment: 0 HF < 5% HF < 9.5% HF; and RelyX silane treatment: 0

  4. Ion-enhanced chemical etching of ZrO2 in a chlorine discharge

    NASA Astrophysics Data System (ADS)

    Sha, Lin; Cho, Byeong-Ok; Chang, Jane P.

    2002-09-01

    Chlorine plasma is found to chemically etch ZrO2 thin films in an electron cyclotron resonance reactor, and the etch rate scaled linearly with the square root of ion energy at high ion energies with a threshold energy between 12-20 eV. The etching rate decreased monotonically with increasing chamber pressures, which corresponds to reduced electron temperatures. Optical emission spectroscopy and quadrupole mass spectrometry were used to identify the reaction etching products. No Zr, O, or ZrCl were detected as etching products, but highly chlorinated zirconium compounds (ZrCl2, ZrCl3, and ZrCl4) and ClO were found to be the dominant etching products. ZrCl3 was the dominant etching products at low ion energies, while ZrCl4 became dominant at higher ion energies. This is consistent with greater momentum transfer and enhanced surface chlorination, as determined by x-ray photoelectron spectroscopy, at increased ion energies. Several ion-enhanced chemical reactions are proposed to contribute to the ZrO2 etching. copyright 2002 American Vacuum Society.

  5. Understanding and controlling the step bunching instability in aqueous silicon etching

    NASA Astrophysics Data System (ADS)

    Bao, Hailing

    Chemical etching of silicon has been widely used for more than half a century in the semiconductor industry. It not only forms the basis for current wafer cleaning processes, it also serves as a powerful tool to create a variety of surface morphologies for different applications. Its potential for controlling surface morphology at the atomic scale over micron-size regions is especially appealing. In spite of its wide usage, the chemistry of silicon etching is poorly understood. Many seemingly simple but fundamental questions have not been answered. As a result, the development of new etchants and new etching protocols are based on expensive and tedious trial-and-error experiments. A better understanding of the etching mechanism would direct the rational formulation of new etchants that produce controlled etch morphologies. Particularly, micron-scale step bunches spontaneously develop on the vicinal Si(111) surface etched in KOH or other anisotropic aqueous etchants. The ability to control the size, orientation, density and regularity of these surface features would greatly improve the performance of microelectromechanical devices. This study is directed towards understanding the chemistry and step bunching instability in aqueous anisotropic etching of silicon through a combination of experimental techniques and theoretical simulations. To reveal the cause of step-bunching instability, kinetic Monte Carlo simulations were constructed based on an atomistic model of the silicon lattice and a modified kinematic wave theory. The simulations showed that inhomogeneity was the origin of step-bunching, which was confirmed through STM studies of etch morphologies created under controlled flow conditions. To quantify the size of the inhomogeneities in different etchants and to clarify their effects, a five-parallel-trench pattern was fabricated. This pattern used a nitride mask to protect most regions of the wafer; five evenly spaced etch windows were opened to the Si(110

  6. Preparation of etched tantalum semimicro capacitor stimulation electrodes.

    PubMed

    Robblee, L S; Kelliher, E M; Langmuir, M E; Vartanian, H; McHardy, J

    1983-03-01

    The ideal electrode for stimulation of the nervous system is one that will inject charge by purely capacitive processes. One approach is to exploit the type of metal-oxide combination used in electrolytic capacitors, e.g., Ta/Ta2O5. For this purpose, fine tantalum wire (0.25 mm diam) was etched electrolytically at constant current in a methanol solution of NH4Br containing 1.5 wt % H2O. Electrolytic etching produced a conical tip with a length of ca. 0.5 mm and shaft diameters ranging from 0.10 to 0.16 mm. The etched electrodes were anodized to 10 V (vs. SCE) in 0.1 vol % H3PO4. The capacitance values normalized to geometric area of etched electrodes ranged from 0.13 to 0.33 micro F mm-2. Comparison of these values to the capacitance of "smooth" tantalum anodized to 10 V (0.011 micro F mm-2) indicated that the degree of surface enhancement, or etch ratio, was 12-30. The surface roughness was confirmed by scanning electron microscopy studies which revealed an intricate array of irregularly shaped surface projections about 1-2 micrometers wide. The etched electrodes were capable of delivering 0.06-0.1 micro C of charge with 0.1 ms pulses at a pulse repetition rate of 400 Hz when operated at 50% of the anodization voltage. This quantity of charge corresponded to volumetric charge densities of 20-30 micro C mm-3 and area charge densities of 0.55-0.88 micro C mm-2. Charge storage was proportionately higher at higher fractional values of the formation voltage. Leakage currents at 5 V were ca. 2 nA. Neither long-term passive storage (1500 h) nor extended pulsing time (18 h) had a deleterious effect on electrode performance. The trend in electrical stimulation work is toward smaller electrodes. The procedures developed in this study should be particularly well-suited to the fabrication of even smaller electrodes because of the favorable electrical and geometric characteristics of the etched surface.

  7. The baseline pressure of intracranial pressure (ICP) sensors can be altered by electrostatic discharges.

    PubMed

    Eide, Per K; Bakken, André

    2011-08-22

    The monitoring of intracranial pressure (ICP) has a crucial role in the surveillance of patients with brain injury. During long-term monitoring of ICP, we have seen spontaneous shifts in baseline pressure (ICP sensor zero point), which are of technical and not physiological origin. The aim of the present study was to explore whether or not baseline pressures of ICP sensors can be affected by electrostatics discharges (ESD's), when ESD's are delivered at clinically relevant magnitudes. We performed bench-testing of a set of commercial ICP sensors. In our experimental setup, the ICP sensor was placed in a container with 0.9% NaCl solution. A test person was charged 0.5-10 kV, and then delivered ESD's to the sensor by touching a metal rod that was located in the container. The continuous pressure signals were recorded continuously before/after the ESD's, and the pressure readings were stored digitally using a computerized system A total of 57 sensors were tested, including 25 Codman ICP sensors and 32 Raumedic sensors. When charging the test person in the range 0.5-10 kV, typically ESD's in the range 0.5-5 kV peak pulse were delivered to the ICP sensor. Alterations in baseline pressure ≥ 2 mmHg was seen in 24 of 25 (96%) Codman sensors and in 17 of 32 (53%) Raumedic sensors. Lasting changes in baseline pressure > 10 mmHg that in the clinical setting would affect patient management, were seen frequently for both sensor types. The changes in baseline pressure were either characterized by sudden shifts or gradual drifts in baseline pressure. The baseline pressures of commercial solid ICP sensors can be altered by ESD's at discharge magnitudes that are clinically relevant. Shifts in baseline pressure change the ICP levels visualised to the physician on the monitor screen, and thereby reveal wrong ICP values, which likely represent a severe risk to the patient.

  8. The baseline pressure of intracranial pressure (ICP) sensors can be altered by electrostatic discharges

    PubMed Central

    2011-01-01

    Background The monitoring of intracranial pressure (ICP) has a crucial role in the surveillance of patients with brain injury. During long-term monitoring of ICP, we have seen spontaneous shifts in baseline pressure (ICP sensor zero point), which are of technical and not physiological origin. The aim of the present study was to explore whether or not baseline pressures of ICP sensors can be affected by electrostatics discharges (ESD's), when ESD's are delivered at clinically relevant magnitudes. Methods We performed bench-testing of a set of commercial ICP sensors. In our experimental setup, the ICP sensor was placed in a container with 0.9% NaCl solution. A test person was charged 0.5 - 10 kV, and then delivered ESD's to the sensor by touching a metal rod that was located in the container. The continuous pressure signals were recorded continuously before/after the ESD's, and the pressure readings were stored digitally using a computerized system Results A total of 57 sensors were tested, including 25 Codman ICP sensors and 32 Raumedic sensors. When charging the test person in the range 0.5-10 kV, typically ESD's in the range 0.5 - 5 kV peak pulse were delivered to the ICP sensor. Alterations in baseline pressure ≥ 2 mmHg was seen in 24 of 25 (96%) Codman sensors and in 17 of 32 (53%) Raumedic sensors. Lasting changes in baseline pressure > 10 mmHg that in the clinical setting would affect patient management, were seen frequently for both sensor types. The changes in baseline pressure were either characterized by sudden shifts or gradual drifts in baseline pressure. Conclusions The baseline pressures of commercial solid ICP sensors can be altered by ESD's at discharge magnitudes that are clinically relevant. Shifts in baseline pressure change the ICP levels visualised to the physician on the monitor screen, and thereby reveal wrong ICP values, which likely represent a severe risk to the patient. PMID:21859487

  9. Interim Cryogenic Propulsion Stage (ICPS) for EM-1 Transport fro

    NASA Image and Video Library

    2017-04-11

    The Interim Cryogenic Propulsion Stage (ICPS) for NASA's Space Launch System (SLS) rocket has been moved on its transport stand by truck out of the United Launch Alliance (ULA) Horizontal Integration Facility near Space Launch Complex 37 at Cape Canaveral Air Force Station in Florida. The ICPS will be transported to the Delta Operations Center. The ICPS is the first integrated piece of flight hardware to arrive for the SLS. It is the in-space stage that is located toward the top of the rocket, between the Launch Vehicle Stage Adapter and the Orion Spacecraft Adapter. It will provide some of the in-space propulsion during Orion's first flight test atop the SLS on Exploration Mission-1.

  10. Interim Cryogenic Propulsion Stage (ICPS) for EM-1 Transport fro

    NASA Image and Video Library

    2017-04-11

    The Interim Cryogenic Propulsion Stage (ICPS) for NASA's Space Launch System (SLS) rocket is moved on its transport stand by truck out of the United Launch Alliance (ULA) Horizontal Integration Facility near Space Launch Complex 37 at Cape Canaveral Air Force Station in Florida. The ICPS will be transported to the Delta Operations Center. The ICPS is the first integrated piece of flight hardware to arrive for the SLS. It is the in-space stage that is located toward the top of the rocket, between the Launch Vehicle Stage Adapter and the Orion Spacecraft Adapter. It will provide some of the in-space propulsion during Orion's first flight test atop the SLS on Exploration Mission-1.

  11. Silicon etching of difluoromethane atmospheric pressure plasma jet combined with its spectroscopic analysis

    NASA Astrophysics Data System (ADS)

    Sung, Yu-Ching; Wei, Ta-Chin; Liu, You-Chia; Huang, Chun

    2018-06-01

    A capacitivly coupled radio-frequency double-pipe atmospheric-pressure plasma jet is used for etching. An argon carrier gas is supplied to the plasma discharge jet; and CH2F2 etch gas is inserted into the plasma discharge jet, near the silicon substrate. Silicon etchings rate can be efficiently-controlled by adjusting the feeding etching gas composition and plasma jet operating parameters. The features of silicon etched by the plasma discharge jet are discussed in order to spatially spreading plasma species. Electronic excitation temperature and electron density are detected by increasing plasma power. The etched silicon profile exhibited an anisotropic shape and the etching rate was maximum at the total gas flow rate of 4500 sccm and CH2F2 concentration of 11.1%. An etching rate of 17 µm/min was obtained at a plasma power of 100 W.

  12. Current role of ICP-MS in clinical toxicology and forensic toxicology: a metallic profile.

    PubMed

    Goullé, Jean-Pierre; Saussereau, Elodie; Mahieu, Loïc; Guerbet, Michel

    2014-08-01

    As metal/metalloid exposure is inevitable owing to its omnipresence, it may exert toxicity in humans. Recent advances in metal/metalloid analysis have been made moving from flame atomic absorption spectrometry and electrothermal atomic absorption spectrometry to the multi-elemental inductively coupled plasma (ICP) techniques as ICP atomic emission spectrometry and ICP-MS. ICP-MS has now emerged as a major technique in inorganic analytical chemistry owing to its flexibility, high sensitivity and good reproducibility. This in depth review explores the ICP-MS metallic profile in human toxicology. It is now routinely used and of great importance, in clinical toxicology and forensic toxicology to explore biological matrices, specifically whole blood, plasma, urine, hair, nail, biopsy samples and tissues.

  13. Northern Arabia Etched Terrain

    NASA Image and Video Library

    2002-06-17

    Many places on Mars, such as in this image from NASA Mars Odyssey spacecraft of a crater superposed on the floor of a larger crater, display scabby, eroded landscapes that commonly are referred to as etched terrain.

  14. A table of polyatomic interferences in ICP-MS

    USGS Publications Warehouse

    May, Thomas W.; Wiedmeyer, Ray H.

    1998-01-01

    Spectroscopic interferences are probably the largest class of interferences in ICP-MS and are caused by atomic or molecular ions that have the same mass-to-charge as analytes of interest. Current ICP-MS instrumental software corrects for all known atomic “isobaric” interferences, or those caused by overlapping isotopes of different elements, but does not correct for most polyatomic interferences. Such interferences are caused by polyatomic ions that are formed from precursors having numerous sources, such as the sample matrix, reagents used for preparation, plasma gases, and entrained atmospheric gases.

  15. Integrated approaches for reducing sample size for measurements of trace elemental impurities in plutonium by ICP-OES and ICP-MS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Ning; Chamberlin, Rebecca M.; Thompson, Pam

    This study has demonstrated that bulk plutonium chemical analysis can be performed at small scales (\\50 mg material) through three case studies. Analytical methods were developed for ICP-OES and ICP-MS instruments to measure trace impurities and gallium content in plutonium metals with comparable or improved detection limits, measurement accuracy and precision. In two case studies, the sample size has been reduced by 109, and in the third case study, by as much as 50009, so that the plutonium chemical analysis can be performed in a facility rated for lower-hazard and lower-security operations.

  16. Integrated approaches for reducing sample size for measurements of trace elemental impurities in plutonium by ICP-OES and ICP-MS

    DOE PAGES

    Xu, Ning; Chamberlin, Rebecca M.; Thompson, Pam; ...

    2017-10-07

    This study has demonstrated that bulk plutonium chemical analysis can be performed at small scales (\\50 mg material) through three case studies. Analytical methods were developed for ICP-OES and ICP-MS instruments to measure trace impurities and gallium content in plutonium metals with comparable or improved detection limits, measurement accuracy and precision. In two case studies, the sample size has been reduced by 109, and in the third case study, by as much as 50009, so that the plutonium chemical analysis can be performed in a facility rated for lower-hazard and lower-security operations.

  17. Extreme wettability of nanostructured glass fabricated by non-lithographic, anisotropic etching

    PubMed Central

    Yu, Eusun; Kim, Seul-Cham; Lee, Heon Ju; Oh, Kyu Hwan; Moon, Myoung-Woon

    2015-01-01

    Functional glass surfaces with the properties of superhydrophobicity/or superhydrohydrophilicity, anti-condensation or low reflectance require nano- or micro-scale roughness, which is difficult to fabricate directly on glass surfaces. Here, we report a novel non-lithographic method for the fabrication of nanostructures on glass; this method introduces a sacrificial SiO2 layer for anisotropic plasma etching. The first step was to form nanopillars on SiO2 layer-coated glass by using preferential CF4 plasma etching. With continuous plasma etching, the SiO2 pillars become etch-resistant masks on the glass; thus, the glass regions covered by the SiO2 pillars are etched slowly, and the regions with no SiO2 pillars are etched rapidly, resulting in nanopatterned glass. The glass surface that is etched with CF4 plasma becomes superhydrophilic because of its high surface energy, as well as its nano-scale roughness and high aspect ratio. Upon applying a subsequent hydrophobic coating to the nanostructured glass, a superhydrophobic surface was achieved. The light transmission of the glass was relatively unaffected by the nanostructures, whereas the reflectance was significantly reduced by the increase in nanopattern roughness on the glass. PMID:25791414

  18. New silicon architectures by gold-assisted chemical etching.

    PubMed

    Mikhael, Bechelany; Elise, Berodier; Xavier, Maeder; Sebastian, Schmitt; Johann, Michler; Laetitia, Philippe

    2011-10-01

    Silicon nanowires (SiNWs) were produced by nanosphere lithography and metal assisted chemical etching. The combination of these methods allows the morphology and organization control of Si NWs on a large area. From the investigation of major parameters affecting the etching such as doping type, doping concentration of the substrate, we demonstrate the formation of new Si architectures consisting of organized Si NW arrays formed on a micro/mesoporous silicon layer with different thickness. These investigations will allow us to better understand the mechanism of Si etching to enable a wide range of applications such as molecular sensing, and for thermoelectric and photovoltaic devices. © 2011 American Chemical Society

  19. Metal-assisted chemical etch porous silicon formation method

    DOEpatents

    Li, Xiuling; Bohn, Paul W.; Sweedler, Jonathan V.

    2004-09-14

    A thin discontinuous layer of metal such as Au, Pt, or Au/Pd is deposited on a silicon surface. The surface is then etched in a solution including HF and an oxidant for a brief period, as little as a couple seconds to one hour. A preferred oxidant is H.sub.2 O.sub.2. Morphology and light emitting properties of porous silicon can be selectively controlled as a function of the type of metal deposited, Si doping type, silicon doping level, and/or etch time. Electrical assistance is unnecessary during the chemical etching of the invention, which may be conducted in the presence or absence of illumination.

  20. Inverse metal-assisted chemical etching produces smooth high aspect ratio InP nanostructures.

    PubMed

    Kim, Seung Hyun; Mohseni, Parsian K; Song, Yi; Ishihara, Tatsumi; Li, Xiuling

    2015-01-14

    Creating high aspect ratio (AR) nanostructures by top-down fabrication without surface damage remains challenging for III-V semiconductors. Here, we demonstrate uniform, array-based InP nanostructures with lateral dimensions as small as sub-20 nm and AR > 35 using inverse metal-assisted chemical etching (I-MacEtch) in hydrogen peroxide (H2O2) and sulfuric acid (H2SO4), a purely solution-based yet anisotropic etching method. The mechanism of I-MacEtch, in contrast to regular MacEtch, is explored through surface characterization. Unique to I-MacEtch, the sidewall etching profile is remarkably smooth, independent of metal pattern edge roughness. The capability of this simple method to create various InP nanostructures, including high AR fins, can potentially enable the aggressive scaling of InP based transistors and optoelectronic devices with better performance and at lower cost than conventional etching methods.

  1. Model of wet chemical etching of swift heavy ions tracks

    NASA Astrophysics Data System (ADS)

    Gorbunov, S. A.; Malakhov, A. I.; Rymzhanov, R. A.; Volkov, A. E.

    2017-10-01

    A model of wet chemical etching of tracks of swift heavy ions (SHI) decelerated in solids in the electronic stopping regime is presented. This model takes into account both possible etching modes: etching controlled by diffusion of etchant molecules to the etching front, and etching controlled by the rate of a reaction of an etchant with a material. Olivine ((Mg0.88Fe0.12)2SiO4) crystals were chosen as a system for modeling. Two mechanisms of chemical activation of olivine around the SHI trajectory are considered. The first mechanism is activation stimulated by structural transformations in a nanometric track core, while the second one results from neutralization of metallic atoms by generated electrons spreading over micrometric distances. Monte-Carlo simulations (TREKIS code) form the basis for the description of excitations of the electronic subsystem and the lattice of olivine in an SHI track at times up to 100 fs after the projectile passage. Molecular dynamics supplies the initial conditions for modeling of lattice relaxation for longer times. These simulations enable us to estimate the effects of the chemical activation of olivine governed by both mechanisms. The developed model was applied to describe chemical activation and the etching kinetics of tracks of Au 2.1 GeV ions in olivine. The estimated lengthwise etching rate (38 µm · h-1) is in reasonable agreement with that detected in the experiments (24 µm · h-1).

  2. Influence of Application Time and Etching Mode of Universal Adhesives on Enamel Adhesion.

    PubMed

    Sai, Keiichi; Takamizawa, Toshiki; Imai, Arisa; Tsujimoto, Akimasa; Ishii, Ryo; Barkmeier, Wayne W; Latta, Mark A; Miyazaki, Masashi

    2018-01-01

    To investigate the influence of application time and etching mode of universal adhesives on enamel adhesion. Five universal adhesives, Adhese Universal, Bondmer Lightless, Clearfil Universal Bond Quick, G-Premio Bond, and Scotchbond Universal, were used. Bovine incisors were prepared and divided into four groups of ten teeth each. SBS, Ra, and SFE were determined after the following procedures: 1. self-etch mode with immediate air blowing after application (IA); 2. self-etch mode with prolonged application time (PA); 3. etch-and-rinse mode with IA; 4. etch-and-rinse mode with PA. After 24-h water storage, the bonded assemblies were subjected to shear bond strength (SBS) tests. For surface roughness (Ra) and surface free energy (SFE) measurements, the adhesives were simply applied to the enamel and rinsed with acetone and water before the measurements were carried out. Significantly higher SBS and Ra values were obtained with etch-and-rinse mode than with self-etch mode regardless of the application time or type of adhesive. Although most adhesives showed decreased SFE values with increased application time in self-etch mode, SFE values in etch-and-rinse mode were dependent on the adhesive type and application time. Etching mode, application time, and type of adhesive significantly influenced the SBS, Ra, and SFE values.

  3. Process for Smoothing an Si Substrate after Etching of SiO2

    NASA Technical Reports Server (NTRS)

    Turner, Tasha; Wu, Chi

    2003-01-01

    A reactive-ion etching (RIE) process for smoothing a silicon substrate has been devised. The process is especially useful for smoothing those silicon areas that have been exposed by etching a pattern of holes in a layer of silicon dioxide that covers the substrate. Applications in which one could utilize smooth silicon surfaces like those produced by this process include fabrication of optical waveguides, epitaxial deposition of silicon on selected areas of silicon substrates, and preparation of silicon substrates for deposition of adherent metal layers. During etching away of a layer of SiO2 that covers an Si substrate, a polymer becomes deposited on the substrate, and the substrate surface becomes rough (roughness height approximately equal to 50 nm) as a result of over-etching or of deposition of the polymer. While it is possible to smooth a silicon substrate by wet chemical etching, the undesired consequences of wet chemical etching can include compromising the integrity of the SiO2 sidewalls and undercutting of the adjacent areas of the silicon dioxide that are meant to be left intact. The present RIE process results in anisotropic etching that removes the polymer and reduces height of roughness of the silicon substrate to less than 10 nm while leaving the SiO2 sidewalls intact and vertical. Control over substrate versus sidewall etching (in particular, preferential etching of the substrate) is achieved through selection of process parameters, including gas flow, power, and pressure. Such control is not uniformly and repeatably achievable in wet chemical etching. The recipe for the present RIE process is the following: Etch 1 - A mixture of CF4 and O2 gases flowing at rates of 25 to 75 and 75 to 125 standard cubic centimeters per minute (stdcm3/min), respectively; power between 44 and 55 W; and pressure between 45 and 55 mtorr (between 6.0 and 7.3 Pa). The etch rate lies between approximately equal to 3 and approximately equal to 6 nm/minute. Etch 2 - O2 gas

  4. Modeling MultiCoil ICPs

    NASA Astrophysics Data System (ADS)

    Kolobov, V. I.; Vaidya, N.; Krishnan, A.

    1998-10-01

    Plasma processing of 300 mm wafers and flat panels places stringent demands on plasma uniformity across large surfaces. A natural solution towards an uniform plasma in a minimum discharge volume is to maintain the plasma by an array of individual sources. Although the design of the individual sources can differ considerably, there is a common feature for all such devices which have been recently suggested by several groups: their essentially 3D geometry. Engineering design of these devices is a challenging task and computational modeling could be a very useful tool. CFD Research Corp. has developed a comprehensive software for virtual prototyping of ICP sources designed for complex 3D geometries with unstructured solution-adaptive mesh. In this paper we shall present the results of our simulation of the multipole high density source [1] which is an example of MultiCoil ICP. We shall describe the procedure of solving the electromagnetic part of the problem using magnetic vector potential and analyse design issues such as the size of dielectric windows. We shall present results of parametric studies of the source for different geometries, gas pressures and plasma densities for simple argon chemistry. [1] J.Ogle. Proc. VI Int. Workshop on Advanced Plasma Tools and Process Engineering, pp. 85-90, May 1998, Millbrae, USA.

  5. Low-loss, submicron chalcogenide integrated photonics with chlorine plasma etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chiles, Jeff; Malinowski, Marcin; Rao, Ashutosh

    A chlorine plasma etching-based method for the fabrication of high-performance chalcogenide-based integrated photonics on silicon substrates is presented. By optimizing the etching conditions, chlorine plasma is employed to produce extremely low-roughness etched sidewalls on waveguides with minimal penalty to propagation loss. Using this fabrication method, microring resonators with record-high intrinsic Q-factors as high as 450 000 and a corresponding propagation loss as low as 0.42 dB/cm are demonstrated in submicron chalcogenide waveguides. Furthermore, the developed chlorine plasma etching process is utilized to demonstrate fiber-to-waveguide grating couplers in chalcogenide photonics with high power coupling efficiency of 37% for transverse-electric polarized modes.

  6. Evaluation of the Shear Bond Strength of Composite Resin to Wet and Dry Enamel Using Dentin Bonding Agents Containing Various Solvents.

    PubMed

    Usha, Carounanidy; Ramarao, Sathyanarayanan; John, Bindu Meera; Rajesh, Praveen; Swatha, S

    2017-01-01

    Bonding of composite resin to dentin mandates a wet substrate whereas, enamel should be dry. This may not be easily achievable in intracoronal preparations where enamel and dentin are closely placed to each other. Therefore, Dentin Bonding Agents (DBA) are recommended for enamel and dentinal bonding, where enamel is also left moist. A research question was raised if the "enamel-only" preparations will also benefit from wet enamel bonding and contemporary DBA. The aim of this study was to compare the shear bond strengths of composite resin, bonded to dry and wet enamel using fifth generation DBA (etch and rinse system) containing various solvents such as ethanol/water, acetone and ethanol. The crowns of 120 maxillary premolars were split into buccal and lingual halves. They were randomly allocated into four groups of DBA: Group 1-water/ethanol based, Group 2-acetone based, Group 3-ethanol based, Group 4-universal bonding agent (control group). The buccal halves and lingual halves were bonded using the wet bonding and dry bonding technique respectively. After application of the DBAs and composite resin build up, shear bond strength testing was done. Group 1 (ethanol/water based ESPE 3M, Adper Single Bond) showed highest bond strength of (23.15 MPa) in dry enamel. Group 2 (acetone based Denstply, Prime and Bond NT, showed equal bond strength in wet and dry enamel condition (18.87 MPa and 18.02 MPa respectively). Dry enamel bonding and ethanol/water based etch and rinse DBA can be recommended for "enamel-only" tooth preparations.

  7. Bonding effectiveness of self-etch adhesives to dentin after 24 h water storage.

    PubMed

    Sarr, Mouhamed; Benoist, Fatou Leye; Bane, Khaly; Aidara, Adjaratou Wakha; Seck, Anta; Toure, Babacar

    2018-01-01

    This study evaluated the immediate bonding effectiveness of five self-etch adhesive systems bonded to dentin. The microtensile bond strength of five self-etch adhesives systems, including one two-step and four one-step self-etch adhesives to dentin, was measured. Human third molars had their superficial dentin surface exposed, after which a standardized smear layer was produced using a medium-grit diamond bur. The selected adhesives were applied according to their respective manufacturer's instructions for μTBS measurement after storage in water at 37°C for 24 h. The μTBS varied from 11.1 to 44.3 MPa; the highest bond strength was obtained with the two-step self-etch adhesive Clearfil SE Bond and the lowest with the one-step self-etch adhesive Adper Prompt L-Pop. Pretesting failures mainly occurring during sectioning with the slow-speed diamond saw were observed only with the one-step self-etch adhesive Adper Prompt L-Pop (4 out of 18). When bonded to dentin, the self-etch adhesives with simplified application procedures (one-step self-etch adhesives) still underperform as compared to the two-step self-etch adhesive Clearfil SE Bond.

  8. Photoluminescence of etched SiC nanowires

    NASA Astrophysics Data System (ADS)

    Stewart, Polite D., Jr.; Rich, Ryan; Zerda, T. W.

    2010-10-01

    SiC nanowires were produced from carbon nanotubes and nanosize silicon powder in a tube furnace at temperatures between 1100^oC and 1350^oC. SiC nanowires had average diameter of 30 nm and very narrow size distribution. The compound possesses a high melting point, high thermal conductivity, and excellent wear resistance. The surface of the SiC nanowires after formation is covered by an amorphous layer. The composition of that layer is not fully understood, but it is believed that in addition to amorphous SiC it contains various carbon and silicon compounds, and SiO2. The objective of the research was to modify the surface structure of these SiC nanowires. Modification of the surface was done using the wet etching method. The etched nanowires were then analyzed using Fourier Transform Infrared spectroscopy (FTIR), transmission electron microscopy (TEM), and photoluminescence (PL). FTIR and TEM analysis provided valid proof that the SiC nanowires were successfully etched. Also, the PL results showed that the SiC nanowire core did possess a fluorescent signal.

  9. Alphaherpesvirus Proteins Related to Herpes Simplex Virus Type 1 ICP0 Affect Cellular Structures and Proteins

    PubMed Central

    Parkinson, Jane; Everett, Roger D.

    2000-01-01

    The herpes simplex virus type 1 (HSV-1) immediate-early protein ICP0 interacts with several cellular proteins and induces the proteasome-dependent degradation of others during infection. In this study we show that ICP0 is required for the proteasome-dependent degradation of the ND10 protein Sp100 and, as with the other target proteins, the ICP0 RING finger domain is essential. Further, comparison of the kinetics and ICP0 domain requirements for the degradation of PMI and Sp100 suggests that a common mechanism is involved. Homologues of ICP0 are encoded by other members of the alphaherpesvirus family. These proteins show strong sequence homology to ICP0 within the RING finger domain but limited similarity elsewhere. Using transfection assays, we have shown that all the ICP0 homologues that we tested have significant effects on the immunofluorescence staining character of at least one of the proteins destabilized by ICP0, and by using a recombinant virus, we found that the equine herpesvirus ICP0 homologue induced the proteasome-dependent degradation of endogenous CENP-C and modified forms of PML and Sp100. However, in contrast to ICP0, the homologue proteins had no effect on the distribution of the ubiquitin-specific protease USP7 within the cell, consistent with their lack of a USP7 binding domain. We also found that ICP0 by itself could induce the abrogation of SUMO-1 conjugation and then the proteasome-dependent degradation of unmodified exogenous PML in transfected cells, thus demonstrating that other HSV-1 proteins are not required. Surprisingly, the ICP0 homologues were unable to cause these effects. Overall, these data suggest that the members of the ICP0 family of proteins may act via a similar mechanism or pathway involving their RING finger domain but that their intrinsic activities and effects on endogenous and exogenous proteins differ in detail. PMID:11024129

  10. A surface acoustic wave ICP sensor with good temperature stability.

    PubMed

    Zhang, Bing; Hu, Hong; Ye, Aipeng; Zhang, Peng

    2017-07-20

    Intracranial pressure (ICP) monitoring is very important for assessing and monitoring hydrocephalus, head trauma and hypertension patients, which could lead to elevated ICP or even devastating neurological damage. The mortality rate due to these diseases could be reduced through ICP monitoring, because precautions can be taken against the brain damage. This paper presents a surface acoustic wave (SAW) pressure sensor to realize ICP monitoring, which is capable of wireless and passive transmission with antenna attached. In order to improve the temperature stability of the sensor, two methods were adopted. First, the ST cut quartz was chosen as the sensor substrate due to its good temperature stability. Then, a differential temperature compensation method was proposed to reduce the effects of temperature. Two resonators were designed based on coupling of mode (COM) theory and the prototype was fabricated and verified using a system established for testing pressure and temperature. The experiment result shows that the sensor has a linearity of 2.63% and hysteresis of 1.77%. The temperature stability of the sensor has been greatly improved by using the differential compensation method, which validates the effectiveness of the proposed method.

  11. Evolution of titanium residue on the walls of a plasma-etching reactor and its effect on the polysilicon etching rate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hirota, Kosa, E-mail: hirota-kousa@sme.hitachi-hitec.com; Itabashi, Naoshi; Tanaka, Junichi

    2014-11-01

    The variation in polysilicon plasma etching rates caused by Ti residue on the reactor walls was investigated. The amount of Ti residue was measured using attenuated total reflection Fourier transform infrared spectroscopy with the HgCdTe (MCT) detector installed on the side of the reactor. As the amount of Ti residue increased, the number of fluorine radicals and the polysilicon etching rate increased. However, a maximum limit in the etching rate was observed. A mechanism of rate variation was proposed, whereby F radical consumption on the quartz reactor wall is suppressed by the Ti residue. The authors also investigated a plasma-cleaningmore » method for the removal of Ti residue without using a BCl{sub 3} gas, because the reaction products (e.g., boron oxide) on the reactor walls frequently cause contamination of the product wafers during etching. CH-assisted chlorine cleaning, which is a combination of CHF{sub 3} and Cl{sub 2} plasma treatment, was found to effectively remove Ti residue from the reactor walls. This result shows that CH radicals play an important role in deoxidizing and/or defluorinating Ti residue on the reactor walls.« less

  12. Anisotropic Etching of Hexagonal Boron Nitride and Graphene: Question of Edge Terminations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stehle, Yijing Y.; Sang, Xiahan; Unocic, Raymond R.

    Here, chemical vapor deposition (CVD) has been established as the most effective way to grow large area two-dimensional materials. Direct study of the etching process can reveal subtleties of this competing with the growth reaction and thus provide the necessary details of the overall growth mechanism. Here we investigate hydrogen-induced etching of hBN and graphene and compare the results with the classical kinetic Wulff construction model. Formation of the anisotropically etched holes in the center of hBN and graphene single crystals was observed along with the changes in the crystals' circumference. We show that the edges of triangular holes inmore » hBN crystals formed at regular etching conditions are parallel to B-terminated zigzags, opposite to the N-terminated zigzag edges of hBN triangular crystals. The morphology of the etched hBN holes is affected by a disbalance of the B/N ratio upon etching and can be shifted toward the anticipated from the Wulff model N-terminated zigzag by etching in a nitrogen buffer gas instead of a typical argon. For graphene, etched hexagonal holes are terminated by zigzag, while the crystal circumference is gradually changing from a pure zigzag to a slanted angle resulting in dodecagons.« less

  13. Anisotropic Etching of Hexagonal Boron Nitride and Graphene: Question of Edge Terminations.

    PubMed

    Stehle, Yijing Y; Sang, Xiahan; Unocic, Raymond R; Voylov, Dmitry; Jackson, Roderick K; Smirnov, Sergei; Vlassiouk, Ivan

    2017-12-13

    Chemical vapor deposition (CVD) has been established as the most effective way to grow large area two-dimensional materials. Direct study of the etching process can reveal subtleties of this competing with the growth reaction and thus provide the necessary details of the overall growth mechanism. Here we investigate hydrogen-induced etching of hBN and graphene and compare the results with the classical kinetic Wulff construction model. Formation of the anisotropically etched holes in the center of hBN and graphene single crystals was observed along with the changes in the crystals' circumference. We show that the edges of triangular holes in hBN crystals formed at regular etching conditions are parallel to B-terminated zigzags, opposite to the N-terminated zigzag edges of hBN triangular crystals. The morphology of the etched hBN holes is affected by a disbalance of the B/N ratio upon etching and can be shifted toward the anticipated from the Wulff model N-terminated zigzag by etching in a nitrogen buffer gas instead of a typical argon. For graphene, etched hexagonal holes are terminated by zigzag, while the crystal circumference is gradually changing from a pure zigzag to a slanted angle resulting in dodecagons.

  14. Anisotropic Etching of Hexagonal Boron Nitride and Graphene: Question of Edge Terminations

    DOE PAGES

    Stehle, Yijing Y.; Sang, Xiahan; Unocic, Raymond R.; ...

    2017-11-14

    Here, chemical vapor deposition (CVD) has been established as the most effective way to grow large area two-dimensional materials. Direct study of the etching process can reveal subtleties of this competing with the growth reaction and thus provide the necessary details of the overall growth mechanism. Here we investigate hydrogen-induced etching of hBN and graphene and compare the results with the classical kinetic Wulff construction model. Formation of the anisotropically etched holes in the center of hBN and graphene single crystals was observed along with the changes in the crystals' circumference. We show that the edges of triangular holes inmore » hBN crystals formed at regular etching conditions are parallel to B-terminated zigzags, opposite to the N-terminated zigzag edges of hBN triangular crystals. The morphology of the etched hBN holes is affected by a disbalance of the B/N ratio upon etching and can be shifted toward the anticipated from the Wulff model N-terminated zigzag by etching in a nitrogen buffer gas instead of a typical argon. For graphene, etched hexagonal holes are terminated by zigzag, while the crystal circumference is gradually changing from a pure zigzag to a slanted angle resulting in dodecagons.« less

  15. Sputtered gold mask for deep chemical etching of silicon

    NASA Technical Reports Server (NTRS)

    Pisciotta, B. P.; Gross, C.; Olive, R. S.

    1975-01-01

    Sputtered mask resists chemical attack from acid and has adherence to withstand prolonged submergence in etch solution without lifting from silicon surface. Even under prolonged etch conditions with significant undercutting, gold mask maintained excellent adhesion to silicon surface and imperviousness to acid.

  16. Effects of hard mask etch on final topography of advanced phase shift masks

    NASA Astrophysics Data System (ADS)

    Hortenbach, Olga; Rolff, Haiko; Lajn, Alexander; Baessler, Martin

    2017-07-01

    Continuous shrinking of the semiconductor device dimensions demands steady improvements of the lithographic resolution on wafer level. These requirements challenge the photomask industry to further improve the mask quality in all relevant printing characteristics. In this paper topography of the Phase Shift Masks (PSM) was investigated. Effects of hard mask etch on phase shift uniformity and mask absorber profile were studied. Design of experiments method (DoE) was used for the process optimization, whereas gas composition, bias power of the hard mask main etch and bias power of the over-etch were varied. In addition, influence of the over-etch time was examined at the end of the experiment. Absorber depth uniformity, sidewall angle (SWA), reactive ion etch lag (RIE lag) and through pitch (TP) dependence were analyzed. Measurements were performed by means of Atomic-force microscopy (AFM) using critical dimension (CD) mode with a boot-shaped tip. Scanning electron microscope (SEM) cross-section images were prepared to verify the profile quality. Finally CD analysis was performed to confirm the optimal etch conditions. Significant dependence of the absorber SWA on hard mask (HM) etch conditions was observed revealing an improvement potential for the mask absorber profile. It was found that hard mask etch can leave a depth footprint in the absorber layer. Thus, the etch depth uniformity of hard mask etch is crucial for achieving a uniform phase shift over the active mask area. The optimized hard mask etch process results in significantly improved mask topography without deterioration of tight CD specifications.

  17. Correlation between oxidant concentrations, morphological aspects and etching kinetics of silicon nanowires during silver-assist electroless etching

    NASA Astrophysics Data System (ADS)

    Moumni, Besma; Jaballah, Abdelkader Ben

    2017-12-01

    Silicon porosification by silver assisted chemical etching (Ag-ACE) for a short range of H2O2 concentration is reported. We experimentally show that porous silicon (PSi) is obtained for 1% H2O2, whereas silicon nanowires (SiNWs) appeared by simply tuning the concentration of H2O2 to relatively high concentrations up to 8%. The morphological aspects are claimed by scanning electron microscopy proving that the kinetics of SiNWs formation display nonlinear relationships versus H2O2 concentration and etching time. A semi-qualitative electrochemical etching model based on local anodic, Ic, and cathodic, Ia, currents is proposed to explain the different morphological changes, and to unveil the formation pathways of both PS and SiNWs. More importantly, an efficient antireflective character for silicon solar cell (reflectance close to 2%) is realized at 8% H2O2. In addition, the luminescence of the prepared Si-nanostructures is claimed by photoluminescence which exhibit a large enhancement of the intensity and a blue shift for narrow and deep SiNWs.

  18. Improved Ohmic-contact to AlGaN/GaN using Ohmic region recesses by self-terminating thermal oxidation assisted wet etching technique

    NASA Astrophysics Data System (ADS)

    Liu, J.; Wang, J.; Wang, H.; Zhu, L.; Wu, W.

    2017-06-01

    Lower Ti/Al/Ni/Au Ohmic contact resistance on AlGaN/GaN with wider rapid thermal annealing (RTA) temperature window was achieved using recessed Ohmic contact structure based on self-terminating thermal oxidation assisted wet etching technique (STOAWET), in comparison with conventional Ohmic contacts. Even at lower temperature such as 650°C, recessed structure by STOAWET could still obtain Ohmic contact with contact resistance of 1.97Ω·mm, while conventional Ohmic structure mainly featured as Schottky contact. Actually, both Ohmic contact recess and mesa isolation processes could be accomplished by STOAWET in one process step and the process window of STOAWET is wide, simplifying AlGaN/GaN HEMT device process. Our experiment shows that the isolation leakage current by STOAWET is about one order of magnitude lower than that by inductivity coupled plasma (ICP) performed on the same wafer.

  19. High-performance etching of multilevel phase-type Fresnel zone plates with large apertures

    NASA Astrophysics Data System (ADS)

    Guo, Chengli; Zhang, Zhiyu; Xue, Donglin; Li, Longxiang; Wang, Ruoqiu; Zhou, Xiaoguang; Zhang, Feng; Zhang, Xuejun

    2018-01-01

    To ensure the etching depth uniformity of large-aperture Fresnel zone plates (FZPs) with controllable depths, a combination of a point source ion beam with a dwell-time algorithm has been proposed. According to the obtained distribution of the removal function, the latter can be used to optimize the etching time matrix by minimizing the root-mean-square error between the simulation results and the design value. Owing to the convolution operation in the utilized algorithm, the etching depth error is insensitive to the etching rate fluctuations of the ion beam, thereby reducing the requirement for the etching stability of the ion system. As a result, a 4-level FZP with a circular aperture of 300 mm was fabricated. The obtained results showed that the etching depth uniformity of the full aperture could be reduced to below 1%, which was sufficiently accurate for meeting the use requirements of FZPs. The proposed etching method may serve as an alternative way of etching high-precision diffractive optical elements with large apertures.

  20. Wide angle near-field optical probes by reverse tube etching.

    PubMed

    Patanè, S; Cefalì, E; Arena, A; Gucciardi, P G; Allegrini, M

    2006-04-01

    We present a simple modification of the tube etching process for the fabrication of fiber probes for near-field optical microscopy. It increases the taper angle of the probe by a factor of two. The novelty is that the fiber is immersed in hydrofluoric acid and chemically etched in an upside-down geometry. The tip formation occurs inside the micrometer tube cavity formed by the polymeric jacket. By applying this approach, called reverse tube etching, to multimode fibers with 200/250 microm core/cladding diameter, we have fabricated tapered regions featuring high surface smoothness and average cone angles of approximately 30 degrees . A simple model based on the crucial role of the gravity in removing the etching products, explains the tip formation process.

  1. The "ICP OnLine": "Jeux sans frontieres" on the CyberCampus.

    ERIC Educational Resources Information Center

    Hutchison, Chris

    1995-01-01

    Focuses on an ICP (Inter-University Cooperation Programme) OnLine in the area of Informatics/Artificial Intelligence. Notes that ICP is accessed through the World Wide Web and was launched in the Summer of 1994 to provide "virtual mobility." Discusses the program's objectives, student experiences, and the risks and opportunities afforded by…

  2. Bond efficacy and interface morphology of self-etching adhesives to ground enamel.

    PubMed

    Abdalla, Ali I; El Zohairy, Ahmed A; Abdel Mohsen, Mohamed M; Feilzer, Albert J

    2010-02-01

    This study compared the microshear bond strengths to ground enamel of three one-step self-etching adhesive systems, a self-etching primer system and an etch-and-rinse adhesive system. Three self-etching adhesives, Futurabond DC (Voco), Clearfil S Tri Bond (Kuraray) and Hybrid bond (Sun-Medical), a self-etching primer, Clearfil SE Bond (Kuraray), and an etch-and-rinse system, Admira Bond (Voco), were selected. Thirty human molars were used. The root of each tooth was removed and the crown was sectioned into halves. The convex enamel surfaces were reduced by polishing on silicone paper to prepare a flat surface. The bonding systems were applied on this surface. Prior to adhesive curing, a hollow cylinder (2.0 mm height/0.75 mm internal diameter) was placed on the treated surfaces. A resin composite was then inserted into the tube and cured. After water storage for 24 h, the tube was removed and shear bond strength was determined in a universal testing machine at a crosshead speed of 0.5 mm/min. The results were analyzed with ANOVA and the Tukey.-Kramer test at a 59 degrees confidence level. The enamel of five additional teeth was ground, and the etching component of each adhesive was applied and removed with absolute ethanol instead of being light cured. These teeth and selected fractured surfaces were examined by SEM. Adhesion to ground enamel of the Futurabond DC (25 +/- 3.5 MPa) and Clearfil SE Bond (23 +/- 2.9 MPa) self-etching systems was not significantly different from the etch-and-rinse system Admira Bond (27 +/- 2.3 MPa). The two self-etching adhesives Clearfil S Tri bond and Hybrid Bond demonstrated significantly lower bond strengths (14 +/- 1.4 MPa; 11 +/- 1.9 MPa) with no significant differences between them (p < 0.05). Bond strengths to ground enamel of self-etching adhesive systems are dependent on the type of adhesive system. Some of the new adhesive systems showed bond strength values comparable to that of etch-and-rinse systems. There was no

  3. Influence of Etching Mode on Enamel Bond Durability of Universal Adhesive Systems.

    PubMed

    Suzuki, T; Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Endo, H; Erickson, R L; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to determine the enamel bond durability of three universal adhesives in different etching modes through fatigue testing. The three universal adhesives used were Scotchbond Universal, Prime&Bond Elect universal dental adhesive, and All-Bond Universal light-cured dental adhesive. A single-step self-etch adhesive, Clearfil S 3 Bond Plus was used as a control. The shear bond strength (SBS) and shear fatigue strength (SFS) to human enamel were evaluated in total-etch mode and self-etch mode. A stainless steel metal ring with an internal diameter of 2.4 mm was used to bond the resin composite to the flat-ground (4000-grit) tooth surfaces for determination of both SBS and SFS. For each enamel surface treatment, 15 specimens were prepared for SBS and 30 specimens for SFS. The staircase method for fatigue testing was then used to determine the SFS of the resin composite bonded to the enamel using 10-Hz frequencies for 50,000 cycles or until failure occurred. Scanning electron microscopy was used to observe representative debonded specimen surfaces and the resin-enamel interfaces. A two-way analysis of variance and the Tukey post hoc test were used for analysis of the SBS data, whereas a modified t-test with Bonferroni correction was used for the SFS data. All adhesives in total-etch mode showed significantly higher SBS and SFS values than those in self-etch mode. Although All-Bond Universal in self-etch mode showed a significantly lower SBS value than the other adhesives, there was no significant difference in SFS values among the adhesives in this mode. All adhesives showed higher SFS:SBS ratios in total-etch mode than in self-etch mode. With regard to the adhesive systems used in this study, universal adhesives showed higher enamel bond strengths in total-etch mode. Although the influence of different etching modes on the enamel-bonding performance of universal adhesives was found to be dependent on the adhesive material, total-etch mode

  4. Bonding effectiveness of self-etch adhesives to dentin after 24 h water storage

    PubMed Central

    Sarr, Mouhamed; Benoist, Fatou Leye; Bane, Khaly; Aidara, Adjaratou Wakha; Seck, Anta; Toure, Babacar

    2018-01-01

    Purpose: This study evaluated the immediate bonding effectiveness of five self-etch adhesive systems bonded to dentin. Materials and Methods: The microtensile bond strength of five self-etch adhesives systems, including one two-step and four one-step self-etch adhesives to dentin, was measured. Human third molars had their superficial dentin surface exposed, after which a standardized smear layer was produced using a medium-grit diamond bur. The selected adhesives were applied according to their respective manufacturer's instructions for μTBS measurement after storage in water at 37°C for 24 h. Results: The μTBS varied from 11.1 to 44.3 MPa; the highest bond strength was obtained with the two-step self-etch adhesive Clearfil SE Bond and the lowest with the one-step self-etch adhesive Adper Prompt L-Pop. Pretesting failures mainly occurring during sectioning with the slow-speed diamond saw were observed only with the one-step self-etch adhesive Adper Prompt L-Pop (4 out of 18). Conclusions: When bonded to dentin, the self-etch adhesives with simplified application procedures (one-step self-etch adhesives) still underperform as compared to the two-step self-etch adhesive Clearfil SE Bond. PMID:29674814

  5. [Comparative study on five pretreatment methods for ICP-OES determination of mineral elements in Rosa rugosa].

    PubMed

    Zhao, Ning; Yang, Bin; Duan, Yu-Cen; Lei, Ran

    2011-08-01

    Abstract Five different pretreatment methods, including dry ashing and microwave digestion with four acid systems (HNO3 + H2O2, HNO3 + H2O2 + HF, HNO3 + HClO4 and HNO3 + HClO4 + HF), were employed for digestion of the samples of Rosa rugosa. Nine major and trace elements were determined using ICP-OES method. The addition standard recovery rates indicate that, with the system of HNO3 + HClO4, better results can be obtained for most of the determined elements. With this method, except for Fe, the recovery rates are in the range of 95.4%-104.6%. For Fe, higher recovery rate (99%) was obtained with HNO3 + H2O2 + HF system.

  6. Sculpting Silica Colloids by Etching Particles with Nonuniform Compositions

    PubMed Central

    2017-01-01

    We present the synthesis of new shapes of colloidal silica particles by manipulating their chemical composition and subsequent etching. Segments of silica rods, prepared by the ammonia catalyzed hydrolysis and condensation of tetraethylorthosilicate (TEOS) from polyvinylpyrrolidone loaded water droplets, were grown under different conditions. Upon decreasing temperature, delaying ethanol addition, or increasing monomer concentration, the rate of dissolution of the silica segment subsequently formed decreased. A watery solution of NaOH (∼mM) selectively etched these segments. Further tuning the conditions resulted in rod–cone or cone–cone shapes. Deliberately modulating the composition along the particle’s length by delayed addition of (3-aminopropyl)-triethoxysilane (APTES) also allowed us to change the composition stepwise. The faster etching of this coupling agent in neutral conditions or HF afforded an even larger variety of particle morphologies while in addition changing the chemical functionality. A comparable step in composition was applied to silica spheres. Biamine functional groups used in a similar way as APTES caused a charge inversion during the growth, causing dumbbells and higher order aggregates to form. These particles etched more slowly at the neck, resulting in a biconcave silica ring sandwiched between two silica spheres, which could be separated by specifically etching the functionalized layer using HF. PMID:28413261

  7. Quantum-size-controlled photoelectrochemical etching of semiconductor nanostructures

    DOEpatents

    Fischer, Arthur J.; Tsao, Jeffrey Y.; Wierer, Jr., Jonathan J.; Xiao, Xiaoyin; Wang, George T.

    2016-03-01

    Quantum-size-controlled photoelectrochemical (QSC-PEC) etching provides a new route to the precision fabrication of epitaxial semiconductor nanostructures in the sub-10-nm size regime. For example, quantum dots (QDs) can be QSC-PEC-etched from epitaxial InGaN thin films using narrowband laser photoexcitation, and the QD sizes (and hence bandgaps and photoluminescence wavelengths) are determined by the photoexcitation wavelength.

  8. Ion track etching revisited: I. Correlations between track parameters in aged polymers

    NASA Astrophysics Data System (ADS)

    Fink, D.; Muñoz H., G.; García A., H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-04-01

    Some yet poorly understood problems of etching of pristine and swift heavy ion track-irradiated aged polymers were treated, by applying conductometry across the irradiated foils during etching. The onset times of etchant penetration across pristine foils, and the onset times of the different etched track regimes in irradiated foils were determined for polymers of various proveniences, fluences and ages, as well as their corresponding etching speeds. From the results, correlations of the parameters with each other were deduced. The normalization of these parameters enables one to compare irradiated polymer foils of different origin and treatment with one another. In a number of cases, also polymeric gel formation and swelling occur which influence the track etching behaviour. The polymer degradation during aging influences the track etching parameters, which differ from each other on both sides of the foils. With increasing sample age, these differences increase.

  9. Bonding characteristics of self-etching adhesives to intact versus prepared enamel.

    PubMed

    Perdigão, Jorge; Geraldeli, Saulo

    2003-01-01

    This study tested the null hypothesis that the preparation of the enamel surface would not affect the enamel microtensile bond strengths of self-etching adhesive materials. Ten bovine incisors were trimmed with a diamond saw to obtain a squared enamel surface with an area of 8 x 8 mm. The specimens were randomly assigned to five adhesives: (1) ABF (Kuraray), an experimental two-bottle self-etching adhesive; (2) Clearfil SE Bond (Kuraray), a two-bottle self-etching adhesive; (3) One-Up Bond F (Tokuyama), an all-in-one adhesive; (4) Prompt L-Pop (3M ESPE), an all-in-one adhesive; and (5) Single Bond (3M ESPE), a two-bottle total-etch adhesive used as positive control. For each specimen, one half was roughened with a diamond bur for 5 seconds under water spray, whereas the other half was left unprepared. The adhesives were applied as per manufacturers' directions. A universal hybrid composite resin (Filtek Z250, 3M ESPE) was inserted in three layers of 1.5 mm each and light-cured. Specimens were sectioned in X and Y directions to obtain bonded sticks with a cross-sectional area of 0.8 +/- 0.2 mm2. Sticks were tested in tension in an Instron at a cross-speed of 1 mm per minute. Statistical analysis was carried out with two-way analysis of variance and Duncan's test at p < .05. Ten extra specimens were processed for observation under a field-emission scanning electron microscope. Single Bond, the total-etch adhesive, resulted in statistically higher microtensile bond strength than any of the other adhesives regardless of the enamel preparation (unprepared = 31.5 MPa; prepared = 34.9 MPa, not statistically different at p < .05). All the self-etching adhesives resulted in higher microtensile bond strength when enamel was roughened than when enamel was left unprepared. However, for ABF and for Clearfil SE Bond this difference was not statistically significant at p > .05. When applied to ground enamel, mean bond strengths of Prompt L-Pop were not statistically different

  10. Anisotropic diamond etching through thermochemical reaction between Ni and diamond in high-temperature water vapour.

    PubMed

    Nagai, Masatsugu; Nakanishi, Kazuhiro; Takahashi, Hiraku; Kato, Hiromitsu; Makino, Toshiharu; Yamasaki, Satoshi; Matsumoto, Tsubasa; Inokuma, Takao; Tokuda, Norio

    2018-04-27

    Diamond possesses excellent physical and electronic properties, and thus various applications that use diamond are under development. Additionally, the control of diamond geometry by etching technique is essential for such applications. However, conventional wet processes used for etching other materials are ineffective for diamond. Moreover, plasma processes currently employed for diamond etching are not selective, and plasma-induced damage to diamond deteriorates the device-performances. Here, we report a non-plasma etching process for single crystal diamond using thermochemical reaction between Ni and diamond in high-temperature water vapour. Diamond under Ni films was selectively etched, with no etching at other locations. A diamond-etching rate of approximately 8.7 μm/min (1000 °C) was successfully achieved. To the best of our knowledge, this rate is considerably greater than those reported so far for other diamond-etching processes, including plasma processes. The anisotropy observed for this diamond etching was considerably similar to that observed for Si etching using KOH.

  11. Characterization of Etch Pit Formation via the Everson-Etching Method on CdZnTe Crystal Surfaces from the Bulk to the Nano-Scale

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Teague, L.; Duff, M.; Cadieux, J.

    2010-09-24

    A combination of atomic force microscopy, optical microscopy, and mass spectrometry was employed to study CdZnTe crystal surface and used etchant solution following exposure of the CdZnTe crystal to the Everson etch solution. We discuss the results of these studies in relationship to the initial surface preparation methods, the performance of the crystals as radiation spectrometers, the observed etch pit densities, and the chemical mechanism of surface etching. Our results show that the surface features that are exposed to etchants result from interactions with the chemical components of the etchants as well as pre-existing mechanical polishing.

  12. Microdroplet-etched highly birefringent low-loss fiber tapers.

    PubMed

    Mikkelsen, Jared C; Poon, Joyce K S

    2012-07-01

    We use hydrofluoric acid microdroplets to directly etch highly birefringent biconical fiber tapers from standard single-mode fibers. The fiber tapers have micrometer-sized cross sections, which are controlled by the etching condition. The characteristic teardrop cross section leads to a high group birefringence of B(G)≈0.017 and insertion losses <0.7 dB over waist lengths of about 2.1 mm.

  13. Chemical etching and organometallic chemical vapor deposition on varied geometries of GaAs

    NASA Technical Reports Server (NTRS)

    Bailey, Sheila G.; Landis, Geoffrey A.; Wilt, David M.

    1989-01-01

    Results of micron-spaced geometries produced by wet chemical etching and subsequent OMCVD growth on various GaAs surfaces are presented. The polar lattice increases the complexity of the process. The slow-etch planes defined by anisotropic etching are not always the same as the growth facets produced during MOCVD deposition, especially for deposition on higher-order planes produced by the hex groove etching.

  14. Interim Cryogenic Propulsion Stage (ICPS) Transport from DOC to

    NASA Image and Video Library

    2017-07-26

    Packed inside its canister, the Interim Cryogenic Propulsion Stage (ICPS) for NASA's Space Launch System (SLS) rocket is being transported to the Space Station Processing Facility at NASA's Kennedy Space Center in Florida. The ICPS is the first integrated piece of flight hardware to arrive for the SLS. It is the in-space stage that is located toward the top of the rocket, between the Launch Vehicle Stage Adapter and the Orion Spacecraft Adapter. It will provide some of the in-space propulsion during Orion's first flight test atop the SLS on Exploration Mission-1.

  15. Influence of Different Etching Modes on Bond Strength to Enamel using Universal Adhesive Systems.

    PubMed

    Diniz, Ana Cs; Bandeca, Matheus C; Pinheiro, Larissa M; Dos Santosh Almeida, Lauber J; Torres, Carlos Rg; Borges, Alvaro H; Pinto, Shelon Cs; Tonetto, Mateus R; De Jesus Tavarez, Rudys R; Firoozmand, Leily M

    2016-10-01

    The adhesive systems and the techniques currently used are designed to provide a more effective adhesion with reduction of the protocol application. The objective of this study was to evaluate the bond strength of universal adhesive systems on enamel in different etching modes (self-etch and total etch). The mesial and distal halves of 52 bovine incisors, healthy, freshly extracted, were used and divided into seven experimental groups (n = 13). The enamel was treated in accordance with the following experimental conditions: FUE-Universal System - Futurabond U (VOCO) with etching; FUWE - Futurabond U (VOCO) without etching; SB-Total Etch System - Single Bond 2 (3M); SBUE-Universal System - Single Bond Universal (3M ESPE) with etching; SBUWE - Single Bond Universal (3M ESPE) without etching; CLE-Self-etch System - Clearfil SE Bond (Kuraray) was applied with etching; CLWE - Clearfil SE Bond (Kuraray) without etching. The specimens were made using the composite spectrum TPH (Dentsply) and stored in distilled water (37 ± 1°C) for 1 month. The microshear test was performed using the universal testing machine EMIC DL 2000 with the crosshead speed of 0.5 mm/minute. The bond strength values were analyzed using statistical tests (Kruskal-Wallis test and Mann-Whitney test) with Bonferroni correction. There was no statistically significant difference between groups (p < 0.05), where FUE (36.83 ± 4.9 MPa) showed the highest bond strength values and SBUWE (18.40 ± 2.2 MPa) showed the lowest bond strength values. The analysis of adhesive interface revealed that most failures occurred between the interface composite resin and adhesive. The universal adhesive system used in dental enamel varies according to the trademark, and the previous enamel etching for universal systems and the self-etch both induced greater bond strength values. Selective enamel etching prior to the application of a universal adhesive system is a relevant strategy for better performance bonding.

  16. Power ultrasound irradiation during the alkaline etching process of the 2024 aluminum alloy

    NASA Astrophysics Data System (ADS)

    Moutarlier, V.; Viennet, R.; Rolet, J.; Gigandet, M. P.; Hihn, J. Y.

    2015-11-01

    Prior to any surface treatment on an aluminum alloy, a surface preparation is necessary. This commonly consists in performing an alkaline etching followed by acid deoxidizing. In this work, the use of power ultrasound irradiation during the etching step on the 2024 aluminum alloy was studied. The etching rate was estimated by weight loss, and the alkaline film formed during the etching step was characterized by glow discharge optical emission spectrometry (GDOES) and scanning electron microscope (SEM). The benefit of power ultrasound during the etching step was confirmed by pitting potential measurement in NaCl solution after a post-treatment (anodizing).

  17. Uniformly thinned optical fibers produced via HF etching with spectral and microscopic verification.

    PubMed

    Bal, Harpreet K; Brodzeli, Zourab; Dragomir, Nicoleta M; Collins, Stephen F; Sidiroglou, Fotios

    2012-05-01

    A method for producing uniformly thinned (etched) optical fibers is described, which can also be employed to etch optical fibers containing a Bragg grating (FBG) uniformly for evanescent-field-based sensing and other applications. Through a simple modification of this method, the fabrication of phase-shifted FBGs based on uneven etching is also shown. The critical role of how a fiber is secured is shown, and the success of the method is illustrated, by differential interference contrast microscopy images of uniformly etched FBGs. An etched FBG sensor for the monitoring of the refractive index of different glycerin solutions is demonstrated.

  18. A Reactive-Ion Etch for Patterning Piezoelectric Thin Film

    NASA Technical Reports Server (NTRS)

    Yang, Eui-Hyeok; Wild, Larry

    2003-01-01

    Reactive-ion etching (RIE) under conditions described below has been found to be a suitable means for patterning piezoelectric thin films made from such materials as PbZr(1-x)Ti(x)O3 or Ba(x)Sr(1.x)TiO3. In the original application for which this particular RIE process was developed, PbZr(1-x)Ti(x)O3 films 0.5 microns thick are to be sandwiched between Pt electrode layers 0.1 microns thick and Ir electrode layers 0.1 microns thick to form piezoelectric capacitor structures. Such structures are typical of piezoelectric actuators in advanced microelectromechanical systems now under development or planned to be developed in the near future. RIE of PbZr(1-x)Ti(x)O3 is usually considered to involve two major subprocesses: an ion-assisted- etching reaction, and a sputtering subprocess that removes reactive byproducts. RIE is favored over other etching techniques because it offers a potential for a high degree of anisotropy, high-resolution pattern definition, and good process control. However, conventional RIE is not ideal for patterning PbZr(1-x)Ti(x)O3 films at a thickness as great as that in the original intended application. In order to realize the potential benefits mentioned above, it is necessary to optimize process conditions . in particular, the composition of the etching gas and the values of such other process parameters as radio-frequency power, gas pressure, gas-flow rate, and duration of the process. Guidelines for determining optimum conditions can be obtained from experimental determination of etch rates as functions of these parameters. Etch-gas mixtures of BCl3 and Cl2, some also including Ar, have been found to offer a high degree of selectivity as needed for patterning of PbZr(1-x)Ti(x)O3 films on top of Ir electrode layers in thin-film capacitor structures. The selectivity is characterized by a ratio of approx.10:1 (rate of etching PbZr(1-x)Ti(x)O3 divided by rate of etching Ir and IrO(x)). At the time of reporting the information for this article

  19. Does active application of universal adhesives to enamel in self-etch mode improve their performance?

    PubMed

    Loguercio, Alessandro D; Muñoz, Miguel Angel; Luque-Martinez, Issis; Hass, Viviane; Reis, Alessandra; Perdigão, Jorge

    2015-09-01

    To evaluate the effect of adhesion strategy on the enamel microshear bond strengths (μSBS), etching pattern, and in situ degree of conversion (DC) of seven universal adhesives. 84 extracted third molars were sectioned in four parts (buccal, lingual, proximal) and divided into 21 groups, according to the combination of the main factors adhesive (AdheSE Universal [ADU], All-Bond Universal [ABU], Clearfil Universal [CFU], Futurabond U [FBU], G-Bond Plus [GBP], Prime&Bond Elect (PBE), and Scotchbond Universal Adhesive [SBU]), and adhesion strategy (etch-and-rinse, active self-etch, and passive self-etch). Specimens were stored in water (37°C/24h) and tested at 1.0mm/min (μSBS). Enamel-resin interfaces were evaluated for DC using micro-Raman spectroscopy. The enamel-etching pattern was evaluated under a field-emission scanning electron microscope (direct and replica techniques). Data were analyzed with two-way ANOVA and Tukey's test (α=0.05). Active self-etch application increased μSBS and DC for five out of the seven universal adhesives when compared to passive application (p<0.001). A deeper enamel-etching pattern was observed for all universal adhesives in the etch-and-rinse strategy. A slight improvement in etching ability was observed in active self-etch application compared to that of passive self-etch application. Replicas of GBP and PBE applied in active self-etch mode displayed morphological features compatible with water droplets. The DC of GBP and PBE were not affected by the application/strategy mode. In light of the improved performance of universal adhesives when applied actively in SE mode, selective enamel etching with phosphoric acid may not be crucial for their adhesion to enamel. The active application of universal adhesives in self-etch mode may be a practical alternative to enamel etching in specific clinical situations. Copyright © 2015 Elsevier Ltd. All rights reserved.

  20. Characterization of Elements Regulating the Nuclear-to-Cytoplasmic Translocation of ICP0 in Late Herpes Simplex Virus 1 Infection.

    PubMed

    Samrat, Subodh Kumar; Ha, Binh L; Zheng, Yi; Gu, Haidong

    2018-01-15

    Infected cell protein 0 (ICP0) of herpes simplex virus 1 (HSV-1) is an immediate early protein containing a RING-type E3 ubiquitin ligase. It targets several host factors for proteasomal degradation and subsequently activates viral expression. ICP0 has a nuclear localization sequence and functions in the nucleus early during infection. However, later in infection, ICP0 is found solely in the cytoplasm. The molecular mechanism and biological function of the ICP0 nuclear-to-cytoplasmic translocation are not well understood. In this study, we sought to characterize elements important for this translocation. We found that (i) in human embryonic lung fibroblast (HEL) cells, ICP0 C-terminal residues 741 to 775 were necessary but not sufficient for the nuclear-to-cytoplasmic translocation; (ii) the loss of ICP0 E3 ubiquitin ligase activity, which led to defective viral replication in nonpermissive cells, also caused mutant ICP0 to be retained in the nucleus of HEL cells; (iii) in permissive U2OS cells, however, ICP0 lacking E3 ligase activity was translocated to the cytoplasm at a pace faster than that of wild-type ICP0, suggesting that nuclear retention of ICP0 occurs in an ICP0 E3 ligase-dependent manner; and (iv) the ICP0 C terminus and late viral proteins cooperate in order to overcome nuclear retention and stimulate ICP0 cytoplasmic translocation. Taken together, less ICP0 nuclear retention may contribute to the permissiveness of U2OS cells to HSV-1 in the absence of functional ICP0. IMPORTANCE A distinct characteristic for eukaryotes is the compartmentalization of cell metabolic pathways, which allows greater efficiency and specificity of cellular functions. ICP0 of HSV-1 is a multifunctional viral protein that travels through different compartments as infection progresses. Its main regulatory functions are carried out in the nucleus, but it is translocated to the cytoplasm late during HSV-1 infection. To understand the biological significance of cytoplasmic ICP0 in

  1. Microtensile bond strength of etch-and-rinse and self-etching adhesives to intrapulpal dentin after endodontic irrigation and setting of root canal sealer.

    PubMed

    Wattanawongpitak, Nipaporn; Nakajima, Masatoshi; Ikeda, Masaomi; Foxton, Richard M; Tagami, Junji

    2009-02-01

    To evaluate the effect of endodontic irrigation regimens and calcium hydroxide root canal sealer (Sealapex) on the microtensile bond strengths (muTBS) of dual-curing resin composite (Clearfil DC Core Automix) to the intrapulpal dentin. Forty standardized coronal-half root canal dentin specimens obtained from human premolars were divided into 4 groups: group A, no treatment (control); group B, Sealapex; group C, NaOCl/Sealapex; group D, EDTA/NaOCl/Sealapex. After 7 days of storage in 100% relative humidity, Sealapex was removed. Dentin surfaces were bonded with adhesives, either etch-and-rinse (Single Bond) or self-etching (Clearfil SE Bond), and built up with resin composite. The bonded specimens were trimmed into an hourglass shape with a 1-mm2 cross-sectional area for microtensile testing (n = 20). The muTBS to intrapulpal dentin was analyzed using two-way ANOVA and Dunnett's TC test. Two teeth of each group were prepared for micromorphological analysis of dentin surface. The root canal sealer with or without endodontic irrigation significantly affected the bond strengths of resin composite to intrapulpal dentin compared with the control group (p < 0.05). There were no significant differences in muTBS of each experimental group between etch-and-rinse and self-etching adhesives (p > 0.05). The dentin surface was covered with a mud-like material after sealer application for 7 days. The root canal sealer reduced the muTBS of dual-curing resin composite with etch-and-rinse and self-etching adhesive systems to intrapulpal dentin. Treatment with EDTA followed by NaOCI prior to obturation caused an additional reduction in muTBS of both adhesive systems to intrapulpal dentin.

  2. Comparative evaluation of ICP sample introduction systems to be used in the metabolite profiling of chlorine-containing pharmaceuticals via HPLC-ICP-MS.

    PubMed

    Klencsár, Balázs; Sánchez, Carlos; Balcaen, Lieve; Todolí, José; Lynen, Frederic; Vanhaecke, Frank

    2018-05-10

    A systematic evaluation of four different ICP sample introduction systems to be used in the context of metabolite profiling of chlorine-containing pharmaceuticals via HPLC-ICP-MS was carried out using diclofenac and its major metabolite, 4'-hydroxy-diclofenac, as model compounds. The strict requirements for GMP validation of chromatographic methods in the pharmaceutical industry were adhered to in this context. The final aim of this investigation is an extension of the applicability and validatability of HPLC-ICP-MS in the field of pharmaceutical R&D. Five different gradient programmes were tested while the baseline peak width (w b ), peak capacity (P), USP tailing factor (A s ) and USP signal-to-noise ratio (USP S/N) were determined as major indicators of the chromatographic performance and the values obtained were compared to the corresponding FDA recommendations (if applicable). Four different ICP-MS sample introductions systems were investigated involving two units typically working at higher flow rates (∼1.0 mL min -1 ) and another two systems working at lower flow rates (∼0.1 mL min -1 ). Optimal conditions with potential for applicability under GMP conditions were found at a mobile phase flow rate of 1.0 mL min -1 by using a pneumatic micro-flow LC nebulizer mounted onto a Peltier-cooled cyclonic spray chamber cooled to -1 °C for sample introduction. Under these conditions, HPLC-ICP-MS provided a chromatographic performance similar to that of HPLC with UV detection. The peak shape (USP tailing factor = 1.1-1.4) was significantly improved compared to that obtained with the Peltier-cooled Scott-type spray chamber. Two alternative sample introduction systems - a POINT ® and a High-Temperature Torch-Integrated Sample Introduction System (hTISIS) - were also tested at a flow rate of 0.1 mL min -1 using a chromatographic column with 1.0 mm ID. Although these systems allowed the peak shape to be improved compared to that obtained with

  3. Multielemental speciation analysis by advanced hyphenated technique - HPLC/ICP-MS: A review.

    PubMed

    Marcinkowska, Monika; Barałkiewicz, Danuta

    2016-12-01

    Speciation analysis has become an invaluable tool in human health risk assessment, environmental monitoring or food quality control. Another step is to develop reliable multielemental speciation methodologies, to reduce costs, waste and time needed for the analysis. Separation and detection of species of several elements in a single analytical run can be accomplished by high performance liquid chromatography hyphenated to inductively coupled plasma mass spectrometry (HPLC/ICP-MS). Our review assembles articles concerning multielemental speciation determination of: As, Se, Cr, Sb, I, Br, Pb, Hg, V, Mo, Te, Tl, Cd and W in environmental, biological, food and clinical samples analyzed with HPLC/ICP-MS. It addresses the procedures in terms of following issues: sample collection and pretreatment, selection of optimal conditions for elements species separation by HPLC and determination using ICP-MS as well as metrological approach. The presented work is the first review article concerning multielemental speciation analysis by advanced hyphenated technique HPLC/ICP-MS. Copyright © 2016 Elsevier B.V. All rights reserved.

  4. Evaluation of the Shear Bond Strength of Composite Resin to Wet and Dry Enamel Using Dentin Bonding Agents Containing Various Solvents

    PubMed Central

    Ramarao, Sathyanarayanan; John, Bindu Meera; Rajesh, Praveen; Swatha, S

    2017-01-01

    Introduction Bonding of composite resin to dentin mandates a wet substrate whereas, enamel should be dry. This may not be easily achievable in intracoronal preparations where enamel and dentin are closely placed to each other. Therefore, Dentin Bonding Agents (DBA) are recommended for enamel and dentinal bonding, where enamel is also left moist. A research question was raised if the “enamel-only” preparations will also benefit from wet enamel bonding and contemporary DBA. Aim The aim of this study was to compare the shear bond strengths of composite resin, bonded to dry and wet enamel using fifth generation DBA (etch and rinse system) containing various solvents such as ethanol/water, acetone and ethanol. Materials and Methods The crowns of 120 maxillary premolars were split into buccal and lingual halves. They were randomly allocated into four groups of DBA: Group 1-water/ethanol based, Group 2-acetone based, Group 3-ethanol based, Group 4-universal bonding agent (control group). The buccal halves and lingual halves were bonded using the wet bonding and dry bonding technique respectively. After application of the DBAs and composite resin build up, shear bond strength testing was done. Results Group 1 (ethanol/water based ESPE 3M, Adper Single Bond) showed highest bond strength of (23.15 MPa) in dry enamel. Group 2 (acetone based Denstply, Prime and Bond NT, showed equal bond strength in wet and dry enamel condition (18.87 MPa and 18.02 MPa respectively). Conclusion Dry enamel bonding and ethanol/water based etch and rinse DBA can be recommended for “enamel-only” tooth preparations. PMID:28274042

  5. Catalytically-etched hexagonal boron nitride flakes and their surface activity

    NASA Astrophysics Data System (ADS)

    Kim, Do-Hyun; Lee, Minwoo; Ye, Bora; Jang, Ho-Kyun; Kim, Gyu Tae; Lee, Dong-Jin; Kim, Eok-Soo; Kim, Hong Dae

    2017-04-01

    Hexagonal boron nitride (h-BN) is a ceramic compound which is thermally stable up to 1000 °C in air. Due to this, it is a very challenging task to etch h-BN under air atmosphere at low temperature. In this study, we report that h-BN flakes can be easily etched by oxidation at 350 °C under air atmosphere in the presence of transition metal (TM) oxide. After selecting Co, Cu, and Zn elements as TM precursors, we simply oxidized h-BN sheets impregnated with the TM precursors at 350 °C in air. As a result, microscopic analysis revealed that an etched structure was created on the surface of h-BN flakes regardless of catalyst type. And, X-ray diffraction patterns indicated that the air oxidation led to the formation of Co3O4, CuO, and ZnO from each precursor. Thermogravimetric analysis showed a gradual weight loss in the temperature range where the weight of h-BN flakes increased by air oxidation. As a result of etching, pore volume and pore area of h-BN flakes were increased after catalytic oxidation in all cases. In addition, the surface of h-BN flakes became highly active when the h-BN samples were etched by Co3O4 and CuO catalysts. Based on these results, we report that h-BN flakes can be easily oxidized in the presence of a catalyst, resulting in an etched structure in the layered structure.

  6. Localized etching of polymer films using an atmospheric pressure air microplasma jet

    NASA Astrophysics Data System (ADS)

    Guo, Honglei; Liu, Jingquan; Yang, Bin; Chen, Xiang; Yang, Chunsheng

    2015-01-01

    A direct-write process device based on the atmospheric pressure air microplasma jet (AμPJ) has been developed for the localized etching of polymer films. The plasma was generated by the air discharge ejected out through a tip-nozzle (inner diameter of 100 μm), forming the microplasma jet. The AμPJ was capable of reacting with the polymer surface since it contains a high concentration of oxygen reactive species and thus resulted in the selective removal of polymer films. The experimental results demonstrated that the AμPJ could fabricate different microstructures on a parylene-C film without using any masks or causing any heat damage. The etch rate of parylene-C reached 5.1 μm min-1 and microstructures of different depth and width could also be realized by controlling two process parameters, namely, the etching time and the distance between the nozzle and the substrate. In addition, combining XPS analysis and oxygen-induced chemical etching principles, the potential etching mechanism of parylene-C by the AμPJ was investigated. Aside from the etching of parylene-C, micro-holes on the photoresist and polyimide film were successfully created by the AμPJ. In summary, maskless pattern etching of polymer films could be achieved using this AμPJ.

  7. Identification of TRIM27 as a novel degradation target of herpes simplex virus 1 ICP0.

    PubMed

    Conwell, Sara E; White, Anne E; Harper, J Wade; Knipe, David M

    2015-01-01

    The herpes simplex virus 1 (HSV-1) immediate early protein ICP0 performs many functions during infection, including transactivation of viral gene expression, suppression of innate immune responses, and modification and eviction of histones from viral chromatin. Although these functions of ICP0 have been characterized, the detailed mechanisms underlying ICP0's complex role during infection warrant further investigation. We thus undertook an unbiased proteomic approach to identifying viral and cellular proteins that interact with ICP0 in the infected cell. Cellular candidates resulting from our analysis included the ubiquitin-specific protease USP7, the transcriptional repressor TRIM27, DNA repair proteins NBN and MRE11A, regulators of apoptosis, including BIRC6, and the proteasome. We also identified two HSV-1 early proteins involved in nucleotide metabolism, UL39 and UL50, as novel candidate interactors of ICP0. Because TRIM27 was the most statistically significant cellular candidate, we investigated the relationship between TRIM27 and ICP0. We observed rapid, ICP0-dependent loss of TRIM27 during HSV-1 infection. TRIM27 protein levels were restored by disrupting the RING domain of ICP0 or by inhibiting the proteasome, arguing that TRIM27 is a novel degradation target of ICP0. A mutant ICP0 lacking E3 ligase activity interacted with endogenous TRIM27 during infection as demonstrated by reciprocal coimmunoprecipitation and supported by immunofluorescence data. Surprisingly, ICP0-null mutant virus yields decreased upon TRIM27 depletion, arguing that TRIM27 has a positive effect on infection despite being targeted for degradation. These results illustrate a complex interaction between TRIM27 and viral infection with potential positive or negative effects of TRIM27 on HSV under different infection conditions. During productive infection, a virus must simultaneously redirect multiple cellular pathways to replicate itself while evading detection by the host's defenses. To

  8. Elemental profiling and geographical differentiation of Ethiopian coffee samples through inductively coupled plasma-optical emission spectroscopy (ICP-OES), ICP-mass spectrometry (ICP-MS) and direct mercury analyzer (DMA).

    PubMed

    Habte, Girum; Hwang, In Min; Kim, Jae Sung; Hong, Joon Ho; Hong, Young Sin; Choi, Ji Yeon; Nho, Eun Yeong; Jamila, Nargis; Khan, Naeem; Kim, Kyong Su

    2016-12-01

    This study was aimed to establish the elemental profiling and provenance of coffee samples collected from eleven major coffee producing regions of Ethiopia. A total of 129 samples were analyzed for forty-five elements using inductively coupled plasma (ICP)-optical emission spectroscopy (OES), ICP-mass spectrometry (MS) and direct mercury analyzer (DMA). Among the macro elements, K showed the highest levels whereas Fe was found to have the lowest concentration values. In all the samples, Ca, K, Mg, P and S contents were statistically significant (p<0.05). Micro elements showed the concentrations order of: Mn>Cu>Sr>Zn>Rb>Ni>B. Contents of the trace elements were lower than the permissible standard values. Inter-regions differentiation by cluster analysis (CA), linear discriminant analysis (LDA) and principal component analysis (PCA) showed that micro and trace elements are the best chemical descriptors of the analyzed coffee samples. Copyright © 2016 Elsevier Ltd. All rights reserved.

  9. Enamel and dentin bond strengths of a new self-etch adhesive system.

    PubMed

    Walter, Ricardo; Swift, Edward J; Boushell, Lee W; Braswell, Krista

    2011-12-01

    statement of problem:  Self-etch adhesives typically are mildly acidic and therefore less effective than etch-and-rinse adhesives for bonding to enamel.   The purpose of this study was to evaluate the enamel and dentin shear bond strengths of a new two-step self-etch adhesive system, OptiBond XTR (Kerr Corporation, Orange, CA, USA).   The labial surfaces of 80 bovine teeth were ground to create flat, 600-grit enamel or dentin surfaces. Composite was bonded to enamel or dentin using the new two-step self-etch system or a three-step etch-and-rinse (OptiBond FL, Kerr), two-step self-etch (Clearfil SE Bond, Kuraray America, Houston, TX, USA), or one-step self-etch adhesive (Xeno IV, Dentsply Caulk, Milford, DE, USA). Following storage in water for 24 hours, shear bond strengths were determined using a universal testing machine. The enamel and dentin data sets were subjected to separate analysis of variance and Tukey's tests. Scanning electron microscopy was used to evaluate the effects of each system on enamel.   Mean shear bond strengths to enamel ranged from 18.1 MPa for Xeno IV to 41.0 MPa for OptiBond FL. On dentin, the means ranged from 33.3 MPa for OptiBond FL to 47.1 MPa for Clearfil SE Bond. OptiBond XTR performed as well as Clearfil SE Bond on dentin and as well as OptiBond FL on enamel. Field emission scanning electron microscope revealed that OptiBond XTR produced an enamel etch pattern that was less defined than that of OptiBond FL (37.5% phosphoric acid) but more defined than that of Clearfil SE Bond or Xeno IV.   The new two-step self-etch adhesive system formed excellent bonds to enamel and dentin in vitro. OptiBond XTR, a new two-step self-etch adhesive system, is a promising material for bonding to enamel as well as to dentin. © 2011 Wiley Periodicals, Inc.

  10. Characterization Of Nuclear Materials Using Time-Of-Flight ICP-MS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Buerger, Stefan; Riciputi, Lee R; Bostick, Debra A

    2006-01-01

    The investigation of illicit trafficking of nuclear materials, nuclear safeguards analysis, and non-proliferation control requires sensitive and isotope-selective detection methods to gain crucial nuclear forensic information like isotope 'fingerprints' and multi-element signatures. The advantage of time-of-flight (TOF) mass spectrometry - quasi-simultaneous multi-mass analysis - combined with an inductively coupled plasma (ICP) ion source provides an analytical instrument with multi-element and multi-isotope capability and good detection limits. A TOF-ICP-MS system thus appears to be an advantageous choice for the investigation and characterization of nuclear materials. We present here results using a GBC OptiMass 8000 time-of-flight ICP-MS for the isotope screening ofmore » solid samples by laser ablation and the multi-element determination of impurities in uranium ore concentrates using matrix matched standards. A laser ablation system (New Wave Research, UP 213) coupled to the TOF-ICP-MS instrument has been used to optimize the system for analysis of non-radioactive metal samples of natural isotopic composition for a variety of elements including Cu, Sr, Zr, Mo, Cd, In, Ba, Ta, W, Re, Pt, and Pb in pure metals, alloys, and glasses to explore precision, accuracy, and detection limits. Similar methods were then applied to measure uranium. When the laser system is optimized, no mass bias correction is required. Precision and accuracy for the determination of the isotopic composition is typically 1 - 3% for elemental concentrations of as little as 50 ppm in the matrix, with no requirement for sample preparation. The laser ablation precision and accuracy are within ~10x of the instrumental limits for liquid analysis (0.1%). We have investigated the capabilities of the TOF-ICP-MS for the analysis of impurities in uranium matrices. Matrix matching has been used to develop calibration curves for a range of impurities (alkaline, earth-alkaline, transition metals, and

  11. Stain-etched porous silicon nanostructures for multicrystalline silicon-based solar cells

    NASA Astrophysics Data System (ADS)

    Ben Rabha, M.; Hajji, M.; Belhadj Mohamed, S.; Hajjaji, A.; Gaidi, M.; Ezzaouia, H.; Bessais, B.

    2012-02-01

    In this paper, we study the optical, optoelectronic and photoluminescence properties of stain-etched porous silicon nanostructures obtained with different etching times. Special attention is given to the use of the stain-etched PS as an antireflection coating as well as for surface passivating capabilities. The surface morphology has been analyzed by scanning electron microscopy. The evolution of the Si-O and Si-H absorption bands was analyzed by Fourier transform infrared spectrometry before and after PS treatment. Results show that stain etching of the silicon surface drops the total reflectivity to about 7% in the 400-1100 nm wavelength range and the minority carrier lifetime enhances to about 48 μs.

  12. Etching nano-holes in silicon carbide using catalytic platinum nano-particles

    NASA Astrophysics Data System (ADS)

    Moyen, E.; Wulfhekel, W.; Lee, W.; Leycuras, A.; Nielsch, K.; Gösele, U.; Hanbücken, M.

    2006-09-01

    The catalytic reaction of platinum during a hydrogen etching process has been used to perform controlled vertical nanopatterning of silicon carbide substrates. A first set of experiments was performed with platinum powder randomly distributed on the SiC surface. Subsequent hydrogen etching in a hot wall reactor caused local atomic hydrogen production at the catalyst resulting in local SiC etching and hole formation. Secondly, a highly regular and monosized distribution of Pt was obtained by sputter deposition of Pt through an Au membrane serving as a contact mask. After the lift-off of the mask, the hydrogen etching revealed the onset of well-controlled vertical patterned holes on the SiC surface.

  13. Reduction of polyatomic interferences in ICP-MS by collision/reaction cell (CRC-ICP-MS) techniques

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eiden, Greg C; Barinaga, Charles J; Koppenaal, David W

    2012-05-01

    Polyatomic and other spectral interferences in plasma source mass spectrometry (PSMS) can be dramatically reduced using collision and reaction cells (CRC). These devices have been used for decades in fundamental studies of ion-molecule chemistry, but have only recently been applied to PSMS. Benefits of this approach as applied in inductively coupled plasma MS (ICP-MS) include interference reduction, isobar separation, and thermalization/focusing of ions. Novel ion-molecule chemistry schemes are now routinely designed and empirically evaluated with relative ease. These “chemical resolution” techniques can avert interferences requiring mass spectral resolutions of >600,000 (m/m). Purely physical ion beam processes, including collisional dampening andmore » collisional dissociation, are also employed to provide improved sensitivity, resolution, and spectral simplicity. CRC techniques are now firmly entrenched in current-day ICP-MS technology, enabling unprecedented flexibility and freedom from many spectral interferences. A significant body of applications has now been reported in the literature. CRC techniques are found to be most useful for specialized or difficult analytical needs and situations, and are employed in both single- and multi-element determination modes.« less

  14. Characterization of Nanomaterials Using Field Flow Fractionation and Single Particle Inductively Coupled Plasma Mass Spectrometery (FFF-ICP-MS and SP-ICP-MS): Scientific Operating Procedure SOP-C

    DTIC Science & Technology

    2015-04-01

    monodisperse particles. ENPs in environmental samples will likely have much broader size distributions and thus FFF-ICP-MS was tested over a greater...Figure 6). Resolution is based on ICP-MS sensitivity, and will likely decrease as the difference in particle diameter decreases. Second, this...Alvarez. 2006. Antibacterial activity of fullerene water suspensions: Effects of preparation method and particle size. Environmental Science

  15. Method of inducing differential etch rates in glow discharge produced amorphous silicon

    DOEpatents

    Staebler, David L.; Zanzucchi, Peter J.

    1980-01-01

    A method of inducing differential etch rates in glow discharge produced amorphous silicon by heating a portion of the glow discharge produced amorphous silicon to a temperature of about 365.degree. C. higher than the deposition temperature prior to etching. The etch rate of the exposed amorphous silicon is less than the unheated amorphous silicon.

  16. Restoration of obliterated engraved marks on steel surfaces by chemical etching reagent.

    PubMed

    Song, Qingfang

    2015-05-01

    Chemical etching technique is widely used for restoration of obliterated engraved marks on steel surface in the field of public security. The consumed thickness of steel surface during restoration process is considered as a major criterion for evaluating the efficiency of the chemical etching reagent. The thinner the consumed thickness, the higher the restoration efficiency. According to chemical principles, maintaining the continuous oxidative capabilities of etching reagents and increasing the kinetic rate difference of the reaction between the engraved and non-engraved area with the chemical etching reagent can effectively reduce the consumed steel thickness. The study employed steel surface from the engine case of motorcycle and the car frame of automobile. The chemical etching reagents are composed of nitric acid as the oxidizer, hydrofluoric acid as the coordination agent and mixed with glacial acetic acid or acetone as the solvents. Based on the performance evaluation of three different etching reagents, the one composed of HNO3, HF and acetone gave the best result. Copyright © 2015 Elsevier Ireland Ltd. All rights reserved.

  17. Consideration of correlativity between litho and etching shape

    NASA Astrophysics Data System (ADS)

    Matsuoka, Ryoichi; Mito, Hiroaki; Shinoda, Shinichi; Toyoda, Yasutaka

    2012-03-01

    We developed an effective method for evaluating the correlation of shape of Litho and Etching pattern. The purpose of this method, makes the relations of the shape after that is the etching pattern an index in wafer same as a pattern shape on wafer made by a lithography process. Therefore, this method measures the characteristic of the shape of the wafer pattern by the lithography process and can predict the hotspot pattern shape by the etching process. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used wafer CD-SEM. Currently, as semiconductor manufacture moves towards even smaller feature size, this necessitates more aggressive optical proximity correction (OPC) to drive the super-resolution technology (RET). In other words, there is a trade-off between highly precise RET and lithography management, and this has a big impact on the semiconductor market that centers on the semiconductor business. 2-dimensional shape of wafer quantification is important as optimal solution over these problems. Although 1-dimensional shape measurement has been performed by the conventional technique, 2-dimensional shape management is needed in the mass production line under the influence of RET. We developed the technique of analyzing distribution of shape edge performance as the shape management technique. In this study, we conducted experiments for correlation method of the pattern (Measurement Based Contouring) as two-dimensional litho and etch evaluation technique. That is, observation of the identical position of a litho and etch was considered. It is possible to analyze variability of the edge of the same position with high precision.

  18. Uniform lateral etching of tungsten in deep trenches utilizing reaction-limited NF3 plasma process

    NASA Astrophysics Data System (ADS)

    Kofuji, Naoyuki; Mori, Masahito; Nishida, Toshiaki

    2017-06-01

    The reaction-limited etching of tungsten (W) with NF3 plasma was performed in an attempt to achieve the uniform lateral etching of W in a deep trench, a capability required by manufacturing processes for three-dimensional NAND flash memory. Reaction-limited etching was found to be possible at high pressures without ion irradiation. An almost constant etching rate that showed no dependence on NF3 pressure was obtained. The effect of varying the wafer temperature was also examined. A higher wafer temperature reduced the threshold pressure for reaction-limited etching and also increased the etching rate in the reaction-limited region. Therefore, the control of the wafer temperature is crucial to controlling the etching amount by this method. We found that the uniform lateral etching of W was possible even in a deep trench where the F radical concentration was low.

  19. Regulation of Innate Immune Responses by Bovine Herpesvirus 1 and Infected Cell Protein 0 (bICP0)

    PubMed Central

    Jones, Clinton

    2009-01-01

    Bovine herpesvirus 1 (BoHV-1) infected cell protein 0 (bICP0) is an important transcriptional regulatory protein that stimulates productive infection. In transient transfection assays, bICP0 also inhibits interferon dependent transcription. bICP0 can induce degradation of interferon stimulatory factor 3 (IRF3), a cellular transcription factor that is crucial for activating beta interferon (IFN-β) promoter activity. Recent studies also concluded that interactions between bICP0 and IRF7 inhibit trans-activation of IFN-β promoter activity. The C3HC4 zinc RING (really important new gene) finger located near the amino terminus of bICP0 is important for all known functions of bICP0. A recombinant virus that contains a single amino acid change in a well conserved cysteine residue of the C3HC4 zinc RING finger of bICP0 grows poorly in cultured cells, and does not reactivate from latency in cattle confirming that the C3HC4 zinc RING finger is crucial for viral growth and pathogenesis. A bICP0 deletion mutant does not induce plaques in permissive cells, but induces autophagy in a cell type dependent manner. In summary, the ability of bICP0 to stimulate productive infection, and repress IFN dependent transcription plays a crucial role in the BoHV-1 infection cycle. PMID:21994549

  20. Reactive ion etched substrates and methods of making and using

    DOEpatents

    Rucker, Victor C [San Francisco, CA; Shediac, Rene [Oakland, CA; Simmons, Blake A [San Francisco, CA; Havenstrite, Karen L [New York, NY

    2007-08-07

    Disclosed herein are substrates comprising reactive ion etched surfaces and specific binding agents immobilized thereon. The substrates may be used in methods and devices for assaying or isolating analytes in a sample. Also disclosed are methods of making the reactive ion etched surfaces.

  1. Microwave assisted extraction for trace element analysis of plant materials by ICP-AES.

    PubMed

    Borkowska-Burnecka, J

    2000-11-01

    Application of microwave assisted extraction for the decomposition and dissolution of plant samples for trace metal determination by ICP-AES was examined. Dried onion, leaves of spinach beet and three reference materials CTA-OTL-1, CTA-VTL-2 and CL-1 were analyzed. Water, EDTA and hydrochloric acid (0.01, 0.10 and 1.0 M, respectively) were used as leaching solutions. The extraction efficiency was investigated by comparison of the results with those obtained after microwave wet digestion. HCl was found to be very suitable for quantitative extraction of B, Ba, Cd, Cu, Mn, Ni, Pb, Sr and Zn from the samples. For reference materials, the measured concentrations are well consistent with the certified values. The use of EDTA led to a complete extraction of B, Cd, Ni, Pb, Sr and Zn. Water was found to be a good leaching solution for boron. For extraction with HCl and EDTA, the RSD values for the concentrations measured were below 8% for most of the elements.

  2. Interim Cryogenic Propulsion Stage (ICPS) Transport from DOC to

    NASA Image and Video Library

    2017-07-26

    Packed inside its canister, the Interim Cryogenic Propulsion Stage (ICPS) for NASA's Space Launch System (SLS) rocket arrives at the low bay entrance of the Space Station Processing Facility at NASA's Kennedy Space Center in Florida. The ICPS is the first integrated piece of flight hardware to arrive for the SLS. It is the in-space stage that is located toward the top of the rocket, between the Launch Vehicle Stage Adapter and the Orion Spacecraft Adapter. It will provide some of the in-space propulsion during Orion's first flight test atop the SLS on Exploration Mission-1.

  3. Interim Cryogenic Propulsion Stage (ICPS) Transport from DOC to

    NASA Image and Video Library

    2017-07-26

    Packed inside its canister, the Interim Cryogenic Propulsion Stage (ICPS) for NASA's Space Launch System (SLS) rocket is moved into the low bay entrance of the Space Station Processing Facility at NASA's Kennedy Space Center in Florida. The ICPS is the first integrated piece of flight hardware to arrive for the SLS. It is the in-space stage that is located toward the top of the rocket, between the Launch Vehicle Stage Adapter and the Orion Spacecraft Adapter. It will provide some of the in-space propulsion during Orion's first flight test atop the SLS on Exploration Mission-1.

  4. Changes in boron fiber strength due to surface removal by chemical etching

    NASA Technical Reports Server (NTRS)

    Smith, R. J.

    1976-01-01

    The effects of chemical etching on the tensile strength of commercial boron/tungsten fibers were investigated. Fibers with as-received diameters of 203, 143, and 100 micrometers were etched to diameters as small as 43 micrometers. The etching generally resulted in increasing fiber tensile strength with decreasing fiber diameter. And for the 203 micrometer fibers there was an accompanying significant decrease in the coefficient of variation of the tensile strength for diameters down to 89 micrometers. Heat treating these fibers above 1,173 K in a vacuum caused a marked decrease in the average tensile strength of at least 80 percent. But after the fibers were etched, their strengths exceeded the as-received strengths. The tensile strength behavior is explained in terms of etching effects on surface flaws and the residual stress pattern of the as-received fibers.

  5. Back-channel-etch amorphous indium-gallium-zinc oxide thin-film transistors: The impact of source/drain metal etch and final passivation

    NASA Astrophysics Data System (ADS)

    Nag, Manoj; Bhoolokam, Ajay; Steudel, Soeren; Chasin, Adrian; Myny, Kris; Maas, Joris; Groeseneken, Guido; Heremans, Paul

    2014-11-01

    We report on the impact of source/drain (S/D) metal (molybdenum) etch and the final passivation (SiO2) layer on the bias-stress stability of back-channel-etch (BCE) configuration based amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). It is observed that the BCE configurations TFTs suffer poor bias-stability in comparison to etch-stop-layer (ESL) TFTs. By analysis with transmission electron microscopy (TEM) and energy dispersive spectroscopy (EDS), as well as by a comparative analysis of contacts formed by other metals, we infer that this poor bias-stability for BCE transistors having Mo S/D contacts is associated with contamination of the back channel interface, which occurs by Mo-containing deposits on the back channel during the final plasma process of the physical vapor deposited SiO2 passivation.

  6. Boron determination in steels by Inductively-Coupled Plasma spectometry (ICP)

    NASA Technical Reports Server (NTRS)

    Coedo, A. G.; Lopez, M. T. D.

    1986-01-01

    The sample is treated with 5N H2SO4 followed by concentrated HNO3 and the diluted mixture is filtered. Soluble B is determined in the filtrate by Inductively-Coupled Plasma (ICP) spectrometry after addition HCl and extraction of Fe with ethyl-ether. The residue is fused with Na2CO3 and, after treatment with HCl, the insoluble B is determined by ICP spectrometry as before. The method permits determination of ppm amounts of B in steel.

  7. Analysis of 34S in Individual Organic Compounds by Coupled GC-ICP-MS

    NASA Astrophysics Data System (ADS)

    Sessions, A. L.; Amrani, A.; Adkins, J. F.

    2009-12-01

    The abundances of 2H, 13C, and 15N in organic compounds have been extremely useful in many aspects of biogeochemistry. While sulfur plays an equally important role in many earth-surface processes, the isotopes of sulfur in organic matter have not been extensively employed in large part because there has been no direct route to the analysis of 34S in individual organic compounds. To remedy this, we have developed a highly sensitive and robust method for the analysis of 34S in individual organic compounds by coupled gas chromatography (GC) and multicollector inductively-coupled plasma mass spectrometry (ICP-MS). Isobaric interference from O2+ is minimized by employing dry plasma conditions, and is cleanly resolved at all masses using medium resolution on the Thermo Neptune ICP-MS. Correction for mass bias is accomplished using standard-sample bracketing with peaks of SF6 reference gas. The precision of measured δ34S values approaches 0.1‰ for analytes containing >40 pmol S, and is better than 0.5‰ for those containing as little as 6 pmol S. External accuracy is better than 0.3‰. Integrating only the center of chromatographic peaks, rather than the entire peak, offers significant gain in precision and chromatographic resolution with minimal effect on accuracy, but requires further study for verification as a routine method. Coelution of organic compounds that do not contain S can cause degraded analytical precision and accuracy. As a demonstration of the potential for this new method, we will present data from 3 sample types: individual organosulfur compounds from crude oil, dimethyl sulfide from seawater, and trace H2S from bacterial culture headspace.

  8. Bond strength with various etching times on young permanent teeth

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, W.N.; Lu, T.C.

    1991-07-01

    Tensile bond strengths of an orthodontic resin cement were compared for 15-, 30-, 60-, 90-, or 120-second etching times, with a 37% phosphoric acid solution on the enamel surfaces of young permanent teeth. Fifty extracted premolars from 9- to 16-year-old children were used for testing. An orthodontic composite resin was used to bond the bracket directly onto the buccal surface of the enamel. The tensile bond strengths were tested with an Instron machine. Bond failure interfaces between bracket bases and teeth surfaces were examined with a scanning electron microscope and calculated with mapping of energy-dispersive x-ray spectrometry. The results ofmore » tensile bond strength for 15-, 30-, 60-, or 90-second etching times were not statistically different. For the 120-second etching time, the decrease was significant. Of the bond failures, 43%-49% occurred between bracket and resin interface, 12% to 24% within the resin itself, 32%-40% between resin and tooth interface, and 0% to 4% contained enamel fragments. There was no statistical difference in percentage of bond failure interface distribution between bracket base and resin, resin and enamel, or the enamel detachment. Cohesive failure within the resin itself at the 120-second etching time was less than at other etching times, with a statistical significance. To achieve good retention, to decrease enamel loss, and to reduce moisture contamination in the clinic, as well as to save chairside time, a 15-second etching time is suggested for teenage orthodontic patients.« less

  9. Development of new resist materials for 193-nm dry and immersion lithography

    NASA Astrophysics Data System (ADS)

    Sasaki, Takashi; Shirota, Naoko; Takebe, Yoko; Yokokoji, Osamu

    2006-03-01

    We earlier developed new monocyclic fluoropolymers (FUGU) for F II resist materials. But, it is necessary for FUGU to improve of their characteristics, especially the dry-etching resistance, in order to apply for ArF lithography at fine design rules. We have tried to combine FUGUs with Adamntyl methacrylates based conventional ArF resist polymer. In this paper, we have investigated the role of cyclic fluorinated unit, FUGU, in 193 nm resist polymers by analyzing the dissolution behavior. We found that FGEAM showed high sensitivity and good dissolution contrast, compared with acrylate based conventional samples at low PEB temperature (100 °C). And this difference of sensitivity was clearly found when weak acidity PAGs were used. From the dissolution behaviors of FGEAM, FUGU unit can work to improve the resist sensitivity in acrylate based ArF resist polymers. And we also found that FGEAM showed long acid diffusion length on PEB process, compared with Conventional samples. These result show that FUGU unit has a unique characteristics of the sensitivity with 193nm exposure and the acid diffusion behavior. We also investigated a new series of fluorinated copolymers for 193-nm lithography, combination of FUGU monomer and acrylate units which are used in conventional ArF resist. Six ter-polymers of FUGU, combination of FUGU monomers and EAdMA, GBLMA and HAdMA were prepared. We found that FUGU ter-polymers had a good dry etching resistance keeping high transparency at 193nm. And FUGU ter-polymers showed high sensitivity toward 193nm exposure. FUGU ter-polymers also had a high hydrophobic properties compared conventional type ArF resist polymers. So we also expect FUGU ter-polymers to be useful for ArF dry and immersion lithography.

  10. Predicting synergy in atomic layer etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kanarik, Keren J.; Tan, Samantha; Yang, Wenbing

    2017-03-27

    Atomic layer etching (ALE) is a multistep process used today in manufacturing for removing ultrathin layers of material. In this article, the authors report on ALE of Si, Ge, C, W, GaN, and SiO 2 using a directional (anisotropic) plasma-enhanced approach. The authors analyze these systems by defining an “ALE synergy” parameter which quantifies the degree to which a process approaches the ideal ALE regime. This parameter is inspired by the ion-neutral synergy concept introduced in the 1979 paper by Coburn and Winters. ALE synergy is related to the energetics of underlying surface interactions and is understood in terms ofmore » energy criteria for the energy barriers involved in the reactions. Synergistic behavior is observed for all of the systems studied, with each exhibiting behavior unique to the reactant–material combination. By systematically studying atomic layer etching of a group of materials, the authors show that ALE synergy scales with the surface binding energy of the bulk material. This insight explains why some materials are more or less amenable to the directional ALE approach. Furthermore, they conclude that ALE is both simpler to understand than conventional plasma etch processing and is applicable to metals, semiconductors, and dielectrics.« less

  11. Laser etching of polymer masked leadframes

    NASA Astrophysics Data System (ADS)

    Ho, C. K.; Man, H. C.; Yue, T. M.; Yuen, C. W.

    1997-02-01

    A typical electroplating production line for the deposition of silver pattern on copper leadframes in the semiconductor industry involves twenty to twenty five steps of cleaning, pickling, plating, stripping etc. This complex production process occupies large floor space and has also a number of problems such as difficulty in the production of rubber masks and alignment, generation of toxic fumes, high cost of water consumption and sometimes uncertainty on the cleanliness of the surfaces to be plated. A novel laser patterning process is proposed in this paper which can replace many steps in the existing electroplating line. The proposed process involves the application of high speed laser etching techniques on leadframes which were protected with polymer coating. The desired pattern for silver electroplating is produced by laser ablation of the polymer coating. Excimer laser was found to be most effective for this process as it can expose a pattern of clean copper substrate which can be silver plated successfully. Previous working of Nd:YAG laser ablation showed that 1.06 μm radiation was not suitable for this etching process because a thin organic and transparent film remained on the laser etched region. The effect of excimer pulse frequency and energy density upon the removal rate of the polymer coating was studied.

  12. Fabrication and etching processes of silicon-based PZT thin films

    NASA Astrophysics Data System (ADS)

    Zhao, Hongjin; Liu, Yanxiang; Liu, Jianshe; Ren, Tian-Ling; Liu, Li-Tian; Li, Zhijian

    2001-09-01

    Lead-zirconate-titanate (PZT) thin films on silicon were prepared by a sol-gel method. Phase characterization and crystal orientation of the films were investigated by x-ray diffraction analysis (XRD). It was shown that the PZT thin films had a perfect perovskite structure after annealed at a low temperature of 600 degrees C. PZT thin films were chemically etched using HCl/HF solution through typical semiconductor lithographic process, and the etching condition was optimized. The scanning electron microscopy results indicated that the PZT thin film etching problem was well solved for the applications of PZT thin film devices.

  13. Self-etching adhesives: review of adhesion to tooth structure part II.

    PubMed

    Strydom, C

    2005-02-01

    Self-etching adhesives are steadily increasing in popularity among dental practitioners with their easy handling technique and their promise of no post-op sensitivity. As with any new bonding material, in vitro and in vivo investigations are required to assess the clinical efficacy of these systems. The current literature was reviewed to provide information on these systems, including the influence of their acidity and permeability on the quality of the bond, the role of water in long-term degradation of the bond in in vivo and in vitro studies, and the clinical efficacy of the self-etching adhesives in clinical research studies. Published abstracts, reviews, laboratory reports and clinical research papers in the dental literature. Very little information is available on self-etching systems pertaining to the long-term in vitro and in vivo durability of their bond and their medium- to long-term clinical outcome. Although post-op sensitivity seems to be something of the past, short-term clinical studies show that some self-etching adhesives do not perform as well as total-etch systems.

  14. Use of hydrogen etching to remove existing dislocations in GaN epitaxial layers

    NASA Astrophysics Data System (ADS)

    Yeh, Yen-Hsien; Chu, Chung-Ming; Wu, Yin-Hao; Hsu, Ying-Chia; Yu, Tzu-Yi; Lee, Wei-I.

    2015-08-01

    In this paper, based on the anisotropic nature of hydrogen (H2) etching on GaN, we describe a new approach to the removal of threading dislocations in GaN layers. The top surfaces of c-plane (Ga-face) and a-plane GaNs are considered stable in H2; therefore, H2 etches only crystal imperfections such as dislocation and basal plane stacking fault (BSF) sites. We used H2 to etch undoped c-plane GaN, n-type c-plane GaN, a-plane GaN, and an InGaN/GaN multiple quantum well structure. Several examinations were performed, indicating deep cavities on the c-plane GaN samples after H2 etching; furthermore, gorge-like grooves were observed on the a-plane GaN samples. The deep cavities on the c-plane GaN were considered the etched dislocation sites, and the gorge-like grooves on the a-plane GaN were considered the etched BSF sites. Photoluminescence measurements were performed and the results indicated that the H2-etched samples demonstrate superior optoelectronic properties, probably because of the elimination of dislocations.

  15. David Price--Pioneer of digital ICP monitoring, neurosurgeon and teacher.

    PubMed

    Czosnyka, Marek; Kirollos, Ramez; van Hille, Philip

    2015-06-01

    In early 1970s first personal desk-top computers started to be available in hospitals. Mr Price was one of the pioneers introducing his own software to identify Marmarou's model of CSF space during infusion studies to diagnose patients suffering from hydrocephalus. His closed-loop control system for infusion of mannitol to manage patients at risk of intracranial hypertension was designed in 1977. The system worked successfully for 10 years in Pinderfields Hospital in Wakefield, UK. In the middle 1980's he initiated international cooperation with Children's Health Centre in Poland in long-term computer-assisted monitoring and analysis of ICP. Software designed in a course of this cooperation paved the way for contemporary package of ICM+ (Intensive Care Monitor, University of Cambridge, UK). Our scientific portfolio from these years (1985-1995) contains hundreds of head injured patients with waveform ICP analysis, introduction of compensatory reserve index RAP, few highly cited papers. Now, we understand ICP much better thanks to David's personal passion and extremely friendly support.

  16. Fast diffuse correlation spectroscopy (DCS) for non-invasive measurement of intracranial pressure (ICP) (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Farzam, Parisa; Sutin, Jason; Wu, Kuan-Cheng; Zimmermann, Bernhard B.; Tamborini, Davide; Dubb, Jay; Boas, David A.; Franceschini, Maria Angela

    2017-02-01

    Intracranial pressure (ICP) monitoring has a key role in the management of neurosurgical and neurological injuries. Currently, the standard clinical monitoring of ICP requires an invasive transducer into the parenchymal tissue or the brain ventricle, with possibility of complications such as hemorrhage and infection. A non-invasive method for measuring ICP, would be highly preferable, as it would allow clinicians to promptly monitor ICP during transport and allow for monitoring in a larger number of patients. We have introduced diffuse correlation spectroscopy (DCS) as a non-invasive ICP monitor by fast measurement of pulsatile cerebral blood flow (CBF). The method is similar to Transcranial Doppler ultrasound (TCD), which derives ICP from the amplitude of the pulsatile cerebral blood flow velocity, with respect to the amplitude of the pulsatile arterial blood pressure. We believe DCS measurement is superior indicator of ICP than TCD estimation because DCS directly measures blood flow, not blood flow velocity, and the small cortical vessels measured by DCS are more susceptible to transmural pressure changes than the large vessels. For fast DCS measurements to recover pulsatile CBF we have developed a custom high-power long-coherent laser and a strategy for delivering it to the tissue within ANSI standards. We have also developed a custom FPGA-based correlator board, which facilitates DCS data acquisitions at 50-100 Hz. We have tested the feasibility of measuring pulsatile CBF and deriving ICP in two challenging scenarios: humans and rats. SNR is low in human adults due to large optode distances. It is similarly low in rats because the fast heart rate in this setting requires a high repetition rate.

  17. Effect of Hydrofluoric Acid Etching Time on Titanium Topography, Chemistry, Wettability, and Cell Adhesion

    PubMed Central

    Zahran, R.; Rosales Leal, J. I.; Rodríguez Valverde, M. A.; Cabrerizo Vílchez, M. A.

    2016-01-01

    Titanium implant surface etching has proven an effective method to enhance cell attachment. Despite the frequent use of hydrofluoric (HF) acid, many questions remain unresolved, including the optimal etching time and its effect on surface and biological properties. The objective of this study was to investigate the effect of HF acid etching time on Ti topography, surface chemistry, wettability, and cell adhesion. These data are useful to design improved acid treatment and obtain an improved cell response. The surface topography, chemistry, dynamic wetting, and cell adhesiveness of polished Ti surfaces were evaluated after treatment with HF acid solution for 0, 2; 3, 5, 7, or 10 min, revealing a time-dependent effect of HF acid on their topography, chemistry, and wetting. Roughness and wetting increased with longer etching time except at 10 min, when roughness increased but wetness decreased. Skewness became negative after etching and kurtosis tended to 3 with longer etching time. Highest cell adhesion was achieved after 5–7 min of etching time. Wetting and cell adhesion were reduced on the highly rough surfaces obtained after 10-min etching time. PMID:27824875

  18. Overlapping double etch technique for evaluation of metallic alloys to stress corrosion cracking

    DOEpatents

    Steeves, Arthur F.; Stewart, James C.

    1981-01-01

    A double overlapping etch zone technique for evaluation of the resistance of metallic alloys to stress corrosion cracking. The technique involves evaluating the metallic alloy along the line of demarcation between an overlapping double etch zone and single etch zone formed on the metallic alloy surface.

  19. Adiabatic tapered optical fiber fabrication in two step etching

    NASA Astrophysics Data System (ADS)

    Chenari, Z.; Latifi, H.; Ghamari, S.; Hashemi, R. S.; Doroodmand, F.

    2016-01-01

    A two-step etching method using HF acid and Buffered HF is proposed to fabricate adiabatic biconical optical fiber tapers. Due to the fact that the etching rate in second step is almost 3 times slower than the previous droplet etching method, terminating the fabrication process is controllable enough to achieve a desirable fiber diameter. By monitoring transmitted spectrum, final diameter and adiabaticity of tapers are deduced. Tapers with losses about 0.3 dB in air and 4.2 dB in water are produced. The biconical fiber taper fabricated using this method is used to excite whispering gallery modes (WGMs) on a microsphere surface in an aquatic environment. So that they are suitable to be used in applications like WGM biosensors.

  20. Accurate and precise determination of isotopic ratios by MC-ICP-MS: a review.

    PubMed

    Yang, Lu

    2009-01-01

    For many decades the accurate and precise determination of isotope ratios has remained a very strong interest to many researchers due to its important applications in earth, environmental, biological, archeological, and medical sciences. Traditionally, thermal ionization mass spectrometry (TIMS) has been the technique of choice for achieving the highest accuracy and precision. However, recent developments in multi-collector inductively coupled plasma mass spectrometry (MC-ICP-MS) have brought a new dimension to this field. In addition to its simple and robust sample introduction, high sample throughput, and high mass resolution, the flat-topped peaks generated by this technique provide for accurate and precise determination of isotope ratios with precision reaching 0.001%, comparable to that achieved with TIMS. These features, in combination with the ability of the ICP source to ionize nearly all elements in the periodic table, have resulted in an increased use of MC-ICP-MS for such measurements in various sample matrices. To determine accurate and precise isotope ratios with MC-ICP-MS, utmost care must be exercised during sample preparation, optimization of the instrument, and mass bias corrections. Unfortunately, there are inconsistencies and errors evident in many MC-ICP-MS publications, including errors in mass bias correction models. This review examines "state-of-the-art" methodologies presented in the literature for achievement of precise and accurate determinations of isotope ratios by MC-ICP-MS. Some general rules for such accurate and precise measurements are suggested, and calculations of combined uncertainty of the data using a few common mass bias correction models are outlined.

  1. Etch pit investigation of free electron concentration controlled 4H-SiC

    NASA Astrophysics Data System (ADS)

    Kim, Hong-Yeol; Shin, Yun Ji; Kim, Jung Gon; Harima, Hiroshi; Kim, Jihyun; Bahng, Wook

    2013-04-01

    Etch pits were investigated using the molten KOH selective etching method to examine dependence of etch pit shape and size on free electron concentration. The free electron concentrations of highly doped 4H-silicon carbide (SiC) were controlled by proton irradiation and thermal annealing, which was confirmed by a frequency shift in the LO-phonon-plasmon-coupled (LOPC) mode on micro-Raman spectroscopy. The proton irradiated sample with 5×1015 cm-2 fluence and an intrinsic semi-insulating sample showed clearly classified etch pits but different ratios of threading screw dislocation (TSD) and threading edge dislocation (TED) sizes. Easily classified TEDs and TSDs on proton irradiated 4H-SiC were restored as highly doped 4H-SiC after thermal annealing due to the recovered carrier concentrations. The etched surface of proton irradiated 4H-SiC and boron implanted SiC showed different surface conditions after activation.

  2. Effect of universal adhesive etching modes on bond strength to dual-polymerizing composite resins.

    PubMed

    Michaud, Pierre-Luc; Brown, Matthew

    2018-04-01

    Information is lacking as to the effect on bond strength of the etching modes of universal adhesives when they are used to bond dual-polymerizing composite resins to dentin. The purpose of this in vitro study was to investigate the bonding of dual-polymerizing foundation composite resins to dentin when universal bonding agents are used in self-etch or etch-and-rinse modes. Sixty caries-free, extracted third molar teeth were sectioned transversely in the apical third of the crown and allocated to 12 groups (n=5). Three different bonding agents (Scotchbond Universal, OptiBond XTR, All-Bond Universal) were used to bond 2 different dual-polymerizing composite resins (CompCore AF or CoreFlo DC) to dentin, using 2 different etching approaches (etch-and-rinse or self-etch). The specimens were sectioned into sticks (1×1×8 mm) with a precision saw. The bond strength of the specimens was tested under microtensile force at a crosshead speed of 0.5 mm/min. The data were analyzed using a 3-way ANOVA, a Games-Howell post hoc comparisons model, and Student t tests with Bonferroni corrections (α=.05). In the overall model, the composite resin used had no effect on bond strength (P=.830). The etching protocol by itself also did not have a significant effect (P=.059), although a trend was present. The bonding agent, however, did have an effect (P<.001) on bond strength. Also, a significant interaction effect was found for the bonding agent and etching protocol on bond strength (P<.001). The etching protocol influenced the bond strength when Scotchbond Universal (P<.008) and All-Bond Universal (P<.004) were used but not when OptiBond XTR was used (P=1.00). A self-etch protocol provided significantly higher bond strength when Scotchbond Universal was used, whereas with All-Bond Universal, an etch-and-rinse protocol, provided higher bond strength. When universal bonding agents were used to secure dual-polymerizing composite resins to dentin, no single etching protocol is better

  3. Silicon vertical microstructure fabrication by catalytic etching

    NASA Astrophysics Data System (ADS)

    Huang, Mao-Jung; Yang, Chii-Rong; Chang, Chun-Ming; Chu, Nien-Nan; Shiao, Ming-Hua

    2012-08-01

    This study presents an effective, simple and inexpensive process for forming micro-scale vertical structures on a (1 0 0) silicon wafer. Several modified etchants and micro-patterns including rectangular, snake-like, circular and comb patterns were employed to determine the optimum etching process. We found that an etchant solution consisting of 4.6 M hydrofluoric acid, 0.44 M hydrogen peroxide and isopropyl alcohol produces microstructures at an etching rate of 0.47 µm min-1 and surface roughness of 17.4 nm. All the patterns were transferred faithfully to the silicon substrate.

  4. Overlapping double etch technique for evaluation of metallic alloys to stress corrosion cracking

    DOEpatents

    Not Available

    1980-05-28

    A double overlapping etch zone technique for evaluation of the resistance of metallic alloys to stress corrosion cracking is described. The technique involves evaluating the metallic alloy along the line of demarcation between an overlapping double etch zone and single etch zone formed on the metallic alloy surface.

  5. Effect of enamel etching time on roughness and bond strength.

    PubMed

    Barkmeier, Wayne W; Erickson, Robert L; Kimmes, Nicole S; Latta, Mark A; Wilwerding, Terry M

    2009-01-01

    The current study examined the effect of different enamel conditioning times on surface roughness and bond strength using an etch-and-rinse system and four self-etch adhesives. Surface roughness (Ra) and composite to enamel shear bond strengths (SBS) were determined following the treatment of flat ground human enamel (4000 grit) with five adhesive systems: (1) Adper Single Bond Plus (SBP), (2) Adper Prompt L-Pop (PLP), (3) Clearfil SE Bond (CSE), (4) Clearfil S3 Bond (CS3) and (5) Xeno IV (X4), using recommended treatment times and an extended treatment time of 60 seconds (n = 10/group). Control groups were also included for Ra (4000 grit surface) and SBS (no enamel treatment and Adper Scotchbond Multi-Purpose Adhesive). For surface roughness measurements, the phosphoric acid conditioner of the SBP etch-and-rinse system was rinsed from the surface with an air-water spray, and the other four self-etch adhesive agents were removed with alternating rinses of water and acetone. A Proscan 2000 non-contact profilometer was used to determine Ra values. Composite (Z100) to enamel bond strengths (24 hours) were determined using Ultradent fixtures and they were debonded with a crosshead speed of 1 mm/minute. The data were analyzed with ANOVA and Fisher's LSD post-hoc test. The etch-and- rinse system (SBP) produced the highest Ra (microm) and SBS (MPa) using both the recommended treatment time (0.352 +/- 0.028 microm and 40.5 +/- 6.1 MPa) and the extended treatment time (0.733 +/- 0.122 microm and 44.2 +/- 8.2 MPa). The Ra and SBS of the etch-and-rinse system were significantly greater (p < 0.05) than all the self-etch systems and controls. Increasing the treatment time with phosphoric acid (SBP) and PLP produced greater surface roughness (p < 0.05) but did not result in significantly higher bond strengths (p > 0.05).

  6. Advanced Simulation Technology to Design Etching Process on CMOS Devices

    NASA Astrophysics Data System (ADS)

    Kuboi, Nobuyuki

    2015-09-01

    Prediction and control of plasma-induced damage is needed to mass-produce high performance CMOS devices. In particular, side-wall (SW) etching with low damage is a key process for the next generation of MOSFETs and FinFETs. To predict and control the damage, we have developed a SiN etching simulation technique for CHxFy/Ar/O2 plasma processes using a three-dimensional (3D) voxel model. This model includes new concepts for the gas transportation in the pattern, detailed surface reactions on the SiN reactive layer divided into several thin slabs and C-F polymer layer dependent on the H/N ratio, and use of ``smart voxels''. We successfully predicted the etching properties such as the etch rate, polymer layer thickness, and selectivity for Si, SiO2, and SiN films along with process variations and demonstrated the 3D damage distribution time-dependently during SW etching on MOSFETs and FinFETs. We confirmed that a large amount of Si damage was caused in the source/drain region with the passage of time in spite of the existing SiO2 layer of 15 nm in the over etch step and the Si fin having been directly damaged by a large amount of high energy H during the removal step of the parasitic fin spacer leading to Si fin damage to a depth of 14 to 18 nm. By analyzing the results of these simulations and our previous simulations, we found that it is important to carefully control the dose of high energy H, incident energy of H, polymer layer thickness, and over-etch time considering the effects of the pattern structure, chamber-wall condition, and wafer open area ratio. In collaboration with Masanaga Fukasawa and Tetsuya Tatsumi, Sony Corporation. We thank Mr. T. Shigetoshi and Mr. T. Kinoshita of Sony Corporation for their assistance with the experiments.

  7. Consequences of atomic layer etching on wafer scale uniformity in inductively coupled plasmas

    NASA Astrophysics Data System (ADS)

    Huard, Chad M.; Lanham, Steven J.; Kushner, Mark J.

    2018-04-01

    Atomic layer etching (ALE) typically divides the etching process into two self-limited reactions. One reaction passivates a single layer of material while the second preferentially removes the passivated layer. As such, under ideal conditions the wafer scale uniformity of ALE should be independent of the uniformity of the reactant fluxes onto the wafers, provided all surface reactions are saturated. The passivation and etch steps should individually asymptotically saturate after a characteristic fluence of reactants has been delivered to each site. In this paper, results from a computational investigation are discussed regarding the uniformity of ALE of Si in Cl2 containing inductively coupled plasmas when the reactant fluxes are both non-uniform and non-ideal. In the parameter space investigated for inductively coupled plasmas, the local etch rate for continuous processing was proportional to the ion flux. When operated with saturated conditions (that is, both ALE steps are allowed to self-terminate), the ALE process is less sensitive to non-uniformities in the incoming ion flux than continuous etching. Operating ALE in a sub-saturation regime resulted in less uniform etching. It was also found that ALE processing with saturated steps requires a larger total ion fluence than continuous etching to achieve the same etch depth. This condition may result in increased resist erosion and/or damage to stopping layers using ALE. While these results demonstrate that ALE provides increased etch depth uniformity, they do not show an improved critical dimension uniformity in all cases. These possible limitations to ALE processing, as well as increased processing time, will be part of the process optimization that includes the benefits of atomic resolution and improved uniformity.

  8. Removal of uranium from soil samples for ICP-OES analysis of RCRA metals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wero, M.; Lederer-Cano, A.; Billy, C.

    1995-12-01

    Soil samples containing high levels of uranium present unique analytical problems when analyzed for toxic metals (Ag, As, Ba, Cd, Cr, Cu, Ni, Pb, Se and Tl) because of the spectral interference of uranium in the ICP-OES emission spectrometer. Methods to remove uranium from the digestates of soil samples, known to be high in uranium, have been developed that reduce the initial uranium concentration (1-3%) to less than 500 ppm. UTEVA ion exchange columns, used as an ICP-OES analytical pre-treatment, reduces uranium to acceptable levels, permitting good analytical results of the RCRA metals by ICP-OES.

  9. Effect of Etching Methods in Metallographic Studies of Duplex Stainless Steel 2205

    NASA Astrophysics Data System (ADS)

    Kisasoz, A.; Karaaslan, A.; Bayrak, Y.

    2017-03-01

    Three different etching methods are used to uncover the ferrite-austenite structure and precipitates of secondary phases in stainless steel 22.5% Cr - 5.4% Ni - 3% Mo - 1.3% Mn. The structure is studied under a light microscope. The chemical etching is conducted in a glycerol solution of HNO3, HCl and HF; the electrochemical etching is conducted in solutions of KOH and NaOH.

  10. Effect of Surface Treatment on Enamel Cracks After Orthodontic Bracket Debonding: Er,Cr:YSGG Laser-Etching Versus Acid-Etching

    PubMed Central

    Ghaffari, Hassanali; Mirhashemi, Amirhossein; Baherimoghadam, Tahereh; Azmi, Amir

    2017-01-01

    Objectives: This study sought to compare enamel cracks after orthodontic bracket debonding in the surfaces prepared with erbium, chromium: yttrium-scandium-galliumgarnet (Er,Cr:YSGG) laser and the conventional acid-etching technique. Materials and Methods: This in-vitro experimental study was conducted on 60 sound human premolars extracted for orthodontic purposes. The teeth were randomly divided into two groups (n=30). The teeth in group A were etched with 37% phosphoric acid gel, while the teeth in group B were subjected to Er,Cr:YSGG laser irradiation (gold handpiece, MZ8 tip, 50Hz, 4.5W, 60μs, 80% water and 60% air). Orthodontic brackets were bonded to the enamel surfaces and were then debonded in both groups. The samples were inspected under a stereomicroscope at ×38 magnification to assess the number and length of enamel cracks before bonding and after debonding. Independent-samples t-test was used to compare the frequency of enamel cracks in the two groups. Levene’s test was applied to assess the equality of variances. Results: No significant difference was noted in the frequency or length of enamel cracks between the two groups after debonding (P>0.05). Conclusions: Despite the same results of the frequency and length of enamel cracks in the two groups and by considering the side effects of acid-etching (demineralization and formation of white spot lesions), Er,Cr:YSGG laser may be used as an alternative to acid-etching for enamel surface preparation prior to bracket bonding. PMID:29296111

  11. Comparative tissue distribution of metals in birds in Sweden using ICP-MS and laser ablation ICP-MS.

    PubMed

    Ek, Kristine H; Morrison, Gregory M; Lindberg, Peter; Rauch, Sébastien

    2004-08-01

    Cadmium, copper, lead, palladium, platinum, rhodium, and zinc profiles were investigated along feather shafts of raptor and other bird species by laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS). The distribution of external versus internal metal contamination of feathers was investigated. The species examined were peregrine falcon (Falco peregrinus), sparrowhawk ( Accipiter nisus), willow grouse (Lagopus lagopus), and house sparrow (Passer domesticus) in Sweden. For habitat comparisons, total Cu, Pb, Zn, and Cd concentrations were analyzed by ICP-MS in feathers of the examined species as well as captive peregrine falcon. For investigation of metal distribution and correlation in different biological materials of raptors, total concentrations of Cu, Pb, Cd, and Zn were also investigated by ICP-MS in feathers, eggs, blood, feces, liver, and kidney of wild peregrine falcon from southwestern Sweden. Laser ablation of feathers revealed that Pb contamination is both external and internal, Zn contamination is internal, and Cd and Cu contamination is predominantly internal, with a few externally attached particles of high concentration. Pb, Cu, and Cd signal intensities were highest in urban habitats and contamination was mainly external in feathers. The background signal intensity of Zn was also higher in birds from urban habitats. The laser ablation profile of PGE (Pt, Pd, Rh) demonstrated that PGE contamination of feathers consists almost exclusively of externally attached PGE-containing particles, with little evidence of internally deposited PGE.Generally, total metal concentrations in feathers were highest in sparrowhawk and house sparrow due to their urban habitat. Total Cu, Zn, and Cd concentrations were highest in liver and kidney due to binding to metallothionein, while the total Pb concentration was highest in feces due to the high excretion rate of Pb. A decreasing temporal trend for Pb in feathers, showing that Pb levels in feathers have

  12. Atomic-layer soft plasma etching of MoS2

    PubMed Central

    Xiao, Shaoqing; Xiao, Peng; Zhang, Xuecheng; Yan, Dawei; Gu, Xiaofeng; Qin, Fang; Ni, Zhenhua; Han, Zhao Jun; Ostrikov, Kostya (Ken)

    2016-01-01

    Transition from multi-layer to monolayer and sub-monolayer thickness leads to the many exotic properties and distinctive applications of two-dimensional (2D) MoS2. This transition requires atomic-layer-precision thinning of bulk MoS2 without damaging the remaining layers, which presently remains elusive. Here we report a soft, selective and high-throughput atomic-layer-precision etching of MoS2 in SF6 + N2 plasmas with low-energy (<0.4 eV) electrons and minimized ion-bombardment-related damage. Equal numbers of MoS2 layers are removed uniformly across domains with vastly different initial thickness, without affecting the underlying SiO2 substrate and the remaining MoS2 layers. The etching rates can be tuned to achieve complete MoS2 removal and any desired number of MoS2 layers including monolayer. Layer-dependent vibrational and photoluminescence spectra of the etched MoS2 are also demonstrated. This soft plasma etching technique is versatile, scalable, compatible with the semiconductor manufacturing processes, and may be applicable for a broader range of 2D materials and intended device applications. PMID:26813335

  13. [Study on microwave digestion of gypsum for the determination of multielement by ICP-OES and ICP-MS].

    PubMed

    Wang, Hui; Song, Qiang; Yang, Rui-ming; Yao, Qiang; Chen, Chang-he

    2010-09-01

    Three acids (HNO3, HNO3/HF and HNO3 /HF+ H3BO3) were used to decompose gypsum with microwave digestion system. The contents of 10 mineral elements (Al, Ca, Mg, Fe, K, Na, S, Ti, Si and Sr) in gypsum were determined by inductively coupled plasma-optical emission spectrometry (ICP-OES) while 6 heavy metals (V, Cr, Mn, Zn, Se and Ce) were determined by inductively coupled plasma-mass spectrometry (ICP-MS). GBW03109a, GBW03110 and FGD-2 were used as gypsum standard reference materials. The results showed that two-step microwave digestion with HNO3/HF at 210 degrees C and then adding H3BO3 for the removal of HF and fluorides completely decomposed the gypsums, while this method achieved good recoveries for all elements in the three gypsum standard reference materials. The recovery was from 88% to 112% and the RSD of tests was below 3%. The method was applied to the elemental analysis for flue gas desulfurization gypsums from three coal-fired power plants.

  14. Dynamic Pattern Formation in Electron-Beam-Induced Etching [Emergent formation of dynamic topographic patterns in electron beam induced etching

    DOE PAGES

    Martin, Aiden A.; Bahm, Alan; Bishop, James; ...

    2015-12-15

    Here, we report highly ordered topographic patterns that form on the surface of diamond, span multiple length scales, and have a symmetry controlled by the precursor gas species used in electron-beam-induced etching (EBIE). The pattern formation dynamics reveals an etch rate anisotropy and an electron energy transfer pathway that is overlooked by existing EBIE models. Therefore, we, modify established theory such that it explains our results and remains universally applicable to EBIE. Furthermore, the patterns can be exploited in controlled wetting, optical structuring, and other emerging applications that require nano- and microscale surface texturing of a wide band-gap material.

  15. Optical properties of micromachined polysilicon reflective surfaces with etching holes

    NASA Astrophysics Data System (ADS)

    Zou, Jun; Byrne, Colin; Liu, Chang; Brady, David J.

    1998-08-01

    MUMPS (Multi-User MEMS Process) is receiving increasingly wide use in micro optics. We have investigated the optical properties of the polysilicon reflective surface in a typical MUMPS chip within the visible light spectrum. The effect of etching holes on the reflected laser beam is studied. The reflectivity and diffraction patterns at five different wavelengths have been measured. The optical properties of the polysilicon reflective surface are greatly affected by the surface roughness, the etching holes, as well as the material. The etching holes contribute to diffraction and reduction of reflectivity. This study provides a basis for optimal design of micromachined free-space optical systems.

  16. In-situ photoluminescence imaging for passivation-layer etching process control for photovoltaics

    NASA Astrophysics Data System (ADS)

    Lee, J. Z.; Michaelson, L.; Munoz, K.; Tyson, T.; Gallegos, A.; Sullivan, J. T.; Buonassisi, T.

    2014-07-01

    Light-induced plating (LIP) of solar-cell metal contacts is a scalable alternative to silver paste. However, LIP requires an additional patterning step to create openings in the silicon nitride (SiNx) antireflection coating (ARC) layer prior to metallization. One approach to pattern the SiNx is masking and wet chemical etching. In-situ real-time photoluminescence imaging (PLI) is demonstrated as a process-monitoring method to determine when SiNx has been fully removed during etching. We demonstrate that the change in PLI signal intensity during etching is caused by a combination of (1) decreasing light absorption from the reduction in SiNx ARC layer thickness and (2) decreasing surface lifetime as the SiNx/Si interface transitions to an etch-solution/Si. Using in-situ PLI to guide the etching process, we demonstrate a full-area plated single-crystalline silicon device. In-situ PLI has the potential to be integrated into a commercial processing line to improve process control and reliability.

  17. Maskless micro/nanofabrication on GaAs surface by friction-induced selective etching

    PubMed Central

    2014-01-01

    In the present study, a friction-induced selective etching method was developed to produce nanostructures on GaAs surface. Without any resist mask, the nanofabrication can be achieved by scratching and post-etching in sulfuric acid solution. The effects of the applied normal load and etching period on the formation of the nanostructure were studied. Results showed that the height of the nanostructure increased with the normal load or the etching period. XPS and Raman detection demonstrated that residual compressive stress and lattice densification were probably the main reason for selective etching, which eventually led to the protrusive nanostructures from the scratched area on the GaAs surface. Through a homemade multi-probe instrument, the capability of this fabrication method was demonstrated by producing various nanostructures on the GaAs surface, such as linear array, intersecting parallel, surface mesas, and special letters. In summary, the proposed method provided a straightforward and more maneuverable micro/nanofabrication method on the GaAs surface. PMID:24495647

  18. Difference in anisotropic etching characteristics of alkaline and copper based acid solutions for single-crystalline Si.

    PubMed

    Chen, Wei; Liu, Yaoping; Yang, Lixia; Wu, Juntao; Chen, Quansheng; Zhao, Yan; Wang, Yan; Du, Xiaolong

    2018-02-21

    The so called inverted pyramid arrays, outperforming conventional upright pyramid textures, have been successfully achieved by one-step Cu assisted chemical etching (CACE) for light reflection minimization in silicon solar cells. Due to the lower reduction potential of Cu 2+ /Cu and different electronic properties of different Si planes, the etching of Si substrate shows orientation-dependent. Different from the upright pyramid obtained by alkaline solutions, the formation of inverted pyramid results from the coexistence of anisotropic etching and localized etching process. The obtained structure is bounded by Si {111} planes which have the lowest etching rate, no matter what orientation of Si substrate is. The Si etching rate and (100)/(111) etching ratio are quantitatively analyzed. The different behaviors of anisotropic etching of Si by alkaline and Cu based acid etchant have been systematically investigated.

  19. Cryogenic Etching of Silicon: An Alternative Method For Fabrication of Vertical Microcantilever Master Molds

    PubMed Central

    Addae-Mensah, Kweku A.; Retterer, Scott; Opalenik, Susan R.; Thomas, Darrell; Lavrik, Nickolay V.; Wikswo, John P.

    2013-01-01

    This paper examines the use of deep reactive ion etching (DRIE) of silicon with fluorine high-density plasmas at cryogenic temperatures to produce silicon master molds for vertical microcantilever arrays used for controlling substrate stiffness for culturing living cells. The resultant profiles achieved depend on the rate of deposition and etching of a SiOxFy polymer, which serves as a passivation layer on the sidewalls of the etched structures in relation to areas that have not been passivated with the polymer. We look at how optimal tuning of two parameters, the O2 flow rate and the capacitively coupled plasma (CCP) power, determine the etch profile. All other pertinent parameters are kept constant. We examine the etch profiles produced using e-beam resist as the main etch mask, with holes having diameters of 750 nm, 1 µm, and 2 µm. PMID:24223478

  20. Butch Wilmore tour of ULA facility and viewing of ICPS

    NASA Image and Video Library

    2017-03-16

    Inside the United Launch Alliance Horizontal Integration Facility at Cape Canaveral Air Force Station in Florida, NASA astronaut Barry "Butch" Wilmore views the first integrated piece of flight hardware for NASA's Space Launch System (SLS) rocket, the Interim Cryogenic Propulsion Stage (ICPS). The ICPS is the in-space stage that is located toward the top of the rocket, between the Launch Vehicle Stage Adapter and the Orion Spacecraft Adapter. It will provide some of the in-space propulsion during Orion's first flight test atop the SLS on Exploration Mission 1.