Sample records for ion beam-fabricated tools

  1. Fabrication of phonon-based metamaterial structures using focused ion beam patterning

    NASA Astrophysics Data System (ADS)

    Bassim, Nabil D.; Giles, Alexander J.; Ocola, Leonidas E.; Caldwell, Joshua D.

    2018-02-01

    The focused ion beam (FIB) is a powerful tool for rapid prototyping and machining of functional nanodevices. It is employed regularly to fabricate test metamaterial structures but, to date, has been unsuccessful in fabricating metamaterial structures with features at the nanoscale that rely on surface phonons as opposed to surface plasmons because of the crystalline damage that occurs with the collision cascade associated with ion sputtering. In this study, we employ a simple technique of protecting the crystalline substrate in single-crystal 4H-SiC to design surface phonon polariton-based optical resonance structures. By coating the material surface with a thin film of chromium, we have placed a material of high sputter resistance on the surface, which essentially absorbs the energy in the beam tails. When the beam ultimately punches through the Cr film, the hard walls in the film have the effect of channeling the beam to create smooth sidewalls. This demonstration opens the possibility of further rapid-prototyping of metamaterials using FIB.

  2. Ultrafast third-harmonic spectroscopy of single nanoantennas fabricated using helium-ion beam lithography

    NASA Astrophysics Data System (ADS)

    Kollmann, H.; Esmann, M.; Becker, S. F.; Piao, X.; Huynh, C.; Kautschor, L.-O.; Bösker, G.; Vieker, H.; Beyer, A.; Gölzhäuser, A.; Park, N.; Silies, M.; Lienau, C.

    2016-03-01

    Metallic nanoantennas are able to spatially localize far-field electromagnetic waves on a few nanometer length scale in the form of surface plasmon excitations 1-3. Standard tools for fabricating bowtie and rod antennas with sub-20 nm feature sizes are Electron Beam Lithography or Ga-based Focused Ion Beam (FIB) Milling. These structures, however, often suffer from surface roughness and hence show only a limited optical polarization contrast and therefore a limited electric field localization. Here, we combine Ga- and He-ion based milling (HIM) for the fabrication of gold bowtie and rod antennas with gap sizes of less than 6 nm combined with a high aspect ratio. Using polarization-sensitive Third-Harmonic (TH) spectroscopy, we compare the nonlinear optical properties of single HIM-antennas with sub-6-nm gaps with those produced by standard Ga-based FIB. We find a pronounced enhancement of the total TH intensity of more than three in comparison to Ga-FIB antennas and a highly improved polarization contrast of the TH intensity of 250:1 for Heion produced antennas 4. These findings combined with Finite-Element Method calculations demonstrate a field enhancement of up to one hundred in the few-nanometer gap of the antenna. This makes He-ion beam milling a highly attractive and promising new tool for the fabrication of plasmonic nanoantennas with few-nanometer feature sizes.

  3. Focused ion beam-assisted technology in sub-picolitre micro-dispenser fabrication

    NASA Astrophysics Data System (ADS)

    Lopez, M. J.; Caballero, D.; Campo, E. M.; Perez-Castillejos, R.; Errachid, A.; Esteve, J.; Plaza, J. A.

    2008-07-01

    Novel medical and biological applications are driving increased interest in the fabrication of micropipette or micro-dispensers. Reduced volume samples and drug dosages are prime motivators in this effort. We have combined microfabrication technology with ion beam milling techniques to successfully produce cantilever-type polysilicon micro-dispensers with 3D enclosed microchannels. The microfabrication technology described here allows for the designing of nozzles with multiple shapes. The contribution of ion beam milling has had a large impact on the fabrication process and on further customizing shapes of nozzles and inlet ports. Functionalization tests were conducted to prove the viability of ion beam-fabricated micro-dispensers. Self-assembled monolayers were successfully formed when a gold surface was patterned with a thiol solution dispensed by the fabricated micro-dispensers.

  4. Fabrication of porous microrings via laser printing and ion-beam post-etching

    NASA Astrophysics Data System (ADS)

    Syubaev, S.; Nepomnyashchiy, A.; Mitsai, E.; Pustovalov, E.; Vitrik, O.; Kudryashov, S.; Kuchmizhak, A.

    2017-08-01

    Pulsed-laser dry printing of noble-metal microrings with a tunable internal porous structure, which can be revealed via an ion-beam etching post-procedure, was demonstrated. The abundance and average size of the pores inside the microrings were shown to be tuned in a wide range by varying the incident pulse energy and a nitrogen doping level controlled in the process of magnetron deposition of the gold film in the appropriate gaseous environment. The fabricated porous microrings were shown to provide many-fold near-field enhancement of incident electromagnetic fields, which was confirmed by mapping of the characteristic Raman band of a nanometer-thick covering layer of Rhodamine 6G dye molecules and supporting finite-difference time-domain calculations. The proposed laser-printing/ion-beam etching approach is demonstrated to be a unique tool aimed at designing and fabricating multifunctional plasmonic structures and metasurfaces for spectroscopic bioidentification based on surface-enhanced infrared absorption, Raman scattering, and photoluminescence detection schemes.

  5. Nanostructures by ion beams

    NASA Astrophysics Data System (ADS)

    Schmidt, B.

    Ion beam techniques, including conventional broad beam ion implantation, ion beam synthesis and ion irradiation of thin layers, as well as local ion implantation with fine-focused ion beams have been applied in different fields of micro- and nanotechnology. The ion beam synthesis of nanoparticles in high-dose ion-implanted solids is explained as phase separation of nanostructures from a super-saturated solid state through precipitation and Ostwald ripening during subsequent thermal treatment of the ion-implanted samples. A special topic will be addressed to self-organization processes of nanoparticles during ion irradiation of flat and curved solid-state interfaces. As an example of silicon nanocrystal application, the fabrication of silicon nanocrystal non-volatile memories will be described. Finally, the fabrication possibilities of nanostructures, such as nanowires and chains of nanoparticles (e.g. CoSi2), by ion beam synthesis using a focused Co+ ion beam will be demonstrated and possible applications will be mentioned.

  6. Fabrication of monolithic microfluidic channels in diamond with ion beam lithography

    NASA Astrophysics Data System (ADS)

    Picollo, F.; Battiato, A.; Boarino, L.; Ditalia Tchernij, S.; Enrico, E.; Forneris, J.; Gilardino, A.; Jakšić, M.; Sardi, F.; Skukan, N.; Tengattini, A.; Olivero, P.; Re, A.; Vittone, E.

    2017-08-01

    In the present work, we report on the monolithic fabrication by means of ion beam lithography of hollow micro-channels within a diamond substrate, to be employed for microfluidic applications. The fabrication strategy takes advantage of ion beam induced damage to convert diamond into graphite, which is characterized by a higher reactivity to oxidative etching with respect to the chemically inert pristine structure. This phase transition occurs in sub-superficial layers thanks to the peculiar damage profile of MeV ions, which mostly damage the target material at their end of range. The structures were obtained by irradiating commercial CVD diamond samples with a micrometric collimated C+ ion beam at three different energies (4 MeV, 3.5 MeV and 3 MeV) at a total fluence of 2 × 1016 cm-2. The chosen multiple-energy implantation strategy allows to obtain a thick box-like highly damaged region ranging from 1.6 μm to 2.1 μm below the sample surface. High-temperature annealing was performed to both promote the graphitization of the ion-induced amorphous layer and to recover the pristine crystalline structure in the cap layer. Finally, the graphite was removed by ozone etching, obtaining monolithic microfluidic structures. These prototypal microfluidic devices were tested injecting aqueous solutions and the evidence of the passage of fluids through the channels was confirmed by confocal fluorescent microscopy.

  7. Application of focused ion beam for the fabrication of AFM probes

    NASA Astrophysics Data System (ADS)

    Kolomiytsev, A. S.; Lisitsyn, S. A.; Smirnov, V. A.; Fedotov, A. A.; Varzarev, Yu N.

    2017-10-01

    The results of an experimental study of the probe tips fabrication for critical-dimension atomic force microscopy (CD-AFM) using the focused ion beam (FIB) induced deposition are presented. Methods of the FIB-induced deposition of tungsten and carbon onto the tip of an AFM probe are studied. Based on the results obtained in the study, probes for the CD-AFM technique with a tip height about 1 μm and radius of 20 nm were created. The formation of CD-AFM probes by FIB-induced deposition allows creating a high efficiency tool for nanotechnology and nanodiagnostics. The use of modified cantilevers allows minimizing the artefacts of AFM images and increasing the accuracy of the relief measurement. The obtained results can be used for fabrication of AFM probes for express monitoring of the technological process in the manufacturing of the elements for micro- and nanoelectronics.

  8. Large scale silver nanowires network fabricated by MeV hydrogen (H+) ion beam irradiation

    NASA Astrophysics Data System (ADS)

    Honey, S.; Naseem, S.; Ishaq, A.; Maaza, M.; Bhatti, M. T.; Wan, D.

    2016-04-01

    A random two-dimensional large scale nano-network of silver nanowires (Ag-NWs) is fabricated by MeV hydrogen (H+) ion beam irradiation. Ag-NWs are irradiated under H+ ion beam at different ion fluences at room temperature. The Ag-NW network is fabricated by H+ ion beam-induced welding of Ag-NWs at intersecting positions. H+ ion beam induced welding is confirmed by transmission electron microscopy (TEM) and scanning electron microscopy (SEM). Moreover, the structure of Ag NWs remains stable under H+ ion beam, and networks are optically transparent. Morphology also remains stable under H+ ion beam irradiation. No slicings or cuttings of Ag-NWs are observed under MeV H+ ion beam irradiation. The results exhibit that the formation of Ag-NW network proceeds through three steps: ion beam induced thermal spikes lead to the local heating of Ag-NWs, the formation of simple junctions on small scale, and the formation of a large scale network. This observation is useful for using Ag-NWs based devices in upper space where protons are abandoned in an energy range from MeV to GeV. This high-quality Ag-NW network can also be used as a transparent electrode for optoelectronics devices. Project supported by the National Research Foundation of South Africa (NRF), the French Centre National pour la Recherche Scientifique, iThemba-LABS, the UNESCO-UNISA Africa Chair in Nanosciences & Nanotechnology, the Third World Academy of Science (TWAS), Organization of Women in Science for the Developing World (OWSDW), the Abdus Salam ICTP via the Nanosciences African Network (NANOAFNET), and the Higher Education Commission (HEC) of Pakistan.

  9. Characterization of Noble Gas Ion Beam Fabricated Single Molecule Nanopore Detectors

    NASA Astrophysics Data System (ADS)

    Rollings, Ryan; Ledden, Bradley; Shultz, John; Fologea, Daniel; Li, Jiali; Chervinsky, John; Golovchenko, Jene

    2006-03-01

    Nanopores fabricated with low energy noble gas ion beams in a silicon nitride membrane can be employed as the fundamental element of single biomolecule detection and characterization devices [1,2]. With the help of X-ray Photoelectron Spectroscopy (XPS) and Rutherford Backscattering (RBS), we demonstrate that the electrical noise properties, and hence ultimate sensitivity of nanopore single molecule detectors depends on ion beam species and nanopore annealing conditions. .1. Li, J., D. Stein, C. McMullan, D. Branton, M.J. Aziz, and J.A. Golovchenko, Ion-beam sculpting at nanometre length scales. Nature, 2001. 412(12 July): p. 166-169. 2. Li, J., M. Gershow, D. Stein, E. Brandin, and J.A. Golovchenko, DNA Molecules and Configurations in a Solid-state Nanopore Microscope. Nature Materials, 2003. 2: p. 611-615.

  10. Ion-beam assisted laser fabrication of sensing plasmonic nanostructures

    PubMed Central

    Kuchmizhak, Aleksandr; Gurbatov, Stanislav; Vitrik, Oleg; Kulchin, Yuri; Milichko, Valentin; Makarov, Sergey; Kudryashov, Sergey

    2016-01-01

    Simple high-performance, two-stage hybrid technique was developed for fabrication of different plasmonic nanostructures, including nanorods, nanorings, as well as more complex structures on glass substrates. In this technique, a thin noble-metal film on a dielectric substrate is irradiated by a single tightly focused nanosecond laser pulse and then the modified region is slowly polished by an accelerated argon ion (Ar+) beam. As a result, each nanosecond laser pulse locally modifies the initial metal film through initiation of fast melting and subsequent hydrodynamic processes, while the following Ar+-ion polishing removes the rest of the film, revealing the hidden topography features and fabricating separate plasmonic structures on the glass substrate. We demonstrate that the shape and lateral size of the resulting functional plasmonic nanostructures depend on the laser pulse energy and metal film thickness, while subsequent Ar+-ion polishing enables to vary height of the resulting nanostructures. Plasmonic properties of the fabricated nanostructures were characterized by dark-field micro-spectroscopy, Raman and photoluminescence measurements performed on single nanofeatures, as well as by supporting numerical calculations of the related electromagnetic near-fields and Purcell factors. The developed simple two-stage technique represents a new step towards direct large-scale laser-induced fabrication of highly ordered arrays of complex plasmonic nanostructures. PMID:26776569

  11. Controllable Fabrication of Non-Close-Packed Colloidal Nanoparticle Arrays by Ion Beam Etching

    NASA Astrophysics Data System (ADS)

    Yang, Jie; Zhang, Mingling; Lan, Xu; Weng, Xiaokang; Shu, Qijiang; Wang, Rongfei; Qiu, Feng; Wang, Chong; Yang, Yu

    2018-06-01

    Polystyrene (PS) nanoparticle films with non-close-packed arrays were prepared by using ion beam etching technology. The effects of etching time, beam current, and voltage on the size reduction of PS particles were well investigated. A slow etching rate, about 9.2 nm/min, is obtained for the nanospheres with the diameter of 100 nm. The rate does not maintain constant with increasing the etching time. This may result from the thermal energy accumulated gradually in a long-time bombardment of ion beam. The etching rate increases nonlinearly with the increase of beam current, while it increases firstly then reach its saturation with the increase of beam voltage. The diameter of PS nanoparticles can be controlled in the range from 34 to 88 nm. Based on the non-close-packed arrays of PS nanoparticles, the ordered silicon (Si) nanopillars with their average diameter of 54 nm are fabricated by employing metal-assisted chemical etching technique. Our results pave an effective way to fabricate the ordered nanostructures with the size less than 100 nm.

  12. Nickel nanowires mesh fabricated by ion beam irradiation-induced nanoscale welding for transparent conducting electrodes

    NASA Astrophysics Data System (ADS)

    Honey, S.; Ahmad, I.; Madhuku, M.; Naseem, S.; Maaza, M.; Kennedy, J. V.

    2017-07-01

    In this report, random nickel nanowires (Ni-NWs) meshes are fabricated by ions beam irradiation-induced nanoscale welding of NWs on intersecting positions. Ni-NWs are exposed to beam of 50 KeV Argon (Ar+) ions at various fluencies in the range ~1015 ions cm-2 to 1016 ions cm-2 at room temperature. Ni-NWs are welded due to accumulation of Ar+ ions beam irradiation-induced sputtered atoms on crossing positions. Ar+ ions irradiated Ni-NWs meshes are optically transparent and optical transparency is enhanced with increase in beam fluence of Ar+ ions. Ar+ ions beam irradiation-induced welded and optically transparent mesh is then exposed to 2.75 MeV hydrogen (H+) ions at fluencies 1  ×  1015 ions cm-2, 3  ×  1015 ions cm-2 and 1  ×  1016 ions cm-2 at room temperature. MeV H+ ions irradiation-induced local heat cause melting and fusion of NWs on intersecting points and eventually lead to reduce contact resistance between Ni-NWs. Electrical conductivity is enhanced with increase in beam fluence of H+ ions. These welded highly transparent and electrically conductive Ni-NWs meshes can be employed as transparent conducting electrodes in optoelectronic devices.

  13. Multifunctional carbon nanoelectrodes fabricated by focused ion beam milling.

    PubMed

    Thakar, Rahul; Weber, Anna E; Morris, Celeste A; Baker, Lane A

    2013-10-21

    We report a strategy for fabrication of sub-micron, multifunctional carbon electrodes and application of these electrodes as probes for scanning electrochemical microscopy (SECM) and scanning ion conductance microscopy (SICM). The fabrication process utilized chemical vapor deposition of parylene, followed by thermal pyrolysis to form conductive carbon and then further deposition of parylene to form an insulation layer. To achieve well-defined electrode geometries, two methods of electrode exposure were utilized. In the first method, carbon probes were masked in polydimethylsiloxane (PDMS) to obtain a cone-shaped electrode. In the second method, the electrode area was exposed via milling with a focused ion beam (FIB) to reveal a carbon ring electrode, carbon ring/platinum disk electrode, or carbon ring/nanopore electrode. Carbon electrodes were batch fabricated (~35/batch) through the vapor deposition process and were characterized with scanning electron microscopy (SEM), scanning transmission electron microscopy (STEM), and cyclic voltammetry (CV) measurements. Additionally, Raman spectroscopy was utilized to examine the effects of Ga(+) ion implantation, a result of FIB milling. Constant-height, feedback mode SECM was performed with conical carbon electrodes and carbon ring electrodes. We demonstrate the utility of carbon ring/nanopore electrodes with SECM-SICM to simultaneously collect topography, ion current and electrochemical current images. In addition, carbon ring/nanopore electrodes were utilized in substrate generation/tip collection (SG/TC) SECM. In SG/TC SECM, localized delivery of redox molecules affords a higher resolution, than when the redox molecules are present in the bath solution. Multifunctional geometries of carbon electrode probes will find utility in electroanalytical applications, in general, and more specifically with electrochemical microscopy as discussed herein.

  14. Focused helium-ion-beam-induced deposition

    NASA Astrophysics Data System (ADS)

    Alkemade, P. F. A.; Miro, H.

    2014-12-01

    The recent introduction of the helium ion microscope (HIM) offers new possibilities for materials modification and fabrication with spatial resolution below 10 nm. In particular, the specific interaction of He+ ions in the tens of keV energy range with materials—i.e., minimal deflection and mainly energy loss via electronic excitations—renders the HIM a special tool for ion-beam-induced deposition. In this work, an overview is given of all studies of helium-ion-beam-induced deposition (He-IBID) that appeared in the literature before summer 2014. Continuum models that describe the deposition processes are presented in detail, with emphasis on precursor depletion and replenishment. In addition, a Monte Carlo model is discussed. Basic experimental He-IBID studies are critically examined. They show deposition rates of up to 0.1 nm3/ion. Analysis by means of a continuum model yields the precursor diffusion constant and the cross sections for beam-induced precursor decomposition and beam-induced desorption. Moreover, it is shown that deposition takes place only in a small zone around the beam impact point. Furthermore, the characterization of deposited materials is discussed in terms of microstructure and resistivity. It is shown that He-IBID material resembles more electron-beam-induced-deposition (EBID) material than Ga-ion-beam-induced-deposition (Ga-IBID) material. Nevertheless, the spatial resolution for He-IBID is in general better than for EBID and Ga-IBID; in particular, proximity effects are minimal.

  15. Comparison of mechanical characteristics of focused ion beam fabricated silicon nanowires

    NASA Astrophysics Data System (ADS)

    Ina, Ginnosuke; Fujii, Tatsuya; Kozeki, Takahiro; Miura, Eri; Inoue, Shozo; Namazu, Takahiro

    2017-06-01

    In this study, we investigate the effects of focused ion beam (FIB)-induced damage and specimen size on the mechanical properties of Si nanowires (NWs) by a microelectromechanical system (MEMS)-based tensile testing technique. By an FIB fabrication technique, three types of Si NWs, which are as-FIB-fabricated, annealed, and FIB-implanted NWs, are prepared. A sacrificial-oxidized NW is also prepared to compare the mechanical properties of these FIB-based NWs. The quasi-static uniaxial tensile tests of all the NWs are conducted by scanning electron microscopy (SEM). The fabrication process and specimen size dependences on Young’s modulus and fracture strength are observed. Annealing is effective for improving the Young’s modulus of the FIB-damaged Si. Transmission electron microscopy (TEM) suggests that the mechanism behind the process dependence on the mechanical characteristics is related to the crystallinity of the FIB-damaged portion.

  16. Rapid Focused Ion Beam Milling Based Fabrication of Plasmonic Nanoparticles and Assemblies via "Sketch and Peel" Strategy.

    PubMed

    Chen, Yiqin; Bi, Kaixi; Wang, Qianjin; Zheng, Mengjie; Liu, Qing; Han, Yunxin; Yang, Junbo; Chang, Shengli; Zhang, Guanhua; Duan, Huigao

    2016-12-27

    Focused ion beam (FIB) milling is a versatile maskless and resistless patterning technique and has been widely used for the fabrication of inverse plasmonic structures such as nanoholes and nanoslits for various applications. However, due to its subtractive milling nature, it is an impractical method to fabricate isolated plasmonic nanoparticles and assemblies which are more commonly adopted in applications. In this work, we propose and demonstrate an approach to reliably and rapidly define plasmonic nanoparticles and their assemblies using FIB milling via a simple "sketch and peel" strategy. Systematic experimental investigations and mechanism studies reveal that the high reliability of this fabrication approach is enabled by a conformally formed sidewall coating due to the ion-milling-induced redeposition. Particularly, we demonstrated that this strategy is also applicable to the state-of-the-art helium ion beam milling technology, with which high-fidelity plasmonic dimers with tiny gaps could be directly and rapidly prototyped. Because the proposed approach enables rapid and reliable patterning of arbitrary plasmonic nanostructures that are not feasible to fabricate via conventional FIB milling process, our work provides the FIB milling technology an additional nanopatterning capability and thus could greatly increase its popularity for utilization in fundamental research and device prototyping.

  17. Focused ion beam system

    DOEpatents

    Leung, Ka-Ngo; Gough, Richard A.; Ji, Qing; Lee, Yung-Hee Yvette

    1999-01-01

    A focused ion beam (FIB) system produces a final beam spot size down to 0.1 .mu.m or less and an ion beam output current on the order of microamps. The FIB system increases ion source brightness by properly configuring the first (plasma) and second (extraction) electrodes. The first electrode is configured to have a high aperture diameter to electrode thickness aspect ratio. Additional accelerator and focusing electrodes are used to produce the final beam. As few as five electrodes can be used, providing a very compact FIB system with a length down to only 20 mm. Multibeamlet arrangements with a single ion source can be produced to increase throughput. The FIB system can be used for nanolithography and doping applications for fabrication of semiconductor devices with minimum feature sizes of 0.1 .mu.m or less.

  18. Focused ion beam system

    DOEpatents

    Leung, K.; Gough, R.A.; Ji, Q.; Lee, Y.Y.

    1999-08-31

    A focused ion beam (FIB) system produces a final beam spot size down to 0.1 {mu}m or less and an ion beam output current on the order of microamps. The FIB system increases ion source brightness by properly configuring the first (plasma) and second (extraction) electrodes. The first electrode is configured to have a high aperture diameter to electrode thickness aspect ratio. Additional accelerator and focusing electrodes are used to produce the final beam. As few as five electrodes can be used, providing a very compact FIB system with a length down to only 20 mm. Multibeamlet arrangements with a single ion source can be produced to increase throughput. The FIB system can be used for nanolithography and doping applications for fabrication of semiconductor devices with minimum feature sizes of 0.1 m or less. 13 figs.

  19. Ion-beam technology and applications

    NASA Technical Reports Server (NTRS)

    Hudson, W. R.; Robson, R. R.; Sovey, J. S.

    1977-01-01

    Ion propulsion research and development yields a mature technology that is transferable to a wide range of nonpropulsive applications, including terrestrial and space manufacturing. A xenon ion source was used for an investigation into potential ion-beam applications. The results of cathode tests and discharge-chamber experiments are presented. A series of experiments encompassing a wide range of potential applications is discussed. Two types of processes, sputter deposition, and erosion were studied. Some of the potential applications are thin-film Teflon capacitor fabrication, lubrication applications, ion-beam cleaning and polishing, and surface texturing.

  20. Dosimetric verification in water of a Monte Carlo treatment planning tool for proton, helium, carbon and oxygen ion beams at the Heidelberg Ion Beam Therapy Center

    NASA Astrophysics Data System (ADS)

    Tessonnier, T.; Böhlen, T. T.; Ceruti, F.; Ferrari, A.; Sala, P.; Brons, S.; Haberer, T.; Debus, J.; Parodi, K.; Mairani, A.

    2017-08-01

    The introduction of ‘new’ ion species in particle therapy needs to be supported by a thorough assessment of their dosimetric properties and by treatment planning comparisons with clinically used proton and carbon ion beams. In addition to the latter two ions, helium and oxygen ion beams are foreseen at the Heidelberg Ion Beam Therapy Center (HIT) as potential assets for improving clinical outcomes in the near future. We present in this study a dosimetric validation of a FLUKA-based Monte Carlo treatment planning tool (MCTP) for protons, helium, carbon and oxygen ions for spread-out Bragg peaks in water. The comparisons between the ions show the dosimetric advantages of helium and heavier ion beams in terms of their distal and lateral fall-offs with respect to protons, reducing the lateral size of the region receiving 50% of the planned dose up to 12 mm. However, carbon and oxygen ions showed significant doses beyond the target due to the higher fragmentation tail compared to lighter ions (p and He), up to 25%. The Monte Carlo predictions were found to be in excellent geometrical agreement with the measurements, with deviations below 1 mm for all parameters investigated such as target and lateral size as well as distal fall-offs. Measured and simulated absolute dose values agreed within about 2.5% on the overall dose distributions. The MCTP tool, which supports the usage of multiple state-of-the-art relative biological effectiveness models, will provide a solid engine for treatment planning comparisons at HIT.

  1. Pulsed ion beam source

    DOEpatents

    Greenly, J.B.

    1997-08-12

    An improved pulsed ion beam source is disclosed having a new biasing circuit for the fast magnetic field. This circuit provides for an initial negative bias for the field created by the fast coils in the ion beam source which pre-ionize the gas in the source, ionize the gas and deliver the gas to the proper position in the accelerating gap between the anode and cathode assemblies in the ion beam source. The initial negative bias improves the interaction between the location of the nulls in the composite magnetic field in the ion beam source and the position of the gas for pre-ionization and ionization into the plasma as well as final positioning of the plasma in the accelerating gap. Improvements to the construction of the flux excluders in the anode assembly are also accomplished by fabricating them as layered structures with a high melting point, low conductivity material on the outsides with a high conductivity material in the center. 12 figs.

  2. Pulsed ion beam source

    DOEpatents

    Greenly, John B.

    1997-01-01

    An improved pulsed ion beam source having a new biasing circuit for the fast magnetic field. This circuit provides for an initial negative bias for the field created by the fast coils in the ion beam source which pre-ionize the gas in the source, ionize the gas and deliver the gas to the proper position in the accelerating gap between the anode and cathode assemblies in the ion beam source. The initial negative bias improves the interaction between the location of the nulls in the composite magnetic field in the ion beam source and the position of the gas for pre-ionization and ionization into the plasma as well as final positioning of the plasma in the accelerating gap. Improvements to the construction of the flux excluders in the anode assembly are also accomplished by fabricating them as layered structures with a high melting point, low conductivity material on the outsides with a high conductivity material in the center.

  3. Ion beam figuring of highly steep mirrors with a 5-axis hybrid machine tool

    NASA Astrophysics Data System (ADS)

    Yin, Xiaolin; Tang, Wa; Hu, Haixiang; Zeng, Xuefeng; Wang, Dekang; Xue, Donglin; Zhang, Feng; Deng, Weijie; Zhang, Xuejun

    2018-02-01

    Ion beam figuring (IBF) is an advanced and deterministic method for optical mirror surface processing. The removal function of IBF varies with the different incident angles of ion beam. Therefore, for the curved surface especially the highly steep one, the Ion Beam Source (IBS) should be equipped with 5-axis machining capability to remove the material along the normal direction of the mirror surface, so as to ensure the stability of the removal function. Based on the 3-RPS parallel mechanism and two dimensional displacement platform, a new type of 5-axis hybrid machine tool for IBF is presented. With the hybrid machine tool, the figuring process of a highly steep fused silica spherical mirror is introduced. The R/# of the mirror is 0.96 and the aperture is 104mm. The figuring result shows that, PV value of the mirror surface error is converged from 121.1nm to32.3nm, and RMS value 23.6nm to 3.4nm.

  4. Ion beam figuring of Φ520mm convex hyperbolic secondary mirror

    NASA Astrophysics Data System (ADS)

    Meng, Xiaohui; Wang, Yonggang; Li, Ang; Li, Wenqing

    2016-10-01

    The convex hyperbolic secondary mirror is a Φ520-mm Zerodur lightweight hyperbolic convex mirror. Typically conventional methods like CCOS, stressed-lap polishing are used to manufacture this secondary mirror. Nevertheless, the required surface accuracy cannot be achieved through the use of conventional polishing methods because of the unpredictable behavior of the polishing tools, which leads to an unstable removal rate. Ion beam figuring is an optical fabrication method that provides highly controlled error of previously polished surfaces using a directed, inert and neutralized ion beam to physically sputter material from the optic surface. Several iterations with different ion beam size are selected and optimized to fit different stages of surface figure error and spatial frequency components. Before ion beam figuring, surface figure error of the secondary mirror is 2.5λ p-v, 0.23λ rms, and is improved to 0.12λ p-v, 0.014λ rms in several process iterations. The demonstration clearly shows that ion beam figuring can not only be used to the final correction of aspheric, but also be suitable for polishing the coarse surface of large, complex mirror.

  5. Replication of the nano-scale mold fabricated with focused ion beam

    NASA Astrophysics Data System (ADS)

    Gao, J. X.; Chan-Park, M. B.; Xie, D. Z.; Ngoi, Bryan K. A.

    2004-12-01

    Silicon mold fabricated with Focused Ion Beam lithography (FIB) was used to make silicone elastomer molds. The silicon mold is composed of lattice of holes which the diameter and depth are about 200 nm and 60 nm, respectively. The silicone elastomer material was then used to replicate slavery mold. Our study show the replication process with the elastomer mold had been performed successfully and the diameter of humps on the elastomer mold is near to that of holes on the master mold. But the height of humps in the elastomer mold is only 42 nm and it is different from the depth of holes in the master mold.

  6. Experiments on Ion Beam Deflection Using Ion Optics with Slit Apertures

    NASA Astrophysics Data System (ADS)

    Okawa, Yasushi; Hayakawa, Yukio; Kitamura, Shoji

    2004-03-01

    An experimental investigation on ion beam deflection by grid translation was performed. The ion beam deflection in ion optics is a desired technology for ion thrusters because thrust vector control utilizing this technique can eliminate the need for conventional gimbaling devices and thus reduce propulsion system mass. A grid translation mechanism consisting of a piezoelectric motor, a ceramic lever, and carbon-based grids with slit apertures was fabricated and high repeatability in beam deflection characteristics was obtained using this mechanism. Results showed that the beam deflection angle was proportional to the grid translation distance and independent of slit width and grid voltage. A numerical simulation successfully reproduced the beam deflection characteristics in a qualitative and quantitative sense. A maximum beam deflection angle of approximately plus or minus 6 degrees, which was comparable to that of the ordinary gimbaling devices used in space, was obtained without a severe drain current. Therefore, the beam deflection by grid translation is promising as a thrust vectoring method in ion thrusters.

  7. Abaca/polyester nonwoven fabric functionalization for metal ion adsorbent synthesis via electron beam-induced emulsion grafting

    NASA Astrophysics Data System (ADS)

    Madrid, Jordan F.; Ueki, Yuji; Seko, Noriaki

    2013-09-01

    A metal ion adsorbent was developed from a nonwoven fabric trunk material composed of both natural and synthetic polymers. A pre-irradiation technique was used for emulsion grafting of glycidyl methacrylate (GMA) onto an electron beam irradiated abaca/polyester nonwoven fabric (APNWF). The dependence of degree of grafting (Dg), calculated from the weight of APNWF before and after grafting, on absorbed dose, reaction time and monomer concentration were evaluated. After 50 kGy irradiation with 2 MeV electron beam and subsequent 3 h reaction with an emulsion consisting of 5% GMA and 0.5% polyoxyethylene sorbitan monolaurate (Tween 20) surfactant in deionized water at 40 °C, a grafted APNWF with a Dg greater than 150% was obtained. The GMA-grafted APNWF was further modified by reaction with ethylenediamine (EDA) in isopropyl alcohol at 60 °C to introduce amine functional groups. After a 3 h reaction with 50% EDA, an amine group density of 2.7 mmole/gram adsorbent was achieved based from elemental analysis. Batch adsorption experiments were performed using Cu2+ and Ni2+ ions in aqueous solutions with initial pH of 5 at 30 °C. Results show that the adsorption capacity of the grafted adsorbent for Cu2+ is four times higher than Ni2+ ions.

  8. Nanoscale fabrication using single-ion impacts

    NASA Astrophysics Data System (ADS)

    Millar, Victoria; Pakes, Chris I.; Cimmino, Alberto; Brett, David; Jamieson, David N.; Prawer, Steven D.; Yang, Changyi; Rout, Bidhudutta; McKinnon, Rita P.; Dzurak, Andrew S.; Clark, Robert G.

    2001-11-01

    We describe a novel technique for the fabrication of nanoscale structures, based on the development of localized chemical modification caused in a PMMA resist by the implantation of single ions. The implantation of 2 MeV He ions through a thin layer of PMMA into an underlying silicon substrate causes latent damage in the resist. On development of the resist we demonstrate the formation within the PMMA layer of clearly defined etched holes, of typical diameter 30 nm, observed using an atomic force microscope employing a carbon nanotube SPM probe in intermittent-contact mode. This technique has significant potential applications. Used purely to register the passage of an ion, it may be a useful verification of the impact sites in an ion-beam modification process operating at the single-ion level. Furthermore, making use of the hole in the PMMA layer to perform subsequent fabrication steps, it may be applied to the fabrication of self-aligned structures in which surface features are fabricated directly above regions of an underlying substrate that are locally doped by the implanted ion. Our primary interest in single-ion resists relates to the development of a solid-state quantum computer based on an array of 31P atoms (which act as qubits) embedded with nanoscale precision in a silicon matrix. One proposal for the fabrication of such an array is by phosphorous-ion implantation. A single-ion resist would permit an accurate verification of 31P implantation sites. Subsequent metalisation of the latent damage may allow the fabrication of self-aligned metal gates above buried phosphorous atoms.

  9. Applications of ion beam technology

    NASA Technical Reports Server (NTRS)

    Gelerinter, E.; Spielberg, N.

    1980-01-01

    Wire adhesion in steel belted radial tires; carbon fibers and composite; cold welding, brazing, and fabrication; hydrogen production, separation, and storage; membrane use; catalysis; sputtering and texture; and ion beam implantation are discussed.

  10. High efficiency ion beam accelerator system

    NASA Technical Reports Server (NTRS)

    Aston, G.

    1981-01-01

    An ion accelerator system that successfully combines geometrical and electrostatic focusing principles is presented. This accelerator system uses thin, concave, multiple-hole, closely spaced graphite screen and focusing grids which are coupled to single slot accelerator and decelerator grids to provide high ion extraction efficiency and good focusing. Tests with the system showed a substantial improvement in ion beam current density and collimation as compared with a Pierce electrode configuration. Durability of the thin graphite screen and focusing grids has been proven, and tests are being performed to determine the minimum screen and focusing grid spacing and thickness required to extract the maximum reliable beam current density. Compared with present neutral beam injector accelerator systems, this one has more efficient ion extraction, easier grid alignment, easier fabrication, a less cumbersome design, and the capacity to be constructed in a modular fashion. Conceptual neutral beam injector designs using this modular approach have electrostatic beam deflection plates downstream of each module.

  11. Nanopatterning of optical surfaces during low-energy ion beam sputtering

    NASA Astrophysics Data System (ADS)

    Liao, Wenlin; Dai, Yifan; Xie, Xuhui

    2014-06-01

    Ion beam figuring (IBF) provides a highly deterministic method for high-precision optical surface fabrication, whereas ion-induced microscopic morphology evolution would occur on surfaces. Consequently, the fabrication specification for surface smoothness must be seriously considered during the IBF process. In this work, low-energy ion nanopatterning of our frequently used optical material surfaces is investigated to discuss the manufacturability of an ultrasmooth surface. The research results indicate that ion beam sputtering (IBS) can directly smooth some amorphous or amorphizable material surfaces, such as fused silica, Si, and ULE under appropriate processing conditions. However, for IBS of a Zerodur surface, preferential sputtering together with curvature-dependent sputtering overcome ion-induced smoothing mechanisms, leading to the granular nanopatterns' formation and the coarsening of the surface. Furthermore, the material property difference at microscopic scales and the continuous impurity incorporation would affect the ion beam smoothing of optical surfaces. Overall, IBS can be used as a promising technique for ultrasmooth surface fabrication, which strongly depends on processing conditions and material characters.

  12. Ion beam lithography system

    DOEpatents

    Leung, Ka-Ngo

    2005-08-02

    A maskless plasma-formed ion beam lithography tool provides for patterning of sub-50 nm features on large area flat or curved substrate surfaces. The system is very compact and does not require an accelerator column and electrostatic beam scanning components. The patterns are formed by switching beamlets on or off from a two electrode blanking system with the substrate being scanned mechanically in one dimension. This arrangement can provide a maskless nano-beam lithography tool for economic and high throughput processing.

  13. Fabrication of the polarization independent spectral beam combining grating

    NASA Astrophysics Data System (ADS)

    Liu, Quan; Jin, Yunxia; Wu, Jianhong; Guo, Peiliang

    2016-03-01

    Owing to damage, thermal issues, and nonlinear optical effects, the output power of fiber laser has been proven to be limited. Beam combining techniques are the attractive solutions to achieve high-power high-brightness fiber laser output. The spectral beam combining (SBC) is a promising method to achieve high average power output without influencing the beam quality. A polarization independent spectral beam combining grating is one of the key elements in the SBC. In this paper the diffraction efficiency of the grating is investigated by rigorous coupled-wave analysis (RCWA). The theoretical -1st order diffraction efficiency of the grating is more than 95% from 1010nm to 1080nm for both TE and TM polarizations. The fabrication tolerance is analyzed. The polarization independent spectral beam combining grating with the period of 1.04μm has been fabricated by holographic lithography - ion beam etching, which are within the fabrication tolerance.

  14. Optical fiber plasmonic lens for near-field focusing fabricated through focused ion beam

    NASA Astrophysics Data System (ADS)

    Sloyan, Karen; Melkonyan, Henrik; Moreira, Paulo; Dahlem, Marcus S.

    2017-02-01

    We report on numerical simulations and fabrication of an optical fiber plasmonic lens for near-field focusing applications. The plasmonic lens consists of an Archimedean spiral structure etched through a 100 nm-thick Au layer on the tip of a single-mode SM600 optical fiber operating at a wavelength of 632:8 nm. Three-dimensional finite-difference time-domain computations show that the relative electric field intensity of the focused spot increases 2:1 times when the number of turns increases from 2 to 12. Furthermore, a reduction of the intensity is observed when the initial inner radius is increased. The optimized plasmonic lens focuses light into a spot with a full-width at half-maximum of 182 nm, beyond the diffraction limit. The lens was fabricated by focused ion beam milling, with a 200nm slit width.

  15. Fabrication of precision high quality facets on molecular beam epitaxy material

    DOEpatents

    Petersen, Holly E.; Goward, William D.; Dijaili, Sol P.

    2001-01-01

    Fabricating mirrored vertical surfaces on semiconductor layered material grown by molecular beam epitaxy (MBE). Low energy chemically assisted ion beam etching (CAIBE) is employed to prepare mirrored vertical surfaces on MBE-grown III-V materials under unusually low concentrations of oxygen in evacuated etching atmospheres of chlorine and xenon ion beams. UV-stabilized smooth-surfaced photoresist materials contribute to highly vertical, high quality mirrored surfaces during the etching.

  16. Graphene engineering by neon ion beams

    DOE PAGES

    Iberi, Vighter; Ievlev, Anton V.; Vlassiouk, Ivan; ...

    2016-02-18

    Achieving the ultimate limits of materials and device performance necessitates the engineering of matter with atomic, molecular, and mesoscale fidelity. While common for organic and macromolecular chemistry, these capabilities are virtually absent for 2D materials. In contrast to the undesired effect of ion implantation from focused ion beam (FIB) lithography with gallium ions, and proximity effects in standard e-beam lithography techniques, the shorter mean free path and interaction volumes of helium and neon ions offer a new route for clean, resist free nanofabrication. Furthermore, with the advent of scanning helium ion microscopy, maskless He + and Ne + beam lithographymore » of graphene based nanoelectronics is coming to the forefront. Here, we will discuss the use of energetic Ne ions in engineering graphene devices and explore the mechanical, electromechanical and chemical properties of the ion-milled devices using scanning probe microscopy (SPM). By using SPM-based techniques such as band excitation (BE) force modulation microscopy, Kelvin probe force microscopy (KPFM) and Raman spectroscopy, we demonstrate that the mechanical, electrical and optical properties of the exact same devices can be quantitatively extracted. Additionally, the effect of defects inherent in ion beam direct-write lithography, on the overall performance of the fabricated devices is elucidated.« less

  17. Industrial ion source technology. [for ion beam etching, surface texturing, and deposition

    NASA Technical Reports Server (NTRS)

    Kaufman, H. R.

    1977-01-01

    Plasma probe surveys were conducted in a 30-cm source to verify that the uniformity in the ion beam is the result of a corresponding uniformity in the discharge-chamber plasma. A 15 cm permanent magnet multipole ion source was designed, fabricated, and demonstrated. Procedures were investigated for texturing a variety of seed and surface materials for controlling secondary electron emission, increasing electron absorption of light, and improved attachment of biological tissue for medical implants using argon and tetrafluoromethane as the working gases. The cross section for argon-argon elastic collisions in the ion-beam energy range was calculated from interaction potentials and permits calculation of beam interaction effects that can determine system pumping requirements. The data also indicate that different optimizations of ion-beam machines will be advantageous for long and short runs, with 1 mA-hr/cm being the rough dividing line for run length. The capacity to simultaneously optimize components in an ion-beam machine for a single application, a capacity that is not evident in competitive approaches such as diode sputtering is emphasized.

  18. Photonic guiding structures in lithium niobate crystals produced by energetic ion beams

    NASA Astrophysics Data System (ADS)

    Chen, Feng

    2009-10-01

    A range of ion beam techniques have been used to fabricate a variety of photonic guiding structures in the well-known lithium niobate (LiNbO3 or LN) crystals that are of great importance in integrated photonics/optics. This paper reviews the up-to-date research progress of ion-beam-processed LiNbO3 photonic structures and reports on their fabrication, characterization, and applications. Ion beams are being used with this material in a wide range of techniques, as exemplified by the following examples. Ion beam milling/etching can remove the selected surface regions of LiNbO3 crystals via the sputtering effects. Ion implantation and swift ion irradiation can form optical waveguide structures by modifying the surface refractive indices of the LiNbO3 wafers. Crystal ion slicing has been used to obtain bulk-quality LiNbO3 single-crystalline thin films or membranes by exfoliating the implanted layer from the original substrate. Focused ion beams can either generate small structures of micron or submicron dimensions, to realize photonic bandgap crystals in LiNbO3, or directly write surface waveguides or other guiding devices in the crystal. Ion beam-enhanced etching has been extensively applied for micro- or nanostructuring of LiNbO3 surfaces. Methods developed to fabricate a range of photonic guiding structures in LiNbO3 are introduced. Modifications of LiNbO3 through the use of various energetic ion beams, including changes in refractive index and properties related to the photonic guiding structures as well as to the materials (i.e., electro-optic, nonlinear optic, luminescent, and photorefractive features), are overviewed in detail. The application of these LiNbO3 photonic guiding structures in both micro- and nanophotonics are briefly summarized.

  19. Micro/nanofabrication of poly({sub L}-lactic acid) using focused ion beam direct etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oyama, Tomoko Gowa; Nagasawa, Naotsugu; Taguchi, Mitsumasa

    2013-10-14

    Micro/nanofabrication of biocompatible and biodegradable poly({sub L}-lactic acid) (PLLA) using focused Ga ion beam direct etching was evaluated for future bio-device applications. The fabrication performance was determined with different ion fluences and fluxes (beam currents), and it was found that the etching speed and fabrication accuracy were affected by irradiation-induced heat. Focused ion beam (FIB)-irradiated surfaces were analyzed using micro-area X-ray photoelectron spectroscopy. Owing to reactions such as the physical sputtering of atoms and radiation-induced decomposition, PLLA was gradually carbonized with increasing C=C bonds. Controlled micro/nanostructures of PLLA were fabricated with C=C bond-rich surfaces expected to have good cell attachmentmore » properties.« less

  20. Rhenium ion beam for implantation into semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kulevoy, T. V.; Seleznev, D. N.; Alyoshin, M. E.

    2012-02-15

    At the ion source test bench in Institute for Theoretical and Experimental Physics the program of ion source development for semiconductor industry is in progress. In framework of the program the Metal Vapor Vacuum Arc ion source for germanium and rhenium ion beam generation was developed and investigated. It was shown that at special conditions of ion beam implantation it is possible to fabricate not only homogenous layers of rhenium silicides solid solutions but also clusters of this compound with properties of quantum dots. At the present moment the compound is very interesting for semiconductor industry, especially for nanoelectronics andmore » nanophotonics, but there is no very developed technology for production of nanostructures (for example quantum sized structures) with required parameters. The results of materials synthesis and exploration are presented.« less

  1. Surface microroughness of ion-beam etched optical surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Savvides, N.

    2005-03-01

    Ion-beam etching (IBE) and ion-beam figuring techniques using low-energy ion-beam sources have been applied for more than ten years in the fabrication and finishing of extremely smooth high-performance optics. We used optical interferometric techniques and atomic force microscopy to study the evolution of the surface root-mean-square (rms) microroughness, Rq, as a function of depth of a material removed (0-3000 nm) by a broad ion-beam source (Ar{sup +} ions of energy 600 eV and ion current density of 1 mA cm{sup -2}). Highly polished samples of fused silica and Zerodur (Rq{approx}3.5 A) showed a small decrease in microroughness (to 2.5 A)more » after 3000-nm IBE removal while an ultrapolished single-crystal sapphire sample (Rq{approx}1 A rms) retained its very low microroughness during IBE. Power spectral density functions over the spatial frequency interval of measurement (f=5x10{sup -3}-25 {mu}m{sup -1}) indicate that the IBE surfaces have minimal subsurface damage and low optical scatter.« less

  2. Microfabricated Ion Beam Drivers for Magnetized Target Fusion

    NASA Astrophysics Data System (ADS)

    Persaud, Arun; Seidl, Peter; Ji, Qing; Ardanuc, Serhan; Miller, Joseph; Lal, Amit; Schenkel, Thomas

    2015-11-01

    Efficient, low-cost drivers are important for Magnetized Target Fusion (MTF). Ion beams offer a high degree of control to deliver the required mega joules of driver energy for MTF and they can be matched to several types of magnetized fuel targets, including compact toroids and solid targets. We describe an ion beam driver approach based on the MEQALAC concept (Multiple Electrostatic Quadrupole Array Linear Accelerator) with many beamlets in an array of micro-fabricated channels. The channels consist of a lattice of electrostatic quadrupoles (ESQ) for focusing and of radio-frequency (RF) electrodes for ion acceleration. Simulations with particle-in-cell and beam envelope codes predict >10x higher current densities compared to state-of-the-art ion accelerators. This increase results from dividing the total ion beam current up into many beamlets to control space charge forces. Focusing elements can be biased taking advantage of high breakdown electric fields in sub-mm structures formed using MEMS techniques (Micro-Electro-Mechanical Systems). We will present results on ion beam transport and acceleration in MEMS based beamlets. Acknowledgments: This work is supported by the U.S. DOE under Contract No. DE-AC02-05CH11231.

  3. Fabrication of single Ga-doped ZnS nanowires as high-gain photosensors by focused ion beam deposition

    NASA Astrophysics Data System (ADS)

    Yen, Shih-Hsiang; Hung, Yu-Chen; Yeh, Ping-Hung; Su, Ya-Wen; Wang, Chiu-Yen

    2017-09-01

    ZnS nanowires were synthesized via a vapor-liquid-solid mechanism and then fabricated into a single-nanowire field-effect transistor by focused ion beam (FIB) deposition. The field-effect electrical properties of the FIB-fabricated ZnS nanowire device, namely conductivity, mobility and hole concentration, were 9.13 Ω-1 cm-1, 13.14 cm2 V-1 s-1and 4.27 × 1018 cm-3, respectively. The photoresponse properties of the ZnS nanowires were studied and the current responsivity, current gain, response time and recovery time were 4.97 × 106 A W-1, 2.43 × 107, 9 s and 24 s, respectively. Temperature-dependent I-V measurements were used to analyze the interfacial barrier height between ZnS and the FIB-deposited Pt electrode. The results show that the interfacial barrier height is as low as 40 meV. The energy-dispersive spectrometer elemental line scan shows the influence of Ga ions on the ZnS nanowire surface on the FIB-deposited Pt contact electrodes. The results of temperature-dependent I-V measurements and the elemental line scan indicate that Ga ions were doped into the ZnS nanowire, reducing the barrier height between the FIB-deposited Pt electrodes and the single ZnS nanowire. The small barrier height results in the FIB-fabricated ZnS nanowire device acting as a high-gain photosensor.

  4. Development of a negative ion-based neutral beam injector in Novosibirsk.

    PubMed

    Ivanov, A A; Abdrashitov, G F; Anashin, V V; Belchenko, Yu I; Burdakov, A V; Davydenko, V I; Deichuli, P P; Dimov, G I; Dranichnikov, A N; Kapitonov, V A; Kolmogorov, V V; Kondakov, A A; Sanin, A L; Shikhovtsev, I V; Stupishin, N V; Sorokin, A V; Popov, S S; Tiunov, M A; Belov, V P; Gorbovsky, A I; Kobets, V V; Binderbauer, M; Putvinski, S; Smirnov, A; Sevier, L

    2014-02-01

    A 1000 keV, 5 MW, 1000 s neutral beam injector based on negative ions is being developed in the Budker Institute of Nuclear Physics, Novosibirsk in collaboration with Tri Alpha Energy, Inc. The innovative design of the injector features the spatially separated ion source and an electrostatic accelerator. Plasma or photon neutralizer and energy recuperation of the remaining ion species is employed in the injector to provide an overall energy efficiency of the system as high as 80%. A test stand for the beam acceleration is now under construction. A prototype of the negative ion beam source has been fabricated and installed at the test stand. The prototype ion source is designed to produce 120 keV, 1.5 A beam.

  5. Means for obtaining a metal ion beam from a heavy-ion cyclotron source

    DOEpatents

    Hudson, E.D.; Mallory, M.L.

    1975-08-01

    A description is given of a modification to a cyclotron ion source used in producing a high intensity metal ion beam. A small amount of an inert support gas maintains the usual plasma arc, except that it is necessary for the support gas to have a heavy mass, e.g., xenon or krypton as opposed to neon. A plate, fabricated from the metal (or anything that can be sputtered) to be ionized, is mounted on the back wall of the ion source arc chamber and is bombarded by returning energetic low-charged gas ions that fail to cross the initial accelerating gap between the ion source and the accelerating electrode. Some of the atoms that are dislodged from the plate by the returning gas ions become ionized and are extracted as a useful beam of heavy ions. (auth)

  6. Epitaxial pentacene films grown on the surface of ion-beam-processed gate dielectric layer

    NASA Astrophysics Data System (ADS)

    Chou, W. Y.; Kuo, C. W.; Cheng, H. L.; Mai, Y. S.; Tang, F. C.; Lin, S. T.; Yeh, C. Y.; Horng, J. B.; Chia, C. T.; Liao, C. C.; Shu, D. Y.

    2006-06-01

    The following research describes the process of fabrication of pentacene films with submicron thickness, deposited by thermal evaporation in high vacuum. The films were fabricated with the aforementioned conditions and their characteristics were analyzed using x-ray diffraction, scanning electron microscopy, polarized Raman spectroscopy, and photoluminescence. Organic thin-film transistors (OTFTs) were fabricated on an indium tin oxide coated glass substrate, using an active layer of ordered pentacene molecules, which were grown at room temperature. Pentacene film was aligned using the ion-beam aligned method, which is typically employed to align liquid crystals. Electrical measurements taken on a thin-film transistor indicated an increase in the saturation current by a factor of 15. Pentacene-based OTFTs with argon ion-beam-processed gate dielectric layers of silicon dioxide, in which the direction of the ion beam was perpendicular to the current flow, exhibited a mobility that was up to an order of magnitude greater than that of the controlled device without ion-beam process; current on/off ratios of approximately 106 were obtained. Polarized Raman spectroscopy investigation indicated that the surface of the gate dielectric layer, treated with argon ion beam, enhanced the intermolecular coupling of pentacene molecules. The study also proposes the explanation for the mechanism of carrier transportation in pentacene films.

  7. Micro- and Nano-Scale Fabrication of Fluorinated Polymers by Direct Etching Using Focused Ion Beam

    NASA Astrophysics Data System (ADS)

    Fukutake, Naoyuki; Miyoshi, Nozomi; Takasawa, Yuya; Urakawa, Tatsuya; Gowa, Tomoko; Okamoto, Kazumasa; Oshima, Akihiro; Tagawa, Seiichi; Washio, Masakazu

    2010-06-01

    Micro- and nano-scale fabrications of various fluorinated polymers were demonstrated by direct maskless etching using a focused ion beam (FIB). The etching rates of perfluorinated polymers, such as poly(tetrafluoroethylene) (PTFE), poly(tetrafluoroethylene-co-hexafluoropropylene) (FEP), poly(tetrafluoroethylene-co-perfluoroalkoxyvinylether) (PFA), were about 500-1000 times higher than those of partially fluorinated polymers, such as poly(tetrafluoroethylene-co-ethylene) (ETFE) and poly(vinilydene-fluoride) (PVdF). Controlled high quality and high aspect-ratio nanostructures of spin-coated cross-linked PTFE were obtained without solid debris. The height and diameter of the fibers were about 1.5 µm and 90 nm, respectively. Their aspect ratio was about 17.

  8. Micro- and Nano-Scale Fabrication of Fluorinated Polymers by Direct Etching Using Focused Ion Beam

    NASA Astrophysics Data System (ADS)

    Naoyuki Fukutake,; Nozomi Miyoshi,; Yuya Takasawa,; Tatsuya Urakawa,; Tomoko Gowa,; Kazumasa Okamoto,; Akihiro Oshima,; Seiichi Tagawa,; Masakazu Washio,

    2010-06-01

    Micro- and nano-scale fabrications of various fluorinated polymers were demonstrated by direct maskless etching using a focused ion beam (FIB). The etching rates of perfluorinated polymers, such as poly(tetrafluoroethylene) (PTFE), poly(tetrafluoroethylene-co-hexafluoropropylene) (FEP), poly(tetrafluoroethylene-co-perfluoroalkoxyvinylether) (PFA), were about 500-1000 times higher than those of partially fluorinated polymers, such as poly(tetrafluoroethylene-co-ethylene) (ETFE) and poly(vinilydene-fluoride) (PVdF). Controlled high quality and high aspect-ratio nanostructures of spin-coated cross-linked PTFE were obtained without solid debris. The height and diameter of the fibers were about 1.5 μm and 90 nm, respectively. Their aspect ratio was about 17.

  9. Biased Target Ion Beam Deposition and Nanoskiving for Fabricating NiTi Alloy Nanowires

    NASA Astrophysics Data System (ADS)

    Hou, Huilong; Horn, Mark W.; Hamilton, Reginald F.

    2016-12-01

    Nanoskiving is a novel nanofabrication technique to produce shape memory alloy nanowires. Our previous work was the first to successfully fabricate NiTi alloy nanowires using the top-down approach, which leverages thin film technology and ultramicrotomy for ultra-thin sectioning. For this work, we utilized biased target ion beam deposition technology to fabricate nanoscale (i.e., sub-micrometer) NiTi alloy thin films. In contrast to our previous work, rapid thermal annealing was employed for heat treatment, and the B2 austenite to R-phase martensitic transformation was confirmed using stress-temperature and diffraction measurements. The ultramicrotome was programmable and facilitated sectioning the films to produce nanowires with thickness-to-width ratios ranging from 4:1 to 16:1. Energy dispersive X-ray spectroscopy analysis confirmed the elemental Ni and Ti make-up of the wires. The findings exposed the nanowires exhibited a natural ribbon-like curvature, which depended on the thickness-to-width ratio. The results demonstrate nanoskiving is a potential nanofabrication technique for producing NiTi alloy nanowires that are continuous with an unprecedented length on the order of hundreds of micrometers.

  10. Atomic-scale thermocapillary flow in focused ion beam milling

    NASA Astrophysics Data System (ADS)

    Das, Kallol; Johnson, Harley; Freund, Jonathan

    2016-11-01

    Focused ion beams (FIB) offer an attractive tool for nanometer-scale manufacturing and material processing, particularly because they can be focused to a few nanometer diameter spot. This motivates their use for many applications, such as sample preparation for transmission electron microscopy (TEM), forming nanometer scale pores in thin films for DNA sequencing. Despite its widespread use, the specific mechanisms of FIB milling, especially at high ion fluxes for which significant phase change might occur, remains incompletely understood. Here we investigate the process of nanopore fabrication in thin Si films using molecular dynamics simulation where Ga+ ions are used as the focused ions. For a range of ion intensities in a realistic configuration, a recirculating melt region develops, which is seen to flow with a symmetrical pattern, counter to how it would flow were it is driven by the ion momentum flux. Such flow is potentially important for the shape and composition of the formed structures. Relevant stress scales and estimated physical properties of silicon under these extreme conditions support the importance thermocapillary effects. A continuum flow model with Marangoni forcing reproduces the flow.

  11. Fabrication of Amorphous Indium Gallium Zinc Oxide Thin Film Transistor by using Focused Ion Beam

    NASA Astrophysics Data System (ADS)

    Zhu, Wencong

    Compared with other transparent semiconductors, amorphous indium gallium zinc oxide (a-IGZO) has both good uniformity and high electron mobility, which make it as a good candidate for displays or large-scale transparent circuit. The goal of this research is to fabricate alpha-IGZO thin film transistor (TFT) with channel milled by focused ion beam (FIB). TFTs with different channel geometries can be achieved by applying different milling strategies, which facilitate modifying complex circuit. Technology Computer-Aided Design (TCAD) was also introduced to understand the effect of trapped charges on the device performance. The investigation of the trapped charge at IGZO/SiO2 interface was performed on the IGZO TFT on p-Silicon substrate with thermally grown SiO2 as dielectric. The subgap density-of-state model was used for the simulation, which includes conduction band-tail trap states and donor-like state in the subgap. The result shows that the de-trapping and donor-state ionization determine the interface trapped charge density at various gate biases. Simulation of IGZO TFT with FIB defined channel on the same substrate was also applied. The drain and source were connected intentionally during metal deposition and separated by FIB milling. Based on the simulation, the Ga ions in SiO2 introduced by the ion beam was drifted by gate bias and affects the saturation drain current. Both side channel and direct channel transparent IGZO TFTs were fabricated on the glass substrate with coated ITO. Higher ion energy (30 keV) was used to etch through the substrate between drain and source and form side channels at the corner of milled trench. Lower ion energy (16 keV) was applied to stop the milling inside IGZO thin film and direct channel between drain and source was created. Annealing after FIB milling removed the residual Ga ions and the devices show switch feature. Direct channel shows higher saturation drain current (~10-6 A) compared with side channel (~10-7 A) because

  12. Investigations on the structure of the extracted ion beam from an electron cyclotron resonance ion source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Spaedtke, P.; Lang, R.; Maeder, J.

    2012-02-15

    Using improved beam diagnostic tools, the structure of an ion beam extracted from an electron cyclotron resonance ion source (ECRIS) becomes visible. Especially viewing targets to display the beam profile and pepper pot devices for emittance measurements turned out to be very useful. On the contrary, diagnostic tools integrating over one space coordinate like wire harps for profile measurements or slit-slit devices, respectively slit-grid devices to measure the emittance might be applicable for beam transport investigations in a quadrupole channel, but are not very meaningful for investigations regarding the given ECRIS symmetry. Here we try to reproduce the experimentally foundmore » structure on the ion beam by simulation. For the simulation, a certain model has to be used to reproduce the experimental results. The model is also described in this paper.« less

  13. Study on Platinum Coating Depth in Focused Ion Beam Diamond Cutting Tool Milling and Methods for Removing Platinum Layer.

    PubMed

    Choi, Woong Kirl; Baek, Seung Yub

    2015-09-22

    In recent years, nanomachining has attracted increasing attention in advanced manufacturing science and technologies as a value-added processes to control material structures, components, devices, and nanoscale systems. To make sub-micro patterns on these products, micro/nanoscale single-crystal diamond cutting tools are essential. Popular non-contact methods for the macro/micro processing of diamond composites are pulsed laser ablation (PLA) and electric discharge machining (EDM). However, for manufacturing nanoscale diamond tools, these machining methods are not appropriate. Despite diamond's extreme physical properties, diamond can be micro/nano machined relatively easily using a focused ion beam (FIB) technique. In the FIB milling process, the surface properties of the diamond cutting tool is affected by the amorphous damage layer caused by the FIB gallium ion collision and implantation and these influence the diamond cutting tool edge sharpness and increase the processing procedures. To protect the diamond substrate, a protection layer-platinum (Pt) coating is essential in diamond FIB milling. In this study, the depth of Pt coating layer which could decrease process-induced damage during FIB fabrication is investigated, along with methods for removing the Pt coating layer on diamond tools. The optimum Pt coating depth has been confirmed, which is very important for maintaining cutting tool edge sharpness and decreasing processing procedures. The ultra-precision grinding method and etching with aqua regia method have been investigated for removing the Pt coating layer. Experimental results show that when the diamond cutting tool width is bigger than 500 nm, ultra-precision grinding method is appropriate for removing Pt coating layer on diamond tool. However, the ultra-precision grinding method is not recommended for removing the Pt coating layer when the cutting tool width is smaller than 500 nm, because the possibility that the diamond cutting tool is damaged

  14. Ion Beam Propulsion Study

    NASA Technical Reports Server (NTRS)

    2008-01-01

    The Ion Beam Propulsion Study was a joint high-level study between the Applied Physics Laboratory operated by NASA and ASRC Aerospace at Kennedy Space Center, Florida, and Berkeley Scientific, Berkeley, California. The results were promising and suggested that work should continue if future funding becomes available. The application of ion thrusters for spacecraft propulsion is limited to quite modest ion sources with similarly modest ion beam parameters because of the mass penalty associated with the ion source and its power supply system. Also, the ion source technology has not been able to provide very high-power ion beams. Small ion beam propulsion systems were used with considerable success. Ion propulsion systems brought into practice use an onboard ion source to form an energetic ion beam, typically Xe+ ions, as the propellant. Such systems were used for steering and correction of telecommunication satellites and as the main thruster for the Deep Space 1 demonstration mission. In recent years, "giant" ion sources were developed for the controlled-fusion research effort worldwide, with beam parameters many orders of magnitude greater than the tiny ones of conventional space thruster application. The advent of such huge ion beam sources and the need for advanced propulsion systems for exploration of the solar system suggest a fresh look at ion beam propulsion, now with the giant fusion sources in mind.

  15. Dual-beam focused ion beam/electron microscopy processing and metrology of redeposition during ion-surface 3D interactions, from micromachining to self-organized picostructures.

    PubMed

    Moberlychan, Warren J

    2009-06-03

    Focused ion beam (FIB) tools have become a mainstay for processing and metrology of small structures. In order to expand the understanding of an ion impinging a surface (Sigmund sputtering theory) to our processing of small structures, the significance of 3D boundary conditions must be realized. We consider ion erosion for patterning/lithography, and optimize yields using the angle of incidence and chemical enhancement, but we find that the critical 3D parameters are aspect ratio and redeposition. We consider focused ion beam sputtering for micromachining small holes through membranes, but we find that the critical 3D considerations are implantation and redeposition. We consider ion beam self-assembly of nanostructures, but we find that control of the redeposition by ion and/or electron beams enables the growth of nanostructures and picostructures.

  16. Fabrication of nanoelectrodes for neurophysiology: cathodic electrophoretic paint insulation and focused ion beam milling

    PubMed Central

    Qiao, Yi; Chen, Jie; Guo, Xiaoli; Cantrell, Donald; Ruoff, Rodney; Troy, John

    2005-01-01

    The fabrication and characterization of tungsten nanoelectrodes insulated with cathodic electrophoretic paint is described together with their application within the field of neurophysiology. The tip of a 127 μm diameter tungsten wire was etched down to less than 100 nm and then insulated with cathodic electrophoretic paint. Focused ion beam (FIB) polishing was employed to remove the insulation at the electrode’s apex, leaving a nanoscale sized conductive tip of 100–1000 nm. The nanoelectrodes were examined by scanning electron microscopy (SEM) and their electrochemical properties characterized by steady state linear sweep voltammetry. Electrode impedance at 1 kHz was measured too. The ability of a 700 nm tipped electrode to record well-isolated action potentials extracellularly from single visual neurons in vivo was demonstrated. Such electrodes have the potential to open new populations of neurons to study. PMID:16467926

  17. Ion beam figuring of silicon aspheres

    NASA Astrophysics Data System (ADS)

    Demmler, Marcel; Zeuner, Michael; Luca, Alfonz; Dunger, Thoralf; Rost, Dirk; Kiontke, Sven; Krüger, Marcus

    2011-03-01

    Silicon lenses are widely used for infrared applications. Especially for portable devices the size and weight of the optical system are very important factors. The use of aspherical silicon lenses instead of spherical silicon lenses results in a significant reduction of weight and size. The manufacture of silicon lenses is more challenging than the manufacture of standard glass lenses. Typically conventional methods like diamond turning, grinding and polishing are used. However, due to the high hardness of silicon, diamond turning is very difficult and requires a lot of experience. To achieve surfaces of a high quality a polishing step is mandatory within the manufacturing process. Nevertheless, the required surface form accuracy cannot be achieved through the use of conventional polishing methods because of the unpredictable behavior of the polishing tools, which leads to an unstable removal rate. To overcome these disadvantages a method called Ion Beam Figuring can be used to manufacture silicon lenses with high surface form accuracies. The general advantage of the Ion Beam Figuring technology is a contactless polishing process without any aging effects of the tool. Due to this an excellent stability of the removal rate without any mechanical surface damage is achieved. The related physical process - called sputtering - can be applied to any material and is therefore also applicable to materials of high hardness like Silicon (SiC, WC). The process is realized through the commercially available ion beam figuring system IonScan 3D. During the process, the substrate is moved in front of a focused broad ion beam. The local milling rate is controlled via a modulated velocity profile, which is calculated specifically for each surface topology in order to mill the material at the associated positions to the target geometry. The authors will present aspherical silicon lenses with very high surface form accuracies compared to conventionally manufactured lenses.

  18. Broad beam ion implanter

    DOEpatents

    Leung, K.N.

    1996-10-08

    An ion implantation device for creating a large diameter, homogeneous, ion beam is described, as well as a method for creating same, wherein the device is characterized by extraction of a diverging ion beam and its conversion by ion beam optics to an essentially parallel ion beam. The device comprises a plasma or ion source, an anode and exit aperture, an extraction electrode, a divergence-limiting electrode and an acceleration electrode, as well as the means for connecting a voltage supply to the electrodes. 6 figs.

  19. Broad beam ion implanter

    DOEpatents

    Leung, Ka-Ngo

    1996-01-01

    An ion implantation device for creating a large diameter, homogeneous, ion beam is described, as well as a method for creating same, wherein the device is characterized by extraction of a diverging ion beam and its conversion by ion beam optics to an essentially parallel ion beam. The device comprises a plasma or ion source, an anode and exit aperture, an extraction electrode, a divergence-limiting electrode and an acceleration electrode, as well as the means for connecting a voltage supply to the electrodes.

  20. Three-dimensional patterning in polymer optical waveguides using focused ion beam milling

    NASA Astrophysics Data System (ADS)

    Kruse, Kevin; Burrell, Derek; Middlebrook, Christopher

    2016-07-01

    Waveguide (WG) photonic-bridge taper modules are designed for symmetric planar coupling between silicon WGs and single-mode fibers (SMFs) to minimize photonic chip and packaging footprint requirements with improving broadband functionality. Micromachined fabrication and evaluation of polymer WG tapers utilizing high-resolution focused ion beam (FIB) milling is performed and presented. Polymer etch rates utilizing the FIB and optimal methods for milling polymer tapers are identified for three-dimensional patterning. Polymer WG tapers with low sidewall roughness are manufactured utilizing FIB milling and optically tested for fabrication loss. FIB platforms utilize a focused beam of ions (Ga+) to etch submicron patterns into substrates. Fabricating low-loss polymer WG taper prototypes with the FIB before moving on to mass-production techniques provides theoretical understanding of the polymer taper and its feasibility for connectorization devices between silicon WGs and SMFs.

  1. Fabrication Process for Cantilever Beam Micromechanical Switches

    DTIC Science & Technology

    1993-08-01

    Beam Design ................................................................... 13 B. Chemistry and Materials Used in Cantilever Beam Process...7 3. Photomask levels and composite...pp 410-413. 5 2. Cantilever Beam Fabrication Process The beam fabrication process incorporates four different photomasking levels with 62 processing

  2. Adaptation of ion beam technology to microfabrication of solid state devices and transducers

    NASA Technical Reports Server (NTRS)

    Topich, J. A.

    1977-01-01

    It was found that ion beam texturing of silicon surfaces can be used to increase the effective surface area of MOS capacitors. There is, however, a problem with low dielectric breakdown. Preliminary work was begun on the fabrication of ion implanted resistors on textured surfaces and the potential improvement of wire bond strength by bonding to a textured surface. In the area of ion beam sputtering, the techniques for sputtering PVC were developed. A PVC target containing valinomycin was used to sputter an ion selective membrane on a field effect transistor to form a potassium ion sensor.

  3. Feasibility of a 90° electric sector energy analyzer for low energy ion beam characterization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mahinay, C. L. S., E-mail: cmahinay@nip.upd.edu.ph; Ramos, H. J.; Wada, M.

    2015-02-15

    A simple formula to calculate refocusing by locating the output slit at a specific distance away from the exit of 90° ion deflecting electric sector is given. Numerical analysis is also performed to calculate the ion beam trajectories for different values of the initial angular deviation of the beam. To validate the theory, a compact (90 mm × 5.5 mm × 32 mm) 90° sector ESA is fabricated which can fit through the inner diameter of a conflat 70 vacuum flange. Experimental results show that the dependence of resolution upon the distance between the sector exit and the Faraday cupmore » agrees with the theory. The fabricated 90° sector electrostatic energy analyzer was then used to measure the space resolved ion energy distribution functions of an ion beam with the energy as low as 600 eV.« less

  4. Ion recombination correction in carbon ion beams.

    PubMed

    Rossomme, S; Hopfgartner, J; Lee, N D; Delor, A; Thomas, R A S; Romano, F; Fukumura, A; Vynckier, S; Palmans, H

    2016-07-01

    In this work, ion recombination is studied as a function of energy and depth in carbon ion beams. Measurements were performed in three different passively scattered carbon ion beams with energies of 62 MeV/n, 135 MeV/n, and 290 MeV/n using various types of plane-parallel ionization chambers. Experimental results were compared with two analytical models for initial recombination. One model is generally used for photon beams and the other model, developed by Jaffé, takes into account the ionization density along the ion track. An investigation was carried out to ascertain the effect on the ion recombination correction with varying ionization chamber orientation with respect to the direction of the ion tracks. The variation of the ion recombination correction factors as a function of depth was studied for a Markus ionization chamber in the 62 MeV/n nonmodulated carbon ion beam. This variation can be related to the depth distribution of linear energy transfer. Results show that the theory for photon beams is not applicable to carbon ion beams. On the other hand, by optimizing the value of the ionization density and the initial mean-square radius, good agreement is found between Jaffé's theory and the experimental results. As predicted by Jaffé's theory, the results confirm that ion recombination corrections strongly decrease with an increasing angle between the ion tracks and the electric field lines. For the Markus ionization chamber, the variation of the ion recombination correction factor with depth was modeled adequately by a sigmoid function, which is approximately constant in the plateau and strongly increasing in the Bragg peak region to values of up to 1.06. Except in the distal edge region, all experimental results are accurately described by Jaffé's theory. Experimental results confirm that ion recombination in the investigated carbon ion beams is dominated by initial recombination. Ion recombination corrections are found to be significant and cannot be

  5. Optical waveguides in fluoride lead silicate glasses fabricated by carbon ion implantation

    NASA Astrophysics Data System (ADS)

    Shen, Xiao-liang; Wang, Yue; Zhu, Qi-feng; Lü, Peng; Li, Wei-nan; Liu, Chun-xiao

    2018-03-01

    The carbon ion implantation with energy of 4.0 MeV and a dose of 4.0×1014 ions/cm2 is employed for fabricating the optical waveguide in fluoride lead silicate glasses. The optical modes as well as the effective refractive indices are measured by the prism coupling method. The refractive index distribution in the fluoride lead silicate glass waveguide is simulated by the reflectivity calculation method (RCM). The light intensity profile and the energy losses are calculated by the finite-difference beam propagation method (FD-BPM) and the program of stopping and range of ions in matter (SRIM), respectively. The propagation properties indicate that the C2+ ion-implanted fluoride lead silicate glass waveguide is a candidate for fabricating optical devices.

  6. Investigation of ion-beam machining methods for replicated x-ray optics

    NASA Technical Reports Server (NTRS)

    Drueding, Thomas W.

    1996-01-01

    The final figuring step in the fabrication of an optical component involves imparting a specified contour onto the surface. This can be expensive and time consuming step. The recent development of ion beam figuring provides a method for performing the figuring process with advantages over standard mechanical methods. Ion figuring has proven effective in figuring large optical components. The process of ion beam figuring removes material by transferring kinetic energy from impinging neutral particles. The process utilizes a Kaufman type ion source, where a plasma is generated in a discharge chamber by controlled electric potentials. Charged grids extract and accelerate ions from the chamber. The accelerated ions form a directional beam. A neutralizer outside the accelerator grids supplies electrons to the positive ion beam. It is necessary to neutralize the beam to prevent charging workpieces and to avoid bending the beam with extraneous electro-magnetic fields. When the directed beam strikes the workpiece, material sputters in a predicable manner. The amount and distribution of material sputtered is a function of the energy of the beam, material of the component, distance from the workpiece, and angle of incidence of the beam. The figuring method described here assumes a constant beam removal, so that the process can be represented by a convolution operation. A fixed beam energy maintains a constant sputtering rate. This temporally and spatially stable beam is held perpendicular to the workpiece at a fixed distance. For non-constant removal, corrections would be required to model the process as a convolution operation. Specific figures (contours) are achieved by rastering the beam over the workpiece at varying velocities. A unique deconvolution is performed, using series-derivative solution developed for the system, to determine these velocities.

  7. A Multicusp Ion Source for Radioactive Ion Beams

    NASA Astrophysics Data System (ADS)

    Wutte, D.; Freedman, S.; Gough, R.; Lee, Y.; Leitner, M.; Leung, K. N.; Lyneis, C.; Picard, D. S.; Sun, L.; Williams, M. D.; Xie, Z. Q.

    1997-05-01

    In order to produce a radioactive ion beam of (14)O+, a 10-cm-diameter, 13.56 MHz radio frequency (rf) driven multicusp ion source is now being developed at Lawrence Berkeley National Laboratory. In this paper we describe the specific ion source design and the basic ion source characteristics using Ar, Xe and a 90types of measurements have been performed: extractable ion current, ion species distributions, gas efficiency, axial energy spread and ion beam emittance measurements. The source can generate ion current densities of approximately 60 mA/cm2 . In addition the design of the ion beam extraction/transport system for the actual experimental setup for the radioactive beam line will be presented.

  8. Fabrication of superconducting nanowires from ultrathin MgB2 films via focused ion beam milling

    NASA Astrophysics Data System (ADS)

    Zhang, Chen; Wang, Da; Liu, Zheng-Hao; Zhang, Yan; Ma, Ping; Feng, Qing-Rong; Wang, Yue; Gan, Zi-Zhao

    2015-02-01

    High quality superconducting nanowires were fabricated from ultrathin MgB2 films by a focused ion beam milling technique. The precursor MgB2 films in 10 nm thick were grown on MgO substrates by using a hybrid physical-chemical vapor deposition method. The nanowires, in widths of about 300-600 nm and lengths of 1 or 10 μm, showed high superconducting critical temperatures (Tc's) above 34 K and narrow superconducting transition widths (ΔTc's) of 1-3 K. The superconducting critical current density Jc of the nanowires was above 5 × 107 A/cm2 at 20 K. The high Tc, narrow ΔTc, and high Jc of the nanowires offered the possibility of making MgB2-based nano-devices such as hot-electron bolometers and superconducting nanowire single-photon detectors with high operating temperatures at 15-20 K.

  9. Iodine Beam Dump Design and Fabrication

    NASA Technical Reports Server (NTRS)

    Polzin, K. A.; Bradley, D. E.

    2017-01-01

    During the testing of electric thrusters, high-energy ions impacting the walls of a vacuum chamber can cause corrosion and/or sputtering of the wall materials, which can damage the chamber walls. The sputtering can also introduce the constituent materials of the chamber walls into an experiment, with those materials potentially migrating back to the test article and coating it with contaminants over time. The typical method employed in this situation is to install a beam dump fabricated from materials that have a lower sputter yield, thus reducing the amount of foreign material that could migrate towards the test article or deposit on anything else present in the vacuum facility.

  10. Development of textured magnesium oxide templates and bicrystals using ion beam assisted deposition

    NASA Astrophysics Data System (ADS)

    Vallejo, Ronald N.

    Recently, there has been an increased research effort in the deposition of near-single-crystal thin films on substrates that do not provide a template for epitaxial crystalline film growth. Ion beam assisted deposition (IBAD) has been demonstrated as one of the most promising methods to artificially control the texture in thin films. Biaxially textured MgO templates of 10 nm thickness were successfully fabricated on glass and silicon substrates without any buffer layers using IBAD. This work has shed insights on several issues. First, surface morphology ˜ 1 nm or better is only a necessary condition for textured IBAD-MgO, but not a sufficient condition. Additional surface preparation must be provided for nucleation and subsequent formation of the textured IBAD-MgO templates. Second, the role of buffer layer on IBAD-MgO texturing. It was found that the ion beam pre-exposure of the substrates prior to IBAD processing provided a sufficient condition for the nucleation and subsequent texture formation of the IBAD grown films. The ion pre-exposure replaced the need for buffer layers in silicon and glass substrates. Finally, by pre-exposing the substrates to Ar + ions, it was found that the ion beam modified the surface and improved the surface roughness of the glass substrates. Textured MgO epi templates were demonstrated for the first time on polymer based substrates (polyimide). This is a crucial step in the realization of epitaxial suspended devices. To achieve an epitaxial film on a sacrificial layer, an epitaxial template film must first be grown prior to subsequent film growth. The role of ion pre-exposure and buffer layer on texture formation was investigated in this part of the work. This thesis also presents groundbreaking results on the fabrication of bicrystal MgO films and bicrystal networks using ion beam assisted deposition. Highly oriented bicrystals, with a common (100) out-of-plane orientation and (110) in-plane orientations having a tilt angle of 45

  11. Beam brilliance investigation of high current ion beams at GSI heavy ion accelerator facility.

    PubMed

    Adonin, A A; Hollinger, R

    2014-02-01

    In this work the emittance measurements of high current Ta-beam provided by VARIS (Vacuum Arc Ion Source) ion source are presented. Beam brilliance as a function of beam aperture at various extraction conditions is investigated. Influence of electrostatic ion beam compression in post acceleration gap on the beam quality is discussed. Use of different extraction systems (single aperture, 7 holes, and 13 holes) in order to achieve more peaked beam core is considered. The possible ways to increase the beam brilliance are discussed.

  12. Design, Fabrication, and Testing of Composite Energy-Absorbing Keel Beams for General Aviation Type Aircraft

    NASA Technical Reports Server (NTRS)

    Kellas, Sotiris; Knight, Norman F., Jr.

    2002-01-01

    A lightweight energy-absorbing keel-beam concept was developed and retrofitted in a general aviation type aircraft to improve crashworthiness performance. The energy-absorbing beam consisted of a foam-filled cellular structure with glass fiber and hybrid glass/kevlar cell walls. Design, analysis, fabrication and testing of the keel beams prior to installation and subsequent full-scale crash testing of the aircraft are described. Factors such as material and fabrication constraints, damage tolerance, crush stress/strain response, seat-rail loading, and post crush integrity, which influenced the course of the design process are also presented. A theory similar to the one often used for ductile metal box structures was employed with appropriate modifications to estimate the sustained crush loads for the beams. This, analytical tool, coupled with dynamic finite element simulation using MSC.Dytran were the prime design and analysis tools. The validity of the theory as a reliable design tool was examined against test data from static crush tests of beam sections while the overall performance of the energy-absorbing subfloor was assessed through dynamic testing of 24 in long subfloor assemblies.

  13. Ion beam texturing

    NASA Technical Reports Server (NTRS)

    Hudson, W. R.

    1976-01-01

    A microscopic surface texture is created by sputter etching a surface while simultaneously sputter depositing a lower sputter yield material onto the surface. A xenon ion beam source has been used to perform this texturing process on samples as large as three centimeters in diameter. Ion beam textured surface structures have been characterized with SEM photomicrographs for a large number of materials including Cu, Al, Si, Ti, Ni, Fe, Stainless steel, Au, and Ag. Surfaces have been textured using a variety of low sputter yield materials - Ta, Mo, Nb, and Ti. The initial stages of the texture creation have been documented, and the technique of ion beam sputter removal of any remaining deposited material has been studied. A number of other texturing parameters have been studied such as the variation of the texture with ion beam power, surface temperature, and the rate of texture growth with sputter etching time.

  14. Neutralized ion beam modification of cellulose membranes for study of ion charge effect on ion-beam-induced DNA transfer

    NASA Astrophysics Data System (ADS)

    Prakrajang, K.; Sangwijit, K.; Anuntalabhochai, S.; Wanichapichart, P.; Yu, L. D.

    2012-02-01

    Low-energy ion beam biotechnology (IBBT) has recently been rapidly developed worldwide. Ion-beam-induced DNA transfer is one of the important applications of IBBT. However, mechanisms involved in this application are not yet well understood. In this study plasma-neutralized ion beam was applied to investigate ion charge effect on induction of DNA transfer. Argon ion beam at 7.5 keV was neutralized by RF-driven plasma in the beam path and then bombarded cellulose membranes which were used as the mimetic plant cell envelope. Electrical properties such as impedance and capacitance of the membranes were measured after the bombardment. An in vitro experiment on plasmid DNA transfer through the cellulose membrane was followed up. The results showed that the ion charge input played an important role in the impedance and capacitance changes which would affect DNA transfer. Generally speaking, neutral particle beam bombardment of biologic cells was more effective in inducing DNA transfer than charged ion beam bombardment.

  15. Advancements in ion beam figuring of very thin glass plates (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Civitani, M.; Ghigo, M.; Hołyszko, J.; Vecchi, G.; Basso, S.; Cotroneo, V.; DeRoo, C. T.; Schwartz, E. D.; Reid, P. B.

    2017-09-01

    The high-quality surface characteristics, both in terms of figure error and of micro-roughness, required on the mirrors of a high angular resolution x-ray telescope are challenging, but in principle well suited with a deterministic and non-contact process like the ion beam figuring. This process has been recently proven to be compatible even with very thin (thickness around 0.4mm) sheet of glasses (like D263 and Eagle). In the last decade, these types of glass have been investigated as substrates for hot slumping, with residual figure errors of hundreds of nanometres. In this view, the mirrors segments fabrication could be envisaged as a simple two phases process: a first replica step based on hot slumping (direct/indirect) followed by an ion beam figuring which can be considered as a post-fabrication correction method. The first ion beam figuring trials, realized on flat samples, showed that the micro-roughness is not damaged but a deeper analysis is necessary to characterize and eventually control/compensate the glass shape variations. In this paper, we present the advancements in the process definition, both on flat and slumped glass samples.

  16. Development of a beam ion velocity detector for the heavy ion beam probe

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fimognari, P. J., E-mail: PJFimognari@XanthoTechnologies.com; Crowley, T. P.; Demers, D. R.

    2016-11-15

    In an axisymmetric plasma, the conservation of canonical angular momentum constrains heavy ion beam probe (HIBP) trajectories such that measurement of the toroidal velocity component of secondary ions provides a localized determination of the poloidal flux at the volume where they originated. We have developed a prototype detector which is designed to determine the beam angle in one dimension through the detection of ion current landing on two parallel planes of detecting elements. A set of apertures creates a pattern of ion current on wires in the first plane and solid metal plates behind them; the relative amounts detected bymore » the wires and plates determine the angle which beam ions enter the detector, which is used to infer the toroidal velocity component. The design evolved from a series of simulations within which we modeled ion beam velocity changes due to equilibrium and fluctuating magnetic fields, along with the ion beam profile and velocity dispersion, and studied how these and characteristics such as the size, cross section, and spacing of the detector elements affect performance.« less

  17. Operating characteristics of a new ion source for KSTAR neutral beam injection system.

    PubMed

    Kim, Tae-Seong; Jeong, Seung Ho; Chang, Doo-Hee; Lee, Kwang Won; In, Sang-Ryul

    2014-02-01

    A new positive ion source for the Korea Superconducting Tokamak Advanced Research neutral beam injection (KSTAR NBI-1) system was designed, fabricated, and assembled in 2011. The characteristics of the arc discharge and beam extraction were investigated using hydrogen and helium gas to find the optimum operating parameters of the arc power, filament voltage, gas pressure, extracting voltage, accelerating voltage, and decelerating voltage at the neutral beam test stand at the Korea Atomic Energy Research Institute in 2012. Based on the optimum operating condition, the new ion source was then conditioned, and performance tests were primarily finished. The accelerator system with enlarged apertures can extract a maximum 65 A ion beam with a beam energy of 100 keV. The arc efficiency and optimum beam perveance, at which the beam divergence is at a minimum, are estimated to be 1.0 A/kW and 2.5 uP, respectively. The beam extraction tests show that the design goal of delivering a 2 MW deuterium neutral beam into the KSTAR Tokamak plasma is achievable.

  18. A specialized bioengineering ion beam line

    NASA Astrophysics Data System (ADS)

    Yu, L. D.; Sangyuenyongpipat, S.; Sriprom, C.; Thongleurm, C.; Suwanksum, R.; Tondee, N.; Prakrajang, K.; Vilaithong, T.; Brown, I. G.; Wiedemann, H.

    2007-04-01

    A specialized bioengineering ion beam line has recently been completed at Chiang Mai University to meet rapidly growing needs of research and application development in low-energy ion beam biotechnology. This beam line possesses special features: vertical main beam line, low-energy (30 keV) ion beams, double swerve of the beam, a fast pumped target chamber, and an in-situ atomic force microscope (AFM) system chamber. The whole beam line is situated in a bioclean environment, occupying two stories. The quality of the ion beam has been studied. It has proved that this beam line has significantly contributed to our research work on low-energy ion beam biotechnology.

  19. Electron beam ion source and electron beam ion trap (invited).

    PubMed

    Becker, Reinard; Kester, Oliver

    2010-02-01

    The electron beam ion source (EBIS) and its trap variant [electron beam ion trap (EBIT)] celebrated their 40th and 20th anniversary, respectively, at the EBIS/T Symposium 2007 in Heidelberg. These technologically challenging sources of highly charged ions have seen a broad development in many countries over the last decades. In contrast to most other ion sources the recipe of improvement was not "sorcery" but a clear understanding of the physical laws and obeying the technological constraints. This review will report important achievements of the past as well as promising developments in the future.

  20. Bright focused ion beam sources based on laser-cooled atoms

    PubMed Central

    McClelland, J. J.; Steele, A. V.; Knuffman, B.; Twedt, K. A.; Schwarzkopf, A.; Wilson, T. M.

    2016-01-01

    Nanoscale focused ion beams (FIBs) represent one of the most useful tools in nanotechnology, enabling nanofabrication via milling and gas-assisted deposition, microscopy and microanalysis, and selective, spatially resolved doping of materials. Recently, a new type of FIB source has emerged, which uses ionization of laser cooled neutral atoms to produce the ion beam. The extremely cold temperatures attainable with laser cooling (in the range of 100 μK or below) result in a beam of ions with a very small transverse velocity distribution. This corresponds to a source with extremely high brightness that rivals or may even exceed the brightness of the industry standard Ga+ liquid metal ion source. In this review we discuss the context of ion beam technology in which these new ion sources can play a role, their principles of operation, and some examples of recent demonstrations. The field is relatively new, so only a few applications have been demonstrated, most notably low energy ion microscopy with Li ions. Nevertheless, a number of promising new approaches have been proposed and/or demonstrated, suggesting that a rapid evolution of this type of source is likely in the near future. PMID:27239245

  1. Bright focused ion beam sources based on laser-cooled atoms

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McClelland, J. J.; Wilson, T. M.; Steele, A. V.

    2016-03-15

    Nanoscale focused ion beams (FIBs) represent one of the most useful tools in nanotechnology, enabling nanofabrication via milling and gas-assisted deposition, microscopy and microanalysis, and selective, spatially resolved doping of materials. Recently, a new type of FIB source has emerged, which uses ionization of laser cooled neutral atoms to produce the ion beam. The extremely cold temperatures attainable with laser cooling (in the range of 100 μK or below) result in a beam of ions with a very small transverse velocity distribution. This corresponds to a source with extremely high brightness that rivals or may even exceed the brightness of themore » industry standard Ga{sup +} liquid metal ion source. In this review, we discuss the context of ion beam technology in which these new ion sources can play a role, their principles of operation, and some examples of recent demonstrations. The field is relatively new, so only a few applications have been demonstrated, most notably low energy ion microscopy with Li ions. Nevertheless, a number of promising new approaches have been proposed and/or demonstrated, suggesting that a rapid evolution of this type of source is likely in the near future.« less

  2. Focused Ion Beam Fabrication of Graded Channel Field Effect Transistors (FETs) in GaAs and Si

    DTIC Science & Technology

    1988-11-21

    is used even though the cut may need to be - I-am wide. Since theL ± ne REMOVAL etch time varies as the inverse square of the beam diameter , a ROF...at room temperature a fairly large diameter capillary 1.4-mm and ion induced deposition or etching , the focused ion beam inner diameter was used . For...Pd/B/As/P (alloy sources) Main - micromachining - implantation uses - ion induced deposition - lithography and etching - high resolution SIMS

  3. Ion beam modification of topological insulator bismuth selenide

    DOE PAGES

    Sharma, Peter Anand; Sharma, A. L. Lima; Hekmaty, Michelle A.; ...

    2014-12-17

    In this study, we demonstrate chemical doping of a topological insulator Bi 2Se 3 using ion implantation. Ion beam-induced structural damage was characterized using grazing incidence X-ray diffraction and transmission electron microscopy. Ion damage was reversed using a simple thermal annealing step. Carrier-type conversion was achieved using ion implantation followed by an activation anneal in Bi 2Se 3 thin films. These two sets of experiments establish the feasibility of ion implantation for chemical modification of Bi 2Se 3, a prototypical topological insulator. Ion implantation can, in principle, be used for any topological insulator. The direct implantation of dopants should allowmore » better control over carrier concentrations for the purposes of achieving low bulk conductivity. Ion implantation also enables the fabrication of inhomogeneously doped structures, which in turn should make possible new types of device designs.« less

  4. Potential for EMU Fabric Damage by Electron Beam and Molten Metal During Space Welding for the International Space Welding Experiment

    NASA Technical Reports Server (NTRS)

    Fragomeni, James M.

    1998-01-01

    As a consequence of preparations concerning the International Space Welding Experiment (ISWE), studies were performed to better understand the effect of molten metal contact and electron beam impingement with various fabrics for space suit applications. The question arose as to what would occur if the electron beam from the Ukrainian Universal Hand Tool (UHT) designed for welding in space were to impinge upon a piece of Nextel AF-62 ceramic cloth designed to withstand temperatures up to 1427 C. The expectation was that the electron beam would lay down a static charge pattern with no damage to the ceramic fabric. The electron beam is capable of spraying the fabric with enough negative charge to repel further electrons from the fabric before significant heating occurs. The static charge pattern would deflect any further charge accumulation except for a small initial amount of leakage to the grounded surface of the welder. However, when studies were made of the effect of the electron beam on the insulating ceramic fabric it was surprisingly found that the electron beam did indeed burn through the ceramic fabric. It was also found that the shorter electron beam standoff distances had longer burnthrough times than did some greater electron beam standoff distances. A possible explanation for the longer burnthrough times for the small electron beam standoff distance would be outgassing of the fabric which caused the electron beam hand-tool to cycle on and off to provide some protection for the cathodes. The electron beam hand tool was observed to cycle off at the short standoff distance of two inches likely due to vapors being outgassed. During the electron beam welding process there is an electron leakage, or current leakage, flow from the fabric. A static charge pattern is initially laid down by the electron beam current flow. The static charge makes up the current leakage flow which initially slightly heats up the fabric. The initially laid down surface charge leaks a

  5. Low energy ion beam dynamics of NANOGAN ECR ion source

    NASA Astrophysics Data System (ADS)

    Kumar, Sarvesh; Mandal, A.

    2016-04-01

    A new low energy ion beam facility (LEIBF) has been developed for providing the mass analyzed highly charged intense ion beams of energy ranging from a few tens of keV to a few MeV for atomic, molecular and materials sciences research. The new facility consists of an all permanent magnet 10 GHz electron cyclotron resonance (ECR) ion source (NANOGAN) installed on a high voltage platform (400 kV) which provides large currents of multiply charged ion beams. Higher emittance at low energy of intense ion beam puts a tremendous challenge to the beam optical design of this facility. The beam line consists of mainly the electrostatic quadrupoles, an accelerating section, analyzing cum switching magnet and suitable beam diagnostics including vacuum components. The accelerated ion beam is analyzed for a particular mass to charge (m/q) ratio as well as guided to three different lines along 75°, 90° and 105° using a large acceptance analyzing cum switching magnet. The details of transverse beam optics to all the beam lines with TRANSPORT and GICOSY beam optics codes are being described. Field computation code, OPERA 3D has been utilized to design the magnets and electrostatic quadrupoles. A theoretical estimation of emittance for optimized geometry of ion source is given so as to form the basis of beam optics calculations. The method of quadrupole scan of the beam is used to characterize the emittance of the final beam on the target. The measured beam emittance increases with m/q ratios of various ion beams similar to the trend observed theoretically.

  6. Approach to high quality GaN lateral nanowires and planar cavities fabricated by focused ion beam and metal-organic vapor phase epitaxy.

    PubMed

    Pozina, Galia; Gubaydullin, Azat R; Mitrofanov, Maxim I; Kaliteevski, Mikhail A; Levitskii, Iaroslav V; Voznyuk, Gleb V; Tatarinov, Evgeniy E; Evtikhiev, Vadim P; Rodin, Sergey N; Kaliteevskiy, Vasily N; Chechurin, Leonid S

    2018-05-08

    We have developed a method to fabricate GaN planar nanowires and cavities by combination of Focused Ion Beam (FIB) patterning of the substrate followed by Metal Organic Vapor Phase Epitaxy (MOVPE). The method includes depositing a silicon nitride mask on a sapphire substrate, etching of the trenches in the mask by FIB with a diameter of 40 nm with subsequent MOVPE growth of GaN within trenches. It was observed that the growth rate of GaN is substantially increased due to enhanced bulk diffusion of the growth precursor therefore the model for analysis of the growth rate was developed. The GaN strips fabricated by this method demonstrate effective luminescence properties. The structures demonstrate enhancement of spontaneous emission via formation of Fabry-Perot modes.

  7. Rapid prototyping of Fresnel zone plates via direct Ga(+) ion beam lithography for high-resolution X-ray imaging.

    PubMed

    Keskinbora, Kahraman; Grévent, Corinne; Eigenthaler, Ulrike; Weigand, Markus; Schütz, Gisela

    2013-11-26

    A significant challenge to the wide utilization of X-ray microscopy lies in the difficulty in fabricating adequate high-resolution optics. To date, electron beam lithography has been the dominant technique for the fabrication of diffractive focusing optics called Fresnel zone plates (FZP), even though this preparation method is usually very complicated and is composed of many fabrication steps. In this work, we demonstrate an alternative method that allows the direct, simple, and fast fabrication of FZPs using focused Ga(+) beam lithography practically, in a single step. This method enabled us to prepare a high-resolution FZP in less than 13 min. The performance of the FZP was evaluated in a scanning transmission soft X-ray microscope where nanostructures as small as sub-29 nm in width were clearly resolved, with an ultimate cutoff resolution of 24.25 nm, demonstrating the highest first-order resolution for any FZP fabricated by the ion beam lithography technique. This rapid and simple fabrication scheme illustrates the capabilities and the potential of direct ion beam lithography (IBL) and is expected to increase the accessibility of high-resolution optics to a wider community of researchers working on soft X-ray and extreme ultraviolet microscopy using synchrotron radiation and advanced laboratory sources.

  8. Measured and simulated heavy-ion beam loss patterns at the CERN Large Hadron Collider

    NASA Astrophysics Data System (ADS)

    Hermes, P. D.; Bruce, R.; Jowett, J. M.; Redaelli, S.; Salvachua Ferrando, B.; Valentino, G.; Wollmann, D.

    2016-05-01

    The Large Hadron Collider (LHC) at CERN pushes forward to new regimes in terms of beam energy and intensity. In view of the combination of very energetic and intense beams together with sensitive machine components, in particular the superconducting magnets, the LHC is equipped with a collimation system to provide protection and intercept uncontrolled beam losses. Beam losses could cause a superconducting magnet to quench, or in the worst case, damage the hardware. The collimation system, which is optimized to provide a good protection with proton beams, has shown a cleaning efficiency with heavy-ion beams which is worse by up to two orders of magnitude. The reason for this reduced cleaning efficiency is the fragmentation of heavy-ion beams into isotopes with a different mass to charge ratios because of the interaction with the collimator material. In order to ensure sufficient collimation performance in future ion runs, a detailed theoretical understanding of ion collimation is needed. The simulation of heavy-ion collimation must include processes in which 82 + 208Pb ions fragment into dozens of new isotopes. The ions and their fragments must be tracked inside the magnetic lattice of the LHC to determine their loss positions. This paper gives an overview of physical processes important for the description of heavy-ion loss patterns. Loss maps simulated by means of the two tools ICOSIM [1,2] and the newly developed STIER (SixTrack with Ion-Equivalent Rigidities) are compared with experimental data measured during LHC operation. The comparison shows that the tool STIER is in better agreement.

  9. Electron-beam-ion-source (EBIS) modeling progress at FAR-TECH, Inc

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, J. S., E-mail: kim@far-tech.com; Zhao, L., E-mail: kim@far-tech.com; Spencer, J. A., E-mail: kim@far-tech.com

    FAR-TECH, Inc. has been developing a numerical modeling tool for Electron-Beam-Ion-Sources (EBISs). The tool consists of two codes. One is the Particle-Beam-Gun-Simulation (PBGUNS) code to simulate a steady state electron beam and the other is the EBIS-Particle-In-Cell (EBIS-PIC) code to simulate ion charge breeding with the electron beam. PBGUNS, a 2D (r,z) electron gun and ion source simulation code, has been extended for efficient modeling of EBISs and the work was presented previously. EBIS-PIC is a space charge self-consistent PIC code and is written to simulate charge breeding in an axisymmetric 2D (r,z) device allowing for full three-dimensional ion dynamics.more » This 2D code has been successfully benchmarked with Test-EBIS measurements at Brookhaven National Laboratory. For long timescale (< tens of ms) ion charge breeding, the 2D EBIS-PIC simulations take a long computational time making the simulation less practical. Most of the EBIS charge breeding, however, may be modeled in 1D (r) as the axial dependence of the ion dynamics may be ignored in the trap. Where 1D approximations are valid, simulations of charge breeding in an EBIS over long time scales become possible, using EBIS-PIC together with PBGUNS. Initial 1D results are presented. The significance of the magnetic field to ion dynamics, ion cooling effects due to collisions with neutral gas, and the role of Coulomb collisions are presented.« less

  10. Comparison of heavy-ion- and electron-beam upset data for GaAS SRAMS. Technical report

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Flesner, L.D.; Zuleeg, R.; Kolasinski, W.A.

    1992-07-16

    We report the results of experiments designed to evaluate the extent to which focused electron-beam pulses simulate energetic ion upset phenomena in GaAs memory circuits fabricated by the McDonnell Douglas Astronautics Company. The results of two experimental methods were compared, irradiation by heavy-ion particle beams, and upset mapping using focused electron pulses. Linear energy transfer (LET) thresholds and upset cross sections are derived from the data for both methods. A comparison of results shows good agreement, indicating that for these circuits electron-beam pulse mapping is a viable simulation technique.

  11. Thermoelectric phonon-glass electron-crystal via ion beam patterning of silicon

    NASA Astrophysics Data System (ADS)

    Zhu, Taishan; Swaminathan-Gopalan, Krishnan; Stephani, Kelly; Ertekin, Elif

    2018-05-01

    Ion beam irradiation has recently emerged as a versatile approach to functional materials design. We show in this work that patterned defective regions generated by ion beam irradiation of silicon can create a phonon-glass electron-crystal (PGEC), a long-standing goal of thermoelectrics. By controlling the effective diameter of and spacing between the defective regions, molecular dynamics simulations suggest a reduction of the thermal conductivity by a factor of ˜20 is achievable. Boltzmann theory shows that the thermoelectric power factor remains largely intact in the damaged material. To facilitate the Boltzmann theory, we derive an analytical model for electron scattering with cylindrical defective regions based on partial-wave analysis. Together we predict a figure of merit of Z T ≈0.5 or more at room temperature for optimally patterned geometries of these silicon metamaterials. These findings indicate that nanostructuring of patterned defective regions in crystalline materials is a viable approach to realize a PGEC, and ion beam irradiation could be a promising fabrication strategy.

  12. Focused ion beam micromachining of TiNi film on Si( 1 1 1 )

    NASA Astrophysics Data System (ADS)

    Xie, D. Z.; Ngoi, B. K. A.; Ong, A. S.; Fu, Y. Q.; Lim, B. H.

    2003-11-01

    Having an excellent shape memory effect, titanium-nickel (TiNi) thin films are often used for fabrication of microactuators in microelectromechanical systems. In this work, the Ga + focused ion beam (FIB) etching characteristics of TiNi thin films has been investigated. The thin films were deposited on Si(1 1 1) wafers by co-sputtering NiTi and Ti targets using a magnetron-sputtering system. Some patterns have been etched on the surface of the films by FIB. Atomic force microscopy has been used to analyze the surface morphology of the etched areas. It is found that the etched depth depends linearly on the ion dose per area with a slope of 0.259 μm/(nC/μm 2). However, the etching depth decreases with increasing the ion beam current. The root-mean-square (RMS) surface roughness changes nonlinearly with ion dose and reaches a minimum of about 5.00 nm at a dose of about 0.45 nC/μm 2. The RMS decreases with increasing ion beam current and reaches about 4.00 nm as the ion beam current is increased to 2 nA.

  13. Adaptation of ion beam technology to microfabrication of solid state devices and transducers

    NASA Technical Reports Server (NTRS)

    Topich, J. A.

    1978-01-01

    A number of areas were investigated to determine the potential uses of ion beam techniques in the construction of solid state devices and transducers and the packaging of implantable electronics for biomedical applications. The five areas investigated during the past year were: (1) diode-like devices fabricated on textured silicon; (2) a photolithographic technique for patterning ion beam sputtered PVC (polyvinyl chloride); (3) use of sputtered Teflon as a protective coating for implantable pressure sensors; (4) the sputtering of Macor to seal implantable hybrid circuits; and (5) the use of sputtered Teflon to immobilize enzymes.

  14. Ion beam accelerator system

    NASA Technical Reports Server (NTRS)

    Aston, G. (Inventor)

    1981-01-01

    A system is described that combines geometrical and electrostatic focusing to provide high ion extraction efficiency and good focusing of an accelerated ion beam. The apparatus includes a pair of curved extraction grids with multiple pairs of aligned holes positioned to direct a group of beamlets along converging paths. The extraction grids are closely spaced and maintained at a moderate potential to efficiently extract beamlets of ions and allow them to combine into a single beam. An accelerator electrode device downstream from the extraction grids is at a much lower potential than the grids to accelerate the combined beam. The application of the system to ion implantation is mentioned.

  15. The Heidelberg compact electron beam ion traps

    NASA Astrophysics Data System (ADS)

    Micke, P.; Kühn, S.; Buchauer, L.; Harries, J. R.; Bücking, T. M.; Blaum, K.; Cieluch, A.; Egl, A.; Hollain, D.; Kraemer, S.; Pfeifer, T.; Schmidt, P. O.; Schüssler, R. X.; Schweiger, Ch.; Stöhlker, T.; Sturm, S.; Wolf, R. N.; Bernitt, S.; Crespo López-Urrutia, J. R.

    2018-06-01

    Electron beam ion traps (EBITs) are ideal tools for both production and study of highly charged ions (HCIs). In order to reduce their construction, maintenance, and operation costs, we have developed a novel, compact, room-temperature design, the Heidelberg Compact EBIT (HC-EBIT). Four already commissioned devices operate at the strongest fields (up to 0.86 T) reported for such EBITs using permanent magnets, run electron beam currents up to 80 mA, and energies up to 10 keV. They demonstrate HCI production, trapping, and extraction of pulsed Ar16+ bunches and continuous 100 pA ion beams of highly charged Xe up to charge state 29+, already with a 4 mA, 2 keV electron beam. Moreover, HC-EBITs offer large solid-angle ports and thus high photon count rates, e.g., in x-ray spectroscopy of dielectronic recombination in HCIs up to Fe24+, achieving an electron-energy resolving power of E/ΔE > 1500 at 5 keV. Besides traditional on-axis electron guns, we have also implemented a novel off-axis gun for laser, synchrotron, and free-electron laser applications, offering clear optical access along the trap axis. We report on its first operation at a synchrotron radiation facility demonstrating the resonant photoexcitation of highly charged oxygen.

  16. Focused electron and ion beam systems

    DOEpatents

    Leung, Ka-Ngo; Reijonen, Jani; Persaud, Arun; Ji, Qing; Jiang, Ximan

    2004-07-27

    An electron beam system is based on a plasma generator in a plasma ion source with an accelerator column. The electrons are extracted from a plasma cathode in a plasma ion source, e.g. a multicusp plasma ion source. The beam can be scanned in both the x and y directions, and the system can be operated with multiple beamlets. A compact focused ion or electron beam system has a plasma ion source and an all-electrostatic beam acceleration and focusing column. The ion source is a small chamber with the plasma produced by radio-frequency (RF) induction discharge. The RF antenna is wound outside the chamber and connected to an RF supply. Ions or electrons can be extracted from the source. A multi-beam system has several sources of different species and an electron beam source.

  17. Intense ion beam generator

    DOEpatents

    Humphries, Jr., Stanley; Sudan, Ravindra N.

    1977-08-30

    Methods and apparatus for producing intense megavolt ion beams are disclosed. In one embodiment, a reflex triode-type pulsed ion accelerator is described which produces ion pulses of more than 5 kiloamperes current with a peak energy of 3 MeV. In other embodiments, the device is constructed so as to focus the beam of ions for high concentration and ease of extraction, and magnetic insulation is provided to increase the efficiency of operation.

  18. Design, Fabrication and Testing of a Satellite Electron Beam System.

    DTIC Science & Technology

    1980-05-16

    Oide , ft necessar and fdernuhv b blockr ... mber) Desiqn, Development, Fabrication and Test of Electron Beam System for use in a Satellite Vehicle. DD...1.6 Dscription oF SP:BS The SPIBS instrument was a two centimeter diameter ion source using Xenon gas as the expellant, having a hollow cathode with an...fully tested using dummy guns. Special gun opening tests using real guns were employed in vacuum tests to determine that there was no cathode poison

  19. Fabrication of plasmonic nanopore by using electron beam irradiation for optical bio-sensor

    NASA Astrophysics Data System (ADS)

    Choi, Seong Soo; Park, Myoung Jin; Han, Chul Hee; Oh, Seh Joong; Park, Nam Kyou; Park, Doo Jae; Choi, Soo Bong; Kim, Yong-Sang

    2017-05-01

    The Au nano-hole surrounded by the periodic nano-patterns would provide the enhanced optical intensity. Hence, the nano-hole surrounded with periodic groove patterns can be utilized as single molecule nanobio optical sensor device. In this report, the nano-hole on the electron beam induced membrane surrounded by periodic groove patterns were fabricated by focused ion beam technique (FIB), field emission scanning electron microscopy (FESEM), and transmission electron microscopy (TEM). Initially, the Au films with three different thickness of 40 nm, 60 nm, and 200 nm were deposited on the SiN film by using an electron beam sputter-deposition technique, followed by removal of the supporting SiN film. The nanopore was formed on the electron beam induced membrane under the FESEM electron beam irradiation. Nanopore formation inside the Au aperture was controlled down to a few nanometer, by electron beam irradiations. The optical intensities from the biomolecules on the surfaces including Au coated pyramid with periodic groove patterns were investigated via surface enhanced Raman spectroscopy (SERS). The fabricated nanopore surrounded by periodic patterns can be utilized as a next generation single molecule bio optical sensor.

  20. Silicon-based photonic crystals fabricated using proton beam writing combined with electrochemical etching method

    PubMed Central

    2012-01-01

    A method for fabrication of three-dimensional (3D) silicon nanostructures based on selective formation of porous silicon using ion beam irradiation of bulk p-type silicon followed by electrochemical etching is shown. It opens a route towards the fabrication of two-dimensional (2D) and 3D silicon-based photonic crystals with high flexibility and industrial compatibility. In this work, we present the fabrication of 2D photonic lattice and photonic slab structures and propose a process for the fabrication of 3D woodpile photonic crystals based on this approach. Simulated results of photonic band structures for the fabricated 2D photonic crystals show the presence of TE or TM gap in mid-infrared range. PMID:22824206

  1. Ion beam sputtering of fluoropolymers

    NASA Technical Reports Server (NTRS)

    Sovey, J. S.

    1978-01-01

    Etching and deposition of fluoropolymers are of considerable industrial interest for applications dealing with adhesion, chemical inertness, hydrophobicity, and dielectric properties. This paper describes ion beam sputter processing rates as well as pertinent characteristics of etched targets and films. An argon ion beam source was used to sputter etch and deposit the fluoropolymers PTFE, FEP, and CTFE. Ion beam energy, current density, and target temperature were varied to examine effects on etch and deposition rates. The ion etched fluoropolymers yield cone or spire-like surface structures which vary depending upon the type of polymer, ion beam power density, etch time, and target temperature. Also presented are sputter target and film characteristics which were documented by spectral transmittance measurements, X-ray diffraction, ESCA, and SEM photomicrographs.

  2. The Low Pressure Gas Effects On The Potency Of An Electron Beam On Ceramic Fabric Materials For Space Welding

    NASA Technical Reports Server (NTRS)

    Nunes, Arthur C., Jr.; Fragomeni, James M.; Munafo, Paul M. (Technical Monitor)

    2001-01-01

    This investigation was undertaken to evaluate if molten metal or electron beam impingement could damage or burn through the fabric of the astronauts Extravehicular Mobility Unit (EMU) during electron beam welding exercises performed in space. An 8 kilovolt electron beam with a current in the neighborhood of 100 milliamps from the Ukrainian space welding "Universal Hand Tool" burned holes in Nextel AF-62 ceramic cloth designed to withstand temperatures up to 1427 C. The burnthrough time was on the order of 8 seconds at standoff distances between UHT and cloth ranging from 6 to 24 inches. At both closer (2") and farther (48") standoff distances the potency of the beam against the cloth declined and the burnthrough time went up significantly. Prior to the test it had been expected that the beam would lay down a static charge on the cloth and be deflected without damaging the cloth. The burnthrough is thought to be an effect of partial transmission of beam power by a stream of positive ions generated by the high voltage electron beam from contaminant gas in the "vacuum" chamber. A rough quantitative theoretical computation appears to substantiate this possibility.

  3. Optical nanoscopy of high T c cuprate nanoconstriction devices patterned by helium ion beams

    DOE PAGES

    Gozar, Adrian; Litombe, N. E.; Hoffman, Jennifer E.; ...

    2017-02-06

    Helium ion beams (HIB) focused to subnanometer scales have emerged as powerful tools for high-resolution imaging as well as nanoscale lithography, ion milling, or deposition. Quantifying irradiation effects is an essential step toward reliable device fabrication, but most of the depth profiling information is provided by computer simulations rather than the experiment. Here, we demonstrate the use of atomic force microscopy (AFM) combined with scanning near-field optical microscopy (SNOM) to provide three-dimensional (3D) dielectric characterization of high-temperature superconductor devices fabricated by HIB. By imaging the infrared dielectric response obtained from light demodulation at multiple harmonics of the AFM tapping frequency,more » we find that amorphization caused by the nominally 0.5 nm HIB extends throughout the entire 26.5 nm thickness of the cuprate film and by ~500 nm laterally. This unexpectedly widespread damage in morphology and electronic structure can be attributed to a helium depth distribution substantially modified by the internal device interfaces. Lastly, our study introduces AFM-SNOM as a quantitative tomographic technique for noninvasive 3D characterization of irradiation damage in a wide variety of nanoscale devices.« less

  4. Ion beam figuring of small optical components

    NASA Astrophysics Data System (ADS)

    Drueding, Thomas W.; Fawcett, Steven C.; Wilson, Scott R.; Bifano, Thomas G.

    1995-12-01

    Ion beam figuring provides a highly deterministic method for the final precision figuring of optical components with advantages over conventional methods. The process involves bombarding a component with a stable beam of accelerated particles that selectively removes material from the surface. Figure corrections are achieved by rastering the fixed-current beam across the workplace at appropriate, time-varying velocities. Unlike conventional methods, ion figuring is a noncontact technique and thus avoids such problems as edge rolloff effects, tool wear, and force loading of the workpiece. This work is directed toward the development of the precision ion machining system at NASA's Marshall Space Flight Center. This system is designed for processing small (approximately equals 10-cm diam) optical components. Initial experiments were successful in figuring 8-cm-diam fused silica and chemical-vapor-deposited SiC samples. The experiments, procedures, and results of figuring the sample workpieces to shallow spherical, parabolic (concave and convex), and non-axially-symmetric shapes are discussed. Several difficulties and limitations encountered with the current system are discussed. The use of a 1-cm aperture for making finer corrections on optical components is also reported.

  5. Ion beam enhancement in magnetically insulated ion diodes for high-intensity pulsed ion beam generation in non-relativistic mode

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhu, X. P.; Surface Engineering Laboratory, School of Materials Science and Engineering, Dalian University of Technology, Dalian 116024; Zhang, Z. C.

    High-intensity pulsed ion beam (HIPIB) with ion current density above Child-Langmuir limit is achieved by extracting ion beam from anode plasma of ion diodes with suppressing electron flow under magnetic field insulation. It was theoretically estimated that with increasing the magnetic field, a maximal value of ion current density may reach nearly 3 times that of Child-Langmuir limit in a non-relativistic mode and close to 6 times in a highly relativistic mode. In this study, the behavior of ion beam enhancement by magnetic insulation is systematically investigated in three types of magnetically insulated ion diodes (MIDs) with passive anode, takingmore » into account the anode plasma generation process on the anode surface. A maximal enhancement factor higher than 6 over the Child-Langmuir limit can be obtained in the non-relativistic mode with accelerating voltage of 200–300 kV. The MIDs differ in two anode plasma formation mechanisms, i.e., surface flashover of a dielectric coating on the anode and explosive emission of electrons from the anode, as well as in two insulation modes of external-magnetic field and self-magnetic field with either non-closed or closed drift of electrons in the anode-cathode (A-K) gap, respectively. Combined with ion current density measurement, energy density characterization is employed to resolve the spatial distribution of energy density before focusing for exploring the ion beam generation process. Consistent results are obtained on three types of MIDs concerning control of neutralizing electron flows for the space charge of ions where the high ion beam enhancement is determined by effective electron neutralization in the A-K gap, while the HIPIB composition of different ion species downstream from the diode may be considerably affected by the ion beam neutralization during propagation.« less

  6. Ion beam enhancement in magnetically insulated ion diodes for high-intensity pulsed ion beam generation in non-relativistic mode

    NASA Astrophysics Data System (ADS)

    Zhu, X. P.; Zhang, Z. C.; Pushkarev, A. I.; Lei, M. K.

    2016-01-01

    High-intensity pulsed ion beam (HIPIB) with ion current density above Child-Langmuir limit is achieved by extracting ion beam from anode plasma of ion diodes with suppressing electron flow under magnetic field insulation. It was theoretically estimated that with increasing the magnetic field, a maximal value of ion current density may reach nearly 3 times that of Child-Langmuir limit in a non-relativistic mode and close to 6 times in a highly relativistic mode. In this study, the behavior of ion beam enhancement by magnetic insulation is systematically investigated in three types of magnetically insulated ion diodes (MIDs) with passive anode, taking into account the anode plasma generation process on the anode surface. A maximal enhancement factor higher than 6 over the Child-Langmuir limit can be obtained in the non-relativistic mode with accelerating voltage of 200-300 kV. The MIDs differ in two anode plasma formation mechanisms, i.e., surface flashover of a dielectric coating on the anode and explosive emission of electrons from the anode, as well as in two insulation modes of external-magnetic field and self-magnetic field with either non-closed or closed drift of electrons in the anode-cathode (A-K) gap, respectively. Combined with ion current density measurement, energy density characterization is employed to resolve the spatial distribution of energy density before focusing for exploring the ion beam generation process. Consistent results are obtained on three types of MIDs concerning control of neutralizing electron flows for the space charge of ions where the high ion beam enhancement is determined by effective electron neutralization in the A-K gap, while the HIPIB composition of different ion species downstream from the diode may be considerably affected by the ion beam neutralization during propagation.

  7. Development of electron beam ion source for nanoprocess using highly charged ions

    NASA Astrophysics Data System (ADS)

    Sakurai, Makoto; Nakajima, Fumiharu; Fukumoto, Takunori; Nakamura, Nobuyuki; Ohtani, Shunsuke; Mashiko, Shinro; Sakaue, Hiroyuki

    2005-07-01

    Highly charged ion is useful to produce nanostructure on various materials, and is key tool to realize single ion implantation technique. On such demands for the application to nanotechnology, we have designed an electron bean ion source. The design stresses on the volume of drift tubes where highly charged ions are confined and the efficiency of ion extraction from the drift tube through collector electrode in order to obtain intense ion beam as much as possible. The ion source uses a discrete superconducting magnet cooled by a closed-cycle refrigerator in order to reduce the running costs and to simplify the operating procedures. The electrodes of electron gun, drift tubes, and collector are enclosed in ultrahigh vacuum tube that is inserted into the bore of the magnet system.

  8. ION BEAM FOCUSING MEANS FOR CALUTRON

    DOEpatents

    Backus, J.G.

    1959-06-01

    An ion beam focusing arrangement for calutrons is described. It provides a virtual focus of origin for the ion beam so that the ions may be withdrawn from an arc plasma of considerable width providing greater beam current and accuracy. (T.R.H.)

  9. Energy-beam-driven rapid fabrication system

    DOEpatents

    Keicher, David M.; Atwood, Clinton L.; Greene, Donald L.; Griffith, Michelle L.; Harwell, Lane D.; Jeantette, Francisco P.; Romero, Joseph A.; Schanwald, Lee P.; Schmale, David T.

    2002-01-01

    An energy beam driven rapid fabrication system, in which an energy beam strikes a growth surface to form a molten puddle thereon. Feed powder is then injected into the molten puddle from a converging flow of feed powder. A portion of the feed powder becomes incorporated into the molten puddle, forcing some of the puddle contents to freeze on the growth surface, thereby adding an additional layer of material. By scanning the energy beam and the converging flow of feed powder across the growth surface, complex three-dimensional shapes can be formed, ready or nearly ready for use. Nearly any class of material can be fabricated using this system.

  10. Focused Ion Beam Methods for Research and Control of HEMT Fabrication

    NASA Astrophysics Data System (ADS)

    Pevtsov, E. Ph; Bespalov, A. V.; Demenkova, T. A.; Luchnikov, P. A.

    2017-04-01

    The combination of ion-beam spraying and raster electronic microscopy allows to receive images of sections of defects of the growth nature origin in epitaxial films on GaN basis with nanodimensional permission, to carry out their analysis and classification irrespective of conditions of receiving. Results of application of the specified methods for the analysis of technological operations when forming the microwave transistors are considered: formations of locks, receiving of holes and drawing of contacts.

  11. Nanogram calorimetry using microscale suspended SiN{sub x} platforms fabricated via focused ion beam patterning

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wickey, K. J.; Chilcote, M.; Johnston-Halperin, E.

    2015-01-15

    Comprehensive characterization of thermal properties in nanoscale heterostructures requires microscale thermally isolated platforms combined with sensitive thermometry in order to measure small heat accumulations. Amorphous SiN{sub x} membranes are often used for these measurements due to their low thermal conductivity and compatibility with standard fabrication techniques. The total thermal conductance of such SiN{sub x} membranes is typically microwatts per kelvin or higher. Here, we further reduce this thermal coupling to 120 nW/K by using a focused ion beam (FIB) to remove large portions of commercially available amorphous SiN{sub x} membranes, leaving a 100 μm × 100 μm square platform suspendedmore » by 10 μm wide by 325 μm long support legs. We demonstrate the capability of these platforms by measuring the heat capacity of a 6.2 ng Au sample and show that it matches well with established specific heat of bulk Au.« less

  12. The effects of ion gun beam voltage on the electrical characteristics of NbCN/PbBi edge junctions

    NASA Technical Reports Server (NTRS)

    Lichtenberger, A. W.; Feldman, M. J.; Mattauch, R. J.; Cukauskas, E. J.

    1989-01-01

    The authors have succeeded in fabricating high-quality submicron NbCN edge junctions using a technique which is commonly used to make Nb edge junctions. A modified commercial ion gun was used to cut an edge in SiO2/NbCN films partially covered with photoresist. An insulating barrier was then formed on the exposed edge by reactive ion beam oxidation, and a counterelectrode of PbBi was deposited. The electrical quality of the resulting junctions was found to be strongly influenced by the ion beam acceleration voltages used to cut the edge and to oxidize it. For low ion beam voltages, the junction quality parameter was as high as Vm = 55 mV (measured at 3 mV), but higher ion beam voltages yielded strikingly poorer quality junctions. In light of the small coherence length of NbN, the dependence of the electrical characteristics on ion beam voltage is presumably due to mechanical damage of the NbCN surface. In contrast, for similar ion beam voltages, no such dependence was found for Nb edge junctions.

  13. Exploring Cryogenic Focused Ion Beam Milling as a Group III-V Device Fabrication Tool

    DTIC Science & Technology

    2013-09-01

    boiling, triple , and critical points of the elements” in CRC Handbook of Chemistry and Physics, 92nd ed., Boca Raton, FL: CRC press, 2011-2012, p. 4...The most widely used ion source in FIB instruments is a gallium (Ga) liquid metal ion source (LMIS) [4]. Gallium is attractive as an ion source...Figure 3b. EDS spectra were captured at different points across the patterned region of the room temperature milled sample, as indicated in Figure 4

  14. Positive and negative ion beam merging system for neutral beam production

    DOEpatents

    Leung, Ka-Ngo; Reijonen, Jani

    2005-12-13

    The positive and negative ion beam merging system extracts positive and negative ions of the same species and of the same energy from two separate ion sources. The positive and negative ions from both sources pass through a bending magnetic field region between the pole faces of an electromagnet. Since the positive and negative ions come from mirror image positions on opposite sides of a beam axis, and the positive and negative ions are identical, the trajectories will be symmetrical and the positive and negative ion beams will merge into a single neutral beam as they leave the pole face of the electromagnet. The ion sources are preferably multicusp plasma ion sources. The ion sources may include a multi-aperture extraction system for increasing ion current from the sources.

  15. Fabrication of oriented crystals as force measurement tips via focused ion beam and microlithography methods

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wu, Zhigang; Chun, Jaehun; Chatterjee, Sayandev

    Detailed knowledge of the forces between nanocrystals is very crucial for understanding many generic (e.g., random aggregation/assembly and rheology) and specific (e.g., oriented attachment) phenomena at macroscopic length scales, especially considering the additional complexities involved in nanocrystals such as crystal orientation and corresponding orientation-dependent physicochemical properties. Because there are a limited number of methods to directly measure the forces, little is known about the forces that drive the various emergent phenomena. Here we report on two methods of preparing crystals as force measurement tips used in an atomic force microscope (AFM): the focused ion beam method and microlithography method. Themore » desired crystals are fabricated using these two methods and are fixed to the AFM probe using platinum deposition, ultraviolet epoxy, or resin, which allows for the orientation-dependent force measurements. These two methods can be used to attach virtually any solid particles (from the size of a few hundreds of nanometers to millimeters). We demonstrate the force measurements between aqueous media under different conditions such as pH.« less

  16. An electron beam ion trap and source for re-acceleration of rare-isotope ion beams at TRIUMF

    NASA Astrophysics Data System (ADS)

    Blessenohl, M. A.; Dobrodey, S.; Warnecke, C.; Rosner, M. K.; Graham, L.; Paul, S.; Baumann, T. M.; Hockenbery, Z.; Hubele, R.; Pfeifer, T.; Ames, F.; Dilling, J.; Crespo López-Urrutia, J. R.

    2018-05-01

    Electron beam driven ionization can produce highly charged ions (HCIs) in a few well-defined charge states. Ideal conditions for this are maximally focused electron beams and an extremely clean vacuum environment. A cryogenic electron beam ion trap fulfills these prerequisites and delivers very pure HCI beams. The Canadian rare isotope facility with electron beam ion source-electron beam ion sources developed at the Max-Planck-Institut für Kernphysik (MPIK) reaches already for a 5 keV electron beam and a current of 1 A with a density in excess of 5000 A/cm2 by means of a 6 T axial magnetic field. Within the trap, the beam quickly generates a dense HCI population, tightly confined by a space-charge potential of the order of 1 keV times the ionic charge state. Emitting HCI bunches of ≈107 ions at up to 100 Hz repetition rate, the device will charge-breed rare-isotope beams with the mass-over-charge ratio required for re-acceleration at the Advanced Rare IsotopE Laboratory (ARIEL) facility at TRIUMF. We present here its design and results from commissioning runs at MPIK, including X-ray diagnostics of the electron beam and charge-breeding process, as well as ion injection and HCI-extraction measurements.

  17. Ion beam accelerator system

    NASA Technical Reports Server (NTRS)

    Aston, Graeme (Inventor)

    1984-01-01

    A system is described that combines geometrical and electrostatic focusing to provide high ion extraction efficiency and good focusing of an accelerated ion beam. The apparatus includes a pair of curved extraction grids (16, 18) with multiple pairs of aligned holes positioned to direct a group of beamlets (20) along converging paths. The extraction grids are closely spaced and maintained at a moderate potential to efficiently extract beamlets of ions and allow them to combine into a single beam (14). An accelerator electrode device (22) downstream from the extraction grids, is at a much lower potential than the grids to accelerate the combined beam.

  18. Enhancement of CNT-based filters efficiency by ion beam irradiation

    NASA Astrophysics Data System (ADS)

    Elsehly, Emad M.; Chechenin, N. G.; Makunin, A. V.; Shemukhin, A. A.; Motaweh, H. A.

    2018-05-01

    It is shown in the report that disorder produced by ion beam irradiation can enhance the functionality of the carbon nanotubes. The filters of pressed multiwalled carbon nanotubes (MWNTs) were irradiated by He+ ions of the energy E = 80 keV with the fluence 2 × 1016 ion/cm2. The removal of manganese from aqueous solutions by using pristine and ion beam irradiated MWNTs filters was studied as a function of pH, initial concentration of manganese in aqueous solution, MWNT mass and contact time. The filters before and after filtration were characterized by Raman (RS) and energy dispersive X-ray spectroscopy (EDS) techniques to investigate the deposition content in the filter and defect formation in the MWNTs. The irradiated samples showed an enhancement of removal efficiency of manganese up to 97.5% for 10 ppm Mn concentration, suggesting that irradiated MWNT filter is a better Mn adsorbent from aqueous solutions than the pristine one. Radiation-induced chemical functionalization of MWNTs due to ion beam irradiation, suggesting that complexation between the irradiated MWNTs and manganese ions is another mechanism. This conclusion is supported by EDS and RS and is correlated with a larger disorder in the irradiated samples as follows from RS. The study demonstrates that ion beam irradiation is a promising tool to enhance the filtration efficiency of MWNT filters.

  19. Brightness measurement of an electron impact gas ion source for proton beam writing applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, N.; Santhana Raman, P.; Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117583

    We are developing a high brightness nano-aperture electron impact gas ion source, which can create ion beams from a miniature ionization chamber with relatively small virtual source sizes, typically around 100 nm. A prototype source of this kind was designed and successively micro-fabricated using integrated circuit technology. Experiments to measure source brightness were performed inside a field emission scanning electron microscope. The total output current was measured to be between 200 and 300 pA. The highest estimated reduced brightness was found to be comparable to the injecting focused electron beam reduced brightness. This translates into an ion reduced brightness thatmore » is significantly better than that of conventional radio frequency ion sources, currently used in single-ended MeV accelerators.« less

  20. Brightness measurement of an electron impact gas ion source for proton beam writing applications.

    PubMed

    Liu, N; Xu, X; Pang, R; Raman, P Santhana; Khursheed, A; van Kan, J A

    2016-02-01

    We are developing a high brightness nano-aperture electron impact gas ion source, which can create ion beams from a miniature ionization chamber with relatively small virtual source sizes, typically around 100 nm. A prototype source of this kind was designed and successively micro-fabricated using integrated circuit technology. Experiments to measure source brightness were performed inside a field emission scanning electron microscope. The total output current was measured to be between 200 and 300 pA. The highest estimated reduced brightness was found to be comparable to the injecting focused electron beam reduced brightness. This translates into an ion reduced brightness that is significantly better than that of conventional radio frequency ion sources, currently used in single-ended MeV accelerators.

  1. Computer Aided Design of Computer Generated Holograms for electron beam fabrication

    NASA Technical Reports Server (NTRS)

    Urquhart, Kristopher S.; Lee, Sing H.; Guest, Clark C.; Feldman, Michael R.; Farhoosh, Hamid

    1989-01-01

    Computer Aided Design (CAD) systems that have been developed for electrical and mechanical design tasks are also effective tools for the process of designing Computer Generated Holograms (CGHs), particularly when these holograms are to be fabricated using electron beam lithography. CAD workstations provide efficient and convenient means of computing, storing, displaying, and preparing for fabrication many of the features that are common to CGH designs. Experience gained in the process of designing CGHs with various types of encoding methods is presented. Suggestions are made so that future workstations may further accommodate the CGH design process.

  2. Imaging and characterization of primary and secondary radiation in ion beam therapy

    NASA Astrophysics Data System (ADS)

    Granja, Carlos; Martisikova, Maria; Jakubek, Jan; Opalka, Lukas; Gwosch, Klaus

    2016-07-01

    Imaging in ion beam therapy is an essential and increasingly significant tool for treatment planning and radiation and dose deposition verification. Efforts aim at providing precise radiation field characterization and online monitoring of radiation dose distribution. A review is given of the research and methodology of quantum-imaging, composition, spectral and directional characterization of the mixed-radiation fields in proton and light ion beam therapy developed by the IEAP CTU Prague and HIT Heidelberg group. Results include non-invasive imaging of dose deposition and primary beam online monitoring.

  3. A vacuum spark ion source: High charge state metal ion beams

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yushkov, G. Yu., E-mail: gyushkov@mail.ru; Nikolaev, A. G.; Frolova, V. P.

    2016-02-15

    High ion charge state is often important in ion beam physics, among other reasons for the very practical purpose that it leads to proportionately higher ion beam energy for fixed accelerating voltage. The ion charge state of metal ion beams can be increased by replacing a vacuum arc ion source by a vacuum spark ion source. Since the voltage between anode and cathode remains high in a spark discharge compared to the vacuum arc, higher metal ion charge states are generated which can then be extracted as an ion beam. The use of a spark of pulse duration less thanmore » 10 μs and with current up to 10 kA allows the production of ion beams with current of several amperes at a pulse repetition rate of up to 5 pps. We have demonstrated the formation of high charge state heavy ions (bismuth) of up to 15 + and a mean ion charge state of more than 10 +. The physics and techniques of our vacuum spark ion source are described.« less

  4. Pseudo ribbon metal ion beam source.

    PubMed

    Stepanov, Igor B; Ryabchikov, Alexander I; Sivin, Denis O; Verigin, Dan A

    2014-02-01

    The paper describes high broad metal ion source based on dc macroparticle filtered vacuum arc plasma generation with the dc ion-beam extraction. The possibility of formation of pseudo ribbon beam of metal ions with the parameters: ion beam length 0.6 m, ion current up to 0.2 A, accelerating voltage 40 kV, and ion energy up to 160 kV has been demonstrated. The pseudo ribbon ion beam is formed from dc vacuum arc plasma. The results of investigation of the vacuum arc evaporator ion-emission properties are presented. The influence of magnetic field strength near the cathode surface on the arc spot movement and ion-emission properties of vacuum-arc discharge for different cathode materials are determined. It was shown that vacuum-arc discharge stability can be reached when the magnetic field strength ranges from 40 to 70 G on the cathode surface.

  5. Mercury ion thruster technology

    NASA Technical Reports Server (NTRS)

    Beattie, J. R.; Matossian, J. N.

    1989-01-01

    The Mercury Ion Thruster Technology program was an investigation for improving the understanding of state-of-the-art mercury ion thrusters. Emphasis was placed on optimizing the performance and simplifying the design of the 30 cm diameter ring-cusp discharge chamber. Thruster performance was improved considerably; the baseline beam-ion production cost of the optimized configuration was reduced to Epsilon (sub i) perspective to 130 eV/ion. At a discharge propellant-utilization efficiency of 95 percent, the beam-ion production cost was reduced to about 155 eV/ion, representing a reduction of about 40 eV/ion over the corresponding value for the 30 cm diameter J-series thruster. Comprehensive Langmuir-probe surveys were obtained and compared with similar measurements for a J-series thruster. A successful volume-averaging scheme was developed to correlate thruster performance with the dominant plasma processes that prevail in the two thruster designs. The average Maxwellian electron temperature in the optimized ring-cusp design is as much as 1 eV higher than it is in the J-series thruster. Advances in ion-extraction electrode fabrication technology were made by improving materials selection criteria, hydroforming and stress-relieving tooling, and fabrications procedures. An ion-extraction performance study was conducted to assess the effect of screen aperture size on ion-optics performance and to verify the effectiveness of a beam-vectoring model for three-grid ion optics. An assessment of the technology readiness of the J-series thruster was completed, and operation of an 8 cm IAPS thruster using a simplified power processor was demonstrated.

  6. Development of nano-fabrication technique utilizing self-organizational behavior of point defects induced by ion irradiation

    NASA Astrophysics Data System (ADS)

    Nitta, Noriko; Taniwaki, Masafumi

    2006-04-01

    The present authors proposed a novel nano-fabrication technique that is able to arrange the fine cells orderly, based on their finding in GaSb implanted at a low temperature. In this article, first the experimental results that anomalous cellular structure was formed in GaSb by ion implantation is introduced and the self-organizational formation mechanism of the structure is described. Next a nano-fabrication technique that utilizes focused ion beam is described. This technique consists of two procedures, i.e. the formation process of the voids array and the development of the initial array to ordered cellular structure. Finally, the nano-fabrication is actually performed by this technique and their results are reported. Fabrication succeeded in structures where the dot (cell) interval was 100 nm or larger. The minimum ion dose for initial voids which develops to the ordered cellular structure is evaluated. It is also shown that the substrate temperature during implantation is an essential parameter for this technique.

  7. An electron cyclotron resonance ion source based low energy ion beam platform.

    PubMed

    Sun, L T; Shang, Y; Ma, B H; Zhang, X Z; Feng, Y C; Li, X X; Wang, H; Guo, X H; Song, M T; Zhao, H Y; Zhang, Z M; Zhao, H W; Xie, D Z

    2008-02-01

    To satisfy the requirements of surface and atomic physics study in the field of low energy multiple charge state ion incident experiments, a low energy (10 eV/q-20 keV/q) ion beam platform is under design at IMP. A simple test bench has been set up to test the ion beam deceleration systems. Considering virtues such as structure simplicity, easy handling, compactness, cost saving, etc., an all-permanent magnet ECRIS LAPECR1 [Lanzhou all-permanent magnet electron cyclotron resonance (ECR) ion source No. 1] working at 14.5 GHz has been adopted to produce intense medium and low charge state ion beams. LAPECR1 source has already been ignited. Some intense low charge state ion beams have been produced on it, but the first test also reveals that many problems are existing on the ion beam transmission line. The ion beam transmission mismatches result in the depressed performance of LAPECR1, which will be discussed in this paper. To obtain ultralow energy ion beam, after being analyzed by a double-focusing analyzer magnet, the selected ion beam will be further decelerated by two afocal deceleration lens systems, which is still under design. This design has taken into consideration both ions slowing down and also ion beam focusing. In this paper, the conceptual design of deceleration system will be discussed.

  8. Ion-beam nanopatterning: experimental results with chemically-assisted beam

    NASA Astrophysics Data System (ADS)

    Pochon, Sebastien C. R.

    2018-03-01

    The need for forming gratings (for example used in VR headsets) in materials such as SiO2 has seen a recent surge in the use of Ion beam etching techniques. However, when using an argon-only beam, the selectivity is limited as it is a physical process. Typically, gases such as CHF3, SF6, O2 and Cl2 can be added to argon in order to increase selectivity; depending on where the gas is injected, the process is known as Reactive Ion Beam Etching (RIBE) or Chemically Assisted Ion Beam Etching (CAIBE). The substrate holder can rotate in order to provide an axisymmetric etch rate profile. It can also be tilted over a range of angles to the beam direction. This enables control over the sidewall profile as well as radial uniformity optimisation. Ion beam directionality in conjunction with variable incident beam angle via platen angle setting enables profile control and feature shaping during nanopatterning. These hardware features unique to the Ion Beam etching methods can be used to create angled etch features. The CAIBE technique is also well suited to laser diode facet etch (for optoelectronic devices); these typically use III-V materials like InP. Here, we report on materials such as SiO2 etched without rotation and at a fixed platen angle allowing the formation of gratings and InP etched at a fixed angle with rotation allowing the formation of nanopillars and laser facets.

  9. Ion beams in multi-species plasmas

    NASA Astrophysics Data System (ADS)

    Aguirre, E. M.; Scime, E. E.; Good, T. N.

    2018-04-01

    Argon and xenon ion velocity distribution functions are measured in Ar-He, Ar-Xe, and Xe-He expanding helicon plasmas to determine if ion beam velocity is enhanced by the presence of lighter ions. Contrary to observations in mixed gas sheath experiments, we find that adding a lighter ion does not increase the ion beam speed. The predominant effect is a reduction of ion beam velocity consistent with increased drag arising from increased gas pressure under all conditions: constant total gas pressure, equal plasma densities of different ions, and very different plasma densities of different ions. These results suggest that the physics responsible for the acceleration of multiple ion species in simple sheaths is not responsible for the ion acceleration observed in expanding helicon plasmas.

  10. Production of negatively charged radioactive ion beams

    DOE PAGES

    Liu, Y.; Stracener, D. W.; Stora, T.

    2017-02-15

    Beams of short-lived radioactive nuclei are needed for frontier experimental research in nuclear structure, reactions, and astrophysics. Negatively charged radioactive ion beams have unique advantages and allow for the use of a tandem accelerator for post-acceleration, which can provide the highest beam quality and continuously variable energies. Negative ion beams can be obtained with high intensity and some unique beam purification techniques based on differences in electronegativity and chemical reactivity can be used to provide beams with high purity. This article describes the production of negative radioactive ion beams at the former holifield radioactive ion beam facility at Oak Ridgemore » National Laboratory and at the CERN ISOLDE facility with emphasis on the development of the negative ion sources employed at these two facilities.« less

  11. Redundancy Technology With A Focused Ion Beam

    NASA Astrophysics Data System (ADS)

    Komano, Haruki; Hashimoto, Kazuhiko; Takigawa, Tadahiro

    1989-08-01

    Fuse cutting with a focused ion beam to activate redundancy circuits is proposed. In order to verify its potential usefulness, experiments have been performed. Fuse-cutting time was evaluated using aluminum fuses with a thin passivation layer, which are difficult to cut by conventional laser-beam technology due to the material's high reflectivity. The fuse width and thickness were 2 and 0.8 μm, respectively. The fuse was cut in 5 seconds with a 30 keV focused ion beam of 0.3 A/cm2 current density. Since the fuses used in DRAMs will be smaller, their cutting time will become shorter by scanning an ion beam on narrower areas. Moreover, it can be shortened by increasing current density. Fuses for redundancy technology in 256 k CMOS SRAMs were cut with a focused ion beam. The operation of the memories was checked with a memory tester. It was confirmed that memories which had failure cells operated normally after focused-ion-beam fuse-cutting. Focused ion beam irradiation effects upon a device have been studied. When a 30 keV gallium focused ion beam was irradiated near the gate of MOSFETs, a threshold voltage shift was not observed at an ion dose of 0.3 C/cm2 which corresponded to the ion dose in cutting a fuse. However, when irradiated on the gate, a threshold voltage shift was observed at ion doses of more than 8 x 10-4 C/cm2. The voltage shift was caused by the charge of ions within the passivation layer. It is necessary at least not to irradiate a focused ion beam on a device in cutting fuses. It is concluded that the focused-ion-beam method will be advantageous for future redundancy technology application.

  12. Application of optical broadband monitoring to quasi-rugate filters by ion-beam sputtering

    NASA Astrophysics Data System (ADS)

    Lappschies, Marc; Görtz, Björn; Ristau, Detlev

    2006-03-01

    Methods for the manufacture of rugate filters by the ion-beam-sputtering process are presented. The first approach gives an example of a digitized version of a continuous-layer notch filter. This method allows the comparison of the basic theory of interference coatings containing thin layers with practical results. For the other methods, a movable zone target is employed to fabricate graded and gradual rugate filters. The examples demonstrate the potential of broadband optical monitoring in conjunction with the ion-beam-sputtering process. First-characterization results indicate that these types of filter may exhibit higher laser-induced damage-threshold values than those of classical filters.

  13. Ion energy distribution near a plasma meniscus with beam extraction for multi element focused ion beams

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mathew, Jose V.; Paul, Samit; Bhattacharjee, Sudeep

    2010-05-15

    An earlier study of the axial ion energy distribution in the extraction region (plasma meniscus) of a compact microwave plasma ion source showed that the axial ion energy spread near the meniscus is small ({approx}5 eV) and comparable to that of a liquid metal ion source, making it a promising candidate for focused ion beam (FIB) applications [J. V. Mathew and S. Bhattacharjee, J. Appl. Phys. 105, 96101 (2009)]. In the present work we have investigated the radial ion energy distribution (IED) under the influence of beam extraction. Initially a single Einzel lens system has been used for beam extractionmore » with potentials up to -6 kV for obtaining parallel beams. In situ measurements of IED with extraction voltages upto -5 kV indicates that beam extraction has a weak influence on the energy spread ({+-}0.5 eV) which is of significance from the point of view of FIB applications. It is found that by reducing the geometrical acceptance angle at the ion energy analyzer probe, close to unidirectional distribution can be obtained with a spread that is smaller by at least 1 eV.« less

  14. Fabrication of porous nanostructures for Raman signal amplification

    NASA Astrophysics Data System (ADS)

    Mitsai, E. V.; Syubaev, S. A.; Kuchmizhak, A. A.

    2018-01-01

    Pulsed-laser dry printing of noble-metal microrings with a tunable internal porous structure, which can be revealed via an ion-beam etching post-procedure, was demonstrated. Average size of the pores inside the microrings were shown to be tuned in a wide range by varying the incident pulse energy and a nitrogen doping level controlled in the process of magnetron deposition of the gold film in the appropriate gaseous environment. The fabricated porous microrings were shown to provide many-fold near-field enhancement of incident electromagnetic fields, which was confirmed by mapping of the characteristic Raman band of a nanometer-thick covering layer of Rhodamine 6G molecules and supporting calculations. The proposed laser-printing/ion-beam etching approach is demonstrated to be a unique tool aimed at designing and fabricating multifunctional plasmonic structures and metasurfaces for spectroscopic bioidentification based on surface-enhanced Raman scattering and photoluminescence detection schemes.

  15. Direct nano-patterning of graphene with helium ion beams

    NASA Astrophysics Data System (ADS)

    Naitou, Y.; Iijima, T.; Ogawa, S.

    2015-01-01

    Helium ion microscopy (HIM) was used for direct nano-patterning of single-layer graphene (SLG) on SiO2/Si substrates. This technique involves irradiation of the sample with accelerated helium ions (He+). Doses of 2.0 × 1016 He+ cm-2 from a 30 kV beam induced a metal-insulator transition in the SLG. The resolution of HIM patterning on SLG was investigated by fabricating nanoribbons and nanostructures. Analysis of scanning capacitance microscopy measurements revealed that the spatial resolution of HIM patterning depended on the dosage of He+ in a non-monotonic fashion. Increasing the dose from 2.0 × 1016 to 5.0 × 1016 He+ cm-2 improved the spatial resolution to several tens of nanometers. However, doses greater than 1.0 × 1017 He+ cm-2 degraded the patterning characteristics. Direct patterning using HIM is a versatile approach to graphene fabrication and can be applied to graphene-based devices.

  16. Vertical-cavity surface-emitting lasers - Design, growth, fabrication, characterization

    NASA Astrophysics Data System (ADS)

    Jewell, Jack L.; Lee, Y. H.; Harbison, J. P.; Scherer, A.; Florez, L. T.

    1991-06-01

    The authors have designed, fabricated, and tested vertical-cavity surface-emitting lasers (VCSEL) with diameters ranging from 0.5 microns to above 50 microns. Design issues, molecular beam epitaxial growth, fabrication, and lasing characteristics are discussed. The topics considered in fabrication of VCSELs are microlaser geometries; ion implementation and masks; ion beam etching; packaging and arrays; and ultrasmall devices.

  17. Fabrication of YBa2Cu3O7 twin-boundary-junction dc SQUID by using a focused-ion-beam pattern technique

    NASA Astrophysics Data System (ADS)

    Lee, Sung Hoon; Lee, Soon-Gul

    2017-09-01

    We have fabricated YBa2Cu3O7 (YBCO) dc SQUIDs containing nanobridges across twin boundaries of LaAlO3 (LAO) substrates as Josephson elements by using a focused ion beam (FIB) etching method and measured their transport properties. The beam energy was 30 keV and the current was 1.5 pA for the nanobridge pattern. Each bridge with a nominal width of 200 nm crossed a twin boundary in the (100) direction. The SQUID loop had a 10 μm × 10 μm hole with a 5.7 μm average linewidth. The SQUID voltage showed modulations in response to the external flux with a maximum modulation depth of 350 μV at 77.0 K. HR-XRD spectra showed that the epitaxially grown YBCO film was twinned in commensurate with the twinning of the LAO substrate. Tilting of the c-axis of YBCO across the twin boundary is believed to play a role as a tunnel barrier.

  18. Field emission and explosive electron emission process in focused ion beam fabricated platinum and tungsten three-dimensional overhanging nanostructure

    NASA Astrophysics Data System (ADS)

    Singh, Abhishek Kumar

    2018-06-01

    Three-dimensional platinum and tungsten overhanging nanogap (∼70 nm) electrodes are fabricated on a glass substrate using focused ion beam milling and chemical vapour deposition processes. Current-voltage (I-V) characteristics of the devices measured at a pressure of ∼10-6 mbar shows space-charge emission followed by the Fowler-Nordheim (F-N) field emission. After the F-N emission, the system enters into an explosive emission process, at a higher voltage generating a huge current. We observe a sharp and abrupt rise in the emission current which marks the transition from the F-N emission to the explosive emission state. The explosive emission process is destructive in nature and yields micro-/nano-size spherical metal particles. The chemical compositions and the size-distribution of such particles are performed.

  19. Intense Pulsed Heavy Ion Beam Technology

    NASA Astrophysics Data System (ADS)

    Masugata, Katsumi; Ito, Hiroaki

    Development of intense pulsed heavy ion beam accelerator technology is described for the application of materials processing. Gas puff plasma gun and vacuum arc discharge plasma gun were developed as an active ion source for magnetically insulated pulsed ion diode. Source plasma of nitrogen and aluminum were successfully produced with the gas puff plasma gun and the vacuum arc plasma gun, respectively. The ion diode was successfully operated with gas puff plasma gun at diode voltage 190 kV, diode current 2.2 kA and nitrogen ion beam of ion current density 27 A/cm2 was obtained. The ion composition was evaluated by a Thomson parabola spectrometer and the purity of the nitrogen ion beam was estimated to be 86%. The diode also operated with aluminum ion source of vacuum arc plasma gun. The ion diode was operated at 200 kV, 12 kA, and aluminum ion beam of current density 230 A/cm2 was obtained. The beam consists of aluminum ions (Al(1-3)+) of energy 60-400 keV, and protons (90-130 keV), and the purity was estimated to be 89 %. The development of the bipolar pulse accelerator (BPA) was reported. A double coaxial type bipolar pulse generator was developed as the power supply of the BPA. The generator was tested with dummy load of 7.5 ohm, bipolar pulses of -138 kV, 72 ns (1st pulse) and +130 kV, 70 ns (2nd pulse) were succesively generated. By applying the bipolar pulse to the drift tube of the BPA, nitrogen ion beam of 2 A/cm2 was observed in the cathode, which suggests the bipolar pulse acceleration.

  20. Ion beam technology applications study. [ion impact, implantation, and surface finishing

    NASA Technical Reports Server (NTRS)

    Sellen, J. M., Jr.; Zafran, S.; Komatsu, G. K.

    1978-01-01

    Specific perceptions and possible ion beam technology applications were obtained as a result of a literature search and contact interviews with various institutions and individuals which took place over a 5-month period. The use of broad beam electron bombardment ion sources is assessed for materials deposition, removal, and alteration. Special techniques examined include: (1) cleaning, cutting, and texturing for surface treatment; (2) crosslinking of polymers, stress relief in deposited layers, and the creation of defect states in crystalline material by ion impact; and (3) ion implantation during epitaxial growth and the deposition of neutral materials sputtered by the ion beam. The aspects, advantages, and disadvantages of ion beam technology and the competitive role of alternative technologies are discussed.

  1. Laser ion source for high brightness heavy ion beam

    DOE PAGES

    Okamura, M.

    2016-09-01

    A laser ion source is known as a high current high charge state heavy ion source. But, we place great emphasis on the capability to realize a high brightness ion source. A laser ion source has a pinpoint small volume where materials are ionized and can achieve quite uniform low temperature ion beam. Those features may enable us to realize very small emittance beams. Furthermore, a low charge state high brightness laser ion source was successfully commissioned in Brookhaven National Laboratory in 2014. Now most of all the solid based heavy ions are being provided from the laser ion sourcemore » for regular operation.« less

  2. Evaluation and utilization of beam simulation codes for the SNS ion source and low energy beam transport developmenta)

    NASA Astrophysics Data System (ADS)

    Han, B. X.; Welton, R. F.; Stockli, M. P.; Luciano, N. P.; Carmichael, J. R.

    2008-02-01

    Beam simulation codes PBGUNS, SIMION, and LORENTZ-3D were evaluated by modeling the well-diagnosed SNS base line ion source and low energy beam transport (LEBT) system. Then, an investigation was conducted using these codes to assist our ion source and LEBT development effort which is directed at meeting the SNS operational and also the power-upgrade project goals. A high-efficiency H- extraction system as well as magnetic and electrostatic LEBT configurations capable of transporting up to 100mA is studied using these simulation tools.

  3. Algorithm for ion beam figuring of low-gradient mirrors.

    PubMed

    Jiao, Changjun; Li, Shengyi; Xie, Xuhui

    2009-07-20

    Ion beam figuring technology for low-gradient mirrors is discussed. Ion beam figuring is a noncontact machining technique in which a beam of high-energy ions is directed toward a target workpiece to remove material in a predetermined and controlled fashion. Owing to this noncontact mode of material removal, problems associated with tool wear and edge effects, which are common in conventional contact polishing processes, are avoided. Based on the Bayesian principle, an iterative dwell time algorithm for planar mirrors is deduced from the computer-controlled optical surfacing (CCOS) principle. With the properties of the removal function, the shaping process of low-gradient mirrors can be approximated by the linear model for planar mirrors. With these discussions, the error surface figuring technology for low-gradient mirrors with a linear path is set up. With the near-Gaussian property of the removal function, the figuring process with a spiral path can be described by the conventional linear CCOS principle, and a Bayesian-based iterative algorithm can be used to deconvolute the dwell time. Moreover, the selection criterion of the spiral parameter is given. Ion beam figuring technology with a spiral scan path based on these methods can be used to figure mirrors with non-axis-symmetrical errors. Experiments on SiC chemical vapor deposition planar and Zerodur paraboloid samples are made, and the final surface errors are all below 1/100 lambda.

  4. Realization of synaptic learning and memory functions in Y2O3 based memristive device fabricated by dual ion beam sputtering

    NASA Astrophysics Data System (ADS)

    Das, Mangal; Kumar, Amitesh; Singh, Rohit; Than Htay, Myo; Mukherjee, Shaibal

    2018-02-01

    Single synaptic device with inherent learning and memory functions is demonstrated based on a forming-free amorphous Y2O3 (yttria) memristor fabricated by dual ion beam sputtering system. Synaptic functions such as nonlinear transmission characteristics, long-term plasticity, short-term plasticity and ‘learning behavior (LB)’ are achieved using a single synaptic device based on cost-effective metal-insulator-semiconductor (MIS) structure. An ‘LB’ function is demonstrated, for the first time in the literature, for a yttria based memristor, which bears a resemblance to certain memory functions of biological systems. The realization of key synaptic functions in a cost-effective MIS structure would promote much cheaper synapse for artificial neural network.

  5. Saturation of multi-laser beams laser-plasma instabilities from stochastic ion heating

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Michel, P.; Williams, E. A.; Divol, L.

    2013-05-15

    Cross-beam energy transfer (CBET) has been used as a tool on the National Ignition Facility (NIF) since the first energetics experiments in 2009 to control the energy deposition in ignition hohlraums and tune the implosion symmetry. As large amounts of power are transferred between laser beams at the entrance holes of NIF hohlraums, the presence of many overlapping beat waves can lead to stochastic ion heating in the regions where laser beams overlap [P. Michel et al., Phys. Rev. Lett. 109, 195004 (2012)]. This increases the ion acoustic velocity and modifies the ion acoustic waves’ dispersion relation, thus reducing themore » plasma response to the beat waves and the efficiency of CBET. This pushes the plasma oscillations driven by CBET in a regime where the phase velocities are much smaller than both the electron and ion thermal velocities. CBET gains are derived for this new regime and generalized to the case of multi ion species plasmas.« less

  6. Generation of forerunner electron beam during interaction of ion beam pulse with plasma

    NASA Astrophysics Data System (ADS)

    Hara, Kentaro; Kaganovich, Igor D.; Startsev, Edward A.

    2018-01-01

    The long-time evolution of the two-stream instability of a cold tenuous ion beam pulse propagating through the background plasma with density much higher than the ion beam density is investigated using a large-scale one-dimensional electrostatic kinetic simulation. The three stages of the instability are investigated in detail. After the initial linear growth and saturation by the electron trapping, a portion of the initially trapped electrons becomes detrapped and moves ahead of the ion beam pulse forming a forerunner electron beam, which causes a secondary two-stream instability that preheats the upstream plasma electrons. Consequently, the self-consistent nonlinear-driven turbulent state is set up at the head of the ion beam pulse with the saturated plasma wave sustained by the influx of the cold electrons from upstream of the beam that lasts until the final stage when the beam ions become trapped by the plasma wave. The beam ion trapping leads to the nonlinear heating of the beam ions that eventually extinguishes the instability.

  7. Generation of forerunner electron beam during interaction of ion beam pulse with plasma

    DOE PAGES

    Hara, Kentaro; Kaganovich, Igor D.; Startsev, Edward A.

    2018-01-01

    The long-time evolution of the two-stream instability of a cold tenuous ion beam pulse propagating through the background plasma with density much higher than the ion beam density is investigated using a large-scale one-dimensional electrostatic kinetic simulation. The three stages of the instability are investigated in detail. After the initial linear growth and saturation by the electron trapping, a portion of the initially trapped electrons becomes detrapped and moves ahead of the ion beam pulse forming a forerunner electron beam, which causes a secondary two-stream instability that preheats the upstream plasma electrons. Consequently, the self-consistent nonlinear-driven turbulent state is setmore » up at the head of the ion beam pulse with the saturated plasma wave sustained by the influx of the cold electrons from upstream of the beam that lasts until the final stage when the beam ions become trapped by the plasma wave. Finally, the beam ion trapping leads to the nonlinear heating of the beam ions that eventually extinguishes the instability.« less

  8. Generation of forerunner electron beam during interaction of ion beam pulse with plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hara, Kentaro; Kaganovich, Igor D.; Startsev, Edward A.

    The long-time evolution of the two-stream instability of a cold tenuous ion beam pulse propagating through the background plasma with density much higher than the ion beam density is investigated using a large-scale one-dimensional electrostatic kinetic simulation. The three stages of the instability are investigated in detail. After the initial linear growth and saturation by the electron trapping, a portion of the initially trapped electrons becomes detrapped and moves ahead of the ion beam pulse forming a forerunner electron beam, which causes a secondary two-stream instability that preheats the upstream plasma electrons. Consequently, the self-consistent nonlinear-driven turbulent state is setmore » up at the head of the ion beam pulse with the saturated plasma wave sustained by the influx of the cold electrons from upstream of the beam that lasts until the final stage when the beam ions become trapped by the plasma wave. Finally, the beam ion trapping leads to the nonlinear heating of the beam ions that eventually extinguishes the instability.« less

  9. Measurement of ultra-low ion energy of decelerated ion beam using a deflecting electric field

    NASA Astrophysics Data System (ADS)

    Thopan, P.; Suwannakachorn, D.; Tippawan, U.; Yu, L. D.

    2015-12-01

    In investigation on ultra-low-energy ion bombardment effect on DNA, an ion beam deceleration lens was developed for high-quality ultra-low-energy ion beam. Measurement of the ion energy after deceleration was necessary to confirm the ion beam really decelerated as theoretically predicted. In contrast to conventional methods, this work used a simple deflecting electrostatic field after the deceleration lens to bend the ion beam. The beam bending distance depended on the ion energy and was described and simulated. A system for the measurement of the ion beam energy was constructed. It consisted of a pair of parallel electrode plates to generate the deflecting electrical field, a copper rod measurement piece to detect ion beam current, a vernier caliper to mark the beam position, a stepping motor to translate the measurement rod, and a webcam-camera to read the beam bending distance. The entire system was installed after the ion-beam deceleration lens inside the large chamber of the bioengineering vertical ion beam line. Moving the measurement rod across the decelerated ion beam enabled to obtain beam profiles, from which the beam bending distance could be known and the ion beam energy could be calculated. The measurement results were in good agreement with theoretical and simulated results.

  10. Lithium ion beam divergence on SABRE extraction ion diode experiments

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hanson, D.L.; Cuneo, M.E.; Johnson, D.J.

    Intense lithium beams are of particular interest for light ion inertial confinement fusion applications because lithium ions can be accelerated at high voltage in a single charge state (Li{sup +}) with a high mass-to-charge ratio and appropriate range for efficient focusing and heating of a hohlraum ICF target. Scaling to ion power densities adequate to drive high gain pellet implosions (600 TW at 30 MeV) will require a large number of beams transported, temporally bunched, and focused onto a target, with the necessary target standoff to ensure survival of the driver modules. For efficient long distance transport and focusing tomore » a small pellet, lithium beam divergence must be reduced to about 12 mrad or less (depending on the transport scheme). To support the eventual development of a light ion driver module for ICF applications, the authors are currently working to improve the composition, uniformity, and divergence of lithium ion beams produced by both passive LiF and active laser-generated lithium ion sources on extraction applied-B ion diodes on the SABRE accelerator (1 TW, 5 MV, 250 kA). While lithium beam divergence accounting and control are an essential goal of these experiments, divergence measurements for lithium beams present some unique problems not encountered to the same degree in divergence measurements on proton sources. To avoid these difficulties, the authors have developed a large aperture ion imaging diagnostic for time-resolved lithium divergence measurements. The authors will report on the operation of this lithium beam divergence diagnostic and on results of time-resolved divergence measurements in progress for passive LiF ion sources and laser-produced active lithium sources operated in diode configurations designed to control divergence growth. Comparisons will also be made with time-integrated divergence results obtained with small entrance aperture ultracompact pinhole cameras.« less

  11. Modern applications of high energy ion beams: From "single-event burnout" to human eye cancer treatment

    NASA Astrophysics Data System (ADS)

    Homeyer, H.; Mahnke, H.-E.

    1996-12-01

    Energetic ion beams, originally the domain of nuclear physics, become increasingly important tools in many other fields of research and development. The choice of ion species and ion energy allows an enormously wide variation of the penetration depth and of the amount of the electronic stopping power. These features are utilized to modify or damage materials and living tissues in a specific way. Materials modification with energetic ion beams is one of the central aims of research and development at the ion beam laboratory, ISL-Berlin, a center for ion-beam applications at the Hahn-Meitner-Institut Berlin. In particular, energetic protons will be used for eye cancer treatment. Selected topics such as the "single-event burnout" of high power diodes and the eye cancer therapy setup will be presented in detail.

  12. Ion beam sputter etching and deposition of fluoropolymers

    NASA Technical Reports Server (NTRS)

    Banks, B. A.; Sovey, J. S.; Miller, T. B.; Crandall, K. S.

    1978-01-01

    Fluoropolymer etching and deposition techniques including thermal evaporation, RF sputtering, plasma polymerization, and ion beam sputtering are reviewed. Etching and deposition mechanism and material characteristics are discussed. Ion beam sputter etch rates for polytetrafluoroethylene (PTFE) were determined as a function of ion energy, current density and ion beam power density. Peel strengths were measured for epoxy bonds to various ion beam sputtered fluoropolymers. Coefficients of static and dynamic friction were measured for fluoropolymers deposited from ion bombarded PTFE.

  13. Investigations of the emittance and brightness of ion beams from an electron beam ion source of the Dresden EBIS type.

    PubMed

    Silze, Alexandra; Ritter, Erik; Zschornack, Günter; Schwan, Andreas; Ullmann, Falk

    2010-02-01

    We have characterized ion beams extracted from the Dresden EBIS-A, a compact room-temperature electron beam ion source (EBIS) with a permanent magnet system for electron beam compression, using a pepper-pot emittance meter. The EBIS-A is the precursor to the Dresden EBIS-SC in which the permanent magnets have been replaced by superconducting solenoids for the use of the source in high-ion-current applications such as heavy-ion cancer therapy. Beam emittance and brightness values were calculated from data sets acquired for a variety of source parameters, in leaky as well as pulsed ion extraction mode. With box shaped pulses of C(4+) ions at an energy of 39 keV root mean square emittances of 1-4 mm mrad and a brightness of 10 nA mm(-2) mrad(-2) were achieved. The results meet the expectations for high quality ion beams generated by an electron beam ion source.

  14. Kinetic energy offsets for multicharged ions from an electron beam ion source.

    PubMed

    Kulkarni, D D; Ahl, C D; Shore, A M; Miller, A J; Harriss, J E; Sosolik, C E; Marler, J P

    2017-08-01

    Using a retarding field analyzer, we have measured offsets between the nominal and measured kinetic energy of multicharged ions extracted from an electron beam ion source (EBIS). By varying source parameters, a shift in ion kinetic energy was attributed to the trapping potential produced by the space charge of the electron beam within the EBIS. The space charge of the electron beam depends on its charge density, which in turn depends on the amount of negative charge (electron beam current) and its velocity (electron beam energy). The electron beam current and electron beam energy were both varied to obtain electron beams of varying space charge and these were related to the observed kinetic energy offsets for Ar 4+ and Ar 8+ ion beams. Knowledge of these offsets is important for studies that seek to utilize slow, i.e., low kinetic energy, multicharged ions to exploit their high potential energies for processes such as surface modification. In addition, we show that these offsets can be utilized to estimate the effective radius of the electron beam inside the trap.

  15. Ion Beam Etching: Replication of Micro Nano-structured 3D Stencil Masks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weber, Patrick; Guibert, Edouard; Mikhailov, Serguei

    2009-03-10

    Ion beam LIGA allows the etching of 3D nano-structures by direct writing with a nano-sized beam. However, this is a relatively time consuming process. We propose here another approach for etching structures on large surfaces and faster, compared to the direct writing process. This approach consists of replicating 3D structured masks, by scanning an unfocused ion beam. A polymer substrate is placed behind the mask, as in UV photolithography. But the main advantage is that the 3D structure of the mask can be replicated into the polymer. For that purpose, the masks (developped at LMIS1, EPFL) are made of amore » silicon nitride membrane 100 nm thick, on which 3D gold structures up to 200 nm thick, are deposited. The 3D Au structures are made with the nanostencil method, based on successive gold deposition. The IMA institute, from HE-Arc, owns a High Voltage Engineering 1.7 MV Tandetron with both solid and gaseous negative ion sources, able to generate ions from almost every chemical element in a broad range of energies comprised between 400 keV and 6.8 MeV. The beam composition and energy are chosen in such a way, that ions lose a significant fraction of their energy when passing through the thickest regions of the mask. Ions passing through thinner regions of the mask loose a smaller fraction of their energy and etch the polymer with larger thicknesses, allowing a replication of the mask into the polymer. For our trials, we have used a carbon beam with an energy of 500 keV. The beam was focussed to a diameter of 5 mm with solid slits, in order to avoid border effects and thus ensure a homogeneous dose distribution on the beam diameter. The feasibility of this technique has been demonstrated, allowing industrial applications for micro-mould fabrication, micro-fluidics and micro-optics.« less

  16. Application of ion implantation in tooling industry

    NASA Astrophysics Data System (ADS)

    Straede, Christen A.

    1996-06-01

    In papers published during the last half of the 1980s it is often stated that the application of ion beams to non-semiconductor purposes seems ready for full-scale industrial exploitation. However, progress with respect to commercialisation of ion implantation has been slower than predicted, although the process is quite clearly building up niche markets, especially in the tooling industry. It is the main purpose of this paper to discuss the implementation of the process in the tooling market, and to describe strategies used to ensure its success. The basic idea has been to find niches where ion implantation out-performs other processes both technically and in prices. For instance, it has been clearly realised that one should avoid competing with physical vapour deposition or other coating techniques in market areas where they perform excellently, and instead find niches where the advantages of the ion implantation technique can be fully utilised. The paper will present typical case stories in order to illustrate market niches where the technique has its greatest successes and potential.

  17. In-Situ atomic force microscopic observation of ion beam bombarded plant cell envelopes

    NASA Astrophysics Data System (ADS)

    Sangyuenyongpipat, S.; Yu, L. D.; Brown, I. G.; Seprom, C.; Vilaithong, T.

    2007-04-01

    A program in ion beam bioengineering has been established at Chiang Mai University (CMU), Thailand, and ion beam induced transfer of plasmid DNA molecules into bacterial cells (Escherichia coli) has been demonstrated. However, a good understanding of the fundamental physical processes involved is lacking. In parallel work, onion skin cells have been bombarded with Ar+ ions at energy 25 keV and fluence1-2 × 1015 ions/cm2, revealing the formation of microcrater-like structures on the cell wall that could serve as channels for the transfer of large macromolecules into the cell interior. An in-situ atomic force microscope (AFM) system has been designed and installed in the CMU bio-implantation facility as a tool for the observation of these microcraters during ion beam bombardment. Here we describe some of the features of the in-situ AFM and outline some of the related work.

  18. Hysteretic Vortex-Matching Effects in High-Tc Superconductors with Nanoscale Periodic Pinning Landscapes Fabricated by He Ion-Beam Projection

    NASA Astrophysics Data System (ADS)

    Zechner, G.; Jausner, F.; Haag, L. T.; Lang, W.; Dosmailov, M.; Bodea, M. A.; Pedarnig, J. D.

    2017-07-01

    Square arrays of submicrometer columnar defects in thin YBa2 Cu3 O7 -δ (YBCO) films with spacings down to 300 nm are fabricated by a He ion-beam projection technique. Pronounced peaks in the critical current and corresponding minima in the resistance demonstrate the commensurate arrangement of flux quanta with the artificial pinning landscape, despite the strong intrinsic pinning in epitaxial YBCO films. While these vortex-matching signatures are exactly at the predicted values in field-cooled experiments, they are displaced in zero-field-cooled, magnetic-field-ramped experiments, conserving the equidistance of the matching peaks and minima. These observations reveal an unconventional critical state in a cuprate superconductor with an artificial, periodic pinning array. The long-term stability of such out-of-equilibrium vortex arrangements paves the way for electronic applications employing fluxons.

  19. Plasma formed ion beam projection lithography system

    DOEpatents

    Leung, Ka-Ngo; Lee, Yung-Hee Yvette; Ngo, Vinh; Zahir, Nastaran

    2002-01-01

    A plasma-formed ion-beam projection lithography (IPL) system eliminates the acceleration stage between the ion source and stencil mask of a conventional IPL system. Instead a much thicker mask is used as a beam forming or extraction electrode, positioned next to the plasma in the ion source. Thus the entire beam forming electrode or mask is illuminated uniformly with the source plasma. The extracted beam passes through an acceleration and reduction stage onto the resist coated wafer. Low energy ions, about 30 eV, pass through the mask, minimizing heating, scattering, and sputtering.

  20. Fabrication and characterization of a co-planar detector in diamond for low energy single ion implantation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Abraham, John Bishoy Sam; Pacheco, Jose L.; Aguirre, Brandon Adrian

    2016-08-09

    We demonstrate low energy single ion detection using a co-planar detector fabricated on a diamond substrate and characterized by ion beam induced charge collection. Histograms are taken with low fluence ion pulses illustrating quantized ion detection down to a single ion with a signal-to-noise ratio of approximately 10. We anticipate that this detection technique can serve as a basis to optimize the yield of single color centers in diamond. In conclusion, the ability to count ions into a diamond substrate is expected to reduce the uncertainty in the yield of color center formation by removing Poisson statistics from the implantationmore » process.« less

  1. Production of multi-, oligo- and single-pore membranes using a continuous ion beam

    NASA Astrophysics Data System (ADS)

    Apel, P. Yu.; Ivanov, O. M.; Lizunov, N. E.; Mamonova, T. I.; Nechaev, A. N.; Olejniczak, K.; Vacik, J.; Dmitriev, S. N.

    2015-12-01

    Ion track membranes (ITM) have attracted significant interest over the past two decades due to their numerous applications in physical, biological, chemical, biochemical and medical experimental works. A particular feature of ITM technology is the possibility to fabricate samples with a predetermined number of pores, including single-pore membranes. The present report describes a procedure that allowed for the production of multi-, oligo- and single-pore membranes using a continuous ion beam from an IC-100 cyclotron. The beam was scanned over a set of small diaphragms, from 17 to ∼1000 μm in diameter. Ions passed through the apertures and impinged two sandwiched polymer foils, with the total thickness close to the ion range in the polymer. The foils were pulled across the ion beam at a constant speed. The ratio between the transport speed and the scanning frequency determined the distance between irradiation spots. The beam intensity and the aperture diameters were adjusted such that either several, one or no ions passed through the diaphragms during one half-period of scanning. After irradiation, the lower foil was separated from the upper foil and was etched to obtain pores 6-8 μm in diameter. The pores were found using a color chemical reaction between two reagents placed on opposite sides of the foil. The located pores were further confirmed using SEM and optical microscopy. The numbers of tracks in the irradiation spots were consistent with the Poisson statistics. Samples with single or few tracks obtained in this way were employed to study fine phenomena in ion track nanopores.

  2. Numerical simulation of ion charge breeding in electron beam ion source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhao, L., E-mail: zhao@far-tech.com; Kim, Jin-Soo

    2014-02-15

    The Electron Beam Ion Source particle-in-cell code (EBIS-PIC) tracks ions in an EBIS electron beam while updating electric potential self-consistently and atomic processes by the Monte Carlo method. Recent improvements to the code are reported in this paper. The ionization module has been improved by using experimental ionization energies and shell effects. The acceptance of injected ions and the emittance of extracted ion beam are calculated by extending EBIS-PIC to the beam line transport region. An EBIS-PIC simulation is performed for a Cs charge-breeding experiment at BNL. The charge state distribution agrees well with experiments, and additional simulation results ofmore » radial profiles and velocity space distributions of the trapped ions are presented.« less

  3. An argon ion beam milling process for native AlOx layers enabling coherent superconducting contacts

    NASA Astrophysics Data System (ADS)

    Grünhaupt, Lukas; von Lüpke, Uwe; Gusenkova, Daria; Skacel, Sebastian T.; Maleeva, Nataliya; Schlör, Steffen; Bilmes, Alexander; Rotzinger, Hannes; Ustinov, Alexey V.; Weides, Martin; Pop, Ioan M.

    2017-08-01

    We present an argon ion beam milling process to remove the native oxide layer forming on aluminum thin films due to their exposure to atmosphere in between lithographic steps. Our cleaning process is readily integrable with conventional fabrication of Josephson junction quantum circuits. From measurements of the internal quality factors of superconducting microwave resonators with and without contacts, we place an upper bound on the residual resistance of an ion beam milled contact of 50 mΩ μm2 at a frequency of 4.5 GHz. Resonators for which only 6% of the total foot-print was exposed to the ion beam milling, in areas of low electric and high magnetic fields, showed quality factors above 106 in the single photon regime, and no degradation compared to single layer samples. We believe these results will enable the development of increasingly complex superconducting circuits for quantum information processing.

  4. In-Process Thermal Imaging of the Electron Beam Freeform Fabrication Process

    NASA Technical Reports Server (NTRS)

    Taminger, Karen M.; Domack, Christopher S.; Zalameda, Joseph N.; Taminger, Brian L.; Hafley, Robert A.; Burke, Eric R.

    2016-01-01

    Researchers at NASA Langley Research Center have been developing the Electron Beam Freeform Fabrication (EBF3) metal additive manufacturing process for the past 15 years. In this process, an electron beam is used as a heat source to create a small molten pool on a substrate into which wire is fed. The electron beam and wire feed assembly are translated with respect to the substrate to follow a predetermined tool path. This process is repeated in a layer-wise fashion to fabricate metal structural components. In-process imaging has been integrated into the EBF3 system using a near-infrared (NIR) camera. The images are processed to provide thermal and spatial measurements that have been incorporated into a closed-loop control system to maintain consistent thermal conditions throughout the build. Other information in the thermal images is being used to assess quality in real time by detecting flaws in prior layers of the deposit. NIR camera incorporation into the system has improved the consistency of the deposited material and provides the potential for real-time flaw detection which, ultimately, could lead to the manufacture of better, more reliable components using this additive manufacturing process.

  5. ITO/InP solar cells: A comparison of devices fabricated by ion beam and RF sputtering of the ITO

    NASA Technical Reports Server (NTRS)

    Coutts, T. J.

    1987-01-01

    This work was performed with the view of elucidating the behavior of indium tin oxide/indium phosphide (ITO/InP) solar cells prepared by RF and ion beam sputtering. It was found that using RF sputter deposition of the ITO always leads to more efficient devices than ion beam sputter deposition. An important aspect of the former technique is the exposure of the single crystal p-InP substrates to a very low plasma power prior to deposition. Substrates treated in this manner have also been used for ion beam deposition of ITO. In this case the cells behave very similarly to the RF deposited cells, thus suggesting that the lower power plasma exposure (LPPE) is the crucial process step.

  6. MEMS based ion beams for fusion

    NASA Astrophysics Data System (ADS)

    Persaud, A.; Seidl, P. A.; Ji, Q.; Waldron, W. L.; Schenkel, T.; Ardanuc, S.; Vinayakumar, K. B.; Schaffer, Z. A.; Lal, A.

    2016-10-01

    Micro-Electro-Mechanical Systems (MEMS) fabrication provides an exciting opportunity to shrink existing accelerator concepts to smaller sizes and to reduce cost by orders of magnitude. We revisit the concept of a Multiple Electrostatic Quadrupole Array Linear Accelerator (MEQALAC) and show how, with current technologies, the concept can be downsized from gap distances of several cm to distances in the sub-mm regime. The basic concept implements acceleration gaps using radio frequency (RF) fields and electrostatic quadrupoles (ESQ) on silicon wafers. First results from proof-of-concept experiments using printed circuit boards to realize the MEQALAC structures are presented. We show results from accelerating structures that were used in an array of nine (3x3) parallel beamlets with He ions at 15 keV. We will also present results from an ESQ focusing lattice using the same beamlet layout showing beam transport and matching. We also will discuss our progress in fabricating MEMS devices in silicon wafers for both the RF and ESQ structures and integration of necessary RF-circuits on-chip. The concept can be scaled up to thousands of beamlets providing high power beams at low cost and can be used to form and compress a plasma for the development of magnetized target fusion approaches. This work was supported by the Office of Science of the US Department of Energy through the ARPA-e ALPHA program under contracts DE-AC0205CH11231 (LBNL).

  7. Carbon nanotube collimator fabrication and application

    DOEpatents

    Chow, Lee; Chai, Guangyu; Schenkel, Thomas

    2010-07-06

    Apparatus, methods, systems and devices for fabricating individual CNT collimators. Micron size fiber coated CNT samples are synthesized with chemical vapor deposition method and then the individual CNT collimators are fabricated with focused ion beam technique. Unfocused electron beams are successfully propagated through the CNT collimators. The CNT nano-collimators are used for applications including single ion implantation and in high-energy physics, and allow rapid, reliable testing of the transmission of CNT arrays for transport of molecules.

  8. Experimental Validation of an Ion Beam Optics Code with a Visualized Ion Thruster

    NASA Astrophysics Data System (ADS)

    Nakayama, Yoshinori; Nakano, Masakatsu

    For validation of an ion beam optics code, the behavior of ion beam optics was experimentally observed and evaluated with a two-dimensional visualized ion thruster (VIT). Since the observed beam focus positions, sheath positions and measured ion beam currents were in good agreement with the numerical results, it was confirmed that the numerical model of this code was appropriated. In addition, it was also confirmed that the beam focus position was moved on center axis of grid hole according to the applied grid potentials, which differs from conventional understanding/assumption. The VIT operations may be useful not only for the validation of ion beam optics codes but also for the fundamental and intuitive understanding of the Child Law Sheath theory.

  9. Development of a plasma generator for a long pulse ion source for neutral beam injectors.

    PubMed

    Watanabe, K; Dairaku, M; Tobari, H; Kashiwagi, M; Inoue, T; Hanada, M; Jeong, S H; Chang, D H; Kim, T S; Kim, B R; Seo, C S; Jin, J T; Lee, K W; In, S R; Oh, B H; Kim, J; Bae, Y S

    2011-06-01

    A plasma generator for a long pulse H(+)/D(+) ion source has been developed. The plasma generator was designed to produce 65 A H(+)/D(+) beams at an energy of 120 keV from an ion extraction area of 12 cm in width and 45 cm in length. Configuration of the plasma generator is a multi-cusp bucket type with SmCo permanent magnets. Dimension of a plasma chamber is 25 cm in width, 59 cm in length, and 32.5 cm in depth. The plasma generator was designed and fabricated at Japan Atomic Energy Agency. Source plasma generation and beam extraction tests for hydrogen coupling with an accelerator of the KSTAR ion source have been performed at the KSTAR neutral beam test stand under the agreement of Japan-Korea collaborative experiment. Spatial uniformity of the source plasma at the extraction region was measured using Langmuir probes and ±7% of the deviation from an averaged ion saturation current density was obtained. A long pulse test of the plasma generation up to 200 s with an arc discharge power of 70 kW has been successfully demonstrated. The arc discharge power satisfies the requirement of the beam production for the KSTAR NBI. A 70 keV, 41 A, 5 s hydrogen ion beam has been extracted with a high arc efficiency of 0.9 -1.1 A/kW at a beam extraction experiment. A deuteron yield of 77% was measured even at a low beam current density of 73 mA/cm(2). © 2011 American Institute of Physics

  10. High aspect ratio AFM Probe processing by helium-ion-beam induced deposition.

    PubMed

    Onishi, Keiko; Guo, Hongxuan; Nagano, Syoko; Fujita, Daisuke

    2014-11-01

    A Scanning Helium Ion Microscope (SHIM) is a high resolution surface observation instrument similar to a Scanning Electron Microscope (SEM) since both instruments employ finely focused particle beams of ions or electrons [1]. The apparent difference is that SHIMs can be used not only for a sub-nanometer scale resolution microscopic research, but also for the applications of very fine fabrication and direct lithography of surfaces at the nanoscale dimensions. On the other hand, atomic force microscope (AFM) is another type of high resolution microscopy which can measure a three-dimensional surface morphology by tracing a fine probe with a sharp tip apex on a specimen's surface.In order to measure highly uneven and concavo-convex surfaces by AFM, the probe of a high aspect ratio with a sharp tip is much more necessary than the probe of a general quadrangular pyramid shape. In this paper we report the manufacture of the probe tip of the high aspect ratio by ion-beam induced gas deposition using a nanoscale helium ion beam of SHIM.Gas of platinum organic compound was injected into the sample surface neighborhood in the vacuum chamber of SHIM. The decomposition of the gas and the precipitation of the involved metal brought up a platinum nano-object in a pillar shape on the normal commercial AFM probe tip. A SHIM system (Carl Zeiss, Orion Plus) equipped with the gas injection system (OmniProbe, OmniGIS) was used for the research. While the vacuum being kept to work, we injected platinum organic compound ((CH3)3(CH3C5H4)Pt) into the sample neighborhood and irradiated the helium ion beam with the shape of a point on the apex of the AFM probe tip. It is found that we can control the length of the Pt nano-pillar by irradiation time of the helium ion beam. The AFM probe which brought up a Pt nano-pillar is shown in Figure 1. It is revealed that a high-aspect-ratio Pt nano-pillar of ∼40nm diameter and up to ∼2000 nm length can be grown. In addition, for possible heating

  11. Calibrating ion density profile measurements in ion thruster beam plasma

    NASA Astrophysics Data System (ADS)

    Zhang, Zun; Tang, Haibin; Ren, Junxue; Zhang, Zhe; Wang, Joseph

    2016-11-01

    The ion thruster beam plasma is characterized by high directed ion velocity (104 m/s) and low plasma density (1015 m-3). Interpretation of measurements of such a plasma based on classical Langmuir probe theory can yield a large experimental error. This paper presents an indirect method to calibrate ion density determination in an ion thruster beam plasma using a Faraday probe, a retarding potential analyzer, and a Langmuir probe. This new method is applied to determine the plasma emitted from a 20-cm-diameter Kaufman ion thruster. The results show that the ion density calibrated by the new method can be as much as 40% less than that without any ion current density and ion velocity calibration.

  12. Ions beams and ferroelectric plasma sources

    NASA Astrophysics Data System (ADS)

    Stepanov, Anton

    Near-perfect space-charge neutralization is required for the transverse compression of high perveance ion beams for ion-beam-driven warm dense matter experiments, such as the Neutralized Drift Compression eXperiment (NDCX). Neutralization can be accomplished by introducing a plasma in the beam path, which provides free electrons that compensate the positive space charge of the ion beam. In this thesis, charge neutralization of a 40 keV, perveance-dominated Ar+ beam by a Ferroelectric Plasma Source (FEPS) is investigated. First, the parameters of the ion beam, such as divergence due to the extraction optics, charge neutralization fraction, and emittance were measured. The ion beam was propagated through the FEPS plasma, and the effects of charge neutralization were inferred from time-resolved measurements of the transverse beam profile. In addition, the dependence of FEPS plasma parameters on the configuration of the driving pulser circuit was studied to optimize pulser design. An ion accelerator was constructed that produced a 30-50 keV Ar + beam with pulse duration <300 mus and dimensionless perveance Q up to 8 x 10-4. Transverse profile measurements 33 cm downstream of the ion source showed that the dependence of beam radius on Q was consistent with space charge expansion. It was concluded that the beam was perveance-dominated with a charge neutralization fraction of approximately zero in the absence of neutralizing plasma. Since beam expansion occurred primarily due to space charge, the decrease in effective perveance due to neutralization by FEPS plasma can be inferred from the reduction in beam radius. Results on propagation of the ion beam through FEPS plasma demonstrate that after the FEPS is triggered, the beam radius decreases to its neutralized value in about 5 mus. The duration of neutralization was about 10 mus at a charging voltage VFEPS = 5.5 kV and 35 mus at VFEPS = 6.5 kV. With VFEPS = 6.5 kV, the transverse current density profile 33 cm downstream

  13. Highly charged ion beams and their applications

    NASA Astrophysics Data System (ADS)

    Marler, Joan

    2018-01-01

    While much previous work with highly charged ions has been performed with the ions in the plasma state in which they were formed, beams of highly charged ions hold promise for exciting new experiments. Specifically low energy beams with a high degree of charge state purity are a prerequisite for momentum resolved cross section measurements and for efficient loading of highly charged ions into UHV traps for spectroscopy. The Clemson University facility is optimized for the delivery of such beams of highly charged ions with low kinetic energies. Near term experiments include energy resolved charge exchange with neutral targets.

  14. Production of N[sup +] ions from a multicusp ion beam apparatus

    DOEpatents

    Kango Leung; Kunkel, W.B.; Walther, S.R.

    1993-03-30

    A method of generating a high purity (at least 98%) N[sup +] ion beam using a multicusp ion source having a chamber formed by a cylindrical chamber wall surrounded by a plurality of magnets, a filament centrally disposed in said chamber, a plasma electrode having an extraction orifice at one end of the chamber, a magnetic filter having two parallel magnets spaced from said plasma electrode and dividing the chamber into arc discharge and extraction regions. The method includes ionizing nitrogen gas in the arc discharge region of the chamber, maintaining the chamber wall at a positive voltage relative to the filament and at a magnitude for an optimum percentage of N[sup +] ions in the extracted ion beams, disposing a hot liner within the chamber and near the chamber wall to limit recombination of N[sup +] ions into the N[sub 2][sup +] ions, spacing the magnets of the magnetic filter from each other for optimum percentage of N[sup 3] ions in the extracted ion beams, and maintaining a relatively low pressure downstream of the extraction orifice and of a magnitude (preferably within the range of 3-8[times]10[sup [minus]4] torr) for an optimum percentage of N[sup +] ions in the extracted ion beam.

  15. Two-dimensional silicon-based detectors for ion beam therapy

    NASA Astrophysics Data System (ADS)

    Martišíková, M.; Granja, C.; Jakůbek, J.; Hartmann, B.; Telsemeyer, J.; Huber, L.; Brons, S.; Pospíšil, S.; Jäkel, O.

    2012-02-01

    Radiation therapy with ion beams is a highly precise kind of cancer treatment. As ion beams traverse material, the highest ionization density occurs at the end of their path. Due to this Bragg-peak, ion beams enable higher dose conformation to the tumor and increased sparing of the surrounding tissue, in comparison to standard radiation therapy using high energy photons. Ions heavier than protons offer in addition increased biological effectiveness and lower scattering. The Heidelberg Ion Beam Therapy Center (HIT) is a state-of-the-art ion beam therapy facility and the first hospital-based facility in Europe. It provides proton and carbon ion treatments. A synchrotron is used for ion acceleration. For dose delivery to the patient, narrow pencil-like beams are scanned over the target volume.

  16. High-energy accelerator for beams of heavy ions

    DOEpatents

    Martin, Ronald L.; Arnold, Richard C.

    1978-01-01

    An apparatus for accelerating heavy ions to high energies and directing the accelerated ions at a target comprises a source of singly ionized heavy ions of an element or compound of greater than 100 atomic mass units, means for accelerating the heavy ions, a storage ring for accumulating the accelerated heavy ions and switching means for switching the heavy ions from the storage ring to strike a target substantially simultaneously from a plurality of directions. In a particular embodiment the heavy ion that is accelerated is singly ionized hydrogen iodide. After acceleration, if the beam is of molecular ions, the ions are dissociated to leave an accelerated singly ionized atomic ion in a beam. Extraction of the beam may be accomplished by stripping all the electrons from the atomic ion to switch the beam from the storage ring by bending it in magnetic field of the storage ring.

  17. Through-silicon via plating void metrology using focused ion beam mill

    NASA Astrophysics Data System (ADS)

    Rudack, A. C.; Nadeau, J.; Routh, R.; Young, R. J.

    2012-03-01

    3D IC integration continues to increase in complexity, employing advanced interconnect technologies such as throughsilicon vias (TSVs), wafer-to-wafer (W2W) bonding, and multi-chip stacking. As always, the challenge with developing new processes is to get fast, effective feedback to the integration engineer. Ideally this data is provided by nondestructive in-line metrology, but this is not always possible. For example, some form of physical cross-sectioning is still the most practical way to detect and characterize TSV copper plating voids. This can be achieved by cleaving, followed by scanning electron microscope (SEM) inspection. A more effective physical cross-sectioning method has been developed using an automated dual-beam focused ion beam (FIB)-SEM system, in which multiple locations can be sectioned and imaged while leaving the wafer intact. This method has been used routinely to assess copper plating voids over the last 24 months at SEMATECH. FIB-SEM feedback has been used to evaluate new plating chemistries, plating recipes, and process tool requalification after downtime. The dualbeam FIB-SEM used for these studies employs a gallium-based liquid metal ion source (LMIS). The overall throughput of relatively large volumes being milled is limited to 3-4 hours per section due to the maximum available beam current of 20 nA. Despite the larger volumetric removal rates of other techniques (e.g., mechanical polishing, broad-ion milling, and laser ablation), the value of localized, site-specific, and artifact-free FIB milling is well appreciated. The challenge, therefore, has been to reap the desired FIB benefits, but at faster volume removal rates. This has led to several system and technology developments for improving the throughput of the FIB technique, the most recent being the introduction of FIBs based on an inductively coupled plasma (ICP) ion source. The ICP source offers much better performance than the LMIS at very high beam currents, enabling more than

  18. Fabrication of planar waveguide in KNSBN crystal by swift heavy ion beam irradiation

    NASA Astrophysics Data System (ADS)

    Guan, Jing; Wang, Lei; Qin, Xifeng

    2013-11-01

    We report on the fabrication of the planar waveguides in the KNSBN crystal by using 17 MeV C5+ ions at a fluence of 2 × 1014 ions/cm2. After implantation, near surface regions of the crystal, there has a positive extraordinary refractive index (ne) change and the light inside the waveguides can propagate in a non-leaky manner. The two-dimensional modal profiles of the planar waveguides, measured by using the end-coupling arrangement, are in good agreement with the reconstructed modal distributions. The propagation loss for C5+ irradiated waveguide is ∼0.8 dB/cm at 633 nm and ∼0.72 dB/cm at 1064 nm. The waveguide gives good confinement of waveguide modes, which exhibits acceptable guiding qualities for potential applications in integrated optics.

  19. Ion Temperature Measurements in an electron beam ion trap (EBIT)

    NASA Astrophysics Data System (ADS)

    Beiersdorfer, P.; Decaux, V.; Widmann, K.

    1997-11-01

    An electron beam ion trap consists of a Penning-type cylindrical trap traversed by a high-energy (<= 200 keV), high-density (Ne <= 10^13 cm-3) electron beam. Ions are trapped by the space charge potential of the electron beam, a static potential on the end electrodes, and a 3-T axial magnetic field [1]. The ions are heated by the electron beam and leave the trap once their kinetic energy suffices to overcome the potential barriers. Using high-resolution x-ray spectroscopy, we have made systematic measurements of the temperature of Ti^20+ and Cs^45+ ions in the trap [2]. The dependence of the ion temperature on operating parameters, such as trapping potential, beam current, and neutral gas pressure, will be presented. Temperatures as low as 15.4 ± 4.4 eV and as high as 2 keV were observed. *Work performed under the auspices of the U.S.D.o.E. by Lawrence Livermore National Laboratory under contract No. W-7405-ENG-48. [1] M. Levine et al., Phys. Scripta T22, 157 (1989). [2]P. Beiersdorfer et al., PRL 77, 5356 (1996); P. Beiersdorfer, in AIP Conf. Proc. No. 389, p. 121 (1997).

  20. Focused ion beam source method and apparatus

    DOEpatents

    Pellin, Michael J.; Lykke, Keith R.; Lill, Thorsten B.

    2000-01-01

    A focused ion beam having a cross section of submicron diameter, a high ion current, and a narrow energy range is generated from a target comprised of particle source material by laser ablation. The method involves directing a laser beam having a cross section of critical diameter onto the target, producing a cloud of laser ablated particles having unique characteristics, and extracting and focusing a charged particle beam from the laser ablated cloud. The method is especially suited for producing focused ion beams for semiconductor device analysis and modification.

  1. Microdosimetry in ion-beam therapy

    NASA Astrophysics Data System (ADS)

    Magrin, Giulio; Mayer, Ramona

    2015-06-01

    The information of the dose is not sufficiently describing the biological effects of ions on tissue since it does not express the radiation quality, i.e. the heterogeneity of the processes due to the slowing-down and the fragmentation of the particles when crossing a target. Depending on different circumstances, the radiation quality can be determined using measurements, calculations, or simulations. Microdosimeters are the primary tools used to provide the experimental information of the radiation quality and their role is becoming crucial for the recent clinical developments in particular with carbon ion therapy. Microdosimetry is strongly linked to the biological effectiveness of the radiation since it provides the physical parameters which explicitly distinguish the radiation for its capability of damaging cells. In the framework of ion-beam therapy microdosimetry can be used in the preparation of the treatment to complement radiobiological experiments and to analyze the modification of the radiation quality in phantoms. A more ambitious goal is to perform the measurements during the irradiation procedure to determine the non-targeted radiation and, more importantly, to monitor the modification of the radiation quality inside the patient. These procedures provide the feedback of the treatment directly beneficial for the single patient but also for the characterization of the biological effectiveness in general with advantages for all future treatment. Traditional and innovative tools are currently under study and an outlook of present experience and future development is presented here.

  2. A singly charged ion source for radioactive {sup 11}C ion acceleration

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Katagiri, K.; Noda, A.; Nagatsu, K.

    2016-02-15

    A new singly charged ion source using electron impact ionization has been developed to realize an isotope separation on-line system for simultaneous positron emission tomography imaging and heavy-ion cancer therapy using radioactive {sup 11}C ion beams. Low-energy electron beams are used in the electron impact ion source to produce singly charged ions. Ionization efficiency was calculated in order to decide the geometric parameters of the ion source and to determine the required electron emission current for obtaining high ionization efficiency. Based on these considerations, the singly charged ion source was designed and fabricated. In testing, the fabricated ion source wasmore » found to have favorable performance as a singly charged ion source.« less

  3. Design study of primary ion provider for relativistic heavy ion collider electron beam ion source.

    PubMed

    Kondo, K; Kanesue, T; Tamura, J; Okamura, M

    2010-02-01

    Brookhaven National Laboratory has developed the new preinjector system, electron beam ion source (EBIS) for relativistic heavy ion collider (RHIC) and National Aeronautics and Space Administration Space Radiation Laboratory. Design of primary ion provider is an essential problem since it is required to supply beams with different ion species to multiple users simultaneously. The laser ion source with a defocused laser can provide a low charge state and low emittance ion beam, and is a candidate for the primary ion source for RHIC-EBIS. We show a suitable design with appropriate drift length and solenoid, which helps to keep sufficient total charge number with longer pulse length. The whole design of primary ion source, as well as optics arrangement, solid targets configuration and heating about target, is presented.

  4. Boron ion beam generation utilizing lanthanum hexaboride cathodes: Comparison of vacuum arc and planar magnetron glow

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nikolaev, A. G.; Vizir, A. V.; Yushkov, G. Yu., E-mail: gyushkov@mail.ru

    Boron ion beams are widely used for semiconductor ion implantation and for surface modification for improving the operating parameters and increasing the lifetime of machine parts and tools. For the latter application, the purity requirements of boron ion beams are not as stringent as for semiconductor technology, and a composite cathode of lanthanum hexaboride may be suitable for the production of boron ions. We have explored the use of two different approaches to boron plasma production: vacuum arc and planar high power impulse magnetron in self-sputtering mode. For the arc discharge, the boron plasma is generated at cathode spots, whereasmore » for the magnetron discharge, the main process is sputtering of cathode material. We present here the results of comparative test experiments for both kinds of discharge, aimed at determining the optimal discharge parameters for maximum yield of boron ions. For both discharges, the extracted ion beam current reaches hundreds of milliamps and the fraction of boron ions in the total extracted ion beam is as high as 80%.« less

  5. Study of beam optics and beam halo by integrated modeling of negative ion beams from plasma meniscus formation to beam acceleration

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Miyamoto, K.; Okuda, S.; Hatayama, A.

    2013-01-14

    To understand the physical mechanism of the beam halo formation in negative ion beams, a two-dimensional particle-in-cell code for simulating the trajectories of negative ions created via surface production has been developed. The simulation code reproduces a beam halo observed in an actual negative ion beam. The negative ions extracted from the periphery of the plasma meniscus (an electro-static lens in a source plasma) are over-focused in the extractor due to large curvature of the meniscus.

  6. Fabrication of a negative PMMA master mold for soft-lithography by MeV ion beam lithography

    NASA Astrophysics Data System (ADS)

    Puttaraksa, Nitipon; Unai, Somrit; Rhodes, Michael W.; Singkarat, Kanda; Whitlow, Harry J.; Singkarat, Somsorn

    2012-02-01

    In this study, poly(methyl methacrylate) (PMMA) was investigated as a negative resist by irradiation with a high-fluence 2 MeV proton beam. The beam from a 1.7 MV Tandetron accelerator at the Plasma and Beam Physics Research Facility (PBP) of Chiang Mai University is shaped by a pair of computer-controlled L-shaped apertures which are used to expose rectangular pattern elements with 1-1000 μm side length. Repeated exposure of rectangular pattern elements allows a complex pattern to be built up. After subsequent development, the negative PMMA microstructure was used as a master mold for casting poly(dimethylsiloxane) (PDMS) following a standard soft-lithography process. The PDMS chip fabricated by this technique was demonstrated to be a microfluidic device.

  7. Ion beam modification of biological materials in nanoscale

    NASA Astrophysics Data System (ADS)

    Yu, L. D.; Anuntalabhochai, S.

    2012-07-01

    Ion interaction with biological objects in nanoscale is a novel research area stemming from applications of low-energy ion beams in biotechnology and biomedicine. Although the ion beam applications in biotechnology and biomedicine have achieved great successes, many mechanisms remain unclear and many new applications are to be explored. We have carried out some research on exploring the mechanisms and new applications besides attaining ion beam induction of mutation breeding and gene transformation. In the studies on the mechanisms, we focused our investigations on the direct interaction in nanoscale between ions and biological living materials. Our research topics have included the low-energy ion range in DNA, low-energy ion or neutral beam bombardment effect on DNA topological form change and mutation, low-energy ion or neutral beam bombardment effect on the cell envelope and gene transformation, and molecular dynamics simulation of ultra-low-energy ion irradiation of DNA. In the exploration of new applications, we have started experiments on ion irradiation or bombardment, in the nanoscaled depth or area, of human cells for biomedical research. This paper introduces our experiments and reports interesting results.

  8. Production of N.sup.+ ions from a multicusp ion beam apparatus

    DOEpatents

    Leung, Ka-Ngo; Kunkel, Wulf B.; Walther, Steven R.

    1993-01-01

    A method of generating a high purity (at least 98%) N.sup.+ ion beam using a multicusp ion source (10) having a chamber (11) formed by a cylindrical chamber wall (12) surrounded by a plurality of magnets (13), a filament (57) centrally disposed in said chamber, a plasma electrode (36) having an extraction orifice (41) at one end of the chamber, a magnetic filter having two parallel magnets (21, 22) spaced from said plasma electrode (36) and dividing the chamber (11) into arc discharge and extraction regions. The method includes ionizing nitrogen gas in the arc discharge region of the chamber (11), maintaining the chamber wall (12) at a positive voltage relative to the filament (57) and at a magnitude for an optimum percentage of N.sup.+ ions in the extracted ion beams, disposing a hot liner (45) within the chamber and near the chamber wall (12) to limit recombination of N.sup.+ ions into the N.sub.2.sup.+ ions, spacing the magnets (21, 22) of the magnetic filter from each other for optimum percentage of N.sup.3 ions in the extracted ion beams, and maintaining a relatively low pressure downstream of the extraction orifice and of a magnitude (preferably within the range of 3-8.times.10.sup.-4 torr) for an optimum percentage of N.sup.+ ions in the extracted ion beam.

  9. Subsurface examination of a foliar biofilm using scanning electron- and focused-ion-beam microscopy

    USDA-ARS?s Scientific Manuscript database

    The dual beam scanning electron microscope, equipped with both a focused ion- and scanning electron- beam (FIB SEM) is a novel tool for the exploration of the subsurface structure of biological tissues. The FIB is capable of removing small cross sections to view the subsurface features and may be s...

  10. Ion-beam assisted laser printing of porous nanorings

    NASA Astrophysics Data System (ADS)

    Syubaev, S.; Kuchmizhak, A.; Nepomnyashchiy, A.

    2017-09-01

    Pulsed-laser fabrication of noble-metal nanorings with a tunable internal porous structure, which can be further uncapped by using an ion-beam etching procedure, was demonstrated for the first time. Density and average size of the pores were shown to be tuned in a wide range by varying an applied pulse energy and a chemical composition of the metal film controlled via the film magnetron deposition in the appropriate gaseous environment. According to our preliminary numerical simulations, the controlled porosity provides multifold near-field enhancement of the electromagnetic fields, making such structures promising for spectroscopic bioidentification based on a surface-enhanced Raman scattering.

  11. High sensitivity charge amplifier for ion beam uniformity monitor

    DOEpatents

    Johnson, Gary W.

    2001-01-01

    An ion beam uniformity monitor for very low beam currents using a high-sensitivity charge amplifier with bias compensation. The ion beam monitor is used to assess the uniformity of a raster-scanned ion beam, such as used in an ion implanter, and utilizes four Faraday cups placed in the geometric corners of the target area. Current from each cup is integrated with respect to time, thus measuring accumulated dose, or charge, in Coulombs. By comparing the dose at each corner, a qualitative assessment of ion beam uniformity is made possible. With knowledge of the relative area of the Faraday cups, the ion flux and areal dose can also be obtained.

  12. An ion source module for the Beijing Radioactive Ion-beam Facility

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cui, B., E-mail: cui@ciae.ac.cn; Huang, Q.; Tang, B.

    2014-02-15

    An ion source module is developed for Beijing Radioactive Ion-beam Facility. The ion source module is designed to meet the requirements of remote handling. The connection and disconnection of the electricity, cooling and vacuum between the module and peripheral units can be executed without on-site manual work. The primary test of the target ion source has been carried out and a Li{sup +} beam has been extracted. Details of the ion source module and its primary test results are described.

  13. Direct nano-patterning of graphene with helium ion beams

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Naitou, Y., E-mail: yu-naitou@aist.go.jp; Iijima, T.; Ogawa, S.

    2015-01-19

    Helium ion microscopy (HIM) was used for direct nano-patterning of single-layer graphene (SLG) on SiO{sub 2}/Si substrates. This technique involves irradiation of the sample with accelerated helium ions (He{sup +}). Doses of 2.0 × 10{sup 16 }He{sup + }cm{sup −2} from a 30 kV beam induced a metal-insulator transition in the SLG. The resolution of HIM patterning on SLG was investigated by fabricating nanoribbons and nanostructures. Analysis of scanning capacitance microscopy measurements revealed that the spatial resolution of HIM patterning depended on the dosage of He{sup +} in a non-monotonic fashion. Increasing the dose from 2.0 × 10{sup 16} to 5.0 × 10{sup 16 }He{sup + }cm{sup −2} improved the spatialmore » resolution to several tens of nanometers. However, doses greater than 1.0 × 10{sup 17 }He{sup + }cm{sup −2} degraded the patterning characteristics. Direct patterning using HIM is a versatile approach to graphene fabrication and can be applied to graphene-based devices.« less

  14. First storage of ion beams in the Double Electrostatic Ion-Ring Experiment: DESIREE.

    PubMed

    Schmidt, H T; Thomas, R D; Gatchell, M; Rosén, S; Reinhed, P; Löfgren, P; Brännholm, L; Blom, M; Björkhage, M; Bäckström, E; Alexander, J D; Leontein, S; Hanstorp, D; Zettergren, H; Liljeby, L; Källberg, A; Simonsson, A; Hellberg, F; Mannervik, S; Larsson, M; Geppert, W D; Rensfelt, K G; Danared, H; Paál, A; Masuda, M; Halldén, P; Andler, G; Stockett, M H; Chen, T; Källersjö, G; Weimer, J; Hansen, K; Hartman, H; Cederquist, H

    2013-05-01

    We report on the first storage of ion beams in the Double ElectroStatic Ion Ring ExpEriment, DESIREE, at Stockholm University. We have produced beams of atomic carbon anions and small carbon anion molecules (C(n)(-), n = 1, 2, 3, 4) in a sputter ion source. The ion beams were accelerated to 10 keV kinetic energy and stored in an electrostatic ion storage ring enclosed in a vacuum chamber at 13 K. For 10 keV C2 (-) molecular anions we measure the residual-gas limited beam storage lifetime to be 448 s ± 18 s with two independent detector systems. Using the measured storage lifetimes we estimate that the residual gas pressure is in the 10(-14) mbar range. When high current ion beams are injected, the number of stored particles does not follow a single exponential decay law as would be expected for stored particles lost solely due to electron detachment in collision with the residual-gas. Instead, we observe a faster initial decay rate, which we ascribe to the effect of the space charge of the ion beam on the storage capacity.

  15. University of Wisconsin Ion Beam Laboratory: A facility for irradiated materials and ion beam analysis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Field, K. G.; Wetteland, C. J.; Cao, G.

    2013-04-19

    The University of Wisconsin Ion Beam Laboratory (UW-IBL) has recently undergone significant infrastructure upgrades to facilitate graduate level research in irradiated materials phenomena and ion beam analysis. A National Electrostatics Corp. (NEC) Torodial Volume Ion Source (TORVIS), the keystone upgrade for the facility, can produce currents of hydrogen ions and helium ions up to {approx}200 {mu}A and {approx}5 {mu}A, respectively. Recent upgrades also include RBS analysis packages, end station developments for irradiation of relevant material systems, and the development of an in-house touch screen based graphical user interface for ion beam monitoring. Key research facilitated by these upgrades includes irradiationmore » of nuclear fuels, studies of interfacial phenomena under irradiation, and clustering dynamics of irradiated oxide dispersion strengthened steels. The UW-IBL has also partnered with the Advanced Test Reactor National Scientific User Facility (ATR-NSUF) to provide access to the irradiation facilities housed at the UW-IBL as well as access to post irradiation facilities housed at the UW Characterization Laboratory for Irradiated Materials (CLIM) and other ATR-NSUF partner facilities. Partnering allows for rapid turnaround from proposed research to finalized results through the ATR-NSUF rapid turnaround proposal system. An overview of the UW-IBL including CLIM and relevant research is summarized.« less

  16. Resolution Improvement and Pattern Generator Development for theMaskless Micro-Ion-Beam Reduction Lithography System

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jiang, Ximan

    The shrinking of IC devices has followed the Moore's Law for over three decades, which states that the density of transistors on integrated circuits will double about every two years. This great achievement is obtained via continuous advance in lithography technology. With the adoption of complicated resolution enhancement technologies, such as the phase shifting mask (PSM), the optical proximity correction (OPC), optical lithography with wavelength of 193 nm has enabled 45 nm printing by immersion method. However, this achievement comes together with the skyrocketing cost of masks, which makes the production of low volume application-specific IC (ASIC) impractical. In ordermore » to provide an economical lithography approach for low to medium volume advanced IC fabrication, a maskless ion beam lithography method, called Maskless Micro-ion-beam Reduction Lithography (MMRL), has been developed in the Lawrence Berkeley National Laboratory. The development of the prototype MMRL system has been described by Dr. Vinh Van Ngo in his Ph.D. thesis. But the resolution realized on the prototype MMRL system was far from the design expectation. In order to improve the resolution of the MMRL system, the ion optical system has been investigated. By integrating a field-free limiting aperture into the optical column, reducing the electromagnetic interference and cleaning the RF plasma, the resolution has been improved to around 50 nm. Computational analysis indicates that the MMRL system can be operated with an exposure field size of 0.25 mm and a beam half angle of 1.0 mrad on the wafer plane. Ion-ion interactions have been studied with a two-particle physics model. The results are in excellent agreement with those published by the other research groups. The charge-interaction analysis of MMRL shows that the ion-ion interactions must be reduced in order to obtain a throughput higher than 10 wafers per hour on 300-mm wafers. In addition, two different maskless lithography

  17. Research Update: Focused ion beam direct writing of magnetic patterns with controlled structural and magnetic properties

    NASA Astrophysics Data System (ADS)

    Urbánek, Michal; Flajšman, Lukáš; Křižáková, Viola; Gloss, Jonáš; Horký, Michal; Schmid, Michael; Varga, Peter

    2018-06-01

    Focused ion beam irradiation of metastable Fe78Ni22 thin films grown on Cu(100) substrates is used to create ferromagnetic, body-centered cubic patterns embedded into paramagnetic, face-centered-cubic surrounding. The structural and magnetic phase transformation can be controlled by varying parameters of the transforming gallium ion beam. The focused ion beam parameters such as the ion dose, number of scans, and scanning direction can be used not only to control a degree of transformation but also to change the otherwise four-fold in-plane magnetic anisotropy into the uniaxial anisotropy along a specific crystallographic direction. This change is associated with a preferred growth of specific crystallographic domains. The possibility to create magnetic patterns with continuous magnetization transitions and at the same time to create patterns with periodical changes in magnetic anisotropy makes this system an ideal candidate for rapid prototyping of a large variety of nanostructured samples. Namely, spin-wave waveguides and magnonic crystals can be easily combined into complex devices in a single fabrication step.

  18. Sub-nanometer milling of layered materials by a focused Helium Ion Beam

    NASA Astrophysics Data System (ADS)

    Zhang, Hongzhou; Fox, Daniel; Zhou, Yangbo; O'Connell, Robert

    2014-03-01

    The modification of the structure and geometry of materials at the nanoscale can be used to tailor their properties. A controllable process which can achieve this is required for the development of next generation nano-devices. We used the highly focused beam of helium ions in a helium ion microscope (HIM) to fabricate nanostructures within various layered materials such as graphene, MoS2, TiO2 and Mn2O3. Arbitrary patterns can be defined in order to produce structures such as nanoribbons. The edge configuration of atoms in such structures plays a large role in defining their properties. High resolution transmission electron microscopy (TEM) and scanning-TEM (STEM) were used to analyse the structure of the materials after milling. The direct milling of the materials by the helium ions means this approach is suitable for a wide range of nanomaterials. Complex structures can be realized via sophisticated beam control. This also results in the ability to mill along different directions in a crystal, producing edges with different configurations.

  19. Plasma focus ion beam-scaling laws

    NASA Astrophysics Data System (ADS)

    Saw, S. H.

    2014-08-01

    Measurements on plasma focus ion beams include various advanced techniques producing a variety of data which has yet to produce benchmark numbers. Recent numerical experiments using an extended version of the Lee Code has produced reference numbers and scaling trends for number and energy fluence of deuteron beams as functions of stored energy E0. At the pinch exit the ion number fluence (ions m-2) and energy fluence (J m-2) computed as 2.4-7.8×1020 and 2.2-33×106 respectively were found to be independent of E0 from 0.4 - 486 kJ. This work was extended to the ion beams for various gases. The results show that, for a given plasma focus, the fluence, flux, ion number and ion current decrease from the lightest to the heaviest gas except for trend-breaking higher values for Ar fluence and flux. The energy fluence, energy flux, power flow and damage factors are relatively constant from H2 to N2 but increase for Ne, Ar, Kr and Xe due to radiative cooling and collapse effects. This paper reviews this work and in a concluding section attempts to put the accumulating large amounts of data into the form of a scaling law of beam energy Ebeam versus storage energy E0 taking the form for deuteron as: {Ebeam} = 18.2{E}01.23; where Ebeam is in J and E0 is in kJ. It is hoped that the establishment of such scaling laws places on a firm footing the reference quantitative ideas for plasma focus ion beams.

  20. Nanopore fabrication and characterization by helium ion microscopy

    NASA Astrophysics Data System (ADS)

    Emmrich, D.; Beyer, A.; Nadzeyka, A.; Bauerdick, S.; Meyer, J. C.; Kotakoski, J.; Gölzhäuser, A.

    2016-04-01

    The Helium Ion Microscope (HIM) has the capability to image small features with a resolution down to 0.35 nm due to its highly focused gas field ionization source and its small beam-sample interaction volume. In this work, the focused helium ion beam of a HIM is utilized to create nanopores with diameters down to 1.3 nm. It will be demonstrated that nanopores can be milled into silicon nitride, carbon nanomembranes, and graphene with well-defined aspect ratio. To image and characterize the produced nanopores, helium ion microscopy and high resolution scanning transmission electron microscopy were used. The analysis of the nanopores' growth behavior allows inferring on the profile of the helium ion beam.

  1. ITEP MEVVA ion beam for rhenium silicide production.

    PubMed

    Kulevoy, T; Gerasimenko, N; Seleznev, D; Kropachev, G; Kozlov, A; Kuibeda, R; Yakushin, P; Petrenko, S; Medetov, N; Zaporozhan, O

    2010-02-01

    The rhenium silicides are very attractive materials for semiconductor industry. In the Institute for Theoretical and Experimental Physics (ITEP) at the ion source test bench the research program of rhenium silicide production by ion beam implantation are going on. The investigation of silicon wafer after implantation of rhenium ion beam with different energy and with different total dose were carried out by secondary ions mass spectrometry, energy-dispersive x-ray microanalysis, and x-ray diffraction analysis. The first promising results of rhenium silicide film production by high intensity ion beam implantation are presented.

  2. Helium ion beam imaging for image guided ion radiotherapy.

    PubMed

    Martišíková, M; Gehrke, T; Berke, S; Aricò, G; Jäkel, O

    2018-06-14

    Ion beam radiotherapy provides potential for increased dose conformation to the target volume. To translate it into a clinical advantage, it is necessary to guarantee a precise alignment of the actual internal patient geometry with the treatment beam. This is in particular challenging for inter- and intrafractional variations, including movement. Ion beams have the potential for a high sensitivity imaging of the patient geometry. However, the research on suitable imaging methods is not conclusive yet. Here we summarize the research activities within the "Clinical research group heavy ion therapy" funded by the DFG (KFO214). Our aim was to develop a method for the visualization of a 1 mm thickness difference with a spatial resolution of about 1 mm at clinically applicable doses. We designed and built a dedicated system prototype for ion radiography using exclusively the pixelated semiconductor technology Timepix developed at CERN. Helium ions were chosen as imaging radiation due to their decreased scattering in comparison to protons, and lower damaging potential compared to carbon ions. The data acquisition procedure and a dedicated information processing algorithm were established. The performance of the method was evaluated at the ion beam therapy facility HIT in Germany with geometrical phantoms. The quality of the images was quantified by contrast-to-noise ratio (CNR) and spatial resolution (SR) considering the imaging dose. Using the unique method for single ion identification, degradation of the images due to the inherent contamination of the outgoing beam with light secondary fragments (hydrogen) was avoided. We demonstrated experimentally that the developed data processing increases the CNR by 350%. Consideration of the measured ion track directions improved the SR by 150%. Compared to proton radiographs at the same dose, helium radiographs exhibited 50% higher SR (0.56 ± 0.04lp/mm vs. 0.37 ± 0.02lp/mm) at a comparable CNR in the middle of the

  3. Mechanisms of material removal and mass transport in focused ion beam nanopore formation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Das, Kallol, E-mail: das7@illinois.edu; Johnson, Harley T., E-mail: htj@illinois.edu; Freund, Jonathan B., E-mail: jbfreund@illinois.edu

    2015-02-28

    Despite the widespread use of focused ion beam (FIB) processing as a material removal method for applications ranging from electron microscope sample preparation to nanopore processing for DNA sequencing, the basic material removal mechanisms of FIB processing are not well understood. We present the first complete atomistic simulation of high-flux FIB using large-scale parallel molecular dynamics (MD) simulations of nanopore fabrication in freestanding thin films. We focus on the root mechanisms of material removal and rearrangement and describe the role of explosive boiling in forming nanopores. FIB nanopore fabrication is typically understood to occur via sputter erosion. This can bemore » shown to be the case in low flux systems, where individual ion impacts are sufficiently separated in time that they may be considered as independent events. But our detailed MD simulations show that in high flux FIB processing, above a threshold level at which thermal effects become significant, the primary mechanism of material removal changes to a significantly accelerated, thermally dominated process. Under these conditions, the target is heated by the ion beam faster than heat is conducted away by the material, leading quickly to melting, and then continued heating to nearly the material critical temperature. This leads to explosive boiling of the target material with spontaneous bubble formation and coalescence. Mass is rapidly rearranged at the atomistic scale, and material removal occurs orders of magnitude faster than would occur by simple sputtering. While the phenomenology is demonstrated computationally in silicon, it can be expected to occur at lower beam fluxes in other cases where thermal conduction is suppressed due to material properties, geometry, or ambient thermal conditions.« less

  4. Ion beam generating apparatus

    DOEpatents

    Brown, I.G.; Galvin, J.

    1987-12-22

    An ion generating apparatus utilizing a vacuum chamber, a cathode and an anode in the chamber. A source of electrical power produces an arc or discharge between the cathode and anode. The arc is sufficient to vaporize a portion of the cathode to form a plasma. The plasma is directed to an extractor which separates the electrons from the plasma, and accelerates the ions to produce an ion beam. 10 figs.

  5. Ion-beam nitriding of steels

    NASA Technical Reports Server (NTRS)

    Salik, J.

    1984-01-01

    The application of the ion beam technique to the nitriding of steels is described. It is indicated that the technique can be successfully applied to nitriding. Some of the structural changes obtained by this technique are similar to those obtained by ion nitriding. The main difference is the absence of the iron nitride diffraction lines. It is found that the dependence of the resultant microhardness on beam voltage for super nitralloy is different from that of 304 stainless steel.

  6. Variable-spot ion beam figuring

    NASA Astrophysics Data System (ADS)

    Wu, Lixiang; Qiu, Keqiang; Fu, Shaojun

    2016-03-01

    This paper introduces a new scheme of ion beam figuring (IBF), or rather variable-spot IBF, which is conducted at a constant scanning velocity with variable-spot ion beam collimated by a variable diaphragm. It aims at improving the reachability and adaptation of the figuring process within the limits of machine dynamics by varying the ion beam spot size instead of the scanning velocity. In contrast to the dwell time algorithm in the conventional IBF, the variable-spot IBF adopts a new algorithm, which consists of the scan path programming and the trajectory optimization using pattern search. In this algorithm, instead of the dwell time, a new concept, integral etching time, is proposed to interpret the process of variable-spot IBF. We conducted simulations to verify its feasibility and practicality. The simulation results indicate the variable-spot IBF is a promising alternative to the conventional approach.

  7. Programmable growth of branched silicon nanowires using a focused ion beam.

    PubMed

    Jun, Kimin; Jacobson, Joseph M

    2010-08-11

    Although significant progress has been made in being able to spatially define the position of material layers in vapor-liquid-solid (VLS) grown nanowires, less work has been carried out in deterministically defining the positions of nanowire branching points to facilitate more complicated structures beyond simple 1D wires. Work to date has focused on the growth of randomly branched nanowire structures. Here we develop a means for programmably designating nanowire branching points by means of focused ion beam-defined VLS catalytic points. This technique is repeatable without losing fidelity allowing multiple rounds of branching point definition followed by branch growth resulting in complex structures. The single crystal nature of this approach allows us to describe resulting structures with linear combinations of base vectors in three-dimensional (3D) space. Finally, by etching the resulting 3D defined wire structures branched nanotubes were fabricated with interconnected nanochannels inside. We believe that the techniques developed here should comprise a useful tool for extending linear VLS nanowire growth to generalized 3D wire structures.

  8. Ion-optical studies for a range adaptation method in ion beam therapy using a static wedge degrader combined with magnetic beam deflection.

    PubMed

    Chaudhri, Naved; Saito, Nami; Bert, Christoph; Franczak, Bernhard; Steidl, Peter; Durante, Marco; Rietzel, Eike; Schardt, Dieter

    2010-06-21

    Fast radiological range adaptation of the ion beam is essential when target motion is mitigated by beam tracking using scanned ion beams for dose delivery. Electromagnetically controlled deflection of a well-focused ion beam on a small static wedge degrader positioned between two dipole magnets, inside the beam delivery system, has been considered as a fast range adaptation method. The principle of the range adaptation method was tested in experiments and Monte Carlo simulations for the therapy beam line at the GSI Helmholtz Centre for Heavy Ions Research. Based on the simulations, ion optical settings of beam deflection and realignment of the adapted beam were experimentally applied to the beam line, and additional tuning was manually performed. Different degrader shapes were employed for the energy adaptation. Measured and simulated beam profiles, i.e. lateral distribution and range in water at isocentre, were analysed and compared with the therapy beam values for beam scanning. Deflected beam positions of up to +/-28 mm on degrader were performed which resulted in a range adaptation of up to +/-15 mm water equivalence (WE). The maximum deviation between the measured adapted range from the nominal range adaptation was below 0.4 mm WE. In experiments, the width of the adapted beam at the isocentre was adjustable between 5 and 11 mm full width at half maximum. The results demonstrate the feasibility/proof of the proposed range adaptation method for beam tracking from the beam quality point of view.

  9. Ion beam collimating grid to reduce added defects

    DOEpatents

    Lindquist, Walter B.; Kearney, Patrick A.

    2003-01-01

    A collimating grid for an ion source located after the exit grid. The collimating grid collimates the ion beamlets and disallows beam spread and limits the beam divergence during transients and steady state operation. The additional exit or collimating grid prevents beam divergence during turn-on and turn-off and prevents ions from hitting the periphery of the target where there is re-deposited material or from missing the target and hitting the wall of the vessel where there is deposited material, thereby preventing defects from being deposited on a substrate to be coated. Thus, the addition of a collimating grid to an ion source ensures that the ion beam will hit and be confined to a specific target area.

  10. Helium ion microscopy of graphene: beam damage, image quality and edge contrast

    NASA Astrophysics Data System (ADS)

    Fox, D.; Zhou, Y. B.; O'Neill, A.; Kumar, S.; Wang, J. J.; Coleman, J. N.; Duesberg, G. S.; Donegan, J. F.; Zhang, H. Z.

    2013-08-01

    A study to analyse beam damage, image quality and edge contrast in the helium ion microscope (HIM) has been undertaken. The sample investigated was graphene. Raman spectroscopy was used to quantify the disorder that can be introduced into the graphene as a function of helium ion dose. The effects of the dose on both freestanding and supported graphene were compared. These doses were then correlated directly to image quality by imaging graphene flakes at high magnification. It was found that a high magnification image with a good signal to noise ratio will introduce very significant sample damage. A safe imaging dose of the order of 1013 He+ cm-2 was established, with both graphene samples becoming highly defective at doses over 5 × 1014 He+ cm-2. The edge contrast of a freestanding graphene flake imaged in the HIM was then compared with the contrast of the same flake observed in a scanning electron microscope and a transmission electron microscope. Very strong edge sensitivity was observed in the HIM. This enhanced edge sensitivity over the other techniques investigated makes the HIM a powerful nanoscale dimensional metrology tool, with the capability of both fabricating and imaging features with sub-nanometre resolution.

  11. Ion beam driven ion-acoustic waves in a plasma cylinder with negative ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sharma, Suresh C.; Gahlot, Ajay

    2008-07-15

    An ion beam propagating through a magnetized plasma cylinder containing K{sup +} positive ions, electrons, and SF{sub 6}{sup -} negative ions drives electrostatic ion-acoustic (IA) waves to instability via Cerenkov interaction. Two electrostatic IA wave modes in presence of K{sup +} and SF{sub 6}{sup -} ions are studied. The phase velocity of the sound wave in presence of positive and negative ions increase with the relative density of negative ions. The unstable wave frequencies and the growth rate of both the modes in presence of positive and negative ions increase with the relative density of negative ions. The growth ratemore » of both the unstable modes in presence of SF{sub 6}{sup -} and K{sup +} ions scales as the one-third power of the beam density. Numerical calculations of the phase velocity, growth rate, and mode frequencies have been carried out for the parameters of the experiment of Song et al. [Phys. Fluids B 3, 284 (1991)].« less

  12. 4D ML reconstruction as a tool for volumetric PET-based treatment verification in ion beam radiotherapy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    De Bernardi, E., E-mail: elisabetta.debernardi@unimib.it; Ricotti, R.; Riboldi, M.

    2016-02-15

    Purpose: An innovative strategy to improve the sensitivity of positron emission tomography (PET)-based treatment verification in ion beam radiotherapy is proposed. Methods: Low counting statistics PET images acquired during or shortly after the treatment (Measured PET) and a Monte Carlo estimate of the same PET images derived from the treatment plan (Expected PET) are considered as two frames of a 4D dataset. A 4D maximum likelihood reconstruction strategy was adapted to iteratively estimate the annihilation events distribution in a reference frame and the deformation motion fields that map it in the Expected PET and Measured PET frames. The outputs generatedmore » by the proposed strategy are as follows: (1) an estimate of the Measured PET with an image quality comparable to the Expected PET and (2) an estimate of the motion field mapping Expected PET to Measured PET. The details of the algorithm are presented and the strategy is preliminarily tested on analytically simulated datasets. Results: The algorithm demonstrates (1) robustness against noise, even in the worst conditions where 1.5 × 10{sup 4} true coincidences and a random fraction of 73% are simulated; (2) a proper sensitivity to different kind and grade of mismatches ranging between 1 and 10 mm; (3) robustness against bias due to incorrect washout modeling in the Monte Carlo simulation up to 1/3 of the original signal amplitude; and (4) an ability to describe the mismatch even in presence of complex annihilation distributions such as those induced by two perpendicular superimposed ion fields. Conclusions: The promising results obtained in this work suggest the applicability of the method as a quantification tool for PET-based treatment verification in ion beam radiotherapy. An extensive assessment of the proposed strategy on real treatment verification data is planned.« less

  13. Storage-ring Electron Cooler for Relativistic Ion Beams

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lin, Fanglei; Derbenev, Yaroslav; Douglas, David R.

    Application of electron cooling at ion energies above a few GeV has been limited due to reduction of electron cooling efficiency with energy and difficulty in producing and accelerating a high-current high-quality electron beam. A high-current storage-ring electron cooler offers a solution to both of these problems by maintaining high cooling beam quality through naturally-occurring synchrotron radiation damping of the electron beam. However, the range of ion energies where storage-ring electron cooling can be used has been limited by low electron beam damping rates at low ion energies and high equilibrium electron energy spread at high ion energies. This papermore » reports a development of a storage ring based cooler consisting of two sections with significantly different energies: the cooling and damping sections. The electron energy and other parameters in the cooling section are adjusted for optimum cooling of a stored ion beam. The beam parameters in the damping section are adjusted for optimum damping of the electron beam. The necessary energy difference is provided by an energy recovering SRF structure. A prototype linear optics of such storage-ring cooler is presented.« less

  14. Collective acceleration of ions in picosecond pinched electron beams

    NASA Astrophysics Data System (ADS)

    Baryshnikov, V. I.; Paperny, V. L.; Shipayev, I. V.

    2017-10-01

    Сharacteristics of intense electron-ion beams emitted by a high-voltage (280 kV) electron accelerator with a pulse duration of 200 ps and current 5 kA are studied. The capture phenomena and the subsequent collective acceleration of multi charged ions of the cathode material by the electric field of the electron beam are observed. It is shown that the electron-ion beam diameter does not exceed 30 µm therein in the case of lighter ions, and the decay of the pinched beam occurs at a shorter distance from the cathode. It is established that the ions of the cathode material Tin+ captured by the electron beam are accelerated up to an energy of  ⩽10 MeV, and the ion fluence reaches 1017 ion cm-2 in the pulse. These ions are effectively embedded into the lattice sites of the irradiated substrate (sapphire crystal), forming the luminescent areas of the micron scale.

  15. Rectangular beam (5 X 40 cm multipole ion source). M.S. Thesis - Nov. 1979; [applications to electron bombardment in materials processing

    NASA Technical Reports Server (NTRS)

    Haynes, C. M.

    1980-01-01

    A 5 x 40 cm rectangular-beam ion source was designed and fabricated. A multipole field configuration was used to facilitate design of the modular rectangular chamber, while a three-grid ion optics system was used for increased ion current densities. For the multipole chamber, a magnetic integral of 0.000056 Tesla-m was used to contain the primary electrons. This integral value was reduced from the initial design value, with the reduction found necessary for discharge stability. The final value of magnetic integral resulted in discharge losses at typical operating conditions which ranged from 600 to 1000 eV/ion, in good agreement with the design value of 800 eV/ion. The beam current density at the ion optics was limited to about 3.2 mA/sq cm at 500 eV and to about 3.5 mA/sq cm at 1000 ev. The effects of nonuniform ion current, dimension tolerance, and grid thermal warping were considered. The use of multiple rectangular-beam ion sources to process wider areas than would be possible with a single source (approx. 40 cm) was also studied. Beam profiles were surveyed at a variety of operating conditions and the results of various amounts of beam overlap calculated.

  16. Ion traps fabricated in a CMOS foundry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mehta, K. K.; Ram, R. J.; Eltony, A. M.

    2014-07-28

    We demonstrate trapping in a surface-electrode ion trap fabricated in a 90-nm CMOS (complementary metal-oxide-semiconductor) foundry process utilizing the top metal layer of the process for the trap electrodes. The process includes doped active regions and metal interconnect layers, allowing for co-fabrication of standard CMOS circuitry as well as devices for optical control and measurement. With one of the interconnect layers defining a ground plane between the trap electrode layer and the p-type doped silicon substrate, ion loading is robust and trapping is stable. We measure a motional heating rate comparable to those seen in surface-electrode traps of similar size.more » This demonstration of scalable quantum computing hardware utilizing a commercial CMOS process opens the door to integration and co-fabrication of electronics and photonics for large-scale quantum processing in trapped-ion arrays.« less

  17. Implementation of depolarization due to beam-beam effects in the beam-beam interaction simulation tool GUINEA-PIG++

    NASA Astrophysics Data System (ADS)

    Rimbault, C.; Le Meur, G.; Blampuy, F.; Bambade, P.; Schulte, D.

    2009-12-01

    Depolarization is a new feature in the beam-beam simulation tool GUINEA-PIG++ (GP++). The results of this simulation are studied and compared with another beam-beam simulation tool, CAIN, considering different beam parameters for the International Linear Collider (ILC) with a centre-of-mass energy of 500 GeV.

  18. Drag of ballistic electrons by an ion beam

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gurevich, V. L.; Muradov, M. I., E-mail: mag.muradov@mail.ioffe.ru

    2015-12-15

    Drag of electrons of a one-dimensional ballistic nanowire by a nearby one-dimensional beam of ions is considered. We assume that the ion beam is represented by an ensemble of heavy ions of the same velocity V. The ratio of the drag current to the primary current carried by the ion beam is calculated. The drag current turns out to be a nonmonotonic function of velocity V. It has a sharp maximum for V near v{sub nF}/2, where n is the number of the uppermost electron miniband (channel) taking part in conduction and v{sub nF} is the corresponding Fermi velocity. Thismore » means that the phenomenon of ion beam drag can be used for investigation of the electron spectra of ballistic nanostructures. We note that whereas observation of the Coulomb drag between two parallel quantum wires may in general be complicated by phenomena such as tunneling and phonon drag, the Coulomb drag of electrons of a one-dimensional ballistic nanowire by an ion beam is free of such spurious effects.« less

  19. Biological effects of mixed-ion beams. Part 1: Effect of irradiation of the CHO-K1 cells with a mixed-ion beam containing the carbon and oxygen ions.

    PubMed

    Czub, Joanna; Banaś, Dariusz; Braziewicz, Janusz; Buraczewska, Iwona; Jaskóła, Marian; Kaźmierczak, Urszula; Korman, Andrzej; Lankoff, Anna; Lisowska, Halina; Szefliński, Zygmunt; Wojewódzka, Maria; Wójcik, Andrzej

    2018-05-30

    Carbon and oxygen ions were accelerated simultaneously to estimate the effect of irradiation of living cells with the two different ions. This mixed ion beam was used to irradiate the CHO-K1 cells, and a survival test was performed. The type of the effect of the mixed ion beam on the cells was determined with the isobologram method, whereby survival curves for irradiations with individual ion beams were also used. An additive effect of irradiation with the two ions was found. Copyright © 2018 Elsevier Ltd. All rights reserved.

  20. A lithium niobate electro-optic tunable Bragg filter fabricated by electron beam lithography

    NASA Astrophysics Data System (ADS)

    Pierno, L.; Dispenza, M.; Secchi, A.; Fiorello, A.; Foglietti, V.

    2008-06-01

    We have designed and fabricated a lithium niobate tunable Bragg filter patterned by electron beam lithography and etched by reactive ion etching. Devices with 1 mm, 2 mm and 4 mm length and 360 and 1080 nm Bragg period, with 5 pm V-1 tuning efficiency, have been characterized. Some applications were identified. Optical simulation based on finite element model (FEM) software showing the optical filtering curve and the coupling factor dependence on the manufacturing parameter is reported. The tuning of the filter window position is electro-optically controlled.

  1. Charge breeding simulations for radioactive ion beam production

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Variale, V.; Raino, A. C.; Clauser, T.

    2012-02-15

    The charge breeding technique is used for radioactive ion beam (RIB) production in order of optimizing the re-acceleration of the radioactive element ions produced by a primary beam in a thick target. Charge breeding is achieved by means of a device capable of increasing the ion charge state from 1+ to a desired value n+. In order to get high intensity RIB, experiments with charge breeding of very high efficiency could be required. To reach this goal, the charge breeding simulation could help to optimize the high charge state production efficiency by finding more proper parameters for the radioactive 1+more » ions. In this paper a device based on an electron beam ion source (EBIS) is considered. In order to study that problem, a code already developed for studying the ion selective containment in an EBIS with RF quadrupoles, BRICTEST, has been modified to simulate the ion charge state breeding rate for different 1+ ion injection conditions. Particularly, the charge breeding simulations for an EBIS with a hollow electron beam have been studied.« less

  2. Generating High-Brightness Ion Beams for Inertial Confinement Fusion

    NASA Astrophysics Data System (ADS)

    Cuneo, M. E.

    1997-11-01

    The generation of high current density ion beams with applied-B ion diodes showed promise in the late-1980's as an efficient, rep-rate, focusable driver for inertial confinement fusion. These devices use several Tesla insulating magnetic fields to restrict electron motion across anode-cathode gaps of order 1-2 cm, while accelerating ions to generate ≈ 1 kA/cm^2, 5 - 15 MeV beams. These beams have been used to heat hohlraums to about 65 eV. However, meeting the ICF driver requirements for low-divergence and high-brightness lithium ion beams has been more technically challenging than initially thought. Experimental and theoretical work over the last 5 years shows that high-brightness beams meeting the requirements for inertial confinement fusion are possible. The production of these beams requires the simultaneous integration of at least four conditions: 1) rigorous vacuum cleaning techniques for control of undesired anode, cathode, ion source and limiter plasma formation from electrode contaminants to control impurity ions and impedance collapse; 2) carefully tailored insulating magnetic field geometry for uniform beam generation; 3) high magnetic fields (V_crit/V > 2) and other techniques to control the electron sheath and the onset of a high divergence electromagnetic instability that couples strongly to the ion beam; and 4) an active, pre-formed, uniform lithium plasma for low source divergence which is compatible with the above electron-sheath control techniques. These four conditions have never been simultaneously present in any lithium beam experiment, but simulations and experimental tests of individual conditions have been done. The integration of these conditions is a goal of the present ion beam generation program at Sandia. This talk will focus on the vacuum cleaning techniques for ion diodes and pulsed power devices in general, including experimental results obtained on the SABRE and PBFA-II accelerators over the last 3 years. The current status of

  3. Diffractive optics fabricated by direct write methods with an electron beam

    NASA Technical Reports Server (NTRS)

    Kress, Bernard; Zaleta, David; Daschner, Walter; Urquhart, Kris; Stein, Robert; Lee, Sing H.

    1993-01-01

    State-of-the-art diffractive optics are fabricated using e-beam lithography and dry etching techniques to achieve multilevel phase elements with very high diffraction efficiencies. One of the major challenges encountered in fabricating diffractive optics is the small feature size (e.g. for diffractive lenses with small f-number). It is not only the e-beam system which dictates the feature size limitations, but also the alignment systems (mask aligner) and the materials (e-beam and photo resists). In order to allow diffractive optics to be used in new optoelectronic systems, it is necessary not only to fabricate elements with small feature sizes but also to do so in an economical fashion. Since price of a multilevel diffractive optical element is closely related to the e-beam writing time and the number of etching steps, we need to decrease the writing time and etching steps without affecting the quality of the element. To do this one has to utilize the full potentials of the e-beam writing system. In this paper, we will present three diffractive optics fabrication techniques which will reduce the number of process steps, the writing time, and the overall fabrication time for multilevel phase diffractive optics.

  4. Integrated polarization beam splitter with relaxed fabrication tolerances.

    PubMed

    Pérez-Galacho, D; Halir, R; Ortega-Moñux, A; Alonso-Ramos, C; Zhang, R; Runge, P; Janiak, K; Bach, H-G; Steffan, A G; Molina-Fernández, Í

    2013-06-17

    Polarization handling is a key requirement for the next generation of photonic integrated circuits (PICs). Integrated polarization beam splitters (PBS) are central elements for polarization management, but their use in PICs is hindered by poor fabrication tolerances. In this work we present a fully passive, highly fabrication tolerant polarization beam splitter, based on an asymmetrical Mach-Zehnder interferometer (MZI) with a Si/SiO(2) Periodic Layer Structure (PLS) on top of one of its arms. By engineering the birefringence of the PLS we are able to design the MZI arms so that sensitivities to the most critical fabrication errors are greatly reduced. Our PBS design tolerates waveguide width variations of 400nm maintaining a polarization extinction ratio better than 13dB in the complete C-Band.

  5. Enhanced light trapping by focused ion beam (FIB) induced self-organized nanoripples on germanium (100) surface

    NASA Astrophysics Data System (ADS)

    Kamaliya, Bhaveshkumar; Mote, Rakesh G.; Aslam, Mohammed; Fu, Jing

    2018-03-01

    In this paper, we demonstrate enhanced light trapping by self-organized nanoripples on the germanium surface. The enhanced light trapping leading to high absorption of light is confirmed by the experimental studies as well as the numerical simulations using the finite-difference time-domain method. We used gallium ion (Ga+) focused ion beam to enable the formation of the self-organized nanoripples on the germanium (100) surface. During the fabrication, the overlap of the scanning beam is varied from zero to negative value and found to influence the orientation of the nanoripples. Evolution of nanostructures with the variation of beam overlap is investigated. Parallel, perpendicular, and randomly aligned nanoripples with respect to the scanning direction are obtained via manipulation of the scanning beam overlap. 95% broadband absorptance is measured in the visible electromagnetic region for the nanorippled germanium surface. The reported light absorption enhancement can significantly improve the efficiency of germanium-silicon based photovoltaic systems.

  6. Production of intensive negative lithium beam with caesium sputter-type ion source

    NASA Astrophysics Data System (ADS)

    Lobanov, Nikolai R.

    2018-01-01

    Compounds of lithium oxide, hydroxide and carbonate, mixed with silver, were prepared for use as a cathode in caesium-sputter ion source. The intention was to determine the procedure which would produce the highest intensity negative lithium beams over extended period and with maximum stability. The chemical composition and properties of the samples were analysed using mass-spectrometry, optical microscopy, Scanning Electron Microscopy (SEM), Energy Dispersive X-ray Analyses (EDX) and Raman spectroscopy. These analyses showed that the chemical transformations with components resulted from pressing, storage and bake out were qualitatively in agreement with expectations. Intensive negative lithium ion beams >1 μA were delivered using cathodes fabricated from materials with multicomponent chemical composition when the following conditions were met: (i) use of components with moderate enthalpy of formation; (ii) low moisture content at final stage of cathode production and (iii) small concentration of water molecules in hydrate phase in the cathode mixture.

  7. Cladding-like waveguide fabricated by cooperation of ultrafast laser writing and ion irradiation: characterization and laser generation.

    PubMed

    Lv, Jinman; Shang, Zhen; Tan, Yang; Vázquez de Aldana, Javier Rodríguez; Chen, Feng

    2017-08-07

    We report the surface cladding-like waveguide fabricated by the cooperation of the ultrafast laser writing and the ion irradiation. The ultrafast laser writes tracks near the surface of the Nd:YAG crystal, constructing a semi-circle columnar structure with a decreased refractive index of - 0.00208. Then, the Nd:YAG crystal is irradiated by the Carbon ion beam, forming an enhanced-well in the semi-circle columnar with an increased refractive index of + 0.0024. Tracks and the enhanced-well consisted a surface cladding-like waveguide. Utilizing this cladding-like waveguide as the gain medium for the waveguide lasing, optimized characterizations were observed compared with the monolayer waveguide. This work demonstrates the refractive index of the Nd:YAG crystal can be well tailored by the cooperation of the ultrafast laser writing and the ion irradiation, which provides an convenient way to fabricate the complex and multilayered photonics devices.

  8. Mutation breeding of ornamental plants using ion beams.

    PubMed

    Yamaguchi, Hiroyasu

    2018-01-01

    Ornamental plants that have a rich variety of flower colors and shapes are highly prized in the commercial flower market, and therefore, mutant cultivars that produce different types of flowers while retaining their growth habits are in demand. Furthermore, mutation breeding is well suited for ornamental plants because many species can be easily vegetatively propagated, facilitating the production of spontaneous and induced mutants. The use of ion beams in mutation breeding has rapidly expanded since the 1990s in Japan, with the prospect that more ion beam-specific mutants will be generated. There are currently four irradiation facilities in Japan that provide ion beam irradiation for plant materials. The development of mutant cultivars using ion beams has been attempted on many ornamental plants thus far, and some species have been used to investigate the process of mutagenesis. In addition, progress is being made in clarifying the genetic mechanism for expressing important traits, which will probably result in the development of more efficient mutation breeding methods for ornamental plants. This review not only provides examples of successful mutation breeding results using ion beams, but it also describes research on mutagenesis and compares results of ion beam and gamma ray breeding using ornamental plants.

  9. Mutation breeding of ornamental plants using ion beams

    PubMed Central

    Yamaguchi, Hiroyasu

    2018-01-01

    Ornamental plants that have a rich variety of flower colors and shapes are highly prized in the commercial flower market, and therefore, mutant cultivars that produce different types of flowers while retaining their growth habits are in demand. Furthermore, mutation breeding is well suited for ornamental plants because many species can be easily vegetatively propagated, facilitating the production of spontaneous and induced mutants. The use of ion beams in mutation breeding has rapidly expanded since the 1990s in Japan, with the prospect that more ion beam-specific mutants will be generated. There are currently four irradiation facilities in Japan that provide ion beam irradiation for plant materials. The development of mutant cultivars using ion beams has been attempted on many ornamental plants thus far, and some species have been used to investigate the process of mutagenesis. In addition, progress is being made in clarifying the genetic mechanism for expressing important traits, which will probably result in the development of more efficient mutation breeding methods for ornamental plants. This review not only provides examples of successful mutation breeding results using ion beams, but it also describes research on mutagenesis and compares results of ion beam and gamma ray breeding using ornamental plants. PMID:29681749

  10. Mass spectrometer and methods of increasing dispersion between ion beams

    DOEpatents

    Appelhans, Anthony D.; Olson, John E.; Delmore, James E.

    2006-01-10

    A mass spectrometer includes a magnetic sector configured to separate a plurality of ion beams, and an electrostatic sector configured to receive the plurality of ion beams from the magnetic sector and increase separation between the ion beams, the electrostatic sector being used as a dispersive element following magnetic separation of the plurality of ion beams. Other apparatus and methods are provided.

  11. BEARS: Radioactive ion beams at LBNL

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Powell, J.; Guo, F.Q.; Haustein, P.E.

    1998-07-01

    BEARS (Berkeley Experiments with Accelerated Radioactive Species) is an initiative to develop a radioactive ion-beam capability at Lawrence Berkeley National Laboratory. The aim is to produce isotopes at an existing medical cyclotron and to accelerate them at the 88 inch Cyclotron. To overcome the 300-meter physical separation of these two accelerators, a carrier-gas transport system will be used. At the terminus of the capillary, the carrier gas will be separated and the isotopes will be injected into the 88 inch Cyclotron`s Electron Cyclotron Resonance (ECR) ion source. The first radioactive beams to be developed will include 20-min {sup 11}C andmore » 70-sec {sup 14}O, produced by (p,n) and (p,{alpha}) reactions on low-Z targets. A test program is currently being conducted at the 88 inch Cyclotron to develop the parts of the BEARS system. Preliminary results of these tests lead to projections of initial {sup 11}C beams of up to 2.5 {times} 10{sup 7} ions/sec and {sup 14}O beams of 3 {times} 10{sup 5} ions/sec.« less

  12. Ion beam processing and characterization of advanced optical materials

    NASA Astrophysics Data System (ADS)

    Zhu, Jie

    Ion beams have been extensively applied for materials modification and characterization. In this dissertation, I will focus on the applications of ion beams for advanced optical materials. The first part of my work addresses the effects of 1.0 MeV proton irradiation on photoluminescence (PL) properties of self-assembled InAs QDs. Compared to the QDs grown in a GaAs thin film, the QDs embedded in an AlAs/GaAs superlattice structure exhibits much higher photoluminescence degradation resistance to proton irradiation. Proton irradiation combined with thermal annealing results in significant blueshifts in PL spectra of QDs embedded in GaAs, suggesting enhanced atomic intermixing in the QD systems due to point defects introduced by ion irradiation. In the second part of my work, ion channeling combined with Rutherford backscattering is applied to investigate In-Ga atomic intermixing processes in the proton irradiated InAs QD system. Ion channeling along the growth (<100>) direction shows evidence of In atoms with small displacement from the atomic row, which gives direct signature of QD lattice structures, allowing us to monitor atomic intermixing between In and Ga. Based on the channeling data, a model for In-Ga atomic intermixing in InAs/GaAs QD system is proposed, in which In-Ga atomic intermixing can take place along both the growth direction and the lateral direction in the QD layer. The third part of my dissertation is the elemental mapping of silica-based optical cross section using micron-ion-beam imaging techniques. This work is intended to examine the thermal stability of Ge-doped fiber cores in high-temperature environments. Our measurements show that Ge completely diffuses out of the core region following thermal annealing at 1000°C. This indicates that silica-based optical fibers cannot be used for applications at extreme high temperatures. The final part is the study of the effects of various wet treatment on GaN surface, which is a necessary step during

  13. Secondary particle tracks generated by ion beam irradiation

    NASA Astrophysics Data System (ADS)

    García, Gustavo

    2015-05-01

    The Low Energy Particle Track Simulation (LEPTS) procedure is a powerful complementary tool to include the effect of low energy electrons and positrons in medical applications of radiation. In particular, for ion-beam cancer treatments provides a detailed description of the role of the secondary electrons abundantly generated around the Bragg peak as well as the possibility of using transmuted positron emitters (C11, O15) as a complement for ion-beam dosimetry. In this study we present interaction probability data derived from IAM-SCAR corrective factors for liquid environments. Using these data, single electron and positron tracks in liquid water and pyrimidine have been simulated providing information about energy deposition as well as the number and type of interactions taking place in any selected ``nanovolume'' of the irradiated area. In collaboration with Francisco Blanco, Universidad Complutense de Madrid; Antonio Mu noz, Centro de Investigaciones Energéticas Medioambientales y Tecnológicas and Diogo Almeida, Filipe Ferreira da Silva, Paulo Lim ao-Vieira, Universidade Nova de Lisboa. Supported by the Spanish and Portuguese governments.

  14. Optics of ion beams for the neutral beam injection system on HL-2A Tokamak.

    PubMed

    Zou, G Q; Lei, G J; Cao, J Y; Duan, X R

    2012-07-01

    The ion beam optics for the neutral beam injection system on HL-2A Tokomak is studied by two- dimensional numerical simulation program firstly, where the emitting surface is taken at 100 Debye lengths from the plasma electrode. The mathematical formulation, computation techniques are described. Typical ion orbits, equipotential contours, and emittance diagram are shown. For a fixed geometry electrode, the effect of plasma density, plasma potential and plasma electron temperature on ion beam optics is examined, and the calculation reliability is confirmed by experimental results. In order to improve ion beam optics, the application of a small pre-acceleration voltage (∼100 V) between the plasma electrode and the arc discharge anode is reasonable, and a lower plasma electron temperature is desired. The results allow optimization of the ion beam optics in the neutral beam injection system on HL-2A Tokomak and provide guidelines for designing future neutral beam injection system on HL-2M Tokomak.

  15. Oxygen ion-beam microlithography

    DOEpatents

    Tsuo, Y.S.

    1991-08-20

    A method of providing and developing a resist on a substrate for constructing integrated circuit (IC) chips includes the following steps: of depositing a thin film of amorphous silicon or hydrogenated amorphous silicon on the substrate and exposing portions of the amorphous silicon to low-energy oxygen ion beams to oxidize the amorphous silicon at those selected portions. The nonoxidized portions are then removed by etching with RF-excited hydrogen plasma. Components of the IC chip can then be constructed through the removed portions of the resist. The entire process can be performed in an in-line vacuum production system having several vacuum chambers. Nitrogen or carbon ion beams can also be used. 5 figures.

  16. Oxygen ion-beam microlithography

    DOEpatents

    Tsuo, Y. Simon

    1991-01-01

    A method of providing and developing a resist on a substrate for constructing integrated circuit (IC) chips includes the following steps: of depositing a thin film of amorphous silicon or hydrogenated amorphous silicon on the substrate and exposing portions of the amorphous silicon to low-energy oxygen ion beams to oxidize the amorphous silicon at those selected portions. The nonoxidized portions are then removed by etching with RF-excited hydrogen plasma. Components of the IC chip can then be constructed through the removed portions of the resist. The entire process can be performed in an in-line vacuum production system having several vacuum chambers. Nitrogen or carbon ion beams can also be used.

  17. An overview of the facilities, activities, and developments at the University of North Texas Ion Beam Modification and Analysis Laboratory (IBMAL)

    NASA Astrophysics Data System (ADS)

    Rout, Bibhudutta; Dhoubhadel, Mangal S.; Poudel, Prakash R.; Kummari, Venkata C.; Pandey, Bimal; Deoli, Naresh T.; Lakshantha, Wickramaarachchige J.; Mulware, Stephen J.; Baxley, Jacob; Manuel, Jack E.; Pacheco, Jose L.; Szilasi, Szabolcs; Weathers, Duncan L.; Reinert, Tilo; Glass, Gary A.; Duggan, Jerry L.; McDaniel, Floyd D.

    2013-07-01

    The Ion Beam Modification and Analysis Laboratory (IBMAL) at the University of North Texas includes several accelerator facilities with capabilities of producing a variety of ion beams from tens of keV to several MeV in energy. The four accelerators are used for research, graduate and undergraduate education, and industrial applications. The NEC 3MV Pelletron tandem accelerator has three ion sources for negative ions: He Alphatross and two different SNICS-type sputter ion sources. Presently, the tandem accelerator has four high-energy beam transport lines and one low-energy beam transport line directly taken from the negative ion sources for different research experiments. For the low-energy beam line, the ion energy can be varied from ˜20 to 80 keV for ion implantation/modification of materials. The four post-acceleration beam lines include a heavy-ion nuclear microprobe; multi-purpose PIXE, RBS, ERD, NRA, and broad-beam single-event upset; high-energy ion implantation line; and trace-element accelerator mass spectrometry. The NEC 3MV single-ended Pelletron accelerator has an RF ion source mainly for hydrogen, helium and heavier inert gases. We recently installed a capacitive liner to the terminal potential stabilization system for high terminal voltage stability and high-resolution microprobe analysis. The accelerator serves a beam line for standard RBS and RBS/C. Another beamline for high energy focused ion beam application using a magnetic quadrupole lens system is currently under construction. This beam line will also serve for developmental work on an electrostatic lens system. The third accelerator is a 200 kV Cockcroft-Walton accelerator with an RF ion source. The fourth accelerator is a 2.5 MV Van de Graaff accelerator, which was in operation for last several decades is currently planned to be used mainly for educational purpose. Research projects that will be briefly discussed include materials synthesis/modification for photonic, electronic, and

  18. An overview of the facilities, activities, and developments at the University of North Texas Ion Beam Modification and Analysis Laboratory (IBMAL)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rout, Bibhudutta; Dhoubhadel, Mangal S.; Poudel, Prakash R.

    2013-07-03

    The Ion Beam Modification and Analysis Laboratory (IBMAL) at the University of North Texas includes several accelerator facilities with capabilities of producing a variety of ion beams from tens of keV to several MeV in energy. The four accelerators are used for research, graduate and undergraduate education, and industrial applications. The NEC 3MV Pelletron tandem accelerator has three ion sources for negative ions: He Alphatross and two different SNICS-type sputter ion sources. Presently, the tandem accelerator has four high-energy beam transport lines and one low-energy beam transport line directly taken from the negative ion sources for different research experiments. Formore » the low-energy beam line, the ion energy can be varied from {approx}20 to 80 keV for ion implantation/modification of materials. The four post-acceleration beam lines include a heavy-ion nuclear microprobe; multi-purpose PIXE, RBS, ERD, NRA, and broad-beam single-event upset; high-energy ion implantation line; and trace-element accelerator mass spectrometry. The NEC 3MV single-ended Pelletron accelerator has an RF ion source mainly for hydrogen, helium and heavier inert gases. We recently installed a capacitive liner to the terminal potential stabilization system for high terminal voltage stability and high-resolution microprobe analysis. The accelerator serves a beam line for standard RBS and RBS/C. Another beamline for high energy focused ion beam application using a magnetic quadrupole lens system is currently under construction. This beam line will also serve for developmental work on an electrostatic lens system. The third accelerator is a 200 kV Cockcroft-Walton accelerator with an RF ion source. The fourth accelerator is a 2.5 MV Van de Graaff accelerator, which was in operation for last several decades is currently planned to be used mainly for educational purpose. Research projects that will be briefly discussed include materials synthesis/modification for photonic

  19. A Lunar-Based Spacecraft Propulsion Concept - The Ion Beam Sail

    NASA Technical Reports Server (NTRS)

    Brown, Ian G.; Lane, John E.; Youngquist, Robert C.

    2006-01-01

    We describe a concept for spacecraft propulsion by means of an energetic ion beam, with the ion source fixed at the spacecraft starting point (e.g., a lunar-based ion beam generator) and not onboard the vessel. This approach avoids the substantial mass penalty associated with the onboard ion source and power supply hardware, and vastly more energetic ion beam systems can be entertained. We estimate the ion beam parameters required for various scenarios, and consider some of the constraints limiting the concept. We find that the "ion beam sail' approach can be viable and attractive for journey distances not too great, for example within the Earth-Moon system, and could potentially provide support for journeys to the inner planets.

  20. One-dimensional ion-beam figuring for grazing-incidence reflective optics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhou, Lin; Idir, Mourad; Bouet, Nathalie

    2016-01-01

    One-dimensional ion-beam figuring (1D-IBF) can improve grazing-incidence reflective optics, such as Kirkpatrick–Baez mirrors. 1D-IBF requires only one motion degree of freedom, which reduces equipment complexity, resulting in compact and low-cost IBF instrumentation. Furthermore, 1D-IBF is easy to integrate into a single vacuum system with other fabrication processes, such as a thin-film deposition. The NSLS-II Optical Metrology and Fabrication Group has recently integrated the 1D-IBF function into an existing thin-film deposition system by adding an RF ion source to the system. Using a rectangular grid, a 1D removal function needed to perform 1D-IBF has been produced. In this paper, demonstration experimentsmore » of the 1D-IBF process are presented on one spherical and two plane samples. The final residual errors on both plane samples are less than 1 nm r.m.s. In conclusion, the surface error on the spherical sample has been successfully reduced by a factor of 12. The results show that the 1D-IBF method is an effective method to process high-precision 1D synchrotron optics.« less

  1. SERS analysis of Ag nanostructures produced by ion-beam deposition

    NASA Astrophysics Data System (ADS)

    Atanasov, P. A.; Nedyalkov, N. N.; Nikov, Ru G.; Grüner, Ch; Rauschenbach, B.; Fukata, N.

    2018-03-01

    This study deals with the development of a novel technique for formation of advanced Ag nanostructures (NSs) to be applied to high-resolution analyses based on surface enhanced Raman scattering (SERS). It has direct bearing on human health and food quality, e.g., monitoring small amount or traces of pollutants or undesirable additives. Three types of nanostructured Ag samples were produced using ion-beam deposition at glancing angle (GLAD) on quartz. All fabricated structures were covered with BI-58 pesticide (dimethoate) or Rhodamine 6G (R6G) for testing their potential for use as substrates for (SERS).

  2. Microchip and wedge ion funnels and planar ion beam analyzers using same

    DOEpatents

    Shvartsburg, Alexandre A; Anderson, Gordon A; Smith, Richard D

    2012-10-30

    Electrodynamic ion funnels confine, guide, or focus ions in gases using the Dehmelt potential of oscillatory electric field. New funnel designs operating at or close to atmospheric gas pressure are described. Effective ion focusing at such pressures is enabled by fields of extreme amplitude and frequency, allowed in microscopic gaps that have much higher electrical breakdown thresholds in any gas than the macroscopic gaps of present funnels. The new microscopic-gap funnels are useful for interfacing atmospheric-pressure ionization sources to mass spectrometry (MS) and ion mobility separation (IMS) stages including differential IMS or FAIMS, as well as IMS and MS stages in various configurations. In particular, "wedge" funnels comprising two planar surfaces positioned at an angle and wedge funnel traps derived therefrom can compress ion beams in one dimension, producing narrow belt-shaped beams and laterally elongated cuboid packets. This beam profile reduces the ion density and thus space-charge effects, mitigating the adverse impact thereof on the resolving power, measurement accuracy, and dynamic range of MS and IMS analyzers, while a greater overlap with coplanar light or particle beams can benefit spectroscopic methods.

  3. Improving depth resolutions in positron beam spectroscopy by concurrent ion-beam sputtering

    NASA Astrophysics Data System (ADS)

    John, Marco; Dalla, Ayham; Ibrahim, Alaa M.; Anwand, Wolfgang; Wagner, Andreas; Böttger, Roman; Krause-Rehberg, Reinhard

    2018-05-01

    The depth resolution of mono-energetic positron annihilation spectroscopy using a positron beam is shown to improve by concurrently removing the sample surface layer during positron beam spectroscopy. During ion-beam sputtering with argon ions, Doppler-broadening spectroscopy is performed with energies ranging from 3 keV to 5 keV allowing for high-resolution defect studies just below the sputtered surface. With this technique, significantly improved depth resolutions could be obtained even at larger depths when compared to standard positron beam experiments which suffer from extended positron implantation profiles at higher positron energies. Our results show that it is possible to investigate layered structures with a thickness of about 4 microns with significantly improved depth resolution. We demonstrated that a purposely generated ion-beam induced defect profile in a silicon sample could be resolved employing the new technique. A depth resolution of less than 100 nm could be reached.

  4. Towards ion beam therapy based on laser plasma accelerators.

    PubMed

    Karsch, Leonhard; Beyreuther, Elke; Enghardt, Wolfgang; Gotz, Malte; Masood, Umar; Schramm, Ulrich; Zeil, Karl; Pawelke, Jörg

    2017-11-01

    Only few ten radiotherapy facilities worldwide provide ion beams, in spite of their physical advantage of better achievable tumor conformity of the dose compared to conventional photon beams. Since, mainly the large size and high costs hinder their wider spread, great efforts are ongoing to develop more compact ion therapy facilities. One promising approach for smaller facilities is the acceleration of ions on micrometre scale by high intensity lasers. Laser accelerators deliver pulsed beams with a low pulse repetition rate, but a high number of ions per pulse, broad energy spectra and high divergences. A clinical use of a laser based ion beam facility requires not only a laser accelerator providing beams of therapeutic quality, but also new approaches for beam transport, dosimetric control and tumor conformal dose delivery procedure together with the knowledge of the radiobiological effectiveness of laser-driven beams. Over the last decade research was mainly focused on protons and progress was achieved in all important challenges. Although currently the maximum proton energy is not yet high enough for patient irradiation, suggestions and solutions have been reported for compact beam transport and dose delivery procedures, respectively, as well as for precise dosimetric control. Radiobiological in vitro and in vivo studies show no indications of an altered biological effectiveness of laser-driven beams. Laser based facilities will hardly improve the availability of ion beams for patient treatment in the next decade. Nevertheless, there are possibilities for a need of laser based therapy facilities in future.

  5. Intense ion beam diagnostics for ICF

    NASA Astrophysics Data System (ADS)

    Yasuike, K.; Cuneo, M. E.; Wenger, D. F.; Bailey, J. E.; Hanson, D. L.; Mehlhorn, T. A.; Imasaki, K.; Nakai, S.; Mima, K.

    1998-11-01

    Development of diagnostic methods for high intensity ion beams for ICF is crucial for understanding the ion diode physics. At Osaka University, an arrayed pinhole camera (APC) diagnostic method had been developed to measure the proton beams with an energy of 1 MeV and a J_i. of 100 A/cm^2. on Reiden-SHVS. The APC measures spatial distributions of the beam divergence in r and θ drection and the intensity distribution. An ion image detector capable to acquire a whole temporal evolution within a shot is necessary to measure the higher intensity beams. A fast scintillator with photo-multiplier tubes has been chosen as the image detector. The detector is being tested on a single pinhole camera using a Lithium beam with a particle energy of 5 MeV, a J_i. of 0.5-1 kA/cm^2. and duration of 50 ns, which are very close to the parameters required from ICF, on the SABRE at Sandia National Labs. We will present the diagnostic design and preliminary experiments from SABRE and also present the experimental results from Reiden-SHVS.

  6. Method and apparatus for efficient photodetachment and purification of negative ion beams

    DOEpatents

    Beene, James R [Oak Ridge, TN; Liu, Yuan [Knoxville, TN; Havener, Charles C [Knoxville, TN

    2008-02-26

    Methods and apparatus are described for efficient photodetachment and purification of negative ion beams. A method of purifying an ion beam includes: inputting the ion beam into a gas-filled multipole ion guide, the ion beam including a plurality of ions; increasing a laser-ion interaction time by collisional cooling the plurality of ions using the gas-filled multipole ion guide, the plurality of ions including at least one contaminant; and suppressing the at least one contaminant by selectively removing the at least one contaminant from the ion beam by electron photodetaching at least a portion of the at least one contaminant using a laser beam.

  7. Surface modification using low energy ground state ion beams

    NASA Technical Reports Server (NTRS)

    Chutjian, Ara (Inventor); Hecht, Michael H. (Inventor); Orient, Otto J. (Inventor)

    1990-01-01

    A method of effecting modifications at the surfaces of materials using low energy ion beams of known quantum state, purity, flux, and energy is presented. The ion beam is obtained by bombarding ion-generating molecules with electrons which are also at low energy. The electrons used to bombard the ion generating molecules are separated from the ions thus obtained and the ion beam is directed at the material surface to be modified. Depending on the type of ion generating molecules used, different ions can be obtained for different types of surface modifications such as oxidation and diamond film formation. One area of application is in the manufacture of semiconductor devices from semiconductor wafers.

  8. Long-pulse beam acceleration of MeV-class H(-) ion beams for ITER NB accelerator.

    PubMed

    Umeda, N; Kashiwagi, M; Taniguchi, M; Tobari, H; Watanabe, K; Dairaku, M; Yamanaka, H; Inoue, T; Kojima, A; Hanada, M

    2014-02-01

    In order to realize neutral beam systems in International Thermonuclear Experimental Reactor whose target is to produce a 1 MeV, 200 A/m(2) during 3600 s D(-) ion beam, the electrostatic five-stages negative ion accelerator so-called "MeV accelerator" has been developed at Japan Atomic Energy Agency. To extend pulse length, heat load of the acceleration grids was reduced by controlling the ion beam trajectory. Namely, the beam deflection due to the residual magnetic field of filter magnet was suppressed with the newly developed extractor with a 0.5 mm off-set aperture displacement. The new extractor improved the deflection angle from 6 mrad to 1 mrad, resulting in the reduction of direct interception of negative ions from 23% to 15% of the total acceleration power, respectively. As a result, the pulse length of 130 A/m(2), 881 keV H(-) ion beam has been successfully extended from a previous value of 0.4 s to 8.7 s. This is the first long pulse negative ion beam acceleration over 100 MW/m(2).

  9. Structural changes of electron and ion beam-deposited contacts in annealed carbon-based electrical devices.

    PubMed

    Batra, Nitin M; Patole, Shashikant P; Abdelkader, Ahmed; Anjum, Dalaver H; Deepak, Francis L; Costa, Pedro M F J

    2015-11-06

    The use of electron and ion beam deposition to make devices containing discrete nanostructures as interconnectors is a well-known nanofabrication process. Classically, one-dimensional materials such as carbon nanotubes (CNTs) have been electrically characterized by resorting to these beam deposition methods. While much attention has been given to the interconnectors, less is known about the contacting electrodes (or leads). In particular, the structure and chemistry of the electrode-interconnector interface is a topic that deserves more attention, as it is critical to understand the device behavior. Here, the structure and chemistry of Pt electrodes, deposited either with electron or ion beams and contacted to a CNT, are analyzed before and after thermally annealing the device in a vacuum. Free-standing Pt nanorods, acting as beam-deposited electrode models, are also characterized pre- and post-annealing. Overall, the as-deposited leads contain a non-negligible amount of amorphous carbon that is consolidated, upon heating, as a partially graphitized outer shell enveloping a Pt core. This observation raises pertinent questions regarding the definition of electrode-nanostructure interfaces in electrical devices, in particular long-standing assumptions of metal-CNT contacts fabricated by direct beam deposition methods.

  10. DUHOCAMIS: a dual hollow cathode ion source for metal ion beams.

    PubMed

    Zhao, W J; Müller, M W O; Janik, J; Liu, K X; Ren, X T

    2008-02-01

    In this paper we describe a novel ion source named DUHOCAMIS for multiply charged metal ion beams. This ion source is derived from the hot cathode Penning ion gauge ion source (JINR, Dubna, 1957). A notable characteristic is the modified Penning geometry in the form of a hollow sputter electrode, coaxially positioned in a compact bottle-magnetic field along the central magnetic line of force. The interaction of the discharge geometry with the inhomogeneous but symmetrical magnetic field enables this device to be operated as hollow cathode discharge and Penning discharge as well. The main features of the ion source are the very high metal ion efficiency (up to 25%), good operational reproducibility, flexible and efficient operations for low charged as well as highly charged ions, compact setup, and easy maintenance. For light ions, e.g., up to titanium, well-collimated beams in the range of several tens of milliamperes of pulsed ion current (1 ms, 10/s) have been reliably performed in long time runs.

  11. Spatial structure of ion beams in an expanding plasma

    NASA Astrophysics Data System (ADS)

    Aguirre, E. M.; Scime, E. E.; Thompson, D. S.; Good, T. N.

    2017-12-01

    We report spatially resolved perpendicular and parallel, to the magnetic field, ion velocity distribution function (IVDF) measurements in an expanding argon helicon plasma. The parallel IVDFs, obtained through laser induced fluorescence (LIF), show an ion beam with v ≈ 8000 m/s flowing downstream and confined to the center of the discharge. The ion beam is measurable for tens of centimeters along the expansion axis before the LIF signal fades, likely a result of metastable quenching of the beam ions. The parallel ion beam velocity slows in agreement with expectations for the measured parallel electric field. The perpendicular IVDFs show an ion population with a radially outward flow that increases with distance from the plasma axis. Structures aligned to the expanding magnetic field appear in the DC electric field, the electron temperature, and the plasma density in the plasma plume. These measurements demonstrate that at least two-dimensional and perhaps fully three-dimensional models are needed to accurately describe the spontaneous acceleration of ion beams in expanding plasmas.

  12. Prototyping of beam position monitor for medium energy beam transport section of RAON heavy ion accelerator

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jang, Hyojae, E-mail: lkcom@ibs.re.kr; Jin, Hyunchang; Jang, Ji-Ho

    2016-02-15

    A heavy ion accelerator, RAON is going to be built by Rare Isotope Science Project in Korea. Its target is to accelerate various stable ions such as uranium, proton, and xenon from electron cyclotron resonance ion source and some rare isotopes from isotope separation on-line. The beam shaping, charge selection, and modulation should be applied to the ions from these ion sources because RAON adopts a superconducting linear accelerator structure for beam acceleration. For such treatment, low energy beam transport, radio frequency quadrupole, and medium energy beam transport (MEBT) will be installed in injector part of RAON accelerator. Recently, developmentmore » of a prototype of stripline beam position monitor (BPM) to measure the position of ion beams in MEBT section is under way. In this presentation, design of stripline, electromagnetic (EM) simulation results, and RF measurement test results obtained from the prototyped BPM will be described.« less

  13. Statistical study of ionospheric ion beams observed by CLUSTER above the polar caps

    NASA Astrophysics Data System (ADS)

    Maggiolo, R.; Echim, M.; Fontaine, D.; Teste, A. F.; Jacquey, C.

    2009-12-01

    Above the polar caps and during prolonged periods of Northward IMF, the Cluster spacecraft detect accelerated ion beams with energies up to a few keV. They are associated with downward precipitating electrons and converging electric field structures indicating that the acceleration is caused by a quasi-static field aligned electric field that can extend to altitudes up to 5 RE (Maggiolo et al. 2006, Teste et al. 2007). Using the AMDA science analysis service provided by the Centre de Données de la Physique des Plasmas (CDPP, http://cdpp.cesr.fr), we have been able to extract from the Cluster ion detectors dataset the time periods when Cluster encounters polar cap local ion beams. 6 years of data have been mined with this tool. Almost 200 events have been found giving new insight on these structures. After a description of the method used for the automatic detection of the beams, we will discuss their statistical properties. We analyze their relation to solar wind and IMF. In particular, we estimate the delay between a Northward/Southward turning of the IMF and the appearance/disappearance of these beams. The characteristics of the particles detected inside these structures as well as their size, orientation and location are also presented. We show that these ion beams are located on magnetic field lines mapping close to the high latitude magnetopause and in the central part of the lobes and that 40 % of them are detected together with hot isotropic ions. These results will be discussed in term of magnetotail configuration during prolonged periods of Northward IMF.

  14. Excitation of Ion Cyclotron Waves by Ion and Electron Beams in Compensated-current System

    NASA Astrophysics Data System (ADS)

    Xiang, L.; Wu, D. J.; Chen, L.

    2018-04-01

    Ion cyclotron waves (ICWs) can play important roles in the energization of plasma particles. Charged particle beams are ubiquitous in space, and astrophysical plasmas and can effectively lead to the generation of ICWs. Based on linear kinetic theory, we consider the excitation of ICWs by ion and electron beams in a compensated-current system. We also investigate the competition between reactive and kinetic instabilities. The results show that ion and electron beams both are capable of generating ICWs. For ICWs driven by ion beams, there is a critical beam velocity, v bi c , and critical wavenumber, k z c , for a fixed beam density; the reactive instability dominates the growth of ICWs when the ion-beam velocity {v}{bi}> {v}{bi}c and the wavenumber {k}z< {k}zc, and the maximal growth rate is reached at {k}z≃ 2{k}zc/3 for a given {v}{bi}> {v}{bi}c. For the slow ion beams with {v}{bi}< {v}{bi}c, the kinetic instability can provide important growth rates of ICWs. On the other hand, ICWs driven by electron beams are excited only by the reactive instability, but require a critical velocity, {v}{be}c\\gg {v}{{A}} (the Alfvén velocity). In addition, the comparison between the approximate analytical results based on the kinetic theory and the exact numerical calculation based on the fluid model demonstrates that the reactive instabilities can well agree quantitatively with the numerical results by the fluid model. Finally, some possible applications of the present results to ICWs observed in the solar wind are briefly discussed.

  15. Ion beam microtexturing and enhanced surface diffusion

    NASA Technical Reports Server (NTRS)

    Robinson, R. S.

    1982-01-01

    Ion beam interactions with solid surfaces are discussed with particular emphasis on microtexturing induced by the deliberate deposition of controllable amounts of an impurity material onto a solid surface while simultaneously sputtering the surface with an ion beam. Experimental study of the optical properties of microtextured surfaces is described. Measurements of both absorptance as a function of wavelength and emissivity are presented. A computer code is described that models the sputtering and ion reflection processes involved in microtexture formation.

  16. High resolution energy analyzer for broad ion beam characterization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kanarov, V.; Hayes, A.; Yevtukhov, R.

    2008-09-15

    Characterization of the ion energy distribution function (IEDF) of low energy high current density ion beams by conventional retarding field and deflection type energy analyzers is limited due to finite ion beam emittance and beam space charge spreading inside the analyzer. These deficiencies are, to a large extent, overcome with the recent development of the variable-focusing retarding field energy analyzer (RFEA), which has a cylindrical focusing electrode preceding the planar retarding grid. The principal concept of this analyzer is conversion of a divergent charged particle beam into a quasiparallel beam before analyzing it by the planar retarding field. This allowsmore » analysis of the beam particle total kinetic energy distribution with greatly improved energy resolution. Whereas this concept was first applied to analyze 5-10 keV pulsed electron beams, the present authors have adapted it to analyze the energy distribution of a low energy ({<=}1 KeV) broad ion beam. In this paper we describe the RFEA design, which was modified from the original, mainly as required by the specifics of broad ion beam energy analysis, and the device experimental characterization and modeling results. Among the modifications, an orifice electrode placed in front of the RFEA provides better spatial resolution of the broad ion beam ion optics emission region and reduces the beam plasma density in the vicinity of analyzer entry. An electron repeller grid placed in front of the RFEA collector was found critical for suppressing secondary electrons, both those incoming to the collector and those released from its surface, and improved energy spectrum measurement repeatability and accuracy. The use of finer mesh single- and double-grid retarding structures reduces the retarding grid lens effect and improves the analyzer energy resolution and accuracy of the measured spectrum mean energy. However, additional analyzer component and configuration improvements did not further change the

  17. Computers and the design of ion beam optical systems

    NASA Astrophysics Data System (ADS)

    White, Nicholas R.

    Advances in microcomputers have made it possible to maintain a library of advanced ion optical programs which can be used on inexpensive computer hardware, which are suitable for the design of a variety of ion beam systems including ion implanters, giving excellent results. This paper describes in outline the steps typically involved in designing a complete ion beam system for materials modification applications. Two computer programs are described which, although based largely on algorithms which have been in use for many years, make possible detailed beam optical calculations using microcomputers, specifically the IBM PC. OPTICIAN is an interactive first-order program for tracing beam envelopes through complex optical systems. SORCERY is a versatile program for solving Laplace's and Poisson's equations by finite difference methods using successive over-relaxation. Ion and electron trajectories can be traced through these potential fields, and plots of beam emittance obtained.

  18. Development of an energy analyzer as diagnostic of beam-generated plasma in negative ion beam systems

    NASA Astrophysics Data System (ADS)

    Sartori, E.; Carozzi, G.; Veltri, P.; Spolaore, M.; Cavazzana, R.; Antoni, V.; Serianni, G.

    2017-08-01

    The measurement of the plasma potential and the energy spectrum of secondary particles in the drift region of a negative ion beam offers an insight into beam-induced plasma formation and beam transport in low pressure gasses. Plasma formation in negative-ion beam systems, and the characteristics of such a plasma are of interest especially for space charge compensation, plasma formation in neutralizers, and the development of improved schemes of beam-induced plasma neutralisers for future fusion devices. All these aspects have direct implications in the ITER Heating Neutral Beam and the operation of the prototypes, SPIDER and MITICA, and also have important role in the conceptual studies for NBI systems of DEMO, while at present experimental data are lacking. In this paper we present the design and development of an ion energy analyzer to measure the beam plasma formation and space charge compensation in negative ion beams. The diagnostic is a retarding field energy analyzer (RFEA), and will measure the transverse energy spectra of plasma molecular ions. The calculations that supported the design are reported, and a method to interpret the measurements in negative ion beam systems is also proposed. Finally, the experimental results of the first test in a magnetron plasma are presented.

  19. Accelerating Radioactive Ion Beams With REX-ISOLDE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ames, F.; Emhofer, S.; Habs, D.

    2003-08-26

    The post accelerator REX-ISOLDE is installed at the ISOLDE facility at CERN, where a broad variety of radioactive ions can be addressed. Since the end of 2001 beams at the final energy of 2.2 MeV/u are available. REX-ISOLDE uses a unique system of beam bunching and charge breeding. First a Penning trap accumulates and bunches the ions, which are delivered as a quasi-continuous beam from the ISOLDE target-ion-source, and then an electron beam ion source (EBIS) charge-breeds them to a mass-to-charge ratio below 4.5. This enables a very compact design for the following LINAC, consisting of a 4 rod RFQ,more » an IH structure and three 7-gap-resonators. The later ones allow a variation of the final energy between 0.8 and 2.2 MeV/u. Although the machine is still in the commissioning phase, first physics experiments have been done with neutron rich Na and Mg isotopes and 9Li. A total efficiency of several percent has already been obtained.« less

  20. Heavy ion beam-ionosphere interactions - Electron acceleration

    NASA Technical Reports Server (NTRS)

    Kaufmann, R. L.; Arnoldy, R. L.; Moore, T. E.; Kintner, P. M.; Cahill, L. J., Jr.

    1985-01-01

    Moore et al. (1982) described a number of unexpected effects which were observed during the first Argon Release Controlled Study (ARCS 1, or rocket flight 29:014). The present paper provides a description of detailed analyses of the interaction of the argon beam with the ionosphere. An important feature of the considered test was that all detectors and the Ar(+) gun remained attached to the rocket throughout the flight. It is pointed out that the most dramatic effect of ion gun operation on ARCS 1 involved large changes in the fluxes of electrons with energies below about 600 eV. The observations are discussed, taking into account the distribution functions, azimuth dependence, and electron and ion trajectories. Attention is given to the perpendicular ion beam, the parallel ion beam, the acceleration of downgoing and upgoing electrons, and aspects of wave generation.

  1. Temperature measurements during high flux ion beam irradiations

    DOE PAGES

    Crespillo, Miguel L.; Graham, Joseph T.; Zhang, Yanwen; ...

    2016-02-16

    A systematic study of the ion beam heating effect was performed in a temperature range of –170 to 900 °C using a 10 MeV Au 3+ ion beam and a Yttria stabilized Zirconia (YSZ) sample at a flux of 5.5 × 10 12 cm –2 s –1. Different geometric configurations of beam, sample, thermocouple positioning, and sample holder were compared to understand the heat/charge transport mechanisms responsible for the observed temperature increase. The beam heating exhibited a strong dependence on the background (initial) sample temperature with the largest temperature increases occurring at cryogenic temperatures and decreasing with increasing temperature. Comparisonmore » with numerical calculations suggests that the observed heating effect is, in reality, a predominantly electronic effect and the true temperature rise is small. Furthermore, a simple model was developed to explain this electronic effect in terms of an electrostatic potential that forms during ion irradiation. Such an artificial beam heating effect is potentially problematic in thermostated ion irradiation and ion beamanalysis apparatus, as the operation of temperature feedback systems can be significantly distorted by this effect.« less

  2. Ion Beam Materials Analysis and Modifications at keV to MeV Energies at the University of North Texas

    NASA Astrophysics Data System (ADS)

    Rout, Bibhudutta; Dhoubhadel, Mangal S.; Poudel, Prakash R.; Kummari, Venkata C.; Lakshantha, Wickramaarachchige J.; Manuel, Jack E.; Bohara, Gyanendra; Szilasi, Szabolcs Z.; Glass, Gary A.; McDaniel, Floyd D.

    2014-02-01

    The University of North Texas (UNT) Ion Beam Modification and Analysis Laboratory (IBMAL) has four particle accelerators including a National Electrostatics Corporation (NEC) 9SDH-2 3 MV tandem Pelletron, a NEC 9SH 3 MV single-ended Pelletron, and a 200 kV Cockcroft-Walton. A fourth HVEC AK 2.5 MV Van de Graaff accelerator is presently being refurbished as an educational training facility. These accelerators can produce and accelerate almost any ion in the periodic table at energies from a few keV to tens of MeV. They are used to modify materials by ion implantation and to analyze materials by numerous atomic and nuclear physics techniques. The NEC 9SH accelerator was recently installed in the IBMAL and subsequently upgraded with the addition of a capacitive-liner and terminal potential stabilization system to reduce ion energy spread and therefore improve spatial resolution of the probing ion beam to hundreds of nanometers. Research involves materials modification and synthesis by ion implantation for photonic, electronic, and magnetic applications, micro-fabrication by high energy (MeV) ion beam lithography, microanalysis of biomedical and semiconductor materials, development of highenergy ion nanoprobe focusing systems, and educational and outreach activities. An overview of the IBMAL facilities and some of the current research projects are discussed.

  3. Potential biomedical applications of ion beam technology

    NASA Technical Reports Server (NTRS)

    Banks, B. A.; Weigand, A. J.; Babbush, C. A.; Vankampen, C. L.

    1976-01-01

    Electron bombardment ion thrusters used as ion sources have demonstrated a unique capability to vary the surface morphology of surgical implant materials. The microscopically rough surface texture produced by ion beam sputtering of these materials may result in improvements in the biological response and/or performance of implanted devices. Control of surface roughness may result in improved attachment of the implant to soft tissue, hard tissue, bone cement, or components deposited from blood. Potential biomedical applications of ion beam texturing discussed include: vascular prostheses, artificial heart pump diaphragms, pacemaker fixation, percutaneous connectors, orthopedic pros-thesis fixtion, and dental implants.

  4. Potential biomedical applications of ion beam technology

    NASA Technical Reports Server (NTRS)

    Banks, B. A.; Weigand, A. J.; Van Kampen, C. L.; Babbush, C. A.

    1976-01-01

    Electron bombardment ion thrusters used as ion sources have demonstrated a unique capability to vary the surface morphology of surgical implant materials. The microscopically rough surface texture produced by ion beam sputtering of these materials may result in improvements in the biological response and/or performance of implanted devices. Control of surface roughness may result in improved attachment of the implant to soft tissue, hard tissue, bone cement, or components deposited from blood. Potential biomedical applications of ion beam texturing discussed include: vascular prostheses, artificial heart pump diaphragms, pacemaker fixation, percutaneous connectors, orthopedic prosthesis fixation, and dental implants.

  5. Beam halo collimation in heavy ion synchrotrons

    NASA Astrophysics Data System (ADS)

    Strašík, I.; Prokhorov, I.; Boine-Frankenheim, O.

    2015-08-01

    This paper presents a systematic study of the halo collimation of ion beams from proton up to uranium in synchrotrons. The projected Facility for Antiproton and Ion Research synchrotron SIS100 is used as a reference case. The concepts are separated into fully stripped (e.g., 238U92+ ) and partially stripped (e.g., 238U28+ ) ion collimation. An application of the two-stage betatron collimation system, well established for proton accelerators, is intended also for fully stripped ions. The two-stage system consists of a primary collimator (a scattering foil) and secondary collimators (bulky absorbers). Interaction of the particles with the primary collimator (scattering, momentum losses, and nuclear interactions) was simulated by using fluka. Particle-tracking simulations were performed by using mad-x. Finally, the dependence of the collimation efficiency on the primary ion species was determined. The influence of the collimation system adjustment, lattice imperfections, and beam parameters was estimated. The concept for the collimation of partially stripped ions employs a thin stripping foil in order to change their charge state. These ions are subsequently deflected towards a dump location using a beam optical element. The charge state distribution after the stripping foil was obtained from global. The ions were tracked by using mad-x.

  6. Ion irradiation effects on a magnetic Si/Ni/Si trilayer and lateral magnetic-nonmagnetic multistrip patterning by focused ion beam

    NASA Astrophysics Data System (ADS)

    Dev, B. N.; Banu, Nasrin; Fassbender, J.; Grenzer, J.; Schell, N.; Bischoff, L.; Groetzschel, R.; McCord, J.

    2017-10-01

    Fabrication of a multistrip magnetic/nonmagnetic structure in a thin sandwiched Ni layer [Si(5 nm)/Ni(15 nm)/Si] by a focused ion beam (FIB) irradiation has been attempted. A control experiment was initially performed by irradiation with a standard 30 keV Ga ion beam at various fluences. Analyses were carried out by Rutherford backscattering spectrometry, X-ray reflectivity, magnetooptical Kerr effect (MOKE) measurements and MOKE microscopy. With increasing ion fluence, the coercivity as well as Kerr rotation decreases. A threshold ion fluence has been identified, where ferromagnetism of the Ni layer is lost at room temperature and due to Si incorporation into the Ni layer, a Ni0.68Si0.32 alloy layer is formed. This fluence was used in FIB irradiation of parallel 50 nm wide stripes, leaving 1 µm wide unirradiated stripes in between. MOKE microscopy on this FIB-patterned sample has revealed interacting magnetic domains across several stripes. Considering shape anisotropy effects, which would favour an alignment of magnetization parallel to the stripe axis, the opposite behaviour is observed. Magneto-elastic effects introducing a stress-induced anisotropy component oriented perpendicular to the stripe axis are the most plausible explanation for the observed behaviour.

  7. Preliminary result of rapid solenoid for controlling heavy-ion beam parameters of laser ion source

    DOE PAGES

    Okamura, M.; Sekine, M.; Ikeda, S.; ...

    2015-03-13

    To realize a heavy ion inertial fusion driver, we have studied a possibility of laser ion source (LIS). A LIS can provide high current high brightness heavy ion beams, however it was difficult to manipulate the beam parameters. To overcome the issue, we employed a pulsed solenoid in the plasma drift section and investigated the effect of the solenoid field on singly charged iron beams. The rapid ramping magnetic field could enhance limited time slice of the current and simultaneously the beam emittance changed accordingly. This approach may also useful to realize an ion source for HIF power plant.

  8. New ion source for KSTAR neutral beam injection system.

    PubMed

    Kim, Tae-Seong; Jeong, Seung Ho; In, Sang-Ryul

    2012-02-01

    The neutral beam injection system (NBI-1) of the KSTAR tokamak can accommodate three ion sources; however, it is currently equipped with only one prototype ion source. In the 2010 and 2011 KSTAR campaigns, this ion source supplied deuterium neutral beam power of 0.7-1.6 MW to the KSTAR plasma with a beam energy of 70-100 keV. A new ion source will be prepared for the 2012 KSTAR campaign with a much advanced performance compared with the previous one. The newly designed ion source has a very large transparency (∼56%) without deteriorating the beam optics, which is designed to deliver a 2 MW injection power of deuterium beams at 100 keV. The plasma generator of the ion source is of a horizontally cusped bucket type, and the whole inner wall, except the cathode filaments and plasma grid side, functions as an anode. The accelerator assembly consists of four multi-circular aperture grids made of copper and four electrode flanges made of aluminum alloy. The electrodes are insulated using PEEK. The ion source will be completed and tested in 2011.

  9. Numerical study of neutron beam divergence in a beam-fusion scenario employing laser driven ions

    NASA Astrophysics Data System (ADS)

    Alejo, A.; Green, A.; Ahmed, H.; Robinson, A. P. L.; Cerchez, M.; Clarke, R.; Doria, D.; Dorkings, S.; Fernandez, J.; McKenna, P.; Mirfayzi, S. R.; Naughton, K.; Neely, D.; Norreys, P.; Peth, C.; Powell, H.; Ruiz, J. A.; Swain, J.; Willi, O.; Borghesi, M.; Kar, S.

    2016-09-01

    The most established route to create a laser-based neutron source is by employing laser accelerated, low atomic-number ions in fusion reactions. In addition to the high reaction cross-sections at moderate energies of the projectile ions, the anisotropy in neutron emission is another important feature of beam-fusion reactions. Using a simple numerical model based on neutron generation in a pitcher-catcher scenario, anisotropy in neutron emission was studied for the deuterium-deuterium fusion reaction. Simulation results are consistent with the narrow-divergence (∼ 70 ° full width at half maximum) neutron beam recently served in an experiment employing multi-MeV deuteron beams of narrow divergence (up to 30° FWHM, depending on the ion energy) accelerated by a sub-petawatt laser pulse from thin deuterated plastic foils via the Target Normal Sheath Acceleration mechanism. By varying the input ion beam parameters, simulations show that a further improvement in the neutron beam directionality (i.e. reduction in the beam divergence) can be obtained by increasing the projectile ion beam temperature and cut-off energy, as expected from interactions employing higher power lasers at upcoming facilities.

  10. Generation of multicomponent ion beams by a vacuum arc ion source with compound cathode.

    PubMed

    Savkin, K P; Yushkov, Yu G; Nikolaev, A G; Oks, E M; Yushkov, G Yu

    2010-02-01

    This paper presents the results of time-of-flight mass spectrometry studies of the elemental and mass-to-charge state compositions of metal ion beams produced by a vacuum arc ion source with compound cathode (WC-Co(0.5), Cu-Cr(0.25), Ti-Cu(0.1)). We found that the ion beam composition agrees well with the stoichiometric composition of the cathode material from which the beam is derived, and the maximum ion charge state of the different plasma components is determined by the ionization capability of electrons within the cathode spot plasma, which is common to all components. The beam mass-to-charge state spectrum from a compound cathode features a greater fraction of multiply charged ions for those materials with lower electron temperature in the vacuum arc cathode spot, and a smaller fraction for those with higher electron temperature within the spot. We propose a potential diagram method for determination of attainable ion charge states for all components of the compound cathodes.

  11. Methods and apparatus for altering material using ion beams

    DOEpatents

    Bloomquist, Douglas D.; Buchheit, Rudy; Greenly, John B.; McIntyre, Dale C.; Neau, Eugene L.; Stinnett, Regan W.

    1996-01-01

    A method and apparatus for treating material surfaces using a repetitively pulsed ion beam. In particular, a method of treating magnetic material surfaces in order to reduce surface defects, and produce amorphous fine grained magnetic material with properties that can be tailored by adjusting treatment parameters of a pulsed ion beam. In addition to a method of surface treating materials for wear and corrosion resistance using pulsed particle ion beams.

  12. Portable Electron-Beam Free-Form Fabrication System

    NASA Technical Reports Server (NTRS)

    Watson, J. Kevin; Petersen, Daniel D.; Taminger, Karen M.; Hafley, Robert A.

    2005-01-01

    A portable electron-beam free-form fabrication (EB F3) system, now undergoing development, is intended to afford a capability for manufacturing metal parts in nearly net sizes and shapes. Although the development effort is oriented toward the eventual use of systems like this one to supply spare metal parts aboard spacecraft in flight, the basic system design could also be adapted to terrestrial applications in which there are requirements to supply spare parts on demand at locations remote from warehouses and conventional manufacturing facilities. Prior systems that have been considered for satisfying the same requirements (including prior free-form fabrication systems) are not easily portable because of their bulk and massive size. The mechanical properties of the components that such systems produce are often inferior to the mechanical properties of the corresponding original, conventionally fabricated components. In addition, the prior systems are not efficient in the utilization of energy and of feedstock. In contrast, the present developmental system is designed to be sufficiently compact and lightweight to be easily portable, to utilize both energy and material more efficiently, and to produce components that have mechanical properties approximating those of the corresponding original components. The developmental EB F3 system will include a vacuum chamber and associated vacuum pumps, an electron-beam gun and an associated power supply, a multiaxis positioning subsystem, a precise wire feeder, and an instrumentation system for monitoring and control. The electron-beam gun, positioning subsystem, and wire feeder will be located inside the vacuum chamber (see figure). The electron beam gun and the wire feeder will be mounted in fixed positions inside the domed upper portion of the vacuum chamber. The positioning subsystem and ports for the vacuum pumps will be located on a base that could be dropped down to provide full access to the interior of the chamber

  13. Production of highly charged ion beams with SECRALa)

    NASA Astrophysics Data System (ADS)

    Sun, L. T.; Zhao, H. W.; Lu, W.; Zhang, X. Z.; Feng, Y. C.; Li, J. Y.; Cao, Y.; Guo, X. H.; Ma, H. Y.; Zhao, H. Y.; Shang, Y.; Ma, B. H.; Wang, H.; Li, X. X.; Jin, T.; Xie, D. Z.

    2010-02-01

    Superconducting electron cyclotron resonance ion source with advanced design in Lanzhou (SECRAL) is an all-superconducting-magnet electron cyclotron resonance ion source (ECRIS) for the production of intense highly charged ion beams to meet the requirements of the Heavy Ion Research Facility in Lanzhou (HIRFL). To further enhance the performance of SECRAL, an aluminum chamber has been installed inside a 1.5 mm thick Ta liner used for the reduction of x-ray irradiation at the high voltage insulator. With double-frequency (18+14.5 GHz) heating and at maximum total microwave power of 2.0 kW, SECRAL has successfully produced quite a few very highly charged Xe ion beams, such as 10 e μA of Xe37+, 1 e μA of Xe43+, and 0.16 e μA of Ne-like Xe44+. To further explore the capability of the SECRAL in the production of highly charged heavy metal ion beams, a first test run on bismuth has been carried out recently. The main goal is to produce an intense Bi31+ beam for HIRFL accelerator and to have a feel how well the SECRAL can do in the production of very highly charged Bi beams. During the test, though at microwave power less than 3 kW, more than 150 e μA of Bi31+, 22 e μA of Bi41+, and 1.5 e μA of Bi50+ have been produced. All of these results have again demonstrated the great capability of the SECRAL source. This article will present the detailed results and brief discussions to the production of highly charged ion beams with SECRAL.

  14. Ion-beam apparatus and method for analyzing and controlling integrated circuits

    DOEpatents

    Campbell, A.N.; Soden, J.M.

    1998-12-01

    An ion-beam apparatus and method for analyzing and controlling integrated circuits are disclosed. The ion-beam apparatus comprises a stage for holding one or more integrated circuits (ICs); a source means for producing a focused ion beam; and a beam-directing means for directing the focused ion beam to irradiate a predetermined portion of the IC for sufficient time to provide an ion-beam-generated electrical input signal to a predetermined element of the IC. The apparatus and method have applications to failure analysis and developmental analysis of ICs and permit an alteration, control, or programming of logic states or device parameters within the IC either separate from or in combination with applied electrical stimulus to the IC for analysis thereof. Preferred embodiments of the present invention including a secondary particle detector and an electron floodgun further permit imaging of the IC by secondary ions or electrons, and allow at least a partial removal or erasure of the ion-beam-generated electrical input signal. 4 figs.

  15. Ion-beam apparatus and method for analyzing and controlling integrated circuits

    DOEpatents

    Campbell, Ann N.; Soden, Jerry M.

    1998-01-01

    An ion-beam apparatus and method for analyzing and controlling integrated circuits. The ion-beam apparatus comprises a stage for holding one or more integrated circuits (ICs); a source means for producing a focused ion beam; and a beam-directing means for directing the focused ion beam to irradiate a predetermined portion of the IC for sufficient time to provide an ion-beam-generated electrical input signal to a predetermined element of the IC. The apparatus and method have applications to failure analysis and developmental analysis of ICs and permit an alteration, control, or programming of logic states or device parameters within the IC either separate from or in combination with applied electrical stimulus to the IC for analysis thereof. Preferred embodiments of the present invention including a secondary particle detector and an electron floodgun further permit imaging of the IC by secondary ions or electrons, and allow at least a partial removal or erasure of the ion-beam-generated electrical input signal.

  16. High brilliance negative ion and neutral beam source

    DOEpatents

    Compton, Robert N.

    1991-01-01

    A high brilliance mass selected (Z-selected) negative ion and neutral beam source having good energy resolution. The source is based upon laser resonance ionization of atoms or molecules in a small gaseous medium followed by charge exchange through an alkali oven. The source is capable of producing microampere beams of an extremely wide variety of negative ions, and milliampere beams when operated in the pulsed mode.

  17. On the effectiveness of ion range determination from in-beam PET data

    NASA Astrophysics Data System (ADS)

    Fiedler, Fine; Shakirin, Georgy; Skowron, Judith; Braess, Henning; Crespo, Paulo; Kunath, Daniela; Pawelke, Jörg; Pönisch, Falk; Enghardt, Wolfgang

    2010-04-01

    At present, in-beam positron emission tomography (PET) is the only method for in vivo and in situ range verification in ion therapy. At the GSI Helmholtzzentrum für Schwerionenforschung GmbH (GSI) Darmstadt, Germany, a unique in-beam PET installation has been operated from 1997 until the shut down of the carbon ion therapy facility in 2008. Therapeutic irradiation by means of 12C ion beams of more than 400 patients have been monitored. In this paper a first quantitative study on the accuracy of the in-beam PET method to detect range deviations between planned and applied treatment in clinically relevant situations using simulations based on clinical data is presented. Patient treatment plans were used for performing simulations of positron emitter distributions. For each patient a range difference of ± 6 mm in water was applied and compared to simulations without any changes. The comparisons were performed manually by six experienced evaluators for data of 81 patients. The number of patients required for the study was calculated using the outcome of a pilot study. The results indicate a sensitivity of (91 ± 3)% and a specificity of (96 ± 2)% for detecting an overrange, a reduced range is recognized with a sensitivity of (92 ± 3)% and a specificity of (96 ± 2)%. The positive and the negative predictive value of this method are 94% and 87%, respectively. The interobserver coefficient of variation is between 3 and 8%. The in-beam PET method demonstrated a high sensitivity and specificity for the detection of range deviations. As the range is a most indicative factor of deviations in the dose delivery, the promising results shown in this paper confirm the in-beam PET method as an appropriate tool for monitoring ion therapy.

  18. Ion Beam Characterization of a NEXT Multi-Thruster Array Plume

    NASA Technical Reports Server (NTRS)

    Pencil, Eric J.; Foster, John E.; Patterson, Michael J.; Diaz, Esther M.; Van Noord, Jonathan L.; McEwen, Heather K.

    2006-01-01

    Three operational, engineering model, 7-kW ion thrusters and one instrumented, dormant thruster were installed in a cluster array in a large vacuum facility at NASA Glenn Research Center. A series of engineering demonstration tests were performed to evaluate the system performance impacts of operating various multiple-thruster configurations in an array. A suite of diagnostics was installed to investigate multiple-thruster operation impact on thruster performance and life, thermal interactions, and alternative system modes and architectures. The ion beam characterization included measuring ion current density profiles and ion energy distribution with Faraday probes and retarding potential analyzers, respectively. This report focuses on the ion beam characterization during single thruster operation, multiple thruster operation, various neutralizer configurations, and thruster gimbal articulation. Comparison of beam profiles collected during single and multiple thruster operation demonstrated the utility of superimposing single engine beam profiles to predict multi-thruster beam profiles. High energy ions were detected in the region 45 off the thruster axis, independent of thruster power, number of operating thrusters, and facility background pressure, which indicated that the most probable ion energy was not effected by multiple-thruster operation. There were no significant changes to the beam profiles collected during alternate thruster-neutralizer configurations, therefore supporting the viability of alternative system configuration options. Articulation of one thruster shifted its beam profile, whereas the beam profile of a stationary thruster nearby did not change, indicating there were no beam interactions which was consistent with the behavior of a collisionless beam expansion.

  19. Multiple ion beam irradiation for the study of radiation damage in materials

    NASA Astrophysics Data System (ADS)

    Taller, Stephen; Woodley, David; Getto, Elizabeth; Monterrosa, Anthony M.; Jiao, Zhijie; Toader, Ovidiu; Naab, Fabian; Kubley, Thomas; Dwaraknath, Shyam; Was, Gary S.

    2017-12-01

    The effects of transmutation produced helium and hydrogen must be included in ion irradiation experiments to emulate the microstructure of reactor irradiated materials. Descriptions of the criteria and systems necessary for multiple ion beam irradiation are presented and validated experimentally. A calculation methodology was developed to quantify the spatial distribution, implantation depth and amount of energy-degraded and implanted light ions when using a thin foil rotating energy degrader during multi-ion beam irradiation. A dual ion implantation using 1.34 MeV Fe+ ions and energy-degraded D+ ions was conducted on single crystal silicon to benchmark the dosimetry used for multi-ion beam irradiations. Secondary Ion Mass Spectroscopy (SIMS) analysis showed good agreement with calculations of the peak implantation depth and the total amount of iron and deuterium implanted. The results establish the capability to quantify the ion fluence from both heavy ion beams and energy-degraded light ion beams for the purpose of using multi-ion beam irradiations to emulate reactor irradiated microstructures.

  20. Ion traps for precision experiments at rare-isotope-beam facilities

    NASA Astrophysics Data System (ADS)

    Kwiatkowski, Anna

    2016-09-01

    Ion traps first entered experimental nuclear physics when the ISOLTRAP team demonstrated Penning trap mass spectrometry of radionuclides. From then on, the demand for ion traps has grown at radioactive-ion-beam (RIB) facilities since beams can be tailored for the desired experiment. Ion traps have been deployed for beam preparation, from bunching (thereby allowing time coincidences) to beam purification. Isomerically pure beams needed for nuclear-structure investigations can be prepared for trap-assisted or in-trap decay spectroscopy. The latter permits studies of highly charged ions for stellar evolution, which would be impossible with traditional experimental nuclear-physics methods. Moreover, the textbook-like conditions and advanced ion manipulation - even of a single ion - permit high-precision experiments. Consequently, the most accurate and precise mass measurements are now performed in Penning traps. After a brief introduction to ion trapping, I will focus on examples which showcase the versatility and utility of the technique at RIB facilities. I will demonstrate how this atomic-physics technique has been integrated into nuclear science, accelerator physics, and chemistry. DOE.

  1. Monte Carlo simulations of nanoscale focused neon ion beam sputtering.

    PubMed

    Timilsina, Rajendra; Rack, Philip D

    2013-12-13

    A Monte Carlo simulation is developed to model the physical sputtering of aluminum and tungsten emulating nanoscale focused helium and neon ion beam etching from the gas field ion microscope. Neon beams with different beam energies (0.5-30 keV) and a constant beam diameter (Gaussian with full-width-at-half-maximum of 1 nm) were simulated to elucidate the nanostructure evolution during the physical sputtering of nanoscale high aspect ratio features. The aspect ratio and sputter yield vary with the ion species and beam energy for a constant beam diameter and are related to the distribution of the nuclear energy loss. Neon ions have a larger sputter yield than the helium ions due to their larger mass and consequently larger nuclear energy loss relative to helium. Quantitative information such as the sputtering yields, the energy-dependent aspect ratios and resolution-limiting effects are discussed.

  2. Electron cyclotron resonance ion source experience at the Heidelberg Ion Beam Therapy Centera)

    NASA Astrophysics Data System (ADS)

    Winkelmann, T.; Cee, R.; Haberer, T.; Naas, B.; Peters, A.; Scheloske, S.; Spädtke, P.; Tinschert, K.

    2008-02-01

    Radiotherapy with heavy ions is an upcoming cancer treatment method with to date unparalleled precision. It associates higher control rates particularly for radiation resistant tumor species with reduced adverse effects compared to conventional photon therapy. The accelerator beam lines and structures of the Heidelberg Ion Beam Therapy Center (HIT) have been designed under the leadership of GSI, Darmstadt with contributions of the IAP Frankfurt. Currently, the accelerator is under commissioning, while the injector linac has been completed. When the patient treatment begins in 2008, HIT will be the first medical heavy ion accelerator in Europe. This presentation will provide an overview about the project, with special attention given to the 14.5GHz electron cyclotron resonance (ECR) ion sources in operation with carbon, hydrogen, helium, and oxygen, and the experience of one year of continuous operation. It also displays examples for beam emittances, measured in the low energy beam transport. In addition to the outlook of further developments at the ECR ion sources for a continuously stable operation, this paper focuses on some of the technical processings of the past year.

  3. In situ mitigation of subsurface and peripheral focused ion beam damage via simultaneous pulsed laser heating

    DOE PAGES

    Stanford, Michael G.; Lewis, Brett B.; Iberi, Vighter O.; ...

    2016-02-16

    Focused helium and neon ion (He(+)/Ne(+) ) beam processing has recently been used to push resolution limits of direct-write nanoscale synthesis. The ubiquitous insertion of focused He(+) /Ne(+) beams as the next-generation nanofabrication tool-of-choice is currently limited by deleterious subsurface and peripheral damage induced by the energetic ions in the underlying substrate. The in situ mitigation of subsurface damage induced by He(+)/Ne(+) ion exposures in silicon via a synchronized infrared pulsed laser-assisted process is demonstrated. The pulsed laser assist provides highly localized in situ photothermal energy which reduces the implantation and defect concentration by greater than 90%. The laser-assisted exposuremore » process is also shown to reduce peripheral defects in He(+) patterned graphene, which makes this process an attractive candidate for direct-write patterning of 2D materials. In conclusion, these results offer a necessary solution for the applicability of high-resolution direct-write nanoscale material processing via focused ion beams.« less

  4. The Electron Beam Ion Source (EBIS)

    ScienceCinema

    Brookhaven Lab

    2017-12-09

    Brookhaven National Lab has successfully developed a new pre-injector system, called the Electron Beam Ion Source, for the Relativistic Heavy Ion Collider (RHIC) and NASA Space Radiation Laboratory science programs. The first of several planned improvemen

  5. Spatial Studies of Ion Beams in an Expanding Plasma

    NASA Astrophysics Data System (ADS)

    Aguirre, Evan; Good, Timothy; Scime, Earl; Thompson, Derek

    2017-10-01

    We report spatially resolved perpendicular and parallel ion velocity distribution function (IVDF) measurements in an expanding argon helicon plasma. The parallel IVDFs, obtained through laser induced fluorescence (LIF), show an ion beam with v 8 km/s flowing downstream that is confined to the center of the discharge. The ion beam is confined to within a few centimeters radially and is measurable for tens of centimeters axially before the LIF signal fades, likely a result of metastable quenching of the beam ions. The axial ion beam velocity slows in agreement with collisional processes. The perpendicular IVDFs show an ion population with a radially outward flow that increases with radial location. The DC electric field, electron temperature, and the plasma density in the double layer plume are all consistent with magnetic field aligned structures. The upstream and downstream electric field measurements show clear evidence of an ion hole that maps along the magnetic field at the edge of the plasma. Current theories and simulations of double layers, which are one-dimensional, completely miss these critically important two-dimensional features.

  6. A comparative study on low-energy ion beam and neutralized beam modifications of naked DNA and biological effect on mutation

    NASA Astrophysics Data System (ADS)

    Sarapirom, S.; Thongkumkoon, P.; Prakrajang, K.; Anuntalabhochai, S.; Yu, L. D.

    2012-02-01

    DNA conformation change or damage induced by low-energy ion irradiation has been of great interest owing to research developments in ion beam biotechnology and ion beam application in biomedicine. Mechanisms involved in the induction of DNA damage may account for effect from implanting ion charge. In order to check this effect, we used both ion beam and neutralized beam at keV energy to bombard naked DNA. Argon or nitrogen ion beam was generated and extracted from a radiofrequency (RF) ion source and neutralized by microwave-driven plasma in the beam path. Plasmid DNA pGFP samples were irradiated with the ion or neutralized beam in vacuum, followed by gel electrophoresis to observe changes in the DNA conformations. It was revealed that the ion charge played a certain role in inducing DNA conformation change. The subsequent DNA transfer into bacteria Escherichia coli ( E. coli) for mutation analysis indicated that the charged ion beam induced DNA change had high potential in mutation induction while neutralized beam did not. The intrinsic reason was attributed to additional DNA deformation and contortion caused by ion charge exchange effect so that the ion beam induced DNA damage could hardly be completely repaired, whereas the neutralized beam induced DNA change could be more easily recoverable owing to absence of the additional DNA deformation and contortion.

  7. Ohmic Contact Fabrication Using a Focused-ion Beam Technique and Electrical Characterization for Layer Semiconductor Nanostructures.

    PubMed

    Chen, Ruei-San; Tang, Chih-Che; Shen, Wei-Chu; Huang, Ying-Sheng

    2015-12-05

    Layer semiconductors with easily processed two-dimensional (2D) structures exhibit indirect-to-direct bandgap transitions and superior transistor performance, which suggest a new direction for the development of next-generation ultrathin and flexible photonic and electronic devices. Enhanced luminescence quantum efficiency has been widely observed in these atomically thin 2D crystals. However, dimension effects beyond quantum confinement thicknesses or even at the micrometer scale are not expected and have rarely been observed. In this study, molybdenum diselenide (MoSe2) layer crystals with a thickness range of 6-2,700 nm were fabricated as two- or four-terminal devices. Ohmic contact formation was successfully achieved by the focused-ion beam (FIB) deposition method using platinum (Pt) as a contact metal. Layer crystals with various thicknesses were prepared through simple mechanical exfoliation by using dicing tape. Current-voltage curve measurements were performed to determine the conductivity value of the layer nanocrystals. In addition, high-resolution transmission electron microscopy, selected-area electron diffractometry, and energy-dispersive X-ray spectroscopy were used to characterize the interface of the metal-semiconductor contact of the FIB-fabricated MoSe2 devices. After applying the approaches, the substantial thickness-dependent electrical conductivity in a wide thickness range for the MoSe2-layer semiconductor was observed. The conductivity increased by over two orders of magnitude from 4.6 to 1,500 Ω(-) (1) cm(-) (1), with a decrease in the thickness from 2,700 to 6 nm. In addition, the temperature-dependent conductivity indicated that the thin MoSe2 multilayers exhibited considerably weak semiconducting behavior with activation energies of 3.5-8.5 meV, which are considerably smaller than those (36-38 meV) of the bulk. Probable surface-dominant transport properties and the presence of a high surface electron concentration in MoSe2 are proposed

  8. Ohmic Contact Fabrication Using a Focused-ion Beam Technique and Electrical Characterization for Layer Semiconductor Nanostructures

    PubMed Central

    Chen, Ruei-San; Tang, Chih-Che; Shen, Wei-Chu; Huang, Ying-Sheng

    2015-01-01

    Layer semiconductors with easily processed two-dimensional (2D) structures exhibit indirect-to-direct bandgap transitions and superior transistor performance, which suggest a new direction for the development of next-generation ultrathin and flexible photonic and electronic devices. Enhanced luminescence quantum efficiency has been widely observed in these atomically thin 2D crystals. However, dimension effects beyond quantum confinement thicknesses or even at the micrometer scale are not expected and have rarely been observed. In this study, molybdenum diselenide (MoSe2) layer crystals with a thickness range of 6-2,700 nm were fabricated as two- or four-terminal devices. Ohmic contact formation was successfully achieved by the focused-ion beam (FIB) deposition method using platinum (Pt) as a contact metal. Layer crystals with various thicknesses were prepared through simple mechanical exfoliation by using dicing tape. Current-voltage curve measurements were performed to determine the conductivity value of the layer nanocrystals. In addition, high-resolution transmission electron microscopy, selected-area electron diffractometry, and energy-dispersive X-ray spectroscopy were used to characterize the interface of the metal–semiconductor contact of the FIB-fabricated MoSe2 devices. After applying the approaches, the substantial thickness-dependent electrical conductivity in a wide thickness range for the MoSe2-layer semiconductor was observed. The conductivity increased by over two orders of magnitude from 4.6 to 1,500 Ω−1 cm−1, with a decrease in the thickness from 2,700 to 6 nm. In addition, the temperature-dependent conductivity indicated that the thin MoSe2 multilayers exhibited considerably weak semiconducting behavior with activation energies of 3.5-8.5 meV, which are considerably smaller than those (36-38 meV) of the bulk. Probable surface-dominant transport properties and the presence of a high surface electron concentration in MoSe2 are proposed

  9. Electrical shielding box measurement of the negative hydrogen beam from Penning ion gauge ion source.

    PubMed

    Wang, T; Yang, Z; Dong, P; long, J D; He, X Z; Wang, X; Zhang, K Z; Zhang, L W

    2012-06-01

    The cold-cathode Penning ion gauge (PIG) type ion source has been used for generation of negative hydrogen (H(-)) ions as the internal ion source of a compact cyclotron. A novel method called electrical shielding box dc beam measurement is described in this paper, and the beam intensity was measured under dc extraction inside an electrical shielding box. The results of the trajectory simulation and dc H(-) beam extraction measurement were presented. The effect of gas flow rate, magnetic field strength, arc current, and extraction voltage were also discussed. In conclusion, the dc H(-) beam current of about 4 mA from the PIG ion source with the puller voltage of 40 kV and arc current of 1.31 A was extrapolated from the measurement at low extraction dc voltages.

  10. Cleaning techniques for intense ion beam sources

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Menge, P.R.; Cuneo, M.E.; Bailey, J.E.

    Generation of high power lithium ion beams on the SABRE (1TW) and PBFA-X (20 TW) accelerators have been limited by the parallel acceleration of contaminant ions. during the beam pulse lithium is replaced by protons and carbon ions. This replacement is accompanied by rapid impedance decay of the diode. The contaminant hydrogen and carbon is believed to originate from impurity molecules on the surface and in the bulk of the lithium ion source and its substrate material. Cleaning techniques designed to remove hydrocarbons from the ion source have been employed with some success in test stand experiments and on SABRE.more » The test stand experiments have shown that a lithium fluoride (LiF) ion source film can accrue dozens of hydrocarbon monolayers on its surface while sitting in vacuum. Application of 13.5 MHz RF discharge cleaning with 90% Ar/10% O{sub 2} can significantly reduce the surface hydrocarbon layers on the LiF film. On SABRE, combinations of RF discharge cleaning, anode heating, layering gold between the source film (LiF) and its substrate, and cryogenic cathode cooling produced an increase by a factor of 1.5--2 in the quantity of high energy lithium in the ion beam. A corresponding decrease in protons and carbon ions was also observed. Cleaning experiments on PBFA-X are underway. New designs of contamination resistant films and Li ion sources are currently being investigated.« less

  11. Studies on ion scattering and sputtering processes relevant to ion beam sputter deposition of multicomponent thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Auciello, O.; Ameen, M.S.; Kingon, A.I.

    1989-01-01

    Results from computer simulation and experiments on ion scattering and sputtering processes in ion beam sputter deposition of high Tc superconducting and ferroelectric thin films are presented. It is demonstrated that scattering of neutralized ions from the targets can result in undesirable erosion of, and inert gas incorporation in, the growing films, depending on the ion/target atom ass ratio and ion beam angle of incidence/target/substrate geometry. The studies indicate that sputtering Kr{sup +} or Xe{sup +} ions is preferable to the most commonly used Ar{sup +} ions, since the undesirable phenomena mentioned above are minimized for the first two ions.more » These results are used to determine optimum sputter deposition geometry and ion beam parameters for growing multicomponent oxide thin films by ion beam sputter-deposition. 10 refs., 5 figs.« less

  12. ARCS 3 ionospheric artificial argon ion beam injections - Waves near the heavy ion gyrofrequencies

    NASA Technical Reports Server (NTRS)

    Erlandson, R. E.; Cahill, L. J., Jr.; Kaufmann, R. L.; Arnoldy, R. L.; Pollock, C. J.

    1989-01-01

    Low-frequency electric field data below the proton gyrofrequency are presented for the duration of the argon ion beam experiment conducted as part of the Argon Release for Controlled Studies (ARCS) program. An argon ion beam was injected from the subpayload antiparallel or perpendicular to the magnetic field at altitudes from 250 to 405 km. During the injections, the wave spectra were broadband near the subpayload and narrow-band near heavy ion gyrofrequencies at perpendicular separation distances between 42 and 254 m. It is suggested that the narrow-band waves are associated with both the perpendicular argon ion beam and an unexpected flux of low-energy ions which peaked in energy near 15 eV and pitch angle near 90 deg with respect to the magnetic field.

  13. Compact electrostatic beam optics for multi-element focused ion beams: simulation and experiments.

    PubMed

    Mathew, Jose V; Bhattacharjee, Sudeep

    2011-01-01

    Electrostatic beam optics for a multi-element focused ion beam (MEFIB) system comprising of a microwave multicusp plasma (ion) source is designed with the help of two widely known and commercially available beam simulation codes: AXCEL-INP and SIMION. The input parameters to the simulations are obtained from experiments carried out in the system. A single and a double Einzel lens system (ELS) with and without beam limiting apertures (S) have been investigated. For a 1 mm beam at the plasma electrode aperture, the rms emittance of the focused ion beam is found to reduce from ∼0.9 mm mrad for single ELS to ∼0.5 mm mrad for a double ELS, when S of 0.5 mm aperture size is employed. The emittance can be further improved to ∼0.1 mm mrad by maintaining S at ground potential, leading to reduction in beam spot size (∼10 μm). The double ELS design is optimized for different electrode geometrical parameters with tolerances of ±1 mm in electrode thickness, electrode aperture, inter electrode distance, and ±1° in electrode angle, providing a robust design. Experimental results obtained with the double ELS for the focused beam current and spot size, agree reasonably well with the simulations.

  14. Visualization and analysis of pulsed ion beam energy density profile with infrared imaging

    NASA Astrophysics Data System (ADS)

    Isakova, Y. I.; Pushkarev, A. I.

    2018-03-01

    Infrared imaging technique was used as a surface temperature-mapping tool to characterize the energy density distribution of intense pulsed ion beams on a thin metal target. The technique enables the measuring of the total ion beam energy and the energy density distribution along the cross section and allows one to optimize the operation of an ion diode and control target irradiation mode. The diagnostics was tested on the TEMP-4M accelerator at TPU, Tomsk, Russia and on the TEMP-6 accelerator at DUT, Dalian, China. The diagnostics was applied in studies of the dynamics of the target cooling in vacuum after irradiation and in the experiments with target ablation. Errors caused by the target ablation and target cooling during measurements have been analyzed. For Fluke Ti10 and Fluke Ti400 infrared cameras, the technique can achieve surface energy density sensitivity of 0.05 J/cm2 and spatial resolution of 1-2 mm. The thermal imaging diagnostics does not require expensive consumed materials. The measurement time does not exceed 0.1 s; therefore, this diagnostics can be used for the prompt evaluation of the energy density distribution of a pulsed ion beam and during automation of the irradiation process.

  15. Advancement of highly charged ion beam production by superconducting ECR ion source SECRAL (invited)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sun, L., E-mail: sunlt@impcas.ac.cn; Lu, W.; Zhang, W. H.

    2016-02-15

    At Institute of Modern Physics (IMP), Chinese Academy of Sciences (CAS), the superconducting Electron Cyclotron Resonance (ECR) ion source SECRAL (Superconducting ECR ion source with Advanced design in Lanzhou) has been put into operation for about 10 years now. It has been the main working horse to deliver intense highly charged heavy ion beams for the accelerators. Since its first plasma at 18 GHz, R&D work towards more intense highly charged ion beam production as well as the beam quality investigation has never been stopped. When SECRAL was upgraded to its typical operation frequency 24 GHz, it had already showedmore » its promising capacity of very intense highly charged ion beam production. And it has also provided the strong experimental support for the so called scaling laws of microwave frequency effect. However, compared to the microwave power heating efficiency at 18 GHz, 24 GHz microwave heating does not show the ω{sup 2} scale at the same power level, which indicates that microwave power coupling at gyrotron frequency needs better understanding. In this paper, after a review of the operation status of SECRAL with regard to the beam availability and stability, the recent study of the extracted ion beam transverse coupling issues will be discussed, and the test results of the both TE{sub 01} and HE{sub 11} modes will be presented. A general comparison of the performance working with the two injection modes will be given, and a preliminary analysis will be introduced. The latest results of the production of very intense highly charged ion beams, such as 1.42 emA Ar{sup 12+}, 0.92 emA Xe{sup 27+}, and so on, will be presented.« less

  16. Iodine enhanced focused-ion-beam etching of silicon for photonic applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schrauwen, Jonathan; Thourhout, Dries van; Baets, Roel

    Focused-ion-beam etching of silicon enables fast and versatile fabrication of micro- and nanophotonic devices. However, large optical losses due to crystal damage and ion implantation make the devices impractical when the optical mode is confined near the etched region. These losses are shown to be reduced by the local implantation and etching of silicon waveguides with iodine gas enhancement, followed by baking at 300 deg. C. The excess optical loss in the silicon waveguides drops from 3500 to 1700 dB/cm when iodine gas is used, and is further reduced to 200 dB/cm after baking at 300 deg. C. We presentmore » elemental and chemical surface analyses supporting that this is caused by the desorption of iodine from the silicon surface. Finally we present a model to extract the absorption coefficient from the measurements.« less

  17. BEARS: Radioactive Ion Beams at Berkeley

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Powell, J.; Joosten, R.; Donahue, C.A.

    2000-03-14

    A light-isotope radioactive ion beam capability has been added to the 88-Inch Cyclotron at Lawrence Berkeley National Laboratory by coupling to the production cyclotron of the Berkeley Isotope Facility. The connection required the development and construction of a 350 m gas transport system between the two accelerators as well as automated cryogenic separation of the produced activity. The first beam developed, {sup 11}C, has been successfully accelerated with an on-target intensity of 1 x 10{sup 8} ions/sec at energies of around 10 MeV/u.

  18. A review of studies on ion thruster beam and charge-exchange plasmas

    NASA Technical Reports Server (NTRS)

    Carruth, M. R., Jr.

    1982-01-01

    Various experimental and analytical studies of the primary beam and charge-exchange plasmas of ion thrusters are reviewed. The history of plasma beam research is recounted, emphasizing experiments on beam neutralization, expansion of the beam, and determination of beam parameters such as electron temperature, plasma density, and plasma potential. The development of modern electron bombardment ion thrusters is treated, detailing experimental results. Studies on charge-exchange plasma are discussed, showing results such as the relationship between neutralizer emission current and plasma beam potential, ion energies as a function of neutralizer bias, charge-exchange ion current collected by an axially moving Faraday cup-RPA for 8-cm and 30-cm ion thrusters, beam density and potential data from a 15-cm ion thruster, and charge-exchange ion flow around a 30-cm thruster. A 20-cm thruster electrical configuration is depicted and facility effects are discussed. Finally, plasma modeling is covered in detail for plasma beam and charge-exchange plasma.

  19. Beam ion susceptibility to loss in NSTX-U plasmas

    NASA Astrophysics Data System (ADS)

    Darrow, Douglass; Fredrickson, Eric; Podesta, Mario; Liu, Deyong; White, Roscoe

    2016-10-01

    NSTX-U has operated with three additional neutral beam sources whose tangency radii of 1.1, 1.2, and 1.3 m are significantly larger than the 0.5, 0.6, and 0.7 m tangency radii of the neutral beams previously used in NSTX. These latter beams have also be retained for NSTX-U. Here, we present an estimate of the susceptibility of the beam ions from all the various sources to loss under a range of NSTX-U plasma conditions. This estimation is based upon TRANSP calculations of beam ion deposition in phase space, and the location of the FLR-corrected loss boundary in that phase space. Since losses are often observed at the injection energy, a simple measure of loss susceptibility is the change in canonical toroidal momentum required to move beam ions from their deposition point to the loss boundary, as a function of magnetic moment. To augment this simple estimate, we intend to report some associated transport coefficients of beam ions due to AE activity. Work supported by U.S. DOE DE-AC0209CH11466, DE-FG02-06ER54867, and DE-FG03-02ER54681.

  20. First experimental-based characterization of oxygen ion beam depth dose distributions at the Heidelberg Ion-Beam Therapy Center

    NASA Astrophysics Data System (ADS)

    Kurz, C.; Mairani, A.; Parodi, K.

    2012-08-01

    Over the last decades, the application of proton and heavy-ion beams to external beam radiotherapy has rapidly increased. Due to the favourable lateral and depth dose profile, the superposition of narrow ion pencil beams may enable a highly conformal dose delivery to the tumour, with better sparing of the surrounding healthy tissue in comparison to conventional radiation therapy with photons. To fully exploit the promised clinical advantages of ion beams, an accurate planning of the patient treatments is required. The clinical treatment planning system (TPS) at the Heidelberg Ion-Beam Therapy Center (HIT) is based on a fast performing analytical algorithm for dose calculation, relying, among others, on laterally integrated depth dose distributions (DDDs) simulated with the FLUKA Monte Carlo (MC) code. Important input parameters of these simulations need to be derived from a comparison of the simulated DDDs with measurements. In this work, the first measurements of 16O ion DDDs at HIT are presented with a focus on the determined Bragg peak positions and the understanding of factors influencing the shape of the distributions. The measurements are compared to different simulation approaches aiming to reproduce the acquired data at best. A simplified geometrical model is first used to optimize important input parameters, not known a priori, in the simulations. This method is then compared to a more realistic, but also more time-consuming simulation approach better accounting for the experimental set-up and the measuring process. The results of this work contributed to a pre-clinical oxygen ion beam database, which is currently used by a research TPS for corresponding radio-biological cell experiments. A future extension to a clinical database used by the clinical TPS at HIT is foreseen. As a side effect, the performed investigations showed that the typical water equivalent calibration approach of experimental data acquired with water column systems leads to slight

  1. Beam dynamics in heavy ion induction LINACS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Smith, L.

    1981-10-01

    Interest in the use of an induction linac to accelerate heavy ions for the purpose of providing the energy required to initiate an inertially confined fusion reaction has stimulated a theoretical effort to investigate various beam dynamical effects associated with high intensity heavy ion beams. This paper presents a summary of the work that has been done so far; transverse, longitudinal and coupled longitudinal transverse effects are discussed.

  2. Apparatus for reduction of selected ion intensities in confined ion beams

    DOEpatents

    Eiden, Gregory C.; Barinaga, Charles J.; Koppenaal, David W.

    2001-01-01

    An apparatus for producing an ion beam having an increased proportion of analyte ions compared to carrier gas ions is disclosed. Specifically, the apparatus has an ion trap or a collision cell containing a reagent gas wherein the reagent gas accepts charge from the analyte ions thereby selectively neutralizing the carrier gas ions. Also disclosed is the collision cell as employed in various locations within analytical instruments including an inductively coupled plasma mass spectrometer.

  3. Fast neutral beam ion source coupled to a Fourier transform ion cyclotron resonance mass spectrometer

    NASA Astrophysics Data System (ADS)

    Hill, Nicholas C.; Limbach, Patrick A.; Shomo, Ronald E., II; Marshall, Alan G.; Appelhans, Anthony D.; Delmore, James E.

    1991-11-01

    The coupling of an autoneutralizing SF-6 fast ion-beam gun to a Fourier transform ion cyclotron resonance (FT/ICR) mass spectrometer is described. The fast neutral beam provides for secondary-ion-type FT/ICR mass analysis [e.g., production of abundant pseudomolecular (M+H)+ ions] of involatile samples without the need for external ion injection, since ions are formed at the entrance to the ICR ion trap. The design, construction, and testing of the hybrid instrument are described. The feasibility of the experiment (for both broadband and high-resolution FT/ICR positive-ion mass spectra) is demonstrated with tetra-butylammonium bromide and a Tylenol■ sample. The ability to analyze high molecular weight polymers with high mass resolution is demonstrated for Teflon■. All of the advantages of the fast neutral beam ion source previously demonstrated with quadrupole mass analysis are preserved, and the additional advantages of FT/ICR mass analysis (e.g., high mass resolving power, ion trapping) are retained.

  4. Shear Strengthening of RC Deep Beam Using Externally Bonded GFRP Fabrics

    NASA Astrophysics Data System (ADS)

    Kumari, A.; Patel, S. S.; Nayak, A. N.

    2018-06-01

    This work presents the experimental investigation of RC deep beams wrapped with externally bonded Glass Fibre Reinforced Polymer (GFRP) fabrics in order to study the Load versus deflection behavior, cracking pattern, failure modes and ultimate shear strength. A total number of five deep beams have been casted, which is designed with conventional steel reinforcement as per IS: 456 (Indian standard plain and reinforced concrete—code for practice, Bureau of Indian Standards, New Delhi, 2000). The spans to depth ratio for all RC deep beams have been kept less than 2 as per the above specification. Out of five RC deep beams, one without retrofitting serves as a reference beam and the rest four have been wrapped with GFRP fabrics in multiple layers and tested with two point loading condition. The first cracking load, ultimate load and the shear contribution of GFRP to the deep beams have been observed. A critical discussion is made with respect to the enhancement of the strength, behaviour and performance of retrofitted deep beams in comparison to the deep beam without GFRP in order to explore the potential use of GFRP for strengthening the RC deep beams. Test results have demonstrated that the deep beams retrofitted with GFRP shows a slower development of the diagonal cracks and improves shear carrying capacity of the RC deep beam. A comparative study of the experimental results with the theoretical ones predicted by various researchers available in the literatures has also been presented. It is observed that the ultimate load of the beams retrofitted with GFRP fabrics increases with increase of number of GFRP layers up to a specific number of layers, i.e. 3 layers, beyond which it decreases.

  5. Shear Strengthening of RC Deep Beam Using Externally Bonded GFRP Fabrics

    NASA Astrophysics Data System (ADS)

    Kumari, A.; Patel, S. S.; Nayak, A. N.

    2018-02-01

    This work presents the experimental investigation of RC deep beams wrapped with externally bonded Glass Fibre Reinforced Polymer (GFRP) fabrics in order to study the Load versus deflection behavior, cracking pattern, failure modes and ultimate shear strength. A total number of five deep beams have been casted, which is designed with conventional steel reinforcement as per IS: 456 (Indian standard plain and reinforced concrete—code for practice, Bureau of Indian Standards, New Delhi, 2000). The spans to depth ratio for all RC deep beams have been kept less than 2 as per the above specification. Out of five RC deep beams, one without retrofitting serves as a reference beam and the rest four have been wrapped with GFRP fabrics in multiple layers and tested with two point loading condition. The first cracking load, ultimate load and the shear contribution of GFRP to the deep beams have been observed. A critical discussion is made with respect to the enhancement of the strength, behaviour and performance of retrofitted deep beams in comparison to the deep beam without GFRP in order to explore the potential use of GFRP for strengthening the RC deep beams. Test results have demonstrated that the deep beams retrofitted with GFRP shows a slower development of the diagonal cracks and improves shear carrying capacity of the RC deep beam. A comparative study of the experimental results with the theoretical ones predicted by various researchers available in the literatures has also been presented. It is observed that the ultimate load of the beams retrofitted with GFRP fabrics increases with increase of number of GFRP layers up to a specific number of layers, i.e. 3 layers, beyond which it decreases.

  6. Three dimensional reconstruction of therapeutic carbon ion beams in phantoms using single secondary ion tracks

    NASA Astrophysics Data System (ADS)

    Reinhart, Anna Merle; Spindeldreier, Claudia Katharina; Jakubek, Jan; Martišíková, Mária

    2017-06-01

    Carbon ion beam radiotherapy enables a very localised dose deposition. However, even small changes in the patient geometry or positioning errors can significantly distort the dose distribution. A live, non-invasive monitoring system of the beam delivery within the patient is therefore highly desirable, and could improve patient treatment. We present a novel three-dimensional method for imaging the beam in the irradiated object, exploiting the measured tracks of single secondary ions emerging under irradiation. The secondary particle tracks are detected with a TimePix stack—a set of parallel pixelated semiconductor detectors. We developed a three-dimensional reconstruction algorithm based on maximum likelihood expectation maximization. We demonstrate the applicability of the new method in the irradiation of a cylindrical PMMA phantom of human head size with a carbon ion pencil beam of {226} MeV u-1. The beam image in the phantom is reconstructed from a set of nine discrete detector positions between {-80}^\\circ and {50}^\\circ from the beam axis. Furthermore, we demonstrate the potential to visualize inhomogeneities by irradiating a PMMA phantom with an air gap as well as bone and adipose tissue surrogate inserts. We successfully reconstructed a three-dimensional image of the treatment beam in the phantom from single secondary ion tracks. The beam image corresponds well to the beam direction and energy. In addition, cylindrical inhomogeneities with a diameter of {2.85} cm and density differences down to {0.3} g cm-3 to the surrounding material are clearly visualized. This novel three-dimensional method to image a therapeutic carbon ion beam in the irradiated object does not interfere with the treatment and requires knowledge only of single secondary ion tracks. Even with detectors with only a small angular coverage, the three-dimensional reconstruction of the fragmentation points presented in this work was found to be feasible.

  7. Three dimensional reconstruction of therapeutic carbon ion beams in phantoms using single secondary ion tracks.

    PubMed

    Reinhart, Anna Merle; Spindeldreier, Claudia Katharina; Jakubek, Jan; Martišíková, Mária

    2017-06-21

    Carbon ion beam radiotherapy enables a very localised dose deposition. However, even small changes in the patient geometry or positioning errors can significantly distort the dose distribution. A live, non-invasive monitoring system of the beam delivery within the patient is therefore highly desirable, and could improve patient treatment. We present a novel three-dimensional method for imaging the beam in the irradiated object, exploiting the measured tracks of single secondary ions emerging under irradiation. The secondary particle tracks are detected with a TimePix stack-a set of parallel pixelated semiconductor detectors. We developed a three-dimensional reconstruction algorithm based on maximum likelihood expectation maximization. We demonstrate the applicability of the new method in the irradiation of a cylindrical PMMA phantom of human head size with a carbon ion pencil beam of [Formula: see text] MeV u -1 . The beam image in the phantom is reconstructed from a set of nine discrete detector positions between [Formula: see text] and [Formula: see text] from the beam axis. Furthermore, we demonstrate the potential to visualize inhomogeneities by irradiating a PMMA phantom with an air gap as well as bone and adipose tissue surrogate inserts. We successfully reconstructed a three-dimensional image of the treatment beam in the phantom from single secondary ion tracks. The beam image corresponds well to the beam direction and energy. In addition, cylindrical inhomogeneities with a diameter of [Formula: see text] cm and density differences down to [Formula: see text] g cm -3 to the surrounding material are clearly visualized. This novel three-dimensional method to image a therapeutic carbon ion beam in the irradiated object does not interfere with the treatment and requires knowledge only of single secondary ion tracks. Even with detectors with only a small angular coverage, the three-dimensional reconstruction of the fragmentation points presented in this work was

  8. Analysis of Neutron Production in Passively Scattered Ion-Beam Therapy.

    PubMed

    Heo, Seunguk; Yoo, Seunghoon; Song, Yongkeun; Kim, Eunho; Shin, Jaeik; Han, Soorim; Jung, Wongyun; Nam, Sanghee; Lee, Rena; Lee, Kitae; Cho, Sungho

    2017-07-01

    A new treatment facility for heavy ion therapy since 2010 was constructed. In the broad beam, a range shifter, ridge filter and multi leaf collimator (MLC) for the generation of the spread-out Bragg peak is used. In this case, secondary neutrons produced by the interactions of the ion field with beam-modifying devices (e.g. double-scattering system, beam shaping collimators and range compensators) are very important for patient safety. Therefore, these components must be carefully examined in the context of secondary neutron yield and associated secondary cancer risk. In this article, Monte Carlo simulation has been carried out with the FLUktuierende KAskade particle transport code, the fluence and distribution of neutron generation and the neutron dose equivalent from the broad beam components are compared using carbon and proton beams. As a result, it is confirmed that the yield of neutron production using a carbon beam from all components of the broad beam was higher than using a proton beam. The ambient dose by neutrons per heavy ion and proton ion from the MLC surface was 0.12-0.18 and 0.0067-0.0087 pSv, respectively, which shows that heavy ions generate more neutrons than protons. However, ambient dose per treatment 2 Gy, which means physical dose during treatment by ion beam, is higher than carbon beam because proton therapy needs more beam flux to make 2-Gy prescription dose. Therefore, the neutron production from the MLC, which is closed to the patient, is a very important parameter for patient safety. © The Author 2016. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  9. Correlation of ion and beam current densities in Kaufman thrusters.

    NASA Technical Reports Server (NTRS)

    Wilbur, P. J.

    1973-01-01

    In the absence of direct impingement erosion, electrostatic thruster accelerator grid lifetime is defined by the charge exchange erosion that occurs at peak values of the ion beam current density. In order to maximize the thrust from an engine with a specified grid lifetime, the ion beam current density profile should therefore be as flat as possible. Knauer (1970) has suggested this can be achieved by establishing a radial plasma uniformity within the thruster discharge chamber; his tests with the radial field thruster provide an example of uniform plasma properties within the chamber and a flat ion beam profile occurring together. It is shown that, in particular, the ion density profile within the chamber determines the beam current density profile, and that a uniform ion density profile at the screen grid end of the discharge chamber should lead to a flat beam current density profile.

  10. A Study of Dip-Coatable, High-Capacitance Ion Gel Dielectrics for 3D EWOD Device Fabrication

    PubMed Central

    Clement, Carlos E.; Jiang, Dongyue; Thio, Si Kuan; Park, Sung-Yong

    2017-01-01

    We present a dip-coatable, high-capacitance ion gel dielectric for scalable fabrication of three-dimensional (3D) electrowetting-on-dielectric (EWOD) devices such as an n × n liquid prism array. Due to the formation of a nanometer-thick electric double layer (EDL) capacitor, an ion gel dielectric offers two to three orders higher specific capacitance (c ≈ 10 μF/cm2) than that of conventional dielectrics such as SiO2. However, the previous spin-coating method used for gel layer deposition poses several issues for 3D EWOD device fabrication, particularly when assembling multiple modules. Not only does the spin-coating process require multiple repetitions per module, but the ion gel layer also comes in risks of damage or contamination due to handling errors caused during assembly. In addition, it was observed that the chemical formulation previously used for the spin-coating method causes the surface defects on the dip-coated gel layers and thus leads to poor EWOD performance. In this paper, we alternatively propose a dip-coating method with modified gel solutions to obtain defect-free, functional ion gel layers without the issues arising from the spin-coating method for 3D device fabrication. A dip-coating approach offers a single-step coating solution with the benefits of simplicity, scalability, and high throughput for deposition of high-capacitance gel layers on non-planar EWOD devices. An ion gel solution was prepared by combining the [EMIM][TFSI] ionic liquid and the [P(VDF-HFP)] copolymer at various wt % ratios in acetone solvent. Experimental studies were conducted to fully understand the effects of chemical composition ratios in the gel solution and how varying thicknesses of ion gel and Teflon layers affects EWOD performance. The effectiveness and potentiality of dip-coatable gel layers for 3D EWOD devices have been demonstrated through fabricating 5 × 1 arrayed liquid prisms using a single-step dip-coating method. Each prism module has been

  11. A Study of Dip-Coatable, High-Capacitance Ion Gel Dielectrics for 3D EWOD Device Fabrication.

    PubMed

    Clement, Carlos E; Jiang, Dongyue; Thio, Si Kuan; Park, Sung-Yong

    2017-01-05

    We present a dip-coatable, high-capacitance ion gel dielectric for scalable fabrication of three-dimensional (3D) electrowetting-on-dielectric (EWOD) devices such as an n × n liquid prism array. Due to the formation of a nanometer-thick electric double layer (EDL) capacitor, an ion gel dielectric offers two to three orders higher specific capacitance ( c ≈ 10 μF/cm²) than that of conventional dielectrics such as SiO₂. However, the previous spin-coating method used for gel layer deposition poses several issues for 3D EWOD device fabrication, particularly when assembling multiple modules. Not only does the spin-coating process require multiple repetitions per module, but the ion gel layer also comes in risks of damage or contamination due to handling errors caused during assembly. In addition, it was observed that the chemical formulation previously used for the spin-coating method causes the surface defects on the dip-coated gel layers and thus leads to poor EWOD performance. In this paper, we alternatively propose a dip-coating method with modified gel solutions to obtain defect-free, functional ion gel layers without the issues arising from the spin-coating method for 3D device fabrication. A dip-coating approach offers a single-step coating solution with the benefits of simplicity, scalability, and high throughput for deposition of high-capacitance gel layers on non-planar EWOD devices. An ion gel solution was prepared by combining the [EMIM][TFSI] ionic liquid and the [P(VDF-HFP)] copolymer at various wt % ratios in acetone solvent. Experimental studies were conducted to fully understand the effects of chemical composition ratios in the gel solution and how varying thicknesses of ion gel and Teflon layers affects EWOD performance. The effectiveness and potentiality of dip-coatable gel layers for 3D EWOD devices have been demonstrated through fabricating 5 × 1 arrayed liquid prisms using a single-step dip-coating method. Each prism module has been

  12. Application of ion thruster technology to a 30-cm multipole sputtering ion source

    NASA Technical Reports Server (NTRS)

    Robinson, R. S.; Kaufman, H. R.

    1976-01-01

    A 30-cm electron-bombardment ion source has been designed and fabricated for micromachining and sputtering applications. This source has a multipole magnetic field that employs permanent magnets between permeable pole pieces. An average ion current density of 1 ma/sq cm with 500-eV argon ions was selected as a design operating condition. The ion beam at this operating condition was uniform and well collimated, with an average variation of + or -5 percent over the center 20 cm of the beam at a distance up to 30 cm from the ion source.

  13. Transfer-free synthesis of graphene-like atomically thin carbon films on SiC by ion beam mixing technique

    NASA Astrophysics Data System (ADS)

    Zhang, Rui; Chen, Fenghua; Wang, Jinbin; Fu, Dejun

    2018-03-01

    Here we demonstrate the synthesis of graphene directly on SiC substrates at 900 °C using ion beam mixing technique with energetic carbon cluster ions on Ni/SiC structures. The thickness of 7-8 nm Ni films was evaporated on the SiC substrates, followed by C cluster ion bombarding. Carbon cluster ions C4 were bombarded at 16 keV with the dosage of 4 × 1016 atoms/cm2. After thermal annealing process Ni silicides were formed, whereas C atoms either from the decomposition of the SiC substrates or the implanted contributes to the graphene synthesis by segregating and precipitating process. The limited solubility of carbon atoms in silicides, involving SiC, Ni2Si, Ni5Si2, Ni3Si, resulted in diffusion and precipitation of carbon atoms to form graphene on top of Ni and the interface of Ni/SiC. The ion beam mixing technique provides an attractive production method of a transfer-free graphene growth on SiC and be compatible with current device fabrication.

  14. Intense laser-driven ion beams in the relativistic-transparency regime: acceleration, control and applications

    NASA Astrophysics Data System (ADS)

    Fernandez, Juan C.

    2016-10-01

    Laser-plasma interactions in the novel regime of relativistically-induced transparency have been harnessed to generate efficiently intense ion beams with average energies exceeding 10 MeV/nucleon (>100 MeV for protons) at ``table-top'' scales. We have discovered and utilized a self-organizing scheme that exploits persisting self-generated plasma electric ( 0.1 TV/m) and magnetic ( 104 Tesla) fields to reduce the ion-energy (Ei) spread after the laser exits the plasma, thus separating acceleration from spread reduction. In this way we routinely generate aluminum and carbon beams with narrow spectral peaks at Ei up to 310 MeV and 220 MeV, respectively, with high efficiency ( 5%). The experimental demonstration has been done at the LANL Trident laser with 0.12 PW, high-contrast, 0.65 ps Gaussian laser pulses irradiating planar foils up to 250 nm thick. In this regime, Ei scales empirically with laser intensity (I) as I 1 / 2. Our progress is enabled by high-fidelity, massive computer simulations of the experiments. This work advances next-generation compact accelerators suitable for new applications. E . g ., a carbon beam with Ei 400 MeV and 10% energy spread is suitable for fast ignition (FI) of compressed DT. The observed scaling suggests that is feasible with existing target fabrication and PW-laser technologies, using a sub-ps laser pulse with I 2.5 ×1021 W/cm2. These beams have been used on Trident to generate warm-dense matter at solid-densities, enabling us to investigate its equation of state and mixing of heterogeneous interfaces purely by plasma effects distinct from hydrodynamics. They also drive an intense neutron-beam source with great promise for important applications such as active interrogation of shielded nuclear materials. Considerations on controlling ion-beam divergence for their increased utility are discussed. Funded by the LANL LDRD program.

  15. Ion beam sputtering of fluoropolymers. [etching polymer films and target surfaces

    NASA Technical Reports Server (NTRS)

    Sovey, J. S.

    1978-01-01

    Ion beam sputter processing rates as well as pertinent characteristics of etched targets and films are described. An argon ion beam source was used to sputter etch and deposit the fluoropolymers PTFE, FEP, and CTFE. Ion beam energy, current density, and target temperature were varied to examine effects on etch and deposition rates. The ion etched fluoropolymers yield cone or spire-like surface structures which vary depending upon the type of polymer, ion beam power density, etch time, and target temperature. Sputter target and film characteristics documented by spectral transmittance measurements, X-ray diffraction, ESCA, and SEM photomicrographs are included.

  16. First test of BNL electron beam ion source with high current density electron beam

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pikin, Alexander, E-mail: pikin@bnl.gov; Alessi, James G., E-mail: pikin@bnl.gov; Beebe, Edward N., E-mail: pikin@bnl.gov

    A new electron gun with electrostatic compression has been installed at the Electron Beam Ion Source (EBIS) Test Stand at BNL. This is a collaborative effort by BNL and CERN teams with a common goal to study an EBIS with electron beam current up to 10 A, current density up to 10,000 A/cm{sup 2} and energy more than 50 keV. Intensive and pure beams of heavy highly charged ions with mass-to-charge ratio < 4.5 are requested by many heavy ion research facilities including NASA Space Radiation Laboratory (NSRL) at BNL and HIE-ISOLDE at CERN. With a multiampere electron gun, themore » EBIS should be capable of delivering highly charged ions for both RHIC facility applications at BNL and for ISOLDE experiments at CERN. Details of the electron gun simulations and design, and the Test EBIS electrostatic and magnetostatic structures with the new electron gun are presented. The experimental results of the electron beam transmission are given.« less

  17. In situ electrostatic characterisation of ion beams in the region of ion acceleration

    NASA Astrophysics Data System (ADS)

    Bennet, Alexander; Charles, Christine; Boswell, Rod

    2018-02-01

    In situ and ex situ techniques have been used to measure directional ion beams created by a sharp axial potential drop in low pressure expanding plasmas. Although Retarding Field Energy Analysers (RFEAs) are the most convenient technique to measure the ion velocities and plasma potentials along with the plasma density, they are bulky and are contained in a grounded shield that may perturb the electric potential profile of the expanding plasma. In principle, ex situ techniques produce a more reliable measurement and Laser Induced Fluorescence spectroscopy (LIF) has previously been used to characterise the spatial velocity profile of ion beams in the same region of acceleration for a range of pressures. Here, satisfactory agreement between the ion velocity profiles measured by LIF and RFEA techniques has allowed the RFEA method to be confidently used to probe the ion beam characteristics in the regions of high gradients in plasma density and DC electric fields which have previously proven difficult.

  18. Sub-micron resolution of localized ion beam induced charge reduction in silicon detectors damaged by heavy ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Auden, Elizabeth C.; Pacheco, Jose L.; Bielejec, Edward

    In this study, displacement damage reduces ion beam induced charge (IBIC) through Shockley-Read-Hall recombination. Closely spaced pulses of 200 keV Si ++ ions focused in a 40 nm beam spot are used to create damage cascades within 0.25 μm 2 areas. Damaged areas are detected through contrast in IBIC signals generated with focused ion beams of 200 keV Si ++ ions and 60 keV Li + ions. IBIC signal reduction can be resolved over sub-micron regions of a silicon detector damaged by as few as 1000 heavy ions.

  19. Sub-micron resolution of localized ion beam induced charge reduction in silicon detectors damaged by heavy ions

    DOE PAGES

    Auden, Elizabeth C.; Pacheco, Jose L.; Bielejec, Edward; ...

    2015-12-01

    In this study, displacement damage reduces ion beam induced charge (IBIC) through Shockley-Read-Hall recombination. Closely spaced pulses of 200 keV Si ++ ions focused in a 40 nm beam spot are used to create damage cascades within 0.25 μm 2 areas. Damaged areas are detected through contrast in IBIC signals generated with focused ion beams of 200 keV Si ++ ions and 60 keV Li + ions. IBIC signal reduction can be resolved over sub-micron regions of a silicon detector damaged by as few as 1000 heavy ions.

  20. Non-invasive monitoring of therapeutic carbon ion beams in a homogeneous phantom by tracking of secondary ions.

    PubMed

    Gwosch, K; Hartmann, B; Jakubek, J; Granja, C; Soukup, P; Jäkel, O; Martišíková, M

    2013-06-07

    Radiotherapy with narrow scanned carbon ion beams enables a highly accurate treatment of tumours while sparing the surrounding healthy tissue. Changes in the patient's geometry can alter the actual ion range in tissue and result in unfavourable changes in the dose distribution. Consequently, it is desired to verify the actual beam delivery within the patient. Real-time and non-invasive measurement methods are preferable. Currently, the only technically feasible method to monitor the delivered dose distribution within the patient is based on tissue activation measurements by means of positron emission tomography (PET). An alternative monitoring method based on tracking of prompt secondary ions leaving a patient irradiated with carbon ion beams has been previously suggested. It is expected to help in overcoming the limitations of the PET-based technique like physiological washout of the beam induced activity, low signal and to allow for real-time measurements. In this paper, measurements of secondary charged particle tracks around a head-sized homogeneous PMMA phantom irradiated with pencil-like carbon ion beams are presented. The investigated energies and beam widths are within the therapeutically used range. The aim of the study is to deduce properties of the primary beam from the distribution of the secondary charged particles. Experiments were performed at the Heidelberg Ion Beam Therapy Center, Germany. The directions of secondary charged particles emerging from the PMMA phantom were measured using an arrangement of two parallel pixelated silicon detectors (Timepix). The distribution of the registered particle tracks was analysed to deduce its dependence on clinically important beam parameters: beam range, width and position. Distinct dependencies of the secondary particle tracks on the properties of the primary carbon ion beam were observed. In the particular experimental set-up used, beam range differences of 1.3 mm were detectable. In addition, variations in

  1. Experimental measurement of the 4-d transverse phase space map of a heavy ion beam

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hopkins, H S

    1997-12-01

    maintaining the spatial and intensity characteristics of the heavy ion beamlets. The MCP used in this manner is a sensitive, accurate, and long-lasting detector, resistant against signal degradation experienced by previous methods of intense heavy ion beam detection and imaging. The performance of the GBI was benchmarked against existing mechanical emittance diagnostics and the results of sophisticated beam transport numerical simulation codes to demonstrate its usefulness as a diagnostic tool. A method of beam correction to remove the effects of quadrupole focusing element rotational misalignments is proposed using data obtainable from a GBI. An optimizing code was written to determine the parameters of the correction system elements based on input from the GBI. The results of this code for the Small Recirculator beam are reported on.« less

  2. Development of a simple, low cost, indirect ion beam fluence measurement system for ion implanters, accelerators

    NASA Astrophysics Data System (ADS)

    Suresh, K.; Balaji, S.; Saravanan, K.; Navas, J.; David, C.; Panigrahi, B. K.

    2018-02-01

    We developed a simple, low cost user-friendly automated indirect ion beam fluence measurement system for ion irradiation and analysis experiments requiring indirect beam fluence measurements unperturbed by sample conditions like low temperature, high temperature, sample biasing as well as in regular ion implantation experiments in the ion implanters and electrostatic accelerators with continuous beam. The system, which uses simple, low cost, off-the-shelf components/systems and two distinct layers of in-house built softwarenot only eliminates the need for costly data acquisition systems but also overcomes difficulties in using properietry software. The hardware of the system is centered around a personal computer, a PIC16F887 based embedded system, a Faraday cup drive cum monitor circuit, a pair of Faraday Cups and a beam current integrator and the in-house developed software include C based microcontroller firmware and LABVIEW based virtual instrument automation software. The automatic fluence measurement involves two important phases, a current sampling phase lasting over 20-30 seconds during which the ion beam current is continuously measured by intercepting the ion beam and the averaged beam current value is computed. A subsequent charge computation phase lasting 700-900 seconds is executed making the ion beam to irradiate the samples and the incremental fluence received by the sampleis estimated usingthe latest averaged beam current value from the ion beam current sampling phase. The cycle of current sampling-charge computation is repeated till the required fluence is reached. Besides simplicity and cost-effectiveness, other important advantages of the developed system include easy reconfiguration of the system to suit customisation of experiments, scalability, easy debug and maintenance of the hardware/software, ability to work as a standalone system. The system was tested with different set of samples and ion fluences and the results were verified using

  3. Ion-beam doping of GaAs with low-energy (100 eV) C + using combined ion-beam and molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Iida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV-30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C+) irradiation during MBE growth of GaAs was carried out at substrate temperatures Tg between 500 and 590 °C. C+-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. CAs acceptor-related emissions such as ``g,'' [g-g], and [g-g]β are observed and their spectra are significantly changed with increasing C+ beam current density Ic. PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for Tg as low as 500 °C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C+ with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  4. Ion-beam doping of GaAs with low-energy (100 eV) C(+) using combined ion-beam and molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-Ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV - 30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C(+)) irradiation during MBE growth of GaAs was carried out at substrate temperatures T(sub g) between 500 and 590 C. C(+)-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. C(sub As) acceptor-related emissions such as 'g', (g-g), and (g-g)(sub beta) are observed and their spectra are significantly changed with increasing C(+) beam current density I(sub c). PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for T(sub g) as low as 500 C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C(+) with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  5. Undergraduate Research and Training in Ion-Beam Analysis of Environmental Materials

    NASA Astrophysics Data System (ADS)

    Vineyard, Michael F.; Chalise, Sajju; Clark, Morgan L.; LaBrake, Scott M.; McCalmont, Andrew M.; McGuire, Brendan C.; Mendez, Iseinie I.; Watson, Heather C.; Yoskowitz, Joshua T.

    We have an active undergraduate research program at the Union College Ion-Beam Analysis Laboratory (UCIBAL) focused on the study of environmental materials. Accelerator-based ion-beam analysis (IBA) is a powerful tool for the study of environmental pollution because it can provide information on a broad range of elements with high sensitivity and low detection limits, is non-destructive, and requires little or no sample preparation. It also provides excellent training for the next generation of environmental scientists. Beams of protons and alpha particles with energies of a few MeV from the 1.1-MV tandem Pelletron accelerator (NEC Model 3SDH) in the UCIBAL are used to characterize environmental samples using IBA techniques such as proton-induced X-ray emission, Rutherford back-scattering, and proton-induced gamma-ray emission. Recent projects include the characterization of atmospheric aerosols in the Adirondack Mountains of upstate New York, the study of heavy metal pollutants in river sediment, measurements of Pb diffusion in sulfide minerals to help constrain the determination of the age of iron meteorites, and the search for heavy metals and toxins in artificial turf.

  6. Subtractive fabrication of ferroelectric thin films with precisely controlled thickness

    NASA Astrophysics Data System (ADS)

    Ievlev, Anton V.; Chyasnavichyus, Marius; Leonard, Donovan N.; Agar, Joshua C.; Velarde, Gabriel A.; Martin, Lane W.; Kalinin, Sergei V.; Maksymovych, Petro; Ovchinnikova, Olga S.

    2018-04-01

    The ability to control thin-film growth has led to advances in our understanding of fundamental physics as well as to the emergence of novel technologies. However, common thin-film growth techniques introduce a number of limitations related to the concentration of defects on film interfaces and surfaces that limit the scope of systems that can be produced and studied experimentally. Here, we developed an ion-beam based subtractive fabrication process that enables creation and modification of thin films with pre-defined thicknesses. To accomplish this we transformed a multimodal imaging platform that combines time-of-flight secondary ion mass spectrometry with atomic force microscopy to a unique fabrication tool that allows for precise sputtering of the nanometer-thin layers of material. To demonstrate fabrication of thin-films with in situ feedback and control on film thickness and functionality we systematically studied thickness dependence of ferroelectric switching of lead-zirconate-titanate, within a single epitaxial film. Our results demonstrate that through a subtractive film fabrication process we can control the piezoelectric response as a function of film thickness as well as improve on the overall piezoelectric response versus an untreated film.

  7. Subtractive fabrication of ferroelectric thin films with precisely controlled thickness

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ievlev, Anton; Chyasnavichyus, Marius; Leonard, Donovan N.

    The ability to control thin-film growth has led to advances in our understanding of fundamental physics as well as to the emergence of novel technologies. However, common thin-film growth techniques introduce a number of limitations related to the concentration of defects on film interfaces and surfaces that limit the scope of systems that can be produced and studied experimentally. Here, we developed an ion-beam based subtractive fabrication process that enables creation and modification of thin films with pre-defined thicknesses. To accomplish this we transformed a multimodal imaging platform that combines time-of-flight secondary ion mass spectrometry with atomic force microscopy tomore » a unique fabrication tool that allows for precise sputtering of the nanometer-thin layers of material. To demonstrate fabrication of thin-films with in situ feedback and control on film thickness and functionality we systematically studied thickness dependence of ferroelectric switching of lead-zirconate-titanate, within a single epitaxial film. Lastly, our results demonstrate that through a subtractive film fabrication process we can control the piezoelectric response as a function of film thickness as well as improve on the overall piezoelectric response versus an untreated film.« less

  8. Subtractive fabrication of ferroelectric thin films with precisely controlled thickness

    DOE PAGES

    Ievlev, Anton; Chyasnavichyus, Marius; Leonard, Donovan N.; ...

    2018-02-22

    The ability to control thin-film growth has led to advances in our understanding of fundamental physics as well as to the emergence of novel technologies. However, common thin-film growth techniques introduce a number of limitations related to the concentration of defects on film interfaces and surfaces that limit the scope of systems that can be produced and studied experimentally. Here, we developed an ion-beam based subtractive fabrication process that enables creation and modification of thin films with pre-defined thicknesses. To accomplish this we transformed a multimodal imaging platform that combines time-of-flight secondary ion mass spectrometry with atomic force microscopy tomore » a unique fabrication tool that allows for precise sputtering of the nanometer-thin layers of material. To demonstrate fabrication of thin-films with in situ feedback and control on film thickness and functionality we systematically studied thickness dependence of ferroelectric switching of lead-zirconate-titanate, within a single epitaxial film. Lastly, our results demonstrate that through a subtractive film fabrication process we can control the piezoelectric response as a function of film thickness as well as improve on the overall piezoelectric response versus an untreated film.« less

  9. Subtractive fabrication of ferroelectric thin films with precisely controlled thickness.

    PubMed

    Ievlev, Anton V; Chyasnavichyus, Marius; Leonard, Donovan N; Agar, Joshua C; Velarde, Gabriel A; Martin, Lane W; Kalinin, Sergei V; Maksymovych, Petro; Ovchinnikova, Olga S

    2018-04-02

    The ability to control thin-film growth has led to advances in our understanding of fundamental physics as well as to the emergence of novel technologies. However, common thin-film growth techniques introduce a number of limitations related to the concentration of defects on film interfaces and surfaces that limit the scope of systems that can be produced and studied experimentally. Here, we developed an ion-beam based subtractive fabrication process that enables creation and modification of thin films with pre-defined thicknesses. To accomplish this we transformed a multimodal imaging platform that combines time-of-flight secondary ion mass spectrometry with atomic force microscopy to a unique fabrication tool that allows for precise sputtering of the nanometer-thin layers of material. To demonstrate fabrication of thin-films with in situ feedback and control on film thickness and functionality we systematically studied thickness dependence of ferroelectric switching of lead-zirconate-titanate, within a single epitaxial film. Our results demonstrate that through a subtractive film fabrication process we can control the piezoelectric response as a function of film thickness as well as improve on the overall piezoelectric response versus an untreated film.

  10. Sensitivity analysis and optimization method for the fabrication of one-dimensional beam-splitting phase gratings

    PubMed Central

    Pacheco, Shaun; Brand, Jonathan F.; Zaverton, Melissa; Milster, Tom; Liang, Rongguang

    2015-01-01

    A method to design one-dimensional beam-spitting phase gratings with low sensitivity to fabrication errors is described. The method optimizes the phase function of a grating by minimizing the integrated variance of the energy of each output beam over a range of fabrication errors. Numerical results for three 1x9 beam splitting phase gratings are given. Two optimized gratings with low sensitivity to fabrication errors were compared with a grating designed for optimal efficiency. These three gratings were fabricated using gray-scale photolithography. The standard deviation of the 9 outgoing beam energies in the optimized gratings were 2.3 and 3.4 times lower than the optimal efficiency grating. PMID:25969268

  11. Method for reduction of selected ion intensities in confined ion beams

    DOEpatents

    Eiden, Gregory C.; Barinaga, Charles J.; Koppenaal, David W.

    1998-01-01

    A method for producing an ion beam having an increased proportion of analyte ions compared to carrier gas ions is disclosed. Specifically, the method has the step of addition of a charge transfer gas to the carrier analyte combination that accepts charge from the carrier gas ions yet minimally accepts charge from the analyte ions thereby selectively neutralizing the carrier gas ions. Also disclosed is the method as employed in various analytical instruments including an inductively coupled plasma mass spectrometer.

  12. Method for reduction of selected ion intensities in confined ion beams

    DOEpatents

    Eiden, G.C.; Barinaga, C.J.; Koppenaal, D.W.

    1998-06-16

    A method for producing an ion beam having an increased proportion of analyte ions compared to carrier gas ions is disclosed. Specifically, the method has the step of addition of a charge transfer gas to the carrier analyte combination that accepts charge from the carrier gas ions yet minimally accepts charge from the analyte ions thereby selectively neutralizing the carrier gas ions. Also disclosed is the method as employed in various analytical instruments including an inductively coupled plasma mass spectrometer. 7 figs.

  13. Accessing defect dynamics using intense, nanosecond pulsed ion beams

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Persaud, A.; Barnard, J. J.; Guo, H.

    2015-06-18

    Gaining in-situ access to relaxation dynamics of radiation induced defects will lead to a better understanding of materials and is important for the verification of theoretical models and simulations. We show preliminary results from experiments at the new Neutralized Drift Compression Experiment (NDCX-II) at Lawrence Berkeley National Laboratory that will enable in-situ access to defect dynamics through pump-probe experiments. Here, the unique capabilities of the NDCX-II accelerator to generate intense, nanosecond pulsed ion beams are utilized. Preliminary data of channeling experiments using lithium and potassium ions and silicon membranes are shown. We compare these data to simulation results using Crystalmore » Trim. Furthermore, we discuss the improvements to the accelerator to higher performance levels and the new diagnostics tools that are being incorporated.« less

  14. Nuclear Structure Studies with Stable and Radioactive Beams: The SPES radioactive ion beam project

    NASA Astrophysics Data System (ADS)

    de Angelis, G.; SPES Collaboration; Prete, G.; Andrighetto, A.; Manzolaro, M.; Corradetti, S.; Scarpa, D.; Rossignoli, M.; Monetti, A.; Lollo, M.; Calderolla, M.; Vasquez, J.; Zafiropoulos, D.; Sarchiapone, L.; Benini, D.; Favaron, P.; Rigato, M.; Pegoraro, R.; Maniero, D.; Calabretta, L.; Comunian, M.; Maggiore, M.; Lombardi, A.; Piazza, L.; Porcellato, A. M.; Roncolato, C.; Bisoffi, G.; Pisent, A.; Galatà, A.; Giacchini, M.; Bassato, G.; Canella, S.; Gramegna, F.; Valiente, J.; Bermudez, J.; Mastinu, P. F.; Esposito, J.; Wyss, J.; Russo, A.; Zanella, S.

    2015-04-01

    A new Radioactive Ion Beam (RIB) facility (SPES) is presently under construction at the Legnaro National Laboratories of INFN. The SPES facility is based on the ISOL method using an UCx Direct Target able to sustain a power of 10 kW. The primary proton beam is provided by a high current Cyclotron accelerator with energy of 35-70 MeV and a beam current of 0.2-0.5 mA. Neutron-rich radioactive ions are produced by proton induced fission on an Uranium target at an expected fission rate of the order of 1013 fissions per second. After ionization and selection the exotic isotopes are re-accelerated by the ALPI superconducting LINAC at energies of 10A MeV for masses in the region A=130 amu. The expected secondary beam rates are of the order of 107 - 109 pps. Aim of the SPES facility is to deliver high intensity radioactive ion beams of neutron rich nuclei for nuclear physics research as well as to be an interdisciplinary research centre for radio-isotopes production for medicine and for neutron beams.

  15. Fabrication method of two-photon luminescent organic nano-architectures using electron-beam irradiation

    NASA Astrophysics Data System (ADS)

    Kamura, Yoshio; Imura, Kohei

    2018-06-01

    Optical recording on organic thin films with a high spatial resolution is promising for high-density optical memories, optical computing, and security systems. The spatial resolution of the optical recording is limited by the diffraction of light. Electrons can be focused to a nanometer-sized spot, providing the potential for achieving better resolution. In conventional electron-beam lithography, however, optical tuning of the fabricated structures is limited mostly to metals and semiconductors rather than organic materials. In this article, we report a fabrication method of luminescent organic architectures using a focused electron beam. We optimized the fabrication conditions of the electron beam to generate chemical species showing visible photoluminescence via two-photon near-infrared excitations. We utilized this fabrication method to draw nanoscale optical architectures on a polystyrene thin film.

  16. Maskless micro-ion-beam reduction lithography system

    DOEpatents

    Leung, Ka-Ngo; Barletta, William A.; Patterson, David O.; Gough, Richard A.

    2005-05-03

    A maskless micro-ion-beam reduction lithography system is a system for projecting patterns onto a resist layer on a wafer with feature size down to below 100 nm. The MMRL system operates without a stencil mask. The patterns are generated by switching beamlets on and off from a two electrode blanking system or pattern generator. The pattern generator controllably extracts the beamlet pattern from an ion source and is followed by a beam reduction and acceleration column.

  17. Arrays of suspended silicon nanowires defined by ion beam implantation: mechanical coupling and combination with CMOS technology.

    PubMed

    Llobet, J; Rius, G; Chuquitarqui, A; Borrisé, X; Koops, R; van Veghel, M; Perez-Murano, F

    2018-04-02

    We present the fabrication, operation, and CMOS integration of arrays of suspended silicon nanowires (SiNWs). The functional structures are obtained by a top-down fabrication approach consisting in a resistless process based on focused ion beam irradiation, causing local gallium implantation and silicon amorphization, plus selective silicon etching by tetramethylammonium hydroxide, and a thermal annealing process in a boron rich atmosphere. The last step enables the electrical functionality of the irradiated material. Doubly clamped silicon beams are fabricated by this method. The electrical readout of their mechanical response can be addressed by a frequency down-mixing detection technique thanks to an enhanced piezoresistive transduction mechanism. Three specific aspects are discussed: (i) the engineering of mechanically coupled SiNWs, by making use of the nanometer scale overhang that it is inherently-generated with this fabrication process, (ii) the statistical distribution of patterned lateral dimensions when fabricating large arrays of identical devices, and (iii) the compatibility of the patterning methodology with CMOS circuits. Our results suggest that the application of this method to the integration of large arrays of suspended SiNWs with CMOS circuitry is interesting in view of applications such as advanced radio frequency band pass filters and ultra-high-sensitivity mass sensors.

  18. Arrays of suspended silicon nanowires defined by ion beam implantation: mechanical coupling and combination with CMOS technology

    NASA Astrophysics Data System (ADS)

    Llobet, J.; Rius, G.; Chuquitarqui, A.; Borrisé, X.; Koops, R.; van Veghel, M.; Perez-Murano, F.

    2018-04-01

    We present the fabrication, operation, and CMOS integration of arrays of suspended silicon nanowires (SiNWs). The functional structures are obtained by a top-down fabrication approach consisting in a resistless process based on focused ion beam irradiation, causing local gallium implantation and silicon amorphization, plus selective silicon etching by tetramethylammonium hydroxide, and a thermal annealing process in a boron rich atmosphere. The last step enables the electrical functionality of the irradiated material. Doubly clamped silicon beams are fabricated by this method. The electrical readout of their mechanical response can be addressed by a frequency down-mixing detection technique thanks to an enhanced piezoresistive transduction mechanism. Three specific aspects are discussed: (i) the engineering of mechanically coupled SiNWs, by making use of the nanometer scale overhang that it is inherently-generated with this fabrication process, (ii) the statistical distribution of patterned lateral dimensions when fabricating large arrays of identical devices, and (iii) the compatibility of the patterning methodology with CMOS circuits. Our results suggest that the application of this method to the integration of large arrays of suspended SiNWs with CMOS circuitry is interesting in view of applications such as advanced radio frequency band pass filters and ultra-high-sensitivity mass sensors.

  19. Radiochromic film diagnostics for laser-driven ion beams

    NASA Astrophysics Data System (ADS)

    Kaufman, J.; Margarone, Daniele; Candiano, Giacomo; Kim, I. Jong; Jeong, Tae Moon; Pšikal, Jan; Romano, F.; Cirrone, P.; Scuderi, V.; Korn, Georg

    2015-05-01

    Radiochromic film (RCF) based multichannel diagnostics utilizes the concept of a stack detector comprised of alternating layers of RCFs and shielding aluminium layers. An algorithm based on SRIM simulations is used to correct the accumulated dose. Among the standard information that can be obtained is the maximum ion energy and to some extend the beam energy spectrum. The main area where this detector shines though is the geometrical characterization of the beam. Whereas other detectors such as Thomson parabola spectrometer or Faraday cups detect only a fraction of the outburst cone, the RCF stack placed right behind the target absorbs the whole beam. A complete 2D and to some extend 3D imprint of the ion beam allows us to determine parameters such as divergence or beam center shift with respect to the target normal. The obvious drawback of such diagnostics is its invasive character. But considering that only a few successful shots (2-3) are needed per one kind of target to perform the analysis, the drawbacks are acceptable. In this work, we present results obtained with the RCF diagnostics using both conventional accelerators and laser-driven ion beams during 2 experimental campaigns.

  20. Intense highly charged ion beam production and operation with a superconducting electron cyclotron resonance ion source

    NASA Astrophysics Data System (ADS)

    Zhao, H. W.; Sun, L. T.; Guo, J. W.; Lu, W.; Xie, D. Z.; Hitz, D.; Zhang, X. Z.; Yang, Y.

    2017-09-01

    The superconducting electron cyclotron resonance ion source with advanced design in Lanzhou (SECRAL) is a superconducting-magnet-based electron cyclotron resonance ion source (ECRIS) for the production of intense highly charged heavy ion beams. It is one of the best performing ECRISs worldwide and the first superconducting ECRIS built with an innovative magnet to generate a high strength minimum-B field for operation with heating microwaves up to 24-28 GHz. Since its commissioning in 2005, SECRAL has so far produced a good number of continuous wave intensity records of highly charged ion beams, in which recently the beam intensities of 40Ar+ and 129Xe26+ have, for the first time, exceeded 1 emA produced by an ion source. Routine operations commenced in 2007 with the Heavy Ion accelerator Research Facility in Lanzhou (HIRFL), China. Up to June 2017, SECRAL has been providing more than 28,000 hours of highly charged heavy ion beams to the accelerator demonstrating its great capability and reliability. The great achievement of SECRAL is accumulation of numerous technical advancements, such as an innovative magnetic system and an efficient double-frequency (24 +18 GHz ) heating with improved plasma stability. This article reviews the development of SECRAL and production of intense highly charged ion beams by SECRAL focusing on its unique magnet design, source commissioning, performance studies and enhancements, beam quality and long-term operation. SECRAL development and its performance studies representatively reflect the achievements and status of the present ECR ion source, as well as the ECRIS impacts on HIRFL.

  1. Ion beam machining error control and correction for small scale optics.

    PubMed

    Xie, Xuhui; Zhou, Lin; Dai, Yifan; Li, Shengyi

    2011-09-20

    Ion beam figuring (IBF) technology for small scale optical components is discussed. Since the small removal function can be obtained in IBF, it makes computer-controlled optical surfacing technology possible to machine precision centimeter- or millimeter-scale optical components deterministically. Using a small ion beam to machine small optical components, there are some key problems, such as small ion beam positioning on the optical surface, material removal rate, ion beam scanning pitch control on the optical surface, and so on, that must be seriously considered. The main reasons for the problems are that it is more sensitive to the above problems than a big ion beam because of its small beam diameter and lower material ratio. In this paper, we discuss these problems and their influences in machining small optical components in detail. Based on the identification-compensation principle, an iterative machining compensation method is deduced for correcting the positioning error of an ion beam with the material removal rate estimated by a selected optimal scanning pitch. Experiments on ϕ10 mm Zerodur planar and spherical samples are made, and the final surface errors are both smaller than λ/100 measured by a Zygo GPI interferometer.

  2. Spectroscopic investigations of beam-plasma interactions in an ion plume

    NASA Technical Reports Server (NTRS)

    Ruyten, W. M.; Friedly, V. J.; Peng, X.; Celenza, J. A.; Keefer, D.

    1993-01-01

    We report the results of spectroscopic investigations of beam-plasma interactions in the plume from a 3 cm ion source operated on argon. Ion-electron, ion-neutral, and electron-neutral scattering are identified by studying the dependence of neutral and ion emission intensities on chamber pressure and mass flow rate, and by analyzing the emission lineshapes at a non-orthogonal angle to the plume axis. Through the Doppler shift, we are able to separate contributions from fast beam ions and fast charge-exchange neutrals on the one hand, and of slow neutrals and slow ions on the other. We discuss the application of this new technique to the characterization of beam plasma interactions in the downstream region of ion thruster engines, and its potential for identifying the processes which lead to grid erosion.

  3. Solenoidal Fields for Ion Beam Transport and Focusing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Edward P.; Leitner, Matthaeus

    In this report we calculate time-independent fields of solenoidal magnets that are suitable for ion beam transport and focusing. There are many excellent Electricity and Magnetism textbooks that present the formalism for magnetic field calculations and apply it to simple geometries [1-1], but they do not include enough relevant detail to be used for designing a charged particle transport system. This requires accurate estimates of fringe field aberrations, misaligned and tilted fields, peak fields in wire coils and iron, external fields, and more. Specialized books on magnet design, technology, and numerical computations [1-2] provide such information, and some of thatmore » is presented here. The AIP Conference Proceedings of the US Particle Accelerator Schools [1-3] contain extensive discussions of design and technology of magnets for ion beams - except for solenoids. This lack may be due to the fact that solenoids have been used primarily to transport and focus particles of relatively low momenta, e.g. electrons of less than 50 MeV and protons or H- of less than 1.0 MeV, although this situation may be changing with the commercial availability of superconducting solenoids with up to 20T bore field [1-4]. Internal reports from federal laboratories and industry treat solenoid design in detail for specific applications. The present report is intended to be a resource for the design of ion beam drivers for Inertial Fusion Energy [1-5] and Warm Dense Matter experiments [1-6], although it should also be useful for a broader range of applications. The field produced by specified currents and material magnetization can always be evaluated by solving Maxwell's equations numerically, but it is also desirable to have reasonably accurate, simple formulas for conceptual system design and fast-running beam dynamics codes, as well as for general understanding. Most of this report is devoted to such formulas, but an introduction to the Tosca{copyright} code [1-7] and some

  4. First heavy ion beam tests with a superconducting multigap CH cavity

    NASA Astrophysics Data System (ADS)

    Barth, W.; Aulenbacher, K.; Basten, M.; Busch, M.; Dziuba, F.; Gettmann, V.; Heilmann, M.; Kürzeder, T.; Miski-Oglu, M.; Podlech, H.; Rubin, A.; Schnase, A.; Schwarz, M.; Yaramyshev, S.

    2018-02-01

    Very compact accelerating-focusing structures, as well as short focusing periods, high accelerating gradients and short drift spaces are strongly required for superconducting (sc) accelerator sections operating at low and medium energies for continuous wave (cw) heavy ion beams. To keep the GSI-super heavy element (SHE) program competitive on a high level and even beyond, a standalone sc cw linac (Helmholtz linear accelerator) in combination with the GSI high charge state injector (HLI), upgraded for cw operation, is envisaged. Recently the first linac section (financed by Helmholtz Institute Mainz (HIM) and GSI) as a demonstration of the capability of 217 MHz multigap crossbar H-mode structures (CH) has been commissioned and extensively tested with heavy ion beam from the HLI. The demonstrator setup reached acceleration of heavy ions up to the design beam energy. The required acceleration gain was achieved with heavy ion beams even above the design mass to charge ratio at high beam intensity and full beam transmission. This paper presents systematic beam measurements with varying rf amplitudes and phases of the CH cavity, as well as phase space measurements for heavy ion beams with different mass to charge ratio. The worldwide first and successful beam test with a superconducting multigap CH cavity is a milestone of the R&D work of HIM and GSI in collaboration with IAP in preparation of the HELIAC project and other cw-ion beam applications.

  5. Advances in Heavy Ion Beam Probe Technology and Operation on MST

    NASA Astrophysics Data System (ADS)

    Demers, D. R.; Connor, K. A.; Schoch, P. M.; Radke, R. J.; Anderson, J. K.; Craig, D.; den Hartog, D. J.

    2003-10-01

    A technique to map the magnetic field of a plasma via spectral imaging is being developed with the Heavy Ion Beam Probe on the Madison Symmetric Torus. The technique will utilize two-dimensional images of the ion beam in the plasma, acquired by two CCD cameras, to generate a three-dimensional reconstruction of the beam trajectory. This trajectory, and the known beam ion mass, energy and charge-state, will be used to determine the magnetic field of the plasma. A suitable emission line has not yet been observed since radiation from the MST plasma is both broadband and intense. An effort to raise the emission intensity from the ion beam by increasing beam focus and current has been undertaken. Simulations of the accelerator ion optics and beam characteristics led to a technique, confirmed by experiment, that achieves a narrower beam and marked increase in ion current near the plasma surface. The improvements arising from these simulations will be discussed. Realization of the magnetic field mapping technique is contingent upon accurate reconstruction of the beam trajectory from the camera images. Simulations of two camera CCD images, including the interior of MST, its various landmarks and beam trajectories have been developed. These simulations accept user input such as camera locations, resolution via pixellization and noise. The quality of the images simulated with these and other variables will help guide the selection of viewing port pairs, image size and camera specifications. The results of these simulations will be presented.

  6. Perspectives of the Pixel Detector Timepix for Needs of Ion Beam Therapy

    NASA Astrophysics Data System (ADS)

    Martišíková, M.; Hartmann, B.; Jäkel, O.; Granja, C.; Jakubek, J.

    2012-08-01

    Radiation therapy with ion beams is a highly precise kind of cancer treatment. In ion beam therapy the finite range of the ion beams in tissue and the increase of ionization density at the end of their path, the Bragg-peak, are exploited. Ions heavier than protons offer in addition increased biological effectiveness and decreased scattering. In this contribution we discuss the potential of a quantum counting and position sensitive semiconductor detector Timepix for its applications in ion beam therapy measurements. It provides high sensitivity and high spatial resolution (pixel pitch 55 μm). The detector, developed by the Medipix Collaboration, consists of a silicon sensor bump bonded to a pixelated readout chip (256 × 256 pixels with 55 μm pitch). An integrated USB-based readout interface together with the Pixelman software enable registering single particles online with 2D-track visualization. The experiments were performed at the Heidelberg Ion Beam Therapy Center (HIT), which is a modern ion beam therapy facility. Patient treatments are performed with proton and carbon ions, which are accelerated by a synchrotron. For dose delivery to the patient an active technique is used: narrow pencil-like beams are scanned over the target volume. The possibility to use the detector for two different applications was investigated: ion spectroscopy and beam delivery monitoring by measurement of secondary charged particles around the patient. During carbon ion therapy, a variety of ion species is created by nuclear fragmentation processes of the primary beam. Since they differ in their biological effectiveness, it is of large interest to measure the ion spectra created under different conditions and to visualize their spatial distribution. The possibility of measurements of ion energy loss in silicon makes Timepix a promising detector for ion-spectroscopic studies in patient-like phantoms. Unpredictable changes in the patient can alter the range of the ion beam in the body

  7. Materials science education: ion beam modification and analysis of materials

    NASA Astrophysics Data System (ADS)

    Zimmerman, Robert; Muntele, Claudiu; Ila, Daryush

    2012-08-01

    The Center for Irradiation of Materials (CIM) at Alabama A&M University (http://cim.aamu.edu) was established in 1990 to serve the University in its research, education and services to the need of the local community and industry. CIM irradiation capabilities are oriented around two tandem-type ion accelerators with seven beam lines providing high-resolution Rutherford backscattering spectrometry, MeV focus ion beam, high-energy ion implantation and irradiation damage studies, particle-induced X-ray emission, particle-induced gamma emission and ion-induced nuclear reaction analysis in addition to fully automated ion channeling. One of the two tandem ion accelerators is designed to produce high-flux ion beam for MeV ion implantation and ion irradiation damage studies. The facility is well equipped with a variety of surface analysis systems, such as SEM, ESCA, as well as scanning micro-Raman analysis, UV-VIS Spectrometry, luminescence spectroscopy, thermal conductivity, electrical conductivity, IV/CV systems, mechanical test systems, AFM, FTIR, voltammetry analysis as well as low-energy implanters, ion beam-assisted deposition and MBE systems. In this presentation, we will demonstrate how the facility is used in material science education, as well as providing services to university, government and industry researches.

  8. A large ion beam device for laboratory solar wind studies

    NASA Astrophysics Data System (ADS)

    Ulibarri, Zach; Han, Jia; Horányi, Mihály; Munsat, Tobin; Wang, Xu; Whittall-Scherfee, Guy; Yeo, Li Hsia

    2017-11-01

    The Colorado Solar Wind Experiment is a new device constructed at the Institute for Modeling Plasma, Atmospheres, and Cosmic Dust at the University of Colorado. A large cross-sectional Kaufman ion source is used to create steady state plasma flow to model the solar wind in an experimental vacuum chamber. The plasma beam has a diameter of 12 cm at the source, ion energies of up to 1 keV, and ion flows of up to 0.1 mA/cm2. Chamber pressure can be reduced to 4 × 10-5 Torr under operating conditions to suppress ion-neutral collisions and create a monoenergetic ion beam. The beam profile has been characterized by a Langmuir probe and an ion energy analyzer mounted on a two-dimensional translation stage. The beam profile meets the requirements for planned experiments that will study solar wind interaction with lunar magnetic anomalies, the charging and dynamics of dust in the solar wind, plasma wakes and refilling, and the wakes of topographic features such as craters or boulders. This article describes the technical details of the device, initial operation and beam characterization, and the planned experiments.

  9. A prototype scintillating fibre beam profile monitor for Ion Therapy beams

    NASA Astrophysics Data System (ADS)

    Leverington, B. D.; Dziewiecki, M.; Renner, L.; Runze, R.

    2018-05-01

    A prototype plastic scintillating fibre based beam profile monitor was tested at the Heidelberg Ion Therapy Centre/Heidelberg Ionenstrahl Therapiezentrum (HIT) in 2016 to determine its beam property reconstruction performance and the feasibility of further developing an expanded system. At HIT protons, helium, carbon, and oxygen ions are available for therapy and experiments. The beam can be scanned in two dimensions using fast deflection magnets. A tracking system is used to monitor beam position and to adjust scanning magnet currents online. A new detector system with a finer granularity and without the drift time delay of the current MWPC system with a similar amount of material along the beamline would prove valuable in patient treatment. The sensitive detector components in the tested prototype detector are double-clad Kuraray SCSF-78MJ scintillating fibres with a diameter of 0.250 mm wound as a thin multi-layer ribbon. The scintillation light is detected at the end of the ribbon with Hamamatsu S11865-64 photodiode arrays with a pitch of 0.8 mm. Commercial or readily available readout electronics have been used to evaluate the system feasibility. The results shown in this paper include the linearity with respect to beam intensity, the RMS of the beam intensity as measured by two planes, along with the RMS of the mean position, and the measured beam width RMS. The Signal-to-Noise ratio of the current system is also measured as an indicator of potential performance. Additionally, the non-linear light yield of the scintillating fibres as measured by the photodiode arrays is compared to two models which describe the light yield as a function of the ion stopping power and Lorentz β.

  10. Super-resolution nanofabrication with metal-ion doped hybrid material through an optical dual-beam approach

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cao, Yaoyu; Li, Xiangping; Gu, Min, E-mail: mgu@swin.edu.au

    2014-12-29

    We apply an optical dual-beam approach to a metal-ion doped hybrid material to achieve nanofeatures beyond the optical diffraction limit. By spatially inhibiting the photoreduction and the photopolymerization, we realize a nano-line, consisting of polymer matrix and in-situ generated gold nanoparticles, with a lateral size of sub 100 nm, corresponding to a factor of 7 improvement compared to the diffraction limit. With the existence of gold nanoparticles, a plasmon enhanced super-resolution fabrication mechanism in the hybrid material is observed, which benefits in a further reduction in size of the fabricated feature. The demonstrated nanofeature in hybrid materials paves the way formore » realizing functional nanostructures.« less

  11. The beam diagnostic instruments in Beijing radioactive ion-beam facilities isotope separator on-line

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ma, Y., E-mail: yjma@ciae.ac.cn; Cui, B.; Ma, R.

    The beam diagnostic instruments for Beijing Radioactive Ion-beam Facilities Isotope Separator On-Line are introduced [B. Q. Cui, Z. H. Peng, Y. J. Ma, R. G. Ma, B. Tang, T. Zhang, and W. S. Jiang, Nucl. Instrum. Methods 266, 4113 (2008); T. J. Zhang, X. L. Guan, and B. Q. Cui, in Proceedings of APAC 2004, Gyeongju, Korea, 2004, http://www.jacow.org , p. 267]. For low intensity ion beam [30–300 keV/1 pA–10 μA], the beam profile monitor, the emittance measurement unit, and the analyzing slit will be installed. For the primary proton beam [100 MeV/200 μA], the beam profile scanner will bemore » installed. For identification of the nuclide, a beam identification unit will be installed. The details of prototype of the beam diagnostic units and some experiment results will be described in this article.« less

  12. Targets used in the production of radioactive ion beams at the HRIBF

    NASA Astrophysics Data System (ADS)

    Stracener, D. W.; Alton, G. D.; Auble, R. L.; Beene, J. R.; Mueller, P. E.; Bilheux, J. C.

    2004-03-01

    Radioactive ion beams are produced at the Holifield Radioactive Ion Beam Facility using the Isotope Separation On-Line (ISOL) technique where the atoms are produced in a thick target, transported to an ion source, ionized, and extracted from the ion source to form an ion beam. These radioactive ion beams are then accelerated to energies of a few MeV per nucleon and delivered to experimental stations for use in nuclear physics and nuclear astrophysics experiments. At the heart of this facility is the RIB production target, where the radioactive nuclei are produced using beams of light ions (p, d, 3He, α) to induce nuclear reactions in the target nuclei. Several target materials have been developed and used successfully, including Al 2O 3, HfO 2, SiC, CeS, liquid Ge, liquid Ni, and a low-density matrix of uranium carbide. The details of these targets and some of the target developments that led to the delivery of high-quality radioactive ion beams are discussed in this paper.

  13. A low cost ion beam profile monitor

    NASA Astrophysics Data System (ADS)

    Godfrey, L.; Hoyes, G. G.; Pairsuwan, W.

    1990-09-01

    An intercepting multiwire ion beam profile monitor, of thickness 0.9 cm and active area 5 × 5 cm, has been developed for use with the low-intensity deuteron beamline at the Fast Neutron Research Facility (FNRF), Chiang Mai University. It has been used to optimise the transport of a continuous ion beam of current up to 200 μA and kinetic energy up to 140 keV. The monitor enables the determination of the two-dimensional beam profile using closely-spaced samples at 1.5 mm, and the measurement of relative beam current. The design incorporates low material and labour costs, elimination of the need for commercial vacuum feedthroughs, a minimal amount of devoted electronics with no need for preamplifiers, and permits quick insertion of the monitors, wherever needed along the beamline, with minimum disruption to neighbouring elements.

  14. Small-scale fracture toughness of ceramic thin films: the effects of specimen geometry, ion beam notching and high temperature on chromium nitride toughness evaluation

    NASA Astrophysics Data System (ADS)

    Best, James P.; Zechner, Johannes; Wheeler, Jeffrey M.; Schoeppner, Rachel; Morstein, Marcus; Michler, Johann

    2016-12-01

    For the implementation of thin ceramic hard coatings into intensive application environments, the fracture toughness is a particularly important material design parameter. Characterisation of the fracture toughness of small-scale specimens has been a topic of great debate, due to size effects, plasticity, residual stress effects and the influence of ion penetration from the sample fabrication process. In this work, several different small-scale fracture toughness geometries (single-beam cantilever, double-beam cantilever and micro-pillar splitting) were compared, fabricated from a thin physical vapour-deposited ceramic film using a focused ion beam source, and then the effect of the gallium-milled notch on mode I toughness quantification investigated. It was found that notching using a focused gallium source influences small-scale toughness measurements and can lead to an overestimation of the fracture toughness values for chromium nitride (CrN) thin films. The effects of gallium ion irradiation were further studied by performing the first small-scale high-temperature toughness measurements within the scanning electron microscope, with the consequence that annealing at high temperatures allows for diffusion of the gallium to grain boundaries promoting embrittlement in small-scale CrN samples. This work highlights the sensitivity of some materials to gallium ion penetration effects, and the profound effect that it can have on fracture toughness evaluation.

  15. Ion beam processing of surgical materials

    NASA Astrophysics Data System (ADS)

    Williams, James M.; Buchanan, Raymond A.; Lee, In-Seop

    1989-02-01

    Ion beam processing has now achieved a secure place in surface treatment of biomaterials. This development is largely a result of the success of the process for wear prevention of orthopedic Ti-alloy in rubbing contact with ultrahigh molecular-weight polyethylene. Basic contributions of the authors in this area, together with other pertinent literature will be reviewed. Research in ion beam processing of biomaterials is turning to other areas. Among these, bioelectronics is considered to be a promising area for further effort. Pertinent experiments on effects of implantation of iridium into titanium and Ti-6Al-4V alloy on corrosion and charge injection properties are presented.

  16. Using neutral beams as a light ion beam probe (invited)

    DOE PAGES

    Chen, Xi; Heidbrink, William W.; Van Zeeland, Michael A.; ...

    2014-08-05

    By arranging the particle first banana orbits to pass near a distant detector, the light ion beam probe (LIBP) utilizes orbital deflection to probe internal fields and field fluctuations. The LIBP technique takes advantage of 1) the in situ, known source of fast ions created by beam-injected neutral particles that naturally ionize near the plasma edge, and 2) various commonly available diagnostics as its detector. These born trapped particles can traverse the plasma core on their inner banana leg before returning to the plasma edge. Orbital displacements (the forces on fast ions) caused by internal instabilities or edge perturbing fieldsmore » appear as modulated signal at an edge detector. Adjustments in the q-profile and plasma shape that determine the first orbit, as well as the relative position of the source and detector, enable studies under a wide variety of plasma conditions. This diagnostic technique can be used to probe the impact on fast ions of various instabilities, e.g. Alfvén eigenmodes (AEs) and neoclassical tearing modes, and of externally-imposed 3D fields, e.g. magnetic perturbations. To date, displacements by AEs and by externally applied resonant magnetic perturbation fields have been measured using a fast ion loss detector. Comparisons with simulations are shown. Additionally, nonlinear interactions between fast ions and independent AE waves are revealed by this technique.« less

  17. Using neutral beams as a light ion beam probe (invited)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Xi, E-mail: chenxi@fusion.gat.com; Heidbrink, W. W.; Van Zeeland, M. A.

    By arranging the particle first banana orbits to pass near a distant detector, the light ion beam probe (LIBP) utilizes orbital deflection to probe internal fields and field fluctuations. The LIBP technique takes advantage of (1) the in situ, known source of fast ions created by beam-injected neutral particles that naturally ionize near the plasma edge and (2) various commonly available diagnostics as its detector. These born trapped particles can traverse the plasma core on their inner banana leg before returning to the plasma edge. Orbital displacements (the forces on fast ions) caused by internal instabilities or edge perturbing fieldsmore » appear as modulated signal at an edge detector. Adjustments in the q-profile and plasma shape that determine the first orbit, as well as the relative position of the source and detector, enable studies under a wide variety of plasma conditions. This diagnostic technique can be used to probe the impact on fast ions of various instabilities, e.g., Alfvén eigenmodes (AEs) and neoclassical tearing modes, and of externally imposed 3D fields, e.g., magnetic perturbations. To date, displacements by AEs and by externally applied resonant magnetic perturbation fields have been measured using a fast ion loss detector. Comparisons with simulations are shown. In addition, nonlinear interactions between fast ions and independent AE waves are revealed by this technique.« less

  18. Using neutral beams as a light ion beam probe (invited)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Xi; Heidbrink, William W.; Van Zeeland, Michael A.

    By arranging the particle first banana orbits to pass near a distant detector, the light ion beam probe (LIBP) utilizes orbital deflection to probe internal fields and field fluctuations. The LIBP technique takes advantage of 1) the in situ, known source of fast ions created by beam-injected neutral particles that naturally ionize near the plasma edge, and 2) various commonly available diagnostics as its detector. These born trapped particles can traverse the plasma core on their inner banana leg before returning to the plasma edge. Orbital displacements (the forces on fast ions) caused by internal instabilities or edge perturbing fieldsmore » appear as modulated signal at an edge detector. Adjustments in the q-profile and plasma shape that determine the first orbit, as well as the relative position of the source and detector, enable studies under a wide variety of plasma conditions. This diagnostic technique can be used to probe the impact on fast ions of various instabilities, e.g. Alfvén eigenmodes (AEs) and neoclassical tearing modes, and of externally-imposed 3D fields, e.g. magnetic perturbations. To date, displacements by AEs and by externally applied resonant magnetic perturbation fields have been measured using a fast ion loss detector. Comparisons with simulations are shown. Additionally, nonlinear interactions between fast ions and independent AE waves are revealed by this technique.« less

  19. Axial energy spread measurements of an accelerated positive ion beam

    NASA Astrophysics Data System (ADS)

    Lee, Y.; Gough, R. A.; Kunkel, W. B.; Leung, K. N.; Perkins, L. T.; Pickard, D. S.; Sun, L.; Vujic, J.; Williams, M. D.; Wutte, D.; Mondelli, Alfred A.; Stengl, Gerhard

    1997-01-01

    A multicusp ion source has been designed for use in ion projection lithography. Longitudinal energy spreads of the extracted positive hydrogen ion beam have been studied using a retarding field energy analyzer. It has been found that the filament-discharge multicusp ion source can deliver a beam with an energy spread less than 3 eV which is required for the ALG-1000 machine. The multicusp ion source can also deliver the current required for the application.

  20. Discrimination of ionic species from broad-beam ion sources

    NASA Technical Reports Server (NTRS)

    Anderson, J. R.

    1993-01-01

    The performance of a broad-beam, three-grid, ion extraction system incorporating radio frequency (RF) mass discrimination was investigated experimentally. This testing demonstrated that the system, based on a modified single-stage Bennett mass spectrometer, can discriminate between ionic species having about a 2-to-1 mass ratio while producing a broad-beam of ions with low kinetic energy (less than 15 eV). Testing was conducted using either argon and krypton ions or atomic and diatomic oxygen ions. A simple one-dimensional model, which ignores magnetic field and space-charge effects, was developed to predict the species separation capabilities as well as the kinetic energies of the extracted ions. The experimental results correlated well with the model predictions. This RF mass discrimination system can be used in applications where both atomic and diatomic ions are produced, but a beam of only one of the species is desired. An example of such an application is a 5 eV atomic oxygen source. This source would produce a beam of atomic oxygen with 5 eV kinetic energy, which would be directed onto a material specimen, to simulate the interaction between the surface of a satellite and the rarefied atmosphere encountered in low-Earth orbit.

  1. Arc-based smoothing of ion beam intensity on targets

    DOE PAGES

    Friedman, Alex

    2012-06-20

    Manipulating a set of ion beams upstream of a target, makes it possible to arrange a smoother deposition pattern, so as to achieve more uniform illumination of the target. A uniform energy deposition pattern is important for applications including ion-beam-driven high energy density physics and heavy-ion beam-driven inertial fusion energy (“heavy-ion fusion”). Here, we consider an approach to such smoothing that is based on rapidly “wobbling” each of the beams back and forth along a short arc-shaped path, via oscillating fields applied upstream of the final pulse compression. In this technique, uniformity is achieved in the time-averaged sense; this ismore » sufficient provided the beam oscillation timescale is short relative to the hydrodynamic timescale of the target implosion. This work builds on two earlier concepts: elliptical beams applied to a distributed-radiator target [D. A. Callahan and M. Tabak, Phys. Plasmas 7, 2083 (2000)] and beams that are wobbled so as to trace a number of full rotations around a circular or elliptical path [R. C. Arnold et al., Nucl. Instrum. Methods 199, 557 (1982)]. Here, we describe the arc-based smoothing approach and compare it to results obtainable using an elliptical-beam prescription. In particular, we assess the potential of these approaches for minimization of azimuthal asymmetry, for the case of a ring of beams arranged on a cone. We also found that, for small numbers of beams on the ring, the arc-based smoothing approach offers superior uniformity. In contrast with the full-rotation approach, arc-based smoothing remains usable when the geometry precludes wobbling the beams around a full circle, e.g., for the X-target [E. Henestroza, B. G. Logan, and L. J. Perkins, Phys. Plasmas 18, 032702 (2011)] and some classes of distributed-radiator targets.« less

  2. Experimental observation of ion beams in the Madison Helicon eXperiment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wiebold, Matt; Sung, Yung-Ta; Scharer, John E.

    2011-06-15

    Argon ion beams up to E{sub b} = 165 eV at P{sub rf} = 500 W are observed in the Madison Helicon eXperiment (MadHeX) helicon source with a magnetic nozzle. A two-grid retarding potential analyzer (RPA) is used to measure the ion energy distribution, and emissive and rf-filtered Langmuir probes measure the plasma potential, electron density, and temperature. The supersonic ion beam (M = v{sub i}/c{sub s} up to 5) forms over tens of Debye lengths and extends spatially for a few ion-neutral charge-exchange mean free paths. The parametric variation of the ion beam energy is explored, including flow rate,more » rf power, and magnetic field dependence. The beam energy is equal to the difference in plasma potentials in the Pyrex chamber and the grounded expansion chamber. The plasma potential in the expansion chamber remains near the predicted eV{sub p} {approx} 5kT{sub e} for argon, but the upstream potential is much higher, likely due to wall charging, resulting in accelerated ion beam energies E{sub b} = e[V{sub beam} - V{sub plasma}] > 10kT{sub e}.« less

  3. EXPERIMENTAL EVALUATION OF DOSIMETRIC CHARACTERIZATION OF GAFCHROMIC EBT3 AND EBT-XD FILMS FOR CLINICAL CARBON ION BEAMS.

    PubMed

    Yonai, Shunsuke; Arai, Chinatsu; Shimoyama, Kaoru; Fournier-Bidoz, Nathalie

    2018-02-03

    Radiochromic film is a very useful tool for 2D dosimetric measurements in radiotherapy because it is self-developing and has very high-spatial resolution. However, considerable care has to be taken in ion beam radiotherapy owing to the quenching effect of high-linear energy transfer (LET) radiation. In this study, the dose responses of GAFchromic EBT3 and EBT-XD films were experimentally investigated using the clinical carbon ion beam at the Heavy Ion Medical Accelerator in Chiba. Results showed that the relations between absorbed dose and net optical density could be expressed well using an equation proposed by Reinhardt (2015). The quenching effect was evaluated by determining their relative efficiencies for photon irradiation as a function of LET. A correction equation derived in this study allowed the absorbed dose to be determined in the small irradiation field used for carbon ion radiotherapy eye treatments. This study contributes to establishing an absolute dosimetry procedure for heavy ion beams using radiochromic film. © The Author(s) 2018. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  4. Improvements for extending the time between maintenance periods for the Heidelberg ion beam therapy center (HIT) ion sources.

    PubMed

    Winkelmann, Tim; Cee, Rainer; Haberer, Thomas; Naas, Bernd; Peters, Andreas; Schreiner, Jochen

    2014-02-01

    The clinical operation at the Heidelberg Ion Beam Therapy Center (HIT) started in November 2009; since then more than 1600 patients have been treated. In a 24/7 operation scheme two 14.5 GHz electron cyclotron resonance ion sources are routinely used to produce protons and carbon ions. The modification of the low energy beam transport line and the integration of a third ion source into the therapy facility will be shown. In the last year we implemented a new extraction system at all three sources to enhance the lifetime of extraction parts and reduce preventive and corrective maintenance. The new four-electrode-design provides electron suppression as well as lower beam emittance. Unwanted beam sputtering effects which typically lead to contamination of the insulator ceramics and subsequent high-voltage break-downs are minimized by the beam guidance of the new extraction system. By this measure the service interval can be increased significantly. As a side effect, the beam emittance can be reduced allowing a less challenging working point for the ion sources without reducing the effective beam performance. This paper gives also an outlook to further enhancements at the HIT ion source testbench.

  5. Direct atomic fabrication and dopant positioning in Si using electron beams with active real-time image-based feedback.

    PubMed

    Jesse, Stephen; Hudak, Bethany M; Zarkadoula, Eva; Song, Jiaming; Maksov, Artem; Fuentes-Cabrera, Miguel; Ganesh, Panchapakesan; Kravchenko, Ivan; Snijders, Panchapakesan C; Lupini, Andrew R; Borisevich, Albina Y; Kalinin, Sergei V

    2018-06-22

    Semiconductor fabrication is a mainstay of modern civilization, enabling the myriad applications and technologies that underpin everyday life. However, while sub-10 nanometer devices are already entering the mainstream, the end of the Moore's law roadmap still lacks tools capable of bulk semiconductor fabrication on sub-nanometer and atomic levels, with probe-based manipulation being explored as the only known pathway. Here we demonstrate that the atomic-sized focused beam of a scanning transmission electron microscope can be used to manipulate semiconductors such as Si on the atomic level, inducing growth of crystalline Si from the amorphous phase, reentrant amorphization, milling, and dopant front motion. These phenomena are visualized in real-time with atomic resolution. We further implement active feedback control based on real-time image analytics to automatically control the e-beam motion, enabling shape control and providing a pathway for atom-by-atom correction of fabricated structures in the near future. These observations open a new epoch for atom-by-atom manufacturing in bulk, the long-held dream of nanotechnology.

  6. Direct atomic fabrication and dopant positioning in Si using electron beams with active real-time image-based feedback

    NASA Astrophysics Data System (ADS)

    Jesse, Stephen; Hudak, Bethany M.; Zarkadoula, Eva; Song, Jiaming; Maksov, Artem; Fuentes-Cabrera, Miguel; Ganesh, Panchapakesan; Kravchenko, Ivan; Snijders, Panchapakesan C.; Lupini, Andrew R.; Borisevich, Albina Y.; Kalinin, Sergei V.

    2018-06-01

    Semiconductor fabrication is a mainstay of modern civilization, enabling the myriad applications and technologies that underpin everyday life. However, while sub-10 nanometer devices are already entering the mainstream, the end of the Moore’s law roadmap still lacks tools capable of bulk semiconductor fabrication on sub-nanometer and atomic levels, with probe-based manipulation being explored as the only known pathway. Here we demonstrate that the atomic-sized focused beam of a scanning transmission electron microscope can be used to manipulate semiconductors such as Si on the atomic level, inducing growth of crystalline Si from the amorphous phase, reentrant amorphization, milling, and dopant front motion. These phenomena are visualized in real-time with atomic resolution. We further implement active feedback control based on real-time image analytics to automatically control the e-beam motion, enabling shape control and providing a pathway for atom-by-atom correction of fabricated structures in the near future. These observations open a new epoch for atom-by-atom manufacturing in bulk, the long-held dream of nanotechnology.

  7. NSUF Ion Beam Investment Options Workshop Report

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Heidrich, Brenden John

    2016-03-01

    The workshop that generated this data was convened to develop a set of recommendations (a priority list) for possible funding in the area of US domestic ion beam irradiation capabilities for nuclear energy-focused RD&D. The results of this workshop were intended for use by the Department of Energy - Office of Nuclear Energy (DOE-NE) for consideration of support for these facilities. The workshop considered, as part of the initial potential future support discussions, input submitted through the Office of Nuclear Energy Request for Information (RFI) (DE-SOL-0008318, April 13, 2015), but welcomed discussion (and presentation) of other options, whether specific ormore » general in scope. Input from users, including DOE-NE program interests and needs for ion irradiation RD&D were also included. Participants were selected from various sources: RFI respondents, NEUP/NEET infrastructure applicants, universities with known expertise in nuclear engineering and materials science and other developed sources. During the three days from March 22-24, 2016, the workshop was held at the Idaho National Laboratory Meeting Center in the Energy Innovation Laboratory at 775 University Drive, Idaho Falls, ID 83401. Thirty-one members of the ion beam community attended the workshop, including 15 ion beam facilities, six representatives of Office of Nuclear Energy R&D programs, an industry representative from EPRI and the chairs of the NSUF User’s Organization and the NSUF Scientific Review Board. Another four ion beam users were in attendance acting as advisors to the process, but did not participate in the options assessment. Three members of the sponsoring agency, the Office of Science and Technology Innovation (NE-4) also attended the workshop.« less

  8. Development of Bipolar Pulse Accelerator for Pulsed Ion Beam Implantation to Semiconductor

    NASA Astrophysics Data System (ADS)

    Masugata, Katsumi; Kawahara, Yoshihiro; Mitsui, Chihiro; Kitamura, Iwao; Takahashi, Takakazu; Tanaka, Yasunori; Tanoue, Hisao; Arai, Kazuo

    2002-12-01

    To improve the purity of the ion beams new type of pulsed power ion accelerator named "bipolar pulse accelerator" was proposed. The accelerator consists of two acceleration gaps (an ion source gap and a post acceleration gap) and a drift tube, and a bipolar pulse is applied to the drift tube to accelerate the beam. In the accelerator intended ions are selectively accelerated and the purity of the ion beam is enhanced. As the first step of the development of the accelerator, a Br-type magnetically insulated acceleration gap is developed. The gap has an ion source of coaxial gas puff plasma gun on the grounded anode and a negative pulse is applied to the cathode to accelerate the ion beam. By using the plasma gun, ion source plasma (nitrogen) of current density around 100 A/cm2 is obtained. In the paper, the experimental results of the evaluation of the ion beam and the characteristics of the gap are shown with the principle and the design concept of the proposed accelerator.

  9. Studies of Ion Beam Charge Neutralization by Ferroelectric Plasma Sources

    NASA Astrophysics Data System (ADS)

    Stepanov, A.; Gilson, E. P.; Grisham, L.; Davidson, R. C.

    2013-10-01

    Space-charge forces limit the possible transverse compression of high perveance ion beams that are used in ion-beam-driven high energy density physics applications; the minimum radius to which a beam can be focused is an increasing function of perveance. The limit can be overcome if a plasma is introduced in the beam path between the focusing element and the target in order to neutralize the space charge of the beam. This concept has been implemented on the Neutralized Drift Compression eXperiment (NDCX) at LBNL using Ferroelectric Plasma Sources (FEPS). In our experiment at PPPL, we propagate a perveance-dominated ion beam through a FEPS to study the effect of the neutralizing plasma on the beam envelope and its evolution in time. A 30-60 keV space-charge-dominated Argon beam is focused with an Einzel lens into a FEPS located at the beam waist. The beam is intercepted downstream from the FEPS by a movable Faraday cup that provides time-resolved 2D current density profiles of the beam spot on target. We report results on: (a) dependence of charge neutralization on FEPS plasma density; (b) effects on beam emittance, and (c) time evolution of the beam envelope after the FEPS pulse. Research supported by the U.S. Department of Energy.

  10. On- and off-line monitoring of ion beam treatment

    NASA Astrophysics Data System (ADS)

    Parodi, Katia

    2016-02-01

    Ion beam therapy is an emerging modality for high precision radiation treatment of cancer. In comparison to conventional radiation sources (photons, electrons), ion beams feature major dosimetric advantages due to their finite range with a localized dose deposition maximum, the Bragg peak, which can be selectively adjusted in depth. However, due to several sources of treatment uncertainties, full exploitation of these dosimetric advantages in clinical practice would require the possibility to visualize the stopping position of the ions in vivo, ideally in real-time. To this aim, different imaging methods have been proposed and investigated, either pre-clinically or even clinically, based on the detection of prompt or delayed radiation following nuclear interaction of the beam with the irradiated tissue. However, the chosen or ad-hoc developed instrumentation has often relied on technologies originally conceived for different applications, thus compromising on the achievable performances for the sake of cost-effectiveness. This contribution will review major examples of used instrumentation and related performances, identifying the most promising detector developments for next generation devices especially dedicated to on-line monitoring of ion beam treatment. Moreover, it will propose an original combination of different techniques in a hybrid detection scheme, aiming to make the most of complementary imaging methods and open new perspectives of image guidance for improved precision of ion beam therapy.

  11. Ion beam synthesis of Au nanoparticles embedded nano-composite glass

    NASA Astrophysics Data System (ADS)

    Varma, Ranjana S.; Kothari, D. C.; Kumar, Ravi; Kumar, P.; Santra, S. S.; Thomas, R. G.

    2013-02-01

    Ion beam mixing using low energy (LE) ion beams (100 keV Ar+) has been used to form Au nanoparticles in the near-surface region of fused silica glasses. Effect of swift heavy ion (SHI) irradiation (with 120 MeV Ag9+), on the nanoparticles has been studied. Diffusion length of Au after the beam mixing and the irradiation has been found to be 14nm. SHI irradiation causes the increase in the size of the nanoparticles, reduction in size-distribution and increase in number density.

  12. Selective Isobar Suppression for Accelerator Mass Spectrometry and Radioactive Ion Beam Science

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Galindo-Uribarri, Alfredo; Havener, Charles C; Lewis, Thomas L.

    2010-01-01

    Several applications of AMS will benefit from pushing further the detection limits of AMS isotopes. A new method of selective isobar suppression by photodetachment in a radio-frequency quadrupole ion cooler is being developed at HRIBF with a two-fold purpose: (1) increasing the AMS sensitivity for certain isotopes of interest and (2) purifying radioactive ion beams for nuclear science. The potential of suppressing the 36S contaminants in a 36Cl beam using this method has been explored with stable S- and Cl- ions and a Nd:YLF laser. In the study, the laser beam was directed along the experiment's beam line and throughmore » a RF quadrupole ion cooler. Negative 32S and 35Cl ions produced by a Cs sputter ion source were focused into the ion cooler where they were slowed by collisions with He buffer gas; this increased the interaction time between the negative ion beam and the laser beam. As a result, suppression of S- by a factor of 3000 was obtained with about 2.5 W average laser power in the cooler while no reduction in Cl- current was observed.« less

  13. A novel approach to prepare optically active ion doped luminescent materials via electron beam evaporation into ionic liquids

    DOE PAGES

    Richter, K.; Lorbeer, C.; Mudring, A. -V.

    2014-11-10

    A novel approach to prepare luminescent materials via electron-beam evaporation into ionic liquids is presented which even allows doping of host lattices with ions that have a strong size mismatch. Thus, to prove this, MgF 2 nanoparticles doped with Eu 3+ were fabricated. The obtained nanoparticles featured an unusually high luminescence lifetime and the obtained material showed a high potential for application.

  14. Ion beam applications research. A summary of Lewis Research Center Programs

    NASA Technical Reports Server (NTRS)

    Banks, B. A.

    1981-01-01

    A summary of the ion beam applications research (IBAR) program organized to enable the development of materials, products, and processes through the nonpropulsive application of ion thruster technology is given. Specific application efforts utilizing ion beam sputter etching, deposition, and texturing are discussed as well as ion source and component technology applications.

  15. Heavy Ion Current Transients in SiGe HBTs

    NASA Technical Reports Server (NTRS)

    Pellish, Jonathan A.; Reed, Robert A.; Vizkelethy, Gyorgy; McMorrow, Dale; Ferlet-Cavrois, Veronique; Baggio, Jacques; Paillet, Philipe; Duhanel, Olivier; Phillips, Stanley D.; Sutton, Akil K.; hide

    2009-01-01

    Time-resolved ion beam induced charge reveals heavy ion response of IBM 5AM SiGe HBT: a) Position correlation[ b) Unique response for different bias schemes; c) Similarities to TPA pulsed-laser data. Heavy ion broad-beam transients provide more realistic device response: a) Feedback using microbeam data; b) Overcome issues of LET and ion range with microbeam. Both micro- and broad-beam data sets yield valuable input for TCAD simulations. Uncover detailed mechanisms for SiGe HBTs and other devices fabricated on lightly-doped substrates.

  16. Performance test of electron cyclotron resonance ion sources for the Hyogo Ion Beam Medical Center

    NASA Astrophysics Data System (ADS)

    Sawada, K.; Sawada, J.; Sakata, T.; Uno, K.; Okanishi, K.; Harada, H.; Itano, A.; Higashi, A.; Akagi, T.; Yamada, S.; Noda, K.; Torikoshi, M.; Kitagawa, A.

    2000-02-01

    Two electron cyclotron resonance (ECR) ion sources were manufactured for the accelerator facility at the Hyogo Ion Beam Medical Center. H2+, He2+, and C4+ were chosen as the accelerating ions because they have the highest charge to mass ratio among ion states which satisfy the required intensity and quality. The sources have the same structure as the 10 GHz ECR source at the Heavy Ion Medical Accelerator in Chiba except for a few improvements in the magnetic structure. Their performance was investigated at the Sumitomo Heavy Industries factory before shipment. The maximum intensity was 1500 μA for H2+, 1320 μA for He2+, and 580 μA for C4+ at the end of the ion source beam transport line. These are several times higher than required. Sufficient performance was also observed in the flatness and long-term stability of the pulsed beams. These test results satisfy the requirements for medical use.

  17. Large area multiarc ion beam source {open_quote}MAIS{close_quote}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Engelko, V.; Giese, H.; Schalk, S.

    1996-12-31

    A pulsed large area intense ion beam source is described, in which the ion emitting plasma is built up by an array of individual discharge units, homogeneously distributed over the surface of a common discharge electrode. A particularly advantageous feature of the source is that for plasma generation and subsequent acceleration of the ions only one common energy supply is necessary. This allows to simplify the source design and provides inherent synchronization of plasma production and ion extraction. The homogeneity of the plasma density was found to be superior to plasma sources using plasma expanders. Originally conceived for the productionmore » of proton beams, the source can easily be modified for the production of beams composed of carbon and metal ions or mixed ion species. Results of investigations of the source performance for the production of a proton beam are presented. The maximum beam current achieved to date is of the order of 100 A, with a particle kinetic energy of 15 - 30 keV and a pulse length in the range of 10 {mu}s.« less

  18. Electron cooling of a bunched ion beam in a storage ring

    NASA Astrophysics Data System (ADS)

    Zhao, He; Mao, Lijun; Yang, Jiancheng; Xia, Jiawen; Yang, Xiaodong; Li, Jie; Tang, Meitang; Shen, Guodong; Ma, Xiaoming; Wu, Bo; Wang, Geng; Ruan, Shuang; Wang, Kedong; Dong, Ziqiang

    2018-02-01

    A combination of electron cooling and rf system is an effective method to compress the beam bunch length in storage rings. A simulation code based on multiparticle tracking was developed to calculate the bunched ion beam cooling process, in which the electron cooling, intrabeam scattering (IBS), ion beam space-charge field, transverse and synchrotron motion are considered. Meanwhile, bunched ion beam cooling experiments have been carried out in the main cooling storage ring (CSRm) of the Heavy Ion Research Facility in Lanzhou, to investigate the minimum bunch length obtained by the cooling method, and study the dependence of the minimum bunch length on beam and machine parameters. The experiments show comparable results to those from simulation. Based on these simulations and experiments, we established an analytical model to describe the limitation of the bunch length of the cooled ion beam. It is observed that the IBS effect is dominant for low intensity beams, and the space-charge effect is much more important for high intensity beams. Moreover, the particles will not be bunched for much higher intensity beam. The experimental results in CSRm show a good agreement with the analytical model in the IBS dominated regime. The simulation work offers us comparable results to those from the analytical model both in IBS dominated and space-charge dominated regimes.

  19. Fracture Tests of Etched Components Using a Focused Ion Beam Machine

    NASA Technical Reports Server (NTRS)

    Kuhn, Jonathan, L.; Fettig, Rainer K.; Moseley, S. Harvey; Kutyrev, Alexander S.; Orloff, Jon; Powers, Edward I. (Technical Monitor)

    2000-01-01

    Many optical MEMS device designs involve large arrays of thin (0.5 to 1 micron components subjected to high stresses due to cyclic loading. These devices are fabricated from a variety of materials, and the properties strongly depend on size and processing. Our objective is to develop standard and convenient test methods that can be used to measure the properties of large numbers of witness samples, for every device we build. In this work we explore a variety of fracture test configurations for 0.5 micron thick silicon nitride membranes machined using the Reactive Ion Etching (RIE) process. Testing was completed using an FEI 620 dual focused ion beam milling machine. Static loads were applied using a probe. and dynamic loads were applied through a piezo-electric stack mounted at the base of the probe. Results from the tests are presented and compared, and application for predicting fracture probability of large arrays of devices are considered.

  20. SU-E-T-211: Comparison of Seven New TrueBeam Linacs with Enhanced Beam Data Conformance Using a Beam Comparison Software Tool

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Grzetic, S; Hessler, J; Gupta, N

    2015-06-15

    Purpose: To develop an independent software tool to assist in commissioning linacs with enhanced beam conformance, as well as perform ongoing QA for dosimetrically equivalent linacs. Methods: Linac manufacturers offer enhanced beam conformance as an option to allow for clinics to complete commissioning efficiently, as well as implement dosimetrically equivalent linacs. The specification for enhanced conformance includes PDD as well as profiles within 80% FWHM. Recently, we commissioned seven Varian TrueBeam linacs with enhanced beam conformance. We developed a software tool in Visual Basic to allow us to load the reference beam data and compare our beam data during commissioningmore » to evaluate enhanced beam conformance. This tool also allowed us to upload our beam data used for commissioning our dosimetrically equivalent beam models to compare and tweak each of our linac beams to match our modelled data in Varian’s Eclipse TPS. This tool will also be used during annual QA of the linacs to compare our beam data to our baseline data, as required by TG-142. Results: Our software tool was used to check beam conformance for seven TrueBeam linacs that we commissioned in the past six months. Using our tool we found that the factory conformed linacs showed up to 3.82% difference in their beam profile data upon installation. Using our beam comparison tool, we were able to adjust the energy and profiles of our beams to accomplish a better than 1.00% point by point data conformance. Conclusion: The availability of quantitative comparison tools is essential to accept and commission linacs with enhanced beam conformance, as well as to beam match multiple linacs. We further intend to use the same tool to ensure our beam data conforms to the commissioning beam data during our annual QA in keeping with the requirements of TG-142.« less

  1. Effect of Surface Treatments on Electron Beam Freeform Fabricated Aluminum Structures

    NASA Technical Reports Server (NTRS)

    Taminger, Karen M. B.; Hafley, Robert A.; Fahringer, David T.; Martin, Richard E.

    2004-01-01

    Electron beam freeform fabrication (EBF3) parts exhibit a ridged surface finish typical of many layer-additive processes. This, post-processing is required to produce a net shape with a smooth surface finish. High speed milling wire electrical discharge machining (EDM), electron beam glazing, and glass bead blasting were performed on EBF3-build 2219 aluminum alloy parts to reduce or eliminate the ridged surface features. Surface roughness, surface residual stress state, and microstructural characteristics were examined for each of the different surface treatment to assess the quality and effect of the surface treatments on the underlying material. The analysis evaluated the effectivenes of the different surface finishing techniques for achieving a smooth surface finish on an electron beam freeform fabricated part.

  2. Steady-State Ion Beam Modeling with MICHELLE

    NASA Astrophysics Data System (ADS)

    Petillo, John

    2003-10-01

    There is a need to efficiently model ion beam physics for ion implantation, chemical vapor deposition, and ion thrusters. Common to all is the need for three-dimensional (3D) simulation of volumetric ion sources, ion acceleration, and optics, with the ability to model charge exchange of the ion beam with a background neutral gas. The two pieces of physics stand out as significant are the modeling of the volumetric source and charge exchange. In the MICHELLE code, the method for modeling the plasma sheath in ion sources assumes that the electron distribution function is a Maxwellian function of electrostatic potential over electron temperature. Charge exchange is the process by which a neutral background gas with a "fast" charged particle streaming through exchanges its electron with the charged particle. An efficient method for capturing this is essential, and the model presented is based on semi-empirical collision cross section functions. This appears to be the first steady-state 3D algorithm of its type to contain multiple generations of charge exchange, work with multiple species and multiple charge state beam/source particles simultaneously, take into account the self-consistent space charge effects, and track the subsequent fast neutral particles. The solution used by MICHELLE is to combine finite element analysis with particle-in-cell (PIC) methods. The basic physics model is based on the equilibrium steady-state application of the electrostatic particle-in-cell (PIC) approximation employing a conformal computational mesh. The foundation stems from the same basic model introduced in codes such as EGUN. Here, Poisson's equation is used to self-consistently include the effects of space charge on the fields, and the relativistic Lorentz equation is used to integrate the particle trajectories through those fields. The presentation will consider the complexity of modeling ion thrusters.

  3. Irradiation of Materials using Short, Intense Ion Beams

    NASA Astrophysics Data System (ADS)

    Seidl, Peter; Ji, Q.; Persaud, A.; Feinberg, E.; Silverman, M.; Sulyman, A.; Waldron, W. L.; Schenkel, T.; Barnard, J. J.; Friedman, A.; Grote, D. P.; Gilson, E. P.; Kaganovich, I. D.; Stepanov, A.; Zimmer, M.

    2016-10-01

    We present experiments studying material properties created with nanosecond and millimeter-scale ion beam pulses on the Neutralized Drift Compression Experiment-II at Berkeley Lab. The explored scientific topics include the dynamics of ion induced damage in materials, materials synthesis far from equilibrium, warm dense matter and intense beam-plasma physics. We describe the improved accelerator performance, diagnostics and results of beam-induced irradiation of thin samples of, e.g., tin and silicon. Bunches with >3x1010 ions/pulse with 1-mm radius and 2-30 ns FWHM duration and have been created. To achieve the short pulse durations and mm-scale focal spot radii, the 1.2 MeV He+ ion beam is neutralized in a drift compression section which removes the space charge defocusing effect during the final compression and focusing. Quantitative comparison of detailed particle-in-cell simulations with the experiment play an important role in optimizing the accelerator performance and keep pace with the accelerator repetition rate of <1/minute. This work was supported by the Office of Science of the US Department of Energy under contracts DE-AC0205CH11231 (LBNL), DE-AC52-07NA27344 (LLNL) and DE-AC02-09CH11466 (PPPL).

  4. The design of electron and ion guns, beams, and collectors

    NASA Astrophysics Data System (ADS)

    Becker, Reinard; Herrmannsfeldt, William B.

    2004-01-01

    The well known `SLAC Electron Trajectory Program' (EGUN) has been ported to PCs and has been developed into a family of programs for the design and the optimization of particle optics devices including electron and ion guns, beam transport sections and collectors. We will discuss the application of these tools for the design and the optimization of the essential parts of EBIS/T devices. The discussion will include conditions in which restrictions in the reliability of simulations may occur due to the mathematical modeling and how to overcome them.

  5. Multiaperture ion beam extraction from gas-dynamic electron cyclotron resonance source of multicharged ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sidorov, A.; Dorf, M.; Zorin, V.

    2008-02-15

    Electron cyclotron resonance ion source with quasi-gas-dynamic regime of plasma confinement (ReGIS), constructed at the Institute of Applied Physics, Russia, provides opportunities for extracting intense and high-brightness multicharged ion beams. Despite the short plasma lifetime in a magnetic trap of a ReGIS, the degree of multiple ionization may be significantly enhanced by the increase in power and frequency of the applied microwave radiation. The present work is focused on studying the intense beam quality of this source by the pepper-pot method. A single beamlet emittance measured by the pepper-pot method was found to be {approx}70 {pi} mm mrad, and themore » total extracted beam current obtained at 14 kV extraction voltage was {approx}25 mA. The results of the numerical simulations of ion beam extraction are found to be in good agreement with experimental data.« less

  6. Improvement of silicon solar cell efficiency by ion beam sputtered deposition of AlOxNy thin films.

    PubMed

    Chen, Sheng-Hui; Hsu, Chun-Che; Wang, Hsuan-Wen; Yeh, Chi-Li; Tseng, Shao-Ze; Lin, Hung-Ju; Lee, Cheng-Chung; Peng, Cheng-Yu

    2011-03-20

    Negative charge material, AlOxNy, has been fabricated to passivate the surface of p-type silicon. The fabrication of AlOxNy was possible by using ion beam sputtering deposition to deposit AlN thin film on the surface of a p-type silicon wafer and following annealing in oxygen ambient. Capacitance-voltage analysis shows the fixed charge density has increased from 10(11) cm(-2) to 2.26×10(12) cm(-2) after annealing. The solar cell efficiency increased from 15.9% to 17.3%, which is also equivalent to the reduction of surface recombination velocity from 1×10(5)  to 32 cm/s.

  7. Nanoimprint lithography for nanodevice fabrication

    NASA Astrophysics Data System (ADS)

    Barcelo, Steven; Li, Zhiyong

    2016-09-01

    Nanoimprint lithography (NIL) is a compelling technique for low cost nanoscale device fabrication. The precise and repeatable replication of nanoscale patterns from a single high resolution patterning step makes the NIL technique much more versatile than other expensive techniques such as e-beam or even helium ion beam lithography. Furthermore, the use of mechanical deformation during the NIL process enables grayscale lithography with only a single patterning step, not achievable with any other conventional lithography techniques. These strengths enable the fabrication of unique nanoscale devices by NIL for a variety of applications including optics, plasmonics and even biotechnology. Recent advances in throughput and yield in NIL processes demonstrate the potential of being adopted for mainstream semiconductor device fabrication as well.

  8. Radiation Stability of Metal Fe0.56Ni0.44 Nanowires Exposed to Powerful Pulsed Ion Beams

    NASA Astrophysics Data System (ADS)

    Bedin, S. A.; Ovchinnikov, V. V.; Remnev, G. E.; Makhin'ko, F. F.; Pavlov, S. K.; Gushchina, N. V.; Zagorskiy, D. L.

    2018-01-01

    The resistance of Fe0.56Ni0.44 alloy nanowires (fabricated by template synthesis using polymer track membranes) 60 and 100 nm in diameter to radiation with powerful pulsed 85% C+ + 15% H+ ions ( E = 20 keV, j = 100 A/cm2, τ = 90 ns) has been investigated. The conclusion that nanosized regions of explosive energy release, so-called thermal spikes, which are thermalized regions of dense cascades of atomic displacements heated to several thousand degrees (in which the thermal pressure can reach several tens of GPa), play an important role in the nanowire structure change is drawn. These are observed as melted nanosized regions on the nanowire surface. Calculations have shown that energy supplied by an ion beam during the action of a single pulse in the used mode (provided that thermal radiation and thermal conductivity serve as energy sinks) can be both sufficient and insufficient to completely melt nanowires depending on their orientation with respect to the ion beam. The bending and failure of nonmelted nanowires is explained by the generation and propagation of post-cascade shock waves.

  9. Aberration of a negative ion beam caused by space charge effect.

    PubMed

    Miyamoto, K; Wada, S; Hatayama, A

    2010-02-01

    Aberrations are inevitable when the charged particle beams are extracted, accelerated, transmitted, and focused with electrostatic and magnetic fields. In this study, we investigate the aberration of a negative ion accelerator for a neutral beam injector theoretically, especially the spherical aberration caused by the negative ion beam expansion due to the space charge effect. The negative ion current density profiles with the spherical aberration are compared with those without the spherical aberration. It is found that the negative ion current density profiles in a log scale are tailed due to the spherical aberration.

  10. Ion beam figuring of CVD silicon carbide mirrors

    NASA Astrophysics Data System (ADS)

    Gailly, P.; Collette, J.-P.; Fleury Frenette, K.; Jamar, C.

    2017-11-01

    Optical and structural elements made of silicon carbide are increasingly found in space instruments. Chemical vapor deposited silicon carbide (CVD-SiC) is used as a reflective coating on SiC optics in reason of its good behavior under polishing. The advantage of applying ion beam figuring (IBF) to CVD-SiC over other surface figure-improving techniques is discussed herein. The results of an IBF sequence performed at the Centre Spatial de Liège on a 100 mm CVD-SiC mirror are reported. The process allowed to reduce the mirror surface errors from 243 nm to 13 nm rms . Beside the surface figure, roughness is another critical feature to consider in order to preserve the optical quality of CVD-SiC . Thus, experiments focusing on the evolution of roughness were performed in various ion beam etching conditions. The roughness of samples etched at different depths down to 3 ≠m was determined with an optical profilometer. These measurements emphasize the importance of selecting the right combination of gas and beam energy to keep roughness at a low level. Kaufman-type ion sources are generally used to perform IBF but the performance of an end-Hall ion source in figuring CVD-SiC mirrors was also evaluated in this study. In order to do so, ion beam etching profiles obtained with the end-Hall source on CVD-SiC were measured and used as a basis for IBF simulations.

  11. Increasing the Extracted Beam Current Density in Ion Thrusters

    NASA Astrophysics Data System (ADS)

    Arthur, Neil Anderson

    Ion thrusters have seen application on space science missions and numerous satellite missions. Ion engines offer higher electrical efficiency and specific impulse capability coupled with longer demonstrated lifetime as compared to other space propulsion technologies. However, ion engines are considered to have low thrust. This work aims to address the low thrust conception; whereby improving ion thruster performance and thrust density will lead to expanded mission capabilities for ion thruster technology. This goal poses a challenge because the mechanism for accelerating ions, the ion optics, is space charge limited according to the Child-Langmuir law-there is a finite number of ions that can be extracted through the grids for a given voltage. Currently, ion thrusters operate at only 40% of this limit, suggesting there is another limit artificially constraining beam current. Experimental evidence suggests the beam current can become source limited-the ion density within the plasma is not large enough to sustain high beam currents. Increasing the discharge current will increase ion density, but ring cusp ion engines become anode area limited at high discharge currents. The ring cusp magnetic field increases ionization efficiency but limits the anode area available for electron collection. Above a threshold current, the plasma becomes unstable. Increasing the engine size is one approach to increasing the operational discharge current, ion density, and thus the beam current, but this presents engineering challenges. The ion optics are a pair of closely spaced grids. As the engine diameter increases, it becomes difficult to maintain a constant grid gap. Span-to-gap considerations for high perveance optics limit ion engines to 50 cm in diameter. NASA designed the annular ion engine to address the anode area limit and scale-up problems by changing the discharge chamber geometry. The annular engine provides a central mounting structure for the optics, allowing the beam

  12. Hollow structure formation of intense ion beams with sharp edge in background plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hu, Zhang-Hu; Wang, You-Nian, E-mail: ynwang@dlut.edu.cn

    The transport of intense ion beams with sharp radial beam edge in plasmas has been studied with two-dimensional electromagnetic particle simulations. The initial solid beam evolves into a hollow beam due to the nonlinear sharp transverse force peak in the regions of beam edge. The magnitude and nonlinearity of this peak are enhanced as the ion beam travels further into the plasma, due to the self-consistent interactions between the beam ions and the plasma electrons. This structure formation is shown to be independent on the beam radius.

  13. A pepper-pot emittance meter for low-energy heavy-ion beams

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kremers, H. R.; Beijers, J. P. M.; Brandenburg, S.

    2013-02-15

    A novel emittance meter has been developed to measure the four-dimensional, transverse phase-space distribution of a low-energy ion beam using the pepper-pot technique. A characteristic feature of this instrument is that the pepper-pot plate, which has a linear array of holes in the vertical direction, is scanned horizontally through the ion beam. This has the advantage that the emittance can also be measured at locations along the beam line where the beam has a large horizontal divergence. A set of multi-channel plates, scintillation screen, and ccd camera is used as a position-sensitive ion detector allowing a large range of beammore » intensities that can be handled. This paper describes the design, construction, and operation of the instrument as well as the data analysis used to reconstruct the four-dimensional phase-space distribution of an ion beam. Measurements on a 15 keV He{sup +} beam are used as an example.« less

  14. Computer simulations of electromagnetic cool ion beam instabilities. [in near earth space

    NASA Technical Reports Server (NTRS)

    Gary, S. P.; Madland, C. D.; Schriver, D.; Winske, D.

    1986-01-01

    Electromagnetic ion beam instabilities driven by cool ion beams at propagation parallel or antiparallel to a uniform magnetic field are studied using computer simulations. The elements of linear theory applicable to electromagnetic ion beam instabilities and the simulations derived from a one-dimensional hybrid computer code are described. The quasi-linear regime of the right-hand resonant ion beam instability, and the gyrophase bunching of the nonlinear regime of the right-hand resonant and nonresonant instabilities are examined. It is detected that in the quasi-linear regime the instability saturation is due to a reduction in the beam core relative drift speed and an increase in the perpendicular-to-parallel beam temperature; in the nonlinear regime the instabilities saturate when half the initial beam drift kinetic energy density is converted to fluctuating magnetic field energy density.

  15. Ion beam production and study of radioactive isotopes with the laser ion source at ISOLDE

    NASA Astrophysics Data System (ADS)

    Fedosseev, Valentin; Chrysalidis, Katerina; Day Goodacre, Thomas; Marsh, Bruce; Rothe, Sebastian; Seiffert, Christoph; Wendt, Klaus

    2017-08-01

    At ISOLDE the majority of radioactive ion beams are produced using the resonance ionization laser ion source (RILIS). This ion source is based on resonant excitation of atomic transitions by wavelength tunable laser radiation. Since its installation at the ISOLDE facility in 1994, the RILIS laser setup has been developed into a versatile remotely operated laser system comprising state-of-the-art solid state and dye lasers capable of generating multiple high quality laser beams at any wavelength in the range of 210-950 nm. A continuous programme of atomic ionization scheme development at CERN and at other laboratories has gradually increased the number of RILIS-ionized elements. At present, isotopes of 40 different elements have been selectively laser-ionized by the ISOLDE RILIS. Studies related to the optimization of the laser-atom interaction environment have yielded new laser ion source types: the laser ion source and trap and the versatile arc discharge and laser ion source. Depending on the specific experimental requirements for beam purity or versatility to switch between different ionization mechanisms, these may offer a favourable alternative to the standard hot metal cavity configuration. In addition to its main purpose of ion beam production, the RILIS is used for laser spectroscopy of radioisotopes. In an ongoing experimental campaign the isotope shifts and hyperfine structure of long isotopic chains have been measured by the extremely sensitive in-source laser spectroscopy method. The studies performed in the lead region were focused on nuclear deformation and shape coexistence effects around the closed proton shell Z = 82. The paper describes the functional principles of the RILIS, the current status of the laser system and demonstrated capabilities for the production of different ion beams including the high-resolution studies of short-lived isotopes and other applications of RILIS lasers for ISOLDE experiments. This article belongs to the Focus on

  16. Negative ion beam development at Cadarache (invited)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Simonin, A.; Bucalossi, J.; Desgranges, C.

    1996-03-01

    Neutral beam injection (NBI) is one of the candidates for plasma heating and current drive in the new generation of large magnetic fusion devices (ITER). In order to produce the required deuterium atom beams with energies of 1 MeV and powers of tens of MW, negative D{sup {minus}} ion beams are required. For this purpose, multiampere D{sup {minus}} beam production and 1 MeV electrostatic acceleration is being studied at Cadarache. The SINGAP experiment, a 1 MeV 0.1 A D{sup {minus}} multisecond beam accelerator facility, has recently started operation. It is equipped with a Pagoda ion source, a multiaperture 60 keVmore » preaccelerator and a 1 MV 120 mA power supply. The particular feature of SINGAP is that the postaccelerator merges the 60 keV beamlets, aiming at accelerating the whole beam to 1 MeV in a single gap. The 1 MV level was obtained in less than 2 weeks, the accumulated voltage on-time of being {approximately}22 min. A second test bed MANTIS, is devoted to the development of multiampere D{sup {minus}} sources. It is capable of driving discharges with current up to 2500 A at arc voltages up to 150 V. A large multicusp source has been tested in pure volume and cesiated operation. With cesium seeding, an accelerated D{sup {minus}} beam current density of up to 5.2 mA/cm{sup 2} (2 A of D{sup {minus}}) was obtained. A modification of the extractor is underway in order to improve this performance. A 3D Monte Carlo code has been developed to simulate the negative ion transport in magnetized plasma sources and optimize magnetic field configuration of the large area D{sup {minus}} sources. {copyright} {ital 1996 American Institute of Physics.}« less

  17. Short-pulse, compressed ion beams at the Neutralized Drift Compression Experiment

    DOE PAGES

    Seidl, P. A.; Barnard, J. J.; Davidson, R. C.; ...

    2016-05-01

    We have commenced experiments with intense short pulses of ion beams on the Neutralized Drift Compression Experiment (NDCX-II) at Lawrence Berkeley National Laboratory, with 1-mm beam spot size within 2.5 ns full-width at half maximum. The ion kinetic energy is 1.2 MeV. To enable the short pulse duration and mm-scale focal spot radius, the beam is neutralized in a 1.5-meter-long drift compression section following the last accelerator cell. A short-focal-length solenoid focuses the beam in the presence of the volumetric plasma that is near the target. In the accelerator, the line-charge density increases due to the velocity ramp imparted onmore » the beam bunch. The scientific topics to be explored are warm dense matter, the dynamics of radiation damage in materials, and intense beam and beam-plasma physics including select topics of relevance to the development of heavy-ion drivers for inertial fusion energy. Below the transition to melting, the short beam pulses offer an opportunity to study the multi-scale dynamics of radiation-induced damage in materials with pump-probe experiments, and to stabilize novel metastable phases of materials when short-pulse heating is followed by rapid quenching. First experiments used a lithium ion source; a new plasma-based helium ion source shows much greater charge delivered to the target.« less

  18. Ultra-high aspect ratio replaceable AFM tips using deformation-suppressed focused ion beam milling.

    PubMed

    Savenko, Alexey; Yildiz, Izzet; Petersen, Dirch Hjorth; Bøggild, Peter; Bartenwerfer, Malte; Krohs, Florian; Oliva, Maria; Harzendorf, Torsten

    2013-11-22

    Fabrication of ultra-high aspect ratio exchangeable and customizable tips for atomic force microscopy (AFM) using lateral focused ion beam (FIB) milling is presented. While on-axis FIB milling does allow high aspect ratio (HAR) AFM tips to be defined, lateral milling gives far better flexibility in terms of defining the shape and size of the tip. Due to beam-induced deformation, it has so far not been possible to define HAR structures using lateral FIB milling. In this work we obtain aspect ratios of up to 45, with tip diameters down to 9 nm, by a deformation-suppressing writing strategy. Several FIB milling strategies for obtaining sharper tips are discussed. Finally, assembly of the HAR tips on a custom-designed probe as well as the first AFM scanning is shown.

  19. Optical and electrical properties of ion beam textured Kapton and Teflon

    NASA Technical Reports Server (NTRS)

    Mirtich, M. J.; Sovey, J. S.

    1977-01-01

    Results are given for ion beam texturing of polyimide (Kapton) and fluorinated ethylene propylene (Teflon) by means of a 30-cm diam electron bombardment argon ion source. Ion beam-textured Kapton and Teflon surfaces are evaluated for various beam energies, current densities, and exposure times. The optical properties and sheet resistance are measured after each exposure. Provided in the paper are optical spectral data, resistivity measurements, calculated absorptance and emittance measurements, and surface structure SEM micrographs for various exposures to argon ions. It is found that Kapton becomes conducting and Teflon nonconducting when ion beam-textured. Textured Kapton exhibits large changes in the transmittance and solar absorptance, but only slight changes in reflectance. Surface texturing of Teflon may allow better adherence of subsequent sputtered metallic films for a high absorptance value. The results are valuable in spacecraft charging applications.

  20. Ion beam microtexturing of surfaces

    NASA Technical Reports Server (NTRS)

    Robinson, R. S.

    1981-01-01

    Some recent work in surface microtecturing by ion beam sputtering is described. The texturing is accomplished by deposition of an impurity onto a substrate while simultaneously bombarding it with an ion beam. A summary of the theory regarding surface diffusion of impurities and the initiation of cone formation is provided. A detailed experimental study of the time-development of individual sputter cones is described. A quasi-liquid coating was observed that apparently reduces the sputter rate of the body of a cone compared to the bulk material. Experimental measurements of surface diffusion activation energies are presented for a variety of substrate-seed combinations and range from about 0.3 eV to 1.2 eV. Observations of apparent crystal structure in sputter cones are discussed. Measurements of the critical temperature for cone formation are also given along with a correlation of critical temperature with substrate sputter rate.

  1. Focused Ion Beam Fabrication of Microelectronic Structures

    DTIC Science & Technology

    1990-12-01

    a simple function generator and allows fast ing, the pressure measured by the capacitance manometer is equal to the pressure at the sample surface...height above the sample ties. In practice this restricts features to simple rectangles or surface. J. Vac. . Tedhnol. B, VOL 7, No. 4, Jul/Aug IM...the sample up to 300 keV are available.(2) -3- This higher energy is often needed for implantation and for lithography in thick resist. Be++ ions at

  2. In-Plane Electrical Connectivity and Near-Field Concentration of Isolated Graphene Resonators Realized by Ion Beams.

    PubMed

    Luo, Weiwei; Cai, Wei; Xiang, Yinxiao; Wu, Wei; Shi, Bin; Jiang, Xiaojie; Zhang, Ni; Ren, Mengxin; Zhang, Xinzheng; Xu, Jingjun

    2017-08-01

    Graphene plasmons provide great opportunities in light-matter interactions benefiting from the extreme confinement and electrical tunability. Structured graphene cavities possess enhanced confinements in 3D and steerable plasmon resonances, potential in applications for sensing and emission control at the nanoscale. Besides graphene boundaries obtained by mask lithography, graphene defects engineered by ion beams have shown efficient plasmon reflections. In this paper, near-field responses of structured graphene achieved by ion beam direct-writing are investigated. Graphene nanoresonators are fabricated easily and precisely with a spatial resolution better than 30 nm. Breathing modes are observed in graphene disks. The amorphous carbons around weaken the response of edge modes in the resonators, but meanwhile render the isolated resonators in-plane electrical connections, where near-fields are proved gate-tunable. The realization of gate-tunable near-fields of graphene 2D resonators opens up tunable near-field couplings with matters. Moreover, graphene nonconcentric rings with engineered near-field confinement distributions are demonstrated, where the quadrupole plasmon modes are excited. Near-field mappings reveal concentrations at the scale of 3.8×10-4λ02 within certain zones which can be engineered. The realization of electrically tunable graphene nanoresonators by ion beam direct-writing is promising for active manipulation of emission and sensing at the nanoscale. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Ion implantation for deterministic single atom devices

    NASA Astrophysics Data System (ADS)

    Pacheco, J. L.; Singh, M.; Perry, D. L.; Wendt, J. R.; Ten Eyck, G.; Manginell, R. P.; Pluym, T.; Luhman, D. R.; Lilly, M. P.; Carroll, M. S.; Bielejec, E.

    2017-12-01

    We demonstrate a capability of deterministic doping at the single atom level using a combination of direct write focused ion beam and solid-state ion detectors. The focused ion beam system can position a single ion to within 35 nm of a targeted location and the detection system is sensitive to single low energy heavy ions. This platform can be used to deterministically fabricate single atom devices in materials where the nanostructure and ion detectors can be integrated, including donor-based qubits in Si and color centers in diamond.

  4. Ion implantation for deterministic single atom devices

    DOE PAGES

    Pacheco, J. L.; Singh, M.; Perry, D. L.; ...

    2017-12-04

    Here, we demonstrate a capability of deterministic doping at the single atom level using a combination of direct write focused ion beam and solid-state ion detectors. The focused ion beam system can position a single ion to within 35 nm of a targeted location and the detection system is sensitive to single low energy heavy ions. This platform can be used to deterministically fabricate single atom devices in materials where the nanostructure and ion detectors can be integrated, including donor-based qubits in Si and color centers in diamond.

  5. Monte Carlo simulations of secondary electron emission due to ion beam milling

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mahady, Kyle; Tan, Shida; Greenzweig, Yuval

    We present a Monte Carlo simulation study of secondary electron emission resulting from focused ion beam milling of a copper target. The basis of this study is a simulation code which simulates ion induced excitation and emission of secondary electrons, in addition to simulating focused ion beam sputtering and milling. This combination of features permits the simulation of the interaction between secondary electron emission, and the evolving target geometry as the ion beam sputters material. Previous ion induced SE Monte Carlo simulation methods have been restricted to predefined target geometries, while the dynamic target in the presented simulations makes thismore » study relevant to image formation in ion microscopy, and chemically assisted ion beam etching, where the relationship between sputtering, and its effects on secondary electron emission, is important. We focus on a copper target, and validate our simulation against experimental data for a range of: noble gas ions, ion energies, ion/substrate angles and the energy distribution of the secondary electrons. We then provide a detailed account of the emission of secondary electrons resulting from ion beam milling; we quantify both the evolution of the yield as high aspect ratio valleys are milled, as well as the emission of electrons within these valleys that do not escape the target, but which are important to the secondary electron contribution to chemically assisted ion induced etching.« less

  6. Final project report for NEET pulsed ion beam project

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kucheyev, S. O.

    The major goal of this project was to develop and demonstrate a novel experimental approach to access the dynamic regime of radiation damage formation in nuclear materials. In particular, the project exploited a pulsed-ion-beam method in order to gain insight into defect interaction dynamics by measuring effective defect interaction time constants and defect diffusion lengths. This project had the following four major objectives: (i) the demonstration of the pulsed ion beam method for a prototypical nuclear ceramic material, SiC; (ii) the evaluation of the robustness of the pulsed beam method from studies of defect generation rate effects; (iii) the measurementmore » of the temperature dependence of defect dynamics and thermally activated defect-interaction processes by pulsed ion beam techniques; and (iv) the demonstration of alternative characterization techniques to study defect dynamics. As we describe below, all these objectives have been met.« less

  7. Development of bipolar-pulse accelerator for intense pulsed ion beam acceleration

    NASA Astrophysics Data System (ADS)

    Masugata, Katsumi; Shimizu, Yuichro; Fujioka, Yuhki; Kitamura, Iwao; Tanoue, Hisao; Arai, Kazuo

    2004-12-01

    To improve the purity of intense pulsed ion beams, a new type of pulsed ion beam accelerator named "bipolar pulse accelerator" was proposed. To confirm the principle of the accelerator a prototype of the experimental system was developed. The system utilizes By type magnetically insulated acceleration gap and operated with single polar negative pulse. A coaxial gas puff plasma gun was used as an ion source, which was placed inside the grounded anode. Source plasma (nitrogen) of current density ≈25 A/cm2, duration ≈1.5 μs was injected into the acceleration gap by the plasma gun. The ions were successfully accelerated from the grounded anode to the drift tube by applying negative pulse of voltage 240 kV, duration 100 ns to the drift tube. Pulsed ion beam of current density ≈40 A/cm2, duration ≈50 ns was obtained at 41 mm downstream from the anode surface. To evaluate the irradiation effect of the ion beam to solid material, an amorphous silicon thin film of thickness ≈500 nm was used as the target, which was deposited on the glass substrate. The film was found to be poly-crystallized after 4-shots of the pulsed nitrogen ion beam irradiation.

  8. A new multidimensional diagnostic method for measuring the properties of intense ion beams

    NASA Astrophysics Data System (ADS)

    Yasuike, Kazuhito; Miyamoto, Shuji; Nakai, Sadao

    1996-02-01

    A new arrayed pinhole camera (APC) diagnostic method for intense ion beams has been developed. The APC diagnostic technique permits the acquisition of the angular divergences and the ion fluxes of high intensity ion beams, in one shot, with a spatial resolution on the source of better than 1 mm and an effective angular divergence resolution of better than 10 mrad. A prototype time integrated APC has been designed and evaluated. The demonstration experiments have been performed on a Reiden-IV, 1 MV and 1 Ω pulsed power machine [1 T W (tera-watt or trillion watts)]. Proton beams of 0.7 MeV, with a pulse duration of ˜50 ns and an ion current density of about 100 A/cm2, were generated in an applied-Br type ion diode source using paraffin-filled grooves. These experimental results show that the APC can measure nonuniformities in the ion beam intensity generated from the ion source and the dependence of beam angular divergence on ion beam intensity.

  9. Production of an 15O beam using a stable oxygen ion beam for in-beam PET imaging

    NASA Astrophysics Data System (ADS)

    Mohammadi, Akram; Yoshida, Eiji; Tashima, Hideaki; Nishikido, Fumihiko; Inaniwa, Taku; Kitagawa, Atsushi; Yamaya, Taiga

    2017-03-01

    In advanced ion therapy, the 15O ion beam is a promising candidate to treat hypoxic tumors and simultaneously monitor the delivered dose to a patient using PET imaging. This study aimed at production of an 15O beam by projectile fragmentation of a stable 16O beam in an optimal material, followed by in-beam PET imaging using a prototype OpenPET system, which was developed in the authors' group. The study was carried out in three steps: selection of the optimal target based on the highest production rate of 15O fragments; experimental production of the beam using the optimal target in the Heavy Ion Medical Accelerator Chiba (HIMAC) secondary beam course; and realization of in-beam PET imaging for the produced beam. The optimal target evaluations were done using the Monte Carlo simulation code PHITS. The fluence and mean energy of the secondary particles were simulated and the optimal target was selected based on the production rate of 15O fragments. The highest production rate of 15O was observed for a liquid hydrogen target, 3.27% for a 53 cm thick target from the 16O beam of 430 MeV/u. Since liquid hydrogen is not practically applicable in the HIMAC secondary beam course a hydrogen-rich polyethylene material, which was the second optimal target from the simulation results, was selected as the experimental target. Three polyethylene targets with thicknesses of 5, 11 or 14 cm were used to produce the 15O beam without any degrader in the beam course. The highest production rate was measured as around 0.87% for the 11 cm thick polyethylene target from the 16O beam of 430 MeV/u when the angular acceptance and momentum acceptance were set at ±13 mrad and ±2.5%, respectively. The purity of the produced beam for the three targets were around 75%, insufficient for clinical application, but it was increased to 97% by inserting a wedge shape aluminum degrader with a thickness of 1.76 cm into the beam course and that is sufficiently high. In-beam PET imaging was also

  10. Intense beam production of highly charged heavy ions by the superconducting electron cyclotron resonance ion source SECRAL.

    PubMed

    Zhao, H W; Sun, L T; Zhang, X Z; Guo, X H; Cao, Y; Lu, W; Zhang, Z M; Yuan, P; Song, M T; Zhao, H Y; Jin, T; Shang, Y; Zhan, W L; Wei, B W; Xie, D Z

    2008-02-01

    There has been increasing demand to provide higher beam intensity and high enough beam energy for heavy ion accelerator and some other applications, which has driven electron cyclotron resonance (ECR) ion source to produce higher charge state ions with higher beam intensity. One of development trends for highly charged ECR ion source is to build new generation ECR sources by utilization of superconducting magnet technology. SECRAL (superconducting ECR ion source with advanced design in Lanzhou) was successfully built to produce intense beams of highly charged ion for Heavy Ion Research Facility in Lanzhou (HIRFL). The ion source has been optimized to be operated at 28 GHz for its maximum performance. The superconducting magnet confinement configuration of the ion source consists of three axial solenoid coils and six sextupole coils with a cold iron structure as field booster and clamping. An innovative design of SECRAL is that the three axial solenoid coils are located inside of the sextupole bore in order to reduce the interaction forces between the sextupole coils and the solenoid coils. For 28 GHz operation, the magnet assembly can produce peak mirror fields on axis of 3.6 T at injection, 2.2 T at extraction, and a radial sextupole field of 2.0 T at plasma chamber wall. During the commissioning phase at 18 GHz with a stainless steel chamber, tests with various gases and some metals have been conducted with microwave power less than 3.5 kW by two 18 GHz rf generators. It demonstrates the performance is very promising. Some record ion beam intensities have been produced, for instance, 810 e microA of O(7+), 505 e microA of Xe(20+), 306 e microA of Xe(27+), and so on. The effect of the magnetic field configuration on the ion source performance has been studied experimentally. SECRAL has been put into operation to provide highly charged ion beams for HIRFL facility since May 2007.

  11. Biomedical applications of ion-beam technology

    NASA Technical Reports Server (NTRS)

    Banks, B. A.; Weigand, A. J.; Gibbons, D. F.; Vankampen, C. L.; Babbush, C. A.

    1979-01-01

    Microscopically-rough surface texture of various biocompatible alloys and polymers produced by ion-beam sputtering may result in improvements in response of hard or soft tissue to various surgical implants.

  12. Diagnostic evaluations of a beam-shielded 8-cm mercury ion thruster

    NASA Technical Reports Server (NTRS)

    Nakanishi, S.

    1978-01-01

    An engineering model thruster fitted with a remotely actuated graphite fiber polyimide composite beam shield was tested in a 3- by 6.5-meter vacuum facility for in-situ assessment of beam shield effects on thruster performance. Accelerator drain current neutralizer floating potential and ion beam floating potential increased slightly when the shield was moved into position. A target exposed to the low density regions of the ion beam was used to map the boundaries of energetic fringe ions capable of sputtering. The particle efflux was evaluated by measurement of film deposits on cold, heated, bare, and enclosed glass slides.

  13. Method for measuring and controlling beam current in ion beam processing

    DOEpatents

    Kearney, Patrick A.; Burkhart, Scott C.

    2003-04-29

    A method for producing film thickness control of ion beam sputter deposition films. Great improvements in film thickness control is accomplished by keeping the total current supplied to both the beam and suppressor grids of a radio frequency (RF) in beam source constant, rather than just the current supplied to the beam grid. By controlling both currents, using this method, deposition rates are more stable, and this allows the deposition of layers with extremely well controlled thicknesses to about 0.1%. The method is carried out by calculating deposition rates based on the total of the suppressor and beam currents and maintaining the total current constant by adjusting RF power which gives more consistent values.

  14. Report on the workshop on Ion Implantation and Ion Beam Assisted Deposition

    NASA Astrophysics Data System (ADS)

    Dearnaley, G.

    1992-03-01

    This workshop was organized by the Corpus Christi Army Depot (CCAD), the major helicopter repair base within AVSCOM. Previous meetings had revealed a strong interest throughout DoD in ion beam technology as a means of extending the service life of military systems by reducing wear, corrosion, fatigue, etc. The workshop opened with an account by Dr. Bruce Sartwell of the successful application of ion implantation to bearings and gears at NRL, and the checkered history of the MANTECH Project at Spire Corporation. Dr. James Hirvonen (AMTL) continued with a summary of successful applications to reduce wear in biomedical components, and he also described the processes of ion beam-assisted deposition (IBAD) for a variety of protective coatings, including diamond-like carbon (DLC).

  15. Ion-beam-assisted etching of diamond

    NASA Technical Reports Server (NTRS)

    Efremow, N. N.; Geis, M. W.; Flanders, D. C.; Lincoln, G. A.; Economou, N. P.

    1985-01-01

    The high thermal conductivity, low RF loss, and inertness of diamond make it useful in traveling wave tubes operating in excess of 500 GHz. Such use requires the controlled etching of type IIA diamond to produce grating like structures tens of micrometers deep. Previous work on reactive ion etching with O2 gave etching rates on the order of 20 nm/min and poor etch selectivity between the masking material (Ni or Cr) and the diamond. An alternative approach which uses a Xe(+) beam and a reactive gas flux of NO2 in an ion-beam-assisted etching system is reported. An etching rate of 200 nm/min was obtained with an etching rate ratio of 20 between the diamond and an aluminum mask.

  16. Fine line structures of ceramic films formed by patterning of metalorganic precursors using photolithography and ion beams

    NASA Astrophysics Data System (ADS)

    Hung, L. S.; Zheng, L. R.

    1992-05-01

    Fine line structures of ceramic thin films were fabricated by patterning of metalorganic precursors using photolithography and ion beams. A trilevel structure was developed with an outer resist layer to transfer patterns, a silver delineated layer as an implantation mask, and a planar resist layer protecting the precursor film from chemical attacking and sputtering. Ion irradiation through the Ag stencil rendered metal carboxylates insoluble in 2-ethylhexanoic acid, permitting patterning of the precursor film with patterning features on micron scales. The potential of this technique was demonstrated in patterning of Bi2Sr2CaCu2O(8+x) and Pb(Zr(0.53)Ti(0.47) thin films.

  17. Laser-accelerated ion beam diagnostics with TOF detectors for the ELIMED beam line

    NASA Astrophysics Data System (ADS)

    Milluzzo, G.; Scuderi, V.; Amico, A. G.; Borghesi, M.; Cirrone, G. A. P.; Cuttone, G.; De Napoli, M.; Doria, D.; Dostal, J.; Larosa, G.; Leanza, R.; Margarone, D.; Petringa, G.; Pipek, J.; Romagnani, L.; Romano, F.; Schillaci, F.; Velyhan, A.

    2017-02-01

    Laser-accelerated ion beams could represent the future of particle acceleration in several multidisciplinary applications, as for instance medical physics, hadrontherapy and imaging field, being a concrete alternative to old paradigm of acceleration, characterized by huge and complex machines. In this framework, following on from the ELIMED collaboration, launched in 2012 between INFN-LNS and ELI-Beamlines, in 2014 a three-years contract has been signed between the two institutions for the design and the development of a complete transport beam-line for high-energy ion beams (up to 60 MeV) coupled with innovative diagnostics and in-air dosimetry devices. The beam-line will be installed at the ELI-Beamlines facility and will be available for users. The measurement of the beam characteristics, such as energy spectra, angular distributions and dose-rate is mandatory to optimize the transport as well as the beam delivery at the irradiation point. In order to achieve this purpose, the development of appropriate on-line diagnostics devices capable to detect high-pulsed beams with high accuracy, represents a crucial point in the ELIMED beamline development. The diagnostics solution, based on the use of silicon carbide (SiC) and diamond detectors using TOF technique, will be presented together with the preliminary results obtained with laser-accelerated proton beams.

  18. Planar techniques for fabricating X-ray diffraction gratings and zone plates

    NASA Technical Reports Server (NTRS)

    Smith, H. I.; Anderson, E. H.; Hawryluk, A. M.; Schattenburg, M. L.

    1984-01-01

    The state of current planar techniques in the fabrication of Fresnel zone plates and diffraction gratings is reviewed. Among the fabrication techniques described are multilayer resist techniques; scanning electron beam lithography; and holographic lithography. Consideration is also given to: X-ray lithography; ion beam lithography; and electroplating. SEM photographs of the undercut profiles obtained in a type AZ 135OB photoresistor by holographic lithography are provided.

  19. Industrial ion source technology

    NASA Technical Reports Server (NTRS)

    Kaufman, H. R.

    1976-01-01

    A 30 cm electron bombardment ion source was designed and fabricated for micromachining and sputtering applications. This source has a multipole magnetic field that employs permanent magnets between permeable pole pieces. An average ion current density of 1 ma/sq cm with 500 eV argon ions was selected as a design operating condition. The ion beam at this operating condition was uniform and well collimated, with an average variation of plus or minus 5 percent over the center 20 cm of the beam at distances up to 30 cm from the ion source. A variety of sputtering applications were undertaken with a small 10 cm ion source to better understand the ion source requirements in these applications. The results of these experimental studies are also included.

  20. Nuclear reactions with carbon-11 and oxygen-14 radioactive ion beams

    NASA Astrophysics Data System (ADS)

    Guo, Fanqing

    Radioactive ion beams (RIBs) have been shown to be a useful tool for studying proton-rich nuclides near and beyond the proton dripline and for evaluating nuclear models. To take full advantage of RIBs, Elastic Resonance Scattering in Inverse Kinematics with Thick Targets (ERSIKTT), has proven to be a reliable experimental tool for investigations of proton unbound nuclei. Following several years of effort, Berkeley Experiments with Accelerated Radioactive Species (BEARS), a RIBs capability, has been developed at the Lawrence Berkeley National Laboratory's 88-Inch Cyclotron. The current BEARS provides two RIBs: a 11C beam of up to 2 x 10 8 pps intensity on target and an 14O beam of up to 3 x 104 pps intensity. While the development of the 11C beam has been relatively easy, a number of challenges had to be overcome to obtain the 14O beam. The excellent 11C beam has been used to investigate several reactions. The first was the 197Au(11C,xn) 208-xnAt reaction, which was used to measure excitation functions for the 4n to 8n exit channels. The measured cross sections were generally predicted quite well using the fusion-evaporation code HIVAP. Possible errors in the branching ratios of alpha decays from At isotopes as well as the presence of incomplete fusion reactions probably contribute to specific overpredictions. 15F has been investigated by the p(14O,p) 14O reaction with the ERSIKTT technology. Several 14O+p runs have been performed. Excellent energy calibration was obtained using resonances from the p(14N,p)14N reaction in inverse kinematics, and comparing the results to those obtained earlier with normal kinematics. The differences between 14N+p and 14O+p in the stopping power function have been evaluated for better energy calibration. After careful calibration, the energy levels of 15F were fitted with an R-matrix calculation. Spins and parities were assigned to the two observed resonances. This new measurement of the 15F ground state supports the disappearance of

  1. Nuclear reactions with 11C and 14O radioactive ion beams

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Guo, Fanqing

    2004-01-01

    Radioactive ion beams (RIBs) have been shown to be a useful tool for studying proton-rich nuclides near and beyond the proton dripline and for evaluating nuclear models. To take full advantage of RIBs, Elastic Resonance Scattering in Inverse Kinematics with Thick Targets (ERSIKTT), has proven to be a reliable experimental tool for investigations of proton unbound nuclei. Following several years of effort, Berkeley Experiments with Accelerated Radioactive Species (BEARS), a RIBs capability, has been developed at the Lawrence Berkeley National Laboratory's 88-Inch Cyclotron. The current BEARS provides two RIBs: a 11C beam of up to 2x10 8 pps intensity onmore » target and an 14O beam of up to 3x10 4 pps intensity. While the development of the 11C beam has been relatively easy, a number of challenges had to be overcome to obtain the 14O beam. The excellent 11C beam has been used to investigate several reactions. The first was the 197Au( 11C,xn) 208-xnAt reaction, which was used to measure excitation functions for the 4n to 8n exit channels. The measured cross sections were generally predicted quite well using the fusion-evaporation code HIVAP. Possible errors in the branching ratios of ?? decays from At isotopes as well as the presence of incomplete fusion reactions probably contribute to specific overpredictions. 15F has been investigated by the p( 14O,p)14O reaction with the ERSIKTT technology. Several 14O+p runs have been performed. Excellent energy calibration was obtained using resonances from the p( 14N,p) 14N reaction in inverse kinematics, and comparing the results to those obtained earlier with normal kinematics. The differences between 14N+p and 14O+p in the stopping power function have been evaluated for better energy calibration. After careful calibration, the energy levels of 15F were fitted with an R-matrix calculation. Spins and parities were assigned to the two observed resonances. This new measurement of the 15F ground state supports the

  2. Ultrafast Bessel beams: advanced tools for laser materials processing

    NASA Astrophysics Data System (ADS)

    Stoian, Razvan; Bhuyan, Manoj K.; Zhang, Guodong; Cheng, Guanghua; Meyer, Remy; Courvoisier, Francois

    2018-05-01

    Ultrafast Bessel beams demonstrate a significant capacity of structuring transparent materials with a high degree of accuracy and exceptional aspect ratio. The ability to localize energy on the nanometer scale (bypassing the 100-nm milestone) makes them ideal tools for advanced laser nanoscale processing on surfaces and in the bulk. This allows to generate and combine micron and nano-sized features into hybrid structures that show novel functionalities. Their high aspect ratio and the accurate location can equally drive an efficient material modification and processing strategy on large dimensions. We review, here, the main concepts of generating and using Bessel non-diffractive beams and their remarkable features, discuss general characteristics of their interaction with matter in ablation and material modification regimes, and advocate their use for obtaining hybrid micro and nanoscale structures in two and three dimensions (2D and 3D) performing complex functions. High-throughput applications are indicated. The example list ranges from surface nanostructuring and laser cutting to ultrafast laser welding and the fabrication of 3D photonic systems embedded in the volume.

  3. Nanocrystalline SnO2 formation using energetic ion beam.

    PubMed

    Mohanty, T; Batra, Y; Tripathi, A; Kanjilal, D

    2007-06-01

    Nanocrystalline tin oxide (SnO2) thin films grown by RF magnetron sputtering technique were characterized by UV-Visible absorption spectroscopy and Photoluminescence spectroscopy. From atomic force microscopic (AFM) and Glancing angle X-ray diffraction (GAXRD) measurements, the radius of grains was found to be approximately 6+/-2 nm. The thin films were bombarded with 250 keV Xe2+ ion beam to observe the stability of nanophases against radiation. For ion bombarded films, optical absorption band edge is shifted towards red region. Atomic force microscopy studies show that the radius of the grains was increased to approximately 8 +/- 1 nm and the grains were nearly uniform in size. The size of the grains has been reduced after ion bombardment in the case of films grown on Si. During this process, defects such as vacancies, voids were generated in the films as well as in the substrates. Ion bombardment induces local temperature increase of thin films causing melting of films. Ion beam induced defects enhances the diffusion of atoms leading to uniformity in size of grains. The role of matrix on ion beam induced grain growth is discussed.

  4. Energy-Sensitive Ion- and Cathode-Luminescent Radiation-Beam Monitors Based on Multilayer Thin-Film Designs.

    PubMed

    Gil-Rostra, Jorge; Ferrer, Francisco J; Espinós, Juan Pedro; González-Elipe, Agustín R; Yubero, Francisco

    2017-05-17

    A multilayer luminescent design concept is presented to develop energy-sensitive radiation-beam monitors on the basis of colorimetric analysis. Each luminescent layer within the stack consists of rare-earth-doped transparent oxides of optical quality and a characteristic luminescent emission under excitation with electron or ion beams. For a given type of particle beam (electron, protons, α particles, etc.), its penetration depth and therefore its energy loss at a particular buried layer within the multilayer stack depend on the energy of the initial beam. The intensity of the luminescent response of each layer is proportional to the energy deposited by the radiation beam within the layer, so characteristic color emission will be achieved if different phosphors are considered in the layers of the luminescent stack. Phosphor doping, emission efficiency, layer thickness, and multilayer structure design are key parameters relevant to achieving a broad colorimetric response. Two case examples are designed and fabricated to illustrate the capabilities of these new types of detector to evaluate the kinetic energy of either electron beams of a few kilo-electron volts or α particles of a few mega-electron volts.

  5. Optical planar waveguides in photo-thermal-refractive glasses fabricated by single- or double-energy carbon ion implantation

    NASA Astrophysics Data System (ADS)

    Wang, Yue; Shen, Xiao-Liang; Zheng, Rui-Lin; Guo, Hai-Tao; Lv, Peng; Liu, Chun-Xiao

    2018-01-01

    Ion implantation has demonstrated to be an efficient and reliable technique for the fabrication of optical waveguides in a diversity of transparent materials. Photo-thermal-refractive glass (PTR) is considered to be durable and stable holographic recording medium. Optical planar waveguide structures in the PTR glasses were formed, for the first time to our knowledge, by the C3+-ion implantation with single-energy (6.0 MeV) and double-energy (5.5+6.0 MeV), respectively. The process of the carbon ion implantation was simulated by the stopping and range of ions in matter code. The morphologies of the waveguides were recorded by a microscope operating in transmission mode. The guided beam distributions of the waveguides were measured by the end-face coupling technique. Comparing with the single-energy implantation, the double-energy implantation improves the light confinement for the dark-mode spectrum. The guiding properties suggest that the carbon-implanted PTR glass waveguides have potential for the manufacture of photonic devices.

  6. Channeling technique to make nanoscale ion beams

    NASA Astrophysics Data System (ADS)

    Biryukov, V. M.; Bellucci, S.; Guidi, V.

    2005-04-01

    Particle channeling in a bent crystal lattice has led to an efficient instrument for beam steering at accelerators [Biryukov et al., Crystal Channeling and its Application at High Energy Accelerators, Springer, Berlin, 1997], demonstrated from MeV to TeV energies. In particular, crystal focusing of high-energy protons to micron size has been demonstrated at IHEP with the results well in match with Lindhard (critical angle) prediction. Channeling in crystal microstructures has been proposed as a unique source of a microbeam of high-energy particles [Bellucci et al., Phys. Rev. ST Accel. Beams 6 (2003) 033502]. Channeling in nanostructures (single-wall and multi-wall nanotubes) offers the opportunities to produce ion beams on nanoscale. Particles channeled in a nanotube (with typical diameter of about 1 nm) are trapped in two dimensions and can be steered (deflected, focused) with the efficiency similar to that of crystal channeling or better. This technique has been a subject of computer simulations, with experimental efforts under way in several high-energy labs, including IHEP. We present the theoretical outlook for making channeling-based nanoscale ion beams and report the experience with crystal-focused microscale proton beams.

  7. Fabrication of a trimer/single atom tip for gas field ion sources by means of field evaporation without tip heating.

    PubMed

    Kim, Kwang-Il; Kim, Young Heon; Ogawa, Takashi; Choi, Suji; Cho, Boklae; Ahn, Sang Jung; Park, In-Yong

    2018-05-11

    A gas field ion source (GFIS) has many advantages that are suitable for ion microscope sources, such as high brightness and a small virtual source size, among others. In order to apply a tip-based GFIS to an ion microscope, it is better to create a trimer/single atom tip (TSAT), where the ion beam must be generated in several atoms of the tip apex. Here, unlike the conventional method which uses tip heating or a reactive gas, we show that the tip surface can be cleaned using only the field evaporation phenomenon and that the TSAT can also be fabricated using an insulating layer containing tungsten oxide, which remains after electrochemical etching. Using this method, we could get TSAT over 90% of yield. Copyright © 2018. Published by Elsevier B.V.

  8. Acceleration and stability of a high-current ion beam in induction fields

    NASA Astrophysics Data System (ADS)

    Karas', V. I.; Manuilenko, O. V.; Tarakanov, V. P.; Federovskaya, O. V.

    2013-03-01

    A one-dimensional nonlinear analytic theory of the filamentation instability of a high-current ion beam is formulated. The results of 2.5-dimensional numerical particle-in-cell simulations of acceleration and stability of an annular compensated ion beam (CIB) in a linear induction particle accelerator are presented. It is shown that additional transverse injection of electron beams in magnetically insulated gaps (cusps) improves the quality of the ion-beam distribution function and provides uniform beam acceleration along the accelerator. The CIB filamentation instability in both the presence and the absence of an external magnetic field is considered.

  9. Plasma effects of active ion beam injections in the ionosphere at rocket altitudes

    NASA Technical Reports Server (NTRS)

    Arnoldy, R. L.; Cahill, L. J., Jr.; Kintner, P. M.; Moore, T. E.; Pollock, C. J.

    1992-01-01

    Data from ARCS rocket ion beam injection experiments are primarily discussed. There are three results from this series of active experiments that are of particular interest in space plasma physics. These are the transverse acceleration of ambient ions in the large beam volume, the scattering of beam ions near the release payload, and the possible acceleration of electrons very close to the plasma generator which produce intense high frequency waves. The ability of 100 ma ion beam injections into the upper E and F regions of the ionosphere to produce these phenomena appear to be related solely to the process by which the plasma release payload and the ion beam are neutralized. Since the electrons in the plasma release do not convect with the plasma ions, the neutralization of both the payload and beam must be accomplished by large field-aligned currents (milliamperes/square meter) which are very unstable to wave growth of various modes.

  10. Shunting arc plasma source for pure carbon ion beam.

    PubMed

    Koguchi, H; Sakakita, H; Kiyama, S; Shimada, T; Sato, Y; Hirano, Y

    2012-02-01

    A plasma source is developed using a coaxial shunting arc plasma gun to extract a pure carbon ion beam. The pure carbon ion beam is a new type of deposition system for diamond and other carbon materials. Our plasma device generates pure carbon plasma from solid-state carbon material without using a hydrocarbon gas such as methane gas, and the plasma does not contain any hydrogen. The ion saturation current of the discharge measured by a double probe is about 0.2 mA∕mm(2) at the peak of the pulse.

  11. Shunting arc plasma source for pure carbon ion beam

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koguchi, H.; Sakakita, H.; Kiyama, S.

    2012-02-15

    A plasma source is developed using a coaxial shunting arc plasma gun to extract a pure carbon ion beam. The pure carbon ion beam is a new type of deposition system for diamond and other carbon materials. Our plasma device generates pure carbon plasma from solid-state carbon material without using a hydrocarbon gas such as methane gas, and the plasma does not contain any hydrogen. The ion saturation current of the discharge measured by a double probe is about 0.2 mA/mm{sup 2} at the peak of the pulse.

  12. MBE growth and processing of III/V-nitride semiconductor thin film structures: Growth of gallium indium arsenic nitride and nano-machining with focused ion beam and electron beam

    NASA Astrophysics Data System (ADS)

    Park, Yeonjoon

    The advanced semiconductor material InGaAsN was grown with nitrogen plasma assisted Molecular Beam Epitaxy (MBE). The InGaAsN layers were characterized with High Resolution X-ray Diffraction (HRXDF), Atomic Fore Microscope (AFM), X-ray Photoemission Spectroscopy (XPS) and Photo-Luminescence (PL). The reduction of the band gap energy was observed with the incorporation of nitrogen and the lattice matched condition to the GaAs substrate was achieved with the additional incorporation of indium. A detailed investigation was made for the growth mode changes from planar layer-by-layer growth to 3D faceted growth with a higher concentration of nitrogen. A new X-ray diffraction analysis was developed and applied to the MBE growth on GaAs(111)B, which is one of the facet planes of InGaAsN. As an effort to enhance the processing tools for advanced semiconductor materials, gas assisted Focused Ion Beam (FIB) vertical milling was performed on GaN. The FIB processed area shows an atomically flat surface, which is good enough for the fabrication of Double Bragg Reflector (DBR) mirrors for the Blue GaN Vertical Cavity Surface Emitting Laser (VCSEL) Diodes. An in-situ electron beam system was developed to combine the enhanced lithographic processing capability with the atomic layer growth capability by MBE. The electron beam system has a compensation capability against substrate vibration and thermal drift. In-situ electron beam lithography was performed with the low pressure assisting gas. The advanced processing and characterization methods developed in this thesis will assist the development of superior semiconductor materials for the future.

  13. CO2 Cluster Ion Beam, an Alternative Projectile for Secondary Ion Mass Spectrometry.

    PubMed

    Tian, Hua; Maciążek, Dawid; Postawa, Zbigniew; Garrison, Barbara J; Winograd, Nicholas

    2016-09-01

    The emergence of argon-based gas cluster ion beams for SIMS experiments opens new possibilities for molecular depth profiling and 3D chemical imaging. These beams generally leave less surface chemical damage and yield mass spectra with reduced fragmentation compared with smaller cluster projectiles. For nanoscale bioimaging applications, however, limited sensitivity due to low ionization probability and technical challenges of beam focusing remain problematic. The use of gas cluster ion beams based upon systems other than argon offer an opportunity to resolve these difficulties. Here we report on the prospects of employing CO2 as a simple alternative to argon. Ionization efficiency, chemical damage, sputter rate, and beam focus are investigated on model compounds using a series of CO2 and Ar cluster projectiles (cluster size 1000-5000) with the same mass. The results show that the two projectiles are very similar in each of these aspects. Computer simulations comparing the impact of Ar2000 and (CO2)2000 on an organic target also confirm that the CO2 molecules in the cluster projectile remain intact, acting as a single particle of m/z 44. The imaging resolution employing CO2 cluster projectiles is improved by more than a factor of two. The advantage of CO2 versus Ar is also related to the increased stability which, in addition, facilitates the operation of the gas cluster ion beams (GCIB) system at lower backing pressure. Graphical Abstract ᅟ.

  14. CO2 Cluster Ion Beam, an Alternative Projectile for Secondary Ion Mass Spectrometry

    NASA Astrophysics Data System (ADS)

    Tian, Hua; Maciążek, Dawid; Postawa, Zbigniew; Garrison, Barbara J.; Winograd, Nicholas

    2016-09-01

    The emergence of argon-based gas cluster ion beams for SIMS experiments opens new possibilities for molecular depth profiling and 3D chemical imaging. These beams generally leave less surface chemical damage and yield mass spectra with reduced fragmentation compared with smaller cluster projectiles. For nanoscale bioimaging applications, however, limited sensitivity due to low ionization probability and technical challenges of beam focusing remain problematic. The use of gas cluster ion beams based upon systems other than argon offer an opportunity to resolve these difficulties. Here we report on the prospects of employing CO2 as a simple alternative to argon. Ionization efficiency, chemical damage, sputter rate, and beam focus are investigated on model compounds using a series of CO2 and Ar cluster projectiles (cluster size 1000-5000) with the same mass. The results show that the two projectiles are very similar in each of these aspects. Computer simulations comparing the impact of Ar2000 and (CO2)2000 on an organic target also confirm that the CO2 molecules in the cluster projectile remain intact, acting as a single particle of m/z 44. The imaging resolution employing CO2 cluster projectiles is improved by more than a factor of two. The advantage of CO2 versus Ar is also related to the increased stability which, in addition, facilitates the operation of the gas cluster ion beams (GCIB) system at lower backing pressure.

  15. ECR Plasma Source for Heavy Ion Beam Charge Neutralization

    NASA Astrophysics Data System (ADS)

    Efthimion, P. C.; Gilson, E.; Grishman, L.; Kolchin, P.; Davidson, R. C.

    2002-01-01

    Highly ionized plasmas are being considered as a medium for charge neutralizing heavy ion beams in order to focus beyond the space-charge limit. Calculations suggest that plasma at a density of 1 - 100 times the ion beam density and at a length of approximately 0.1-2 m would be suitable for achieving a high level of charge neutralization. An ECR source has been built at the Princeton Plasma Physics Laboratory (PPPL) to support a joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The ECR source operates at 13.6 MHz and with solenoid magnetic fields of 1-10 gauss. The goal is to operate the source at pressures of approximately 10-6 torr at full ionization. The initial operation of the source has been at pressures of 10-4 - 10-1. Electron densities in the range of 108 - 1011 per cubic centimeter have been achieved. Low-pressure operation is important to reduce ion beam ionization. A cusp magnetic field has been installed to improve radial confinement and reduce the field strength on the beam axis. In addition, axial confinement is believed to be important to achieve lower-pressure operation. To further improve breakdown at low pressure, a weak electron source will be placed near the end of the ECR source.

  16. On the generation of cnoidal waves in ion beam-dusty plasma containing superthermal electrons and ions

    NASA Astrophysics Data System (ADS)

    El-Bedwehy, N. A.

    2016-07-01

    The reductive perturbation technique is used for investigating an ion beam-dusty plasma system consisting of two opposite polarity dusty grains, and superthermal electrons and ions in addition to ion beam. A two-dimensional Kadomtsev-Petviashvili equation is derived. The solution of this equation, employing Painlevé analysis, leads to cnoidal waves. The dependence of the structural features of these waves on the physical plasma parameters is investigated.

  17. On the generation of cnoidal waves in ion beam-dusty plasma containing superthermal electrons and ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    El-Bedwehy, N. A., E-mail: nab-elbedwehy@yahoo.com

    2016-07-15

    The reductive perturbation technique is used for investigating an ion beam-dusty plasma system consisting of two opposite polarity dusty grains, and superthermal electrons and ions in addition to ion beam. A two-dimensional Kadomtsev–Petviashvili equation is derived. The solution of this equation, employing Painlevé analysis, leads to cnoidal waves. The dependence of the structural features of these waves on the physical plasma parameters is investigated.

  18. Nano-scale processes behind ion-beam cancer therapy

    NASA Astrophysics Data System (ADS)

    Surdutovich, Eugene; Garcia, Gustavo; Mason, Nigel; Solov'yov, Andrey V.

    2016-04-01

    This topical issue collates a series of papers based on new data reported at the third Nano-IBCT Conference of the COST Action MP1002: Nanoscale Insights into Ion Beam Cancer Therapy, held in Boppard, Germany, from October 27th to October 31st, 2014. The Nano-IBCT COST Action was launched in December 2010 and brought together more than 300 experts from different disciplines (physics, chemistry, biology) with specialists in radiation damage of biological matter from hadron-therapy centres, and medical institutions. This meeting followed the first and the second conferences of the Action held in October 2011 in Caen, France and in May 2013 in Sopot, Poland respectively. This conference series provided a focus for the European research community and has highlighted the pioneering research into the fundamental processes underpinning ion beam cancer therapy. Contribution to the Topical Issue "COST Action Nano-IBCT: Nano-scale Processes Behind Ion-Beam Cancer Therapy", edited by Andrey V. Solov'yov, Nigel Mason, Gustavo Garcia and Eugene Surdutovich.

  19. Multiple beam induction accelerators for heavy ion fusion

    NASA Astrophysics Data System (ADS)

    Seidl, Peter A.; Barnard, John J.; Faltens, Andris; Friedman, Alex; Waldron, William L.

    2014-01-01

    Induction accelerators are appealing for heavy-ion driven inertial fusion energy (HIF) because of their high efficiency and their demonstrated capability to accelerate high beam current (≥10 kA in some applications). For the HIF application, accomplishments and challenges are summarized. HIF research and development has demonstrated the production of single ion beams with the required emittance, current, and energy suitable for injection into an induction linear accelerator. Driver scale beams have been transported in quadrupole channels of the order of 10% of the number of quadrupoles of a driver. We review the design and operation of induction accelerators and the relevant aspects of their use as drivers for HIF. We describe intermediate research steps that would provide the basis for a heavy-ion research facility capable of heating matter to fusion relevant temperatures and densities, and also to test and demonstrate an accelerator architecture that scales well to a fusion power plant.

  20. Generation of monoenergetic ion beams via ionization dynamics (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Lin, Chen; Kim, I. Jong; Yu, Jinqing; Choi, Il Woo; Ma, Wenjun; Yan, Xueqing; Nam, Chang Hee

    2017-05-01

    The research on ion acceleration driven by high intensity laser pulse has attracted significant interests in recent decades due to the developments of laser technology. The intensive study of energetic ion bunches is particularly stimulated by wide applications in nuclear fusion, medical treatment, warm dense matter production and high energy density physics. However, to implement such compact accelerators, challenges are still existing in terms of beam quality and stability, especially in applications that require higher energy and narrow bandwidth spectra ion beams. We report on the acceleration of quasi-mono-energetic ion beams via ionization dynamics in the interaction of an intense laser pulse with a solid target. Using ionization dynamics model in 2D particle-in-cell (PIC) simulations, we found that high charge state contamination ions can only be ionized in the central spot area where the intensity of sheath field surpasses their ionization threshold. These ions automatically form a microstructure target with a width of few micron scale, which is conducive to generate mono-energetic beams. In the experiment of ultraintense (< 10^21 W/cm^2) laser pulses irradiating ultrathin targets each attracted with a contamination layer of nm-thickness, high quality < 100 MeV mono-energetic ion bunches are generated. The peak energy of the self-generated micro-structured target ions with respect to different contamination layer thickness is also examined This is relatively newfound respect, which is confirmed by the consistence between experiment data and the simulation results.

  1. Production of low-Z ions in the Dresden superconducting electron ion beam source for medical particle therapy.

    PubMed

    Zschornack, G; Schwan, A; Ullmann, F; Grossmann, F; Ovsyannikov, V P; Ritter, E

    2012-02-01

    We report on experiments with a new superconducting electron beam ion source (EBIS-SC), the Dresden EBIS-SC, with the objective to meet the main requirements for their application in particle-therapy facilities. Synchrotrons as well as innovative accelerator concepts, such as high-gradient linacs which are driven by a large-current cyclotron (CYCLINACS) and direct drive RF linear accelerators may benefit from the advantages of EBISs in regard to their functional principle. First experimental studies of the production of low-Z ions such as H(+), H(2)(+), H(3)(+), C(4+), and C(6+) are presented. Particular attention is paid to the ion output, i.e., the number of ions per pulse and per second, respectively. Important beam parameters in this context are, among others, ion pulse shaping, pulse repetition rates, beam emittance, and ion energy spread.

  2. Ion beams provided by small accelerators for material synthesis and characterization

    NASA Astrophysics Data System (ADS)

    Mackova, Anna; Havranek, Vladimir

    2017-06-01

    The compact, multipurpose electrostatic tandem accelerators are extensively used for production of ion beams with energies in the range from 400 keV to 24 MeV of almost all elements of the periodic system for the trace element analysis by means of nuclear analytical methods. The ion beams produced by small accelerators have a broad application, mainly for material characterization (Rutherford Back-Scattering spectrometry, Particle Induced X ray Emission analysis, Nuclear Reaction Analysis and Ion-Microprobe with 1 μm lateral resolution among others) and for high-energy implantation. Material research belongs to traditionally progressive fields of technology. Due to the continuous miniaturization, the underlying structures are far beyond the analytical limits of the most conventional methods. Ion Beam Analysis (IBA) techniques provide this possibility as they use probes of similar or much smaller dimensions (particles, radiation). Ion beams can be used for the synthesis of new progressive functional nanomaterials for optics, electronics and other applications. Ion beams are extensively used in studies of the fundamental energetic ion interaction with matter as well as in the novel nanostructure synthesis using ion beam irradiation in various amorphous and crystalline materials in order to get structures with extraordinary functional properties. IBA methods serve for investigation of materials coming from material research, industry, micro- and nano-technology, electronics, optics and laser technology, chemical, biological and environmental investigation in general. Main research directions in laboratories employing small accelerators are also the preparation and characterization of micro- and nano-structured materials which are of interest for basic and oriented research in material science, and various studies of biological, geological, environmental and cultural heritage artefacts are provided too.

  3. Swept Line Electron Beam Annealing of Ion Implanted Semiconductors.

    DTIC Science & Technology

    1982-07-01

    of my research to the mainstream of technology. The techniques used for beam processing are distinguished by their * ~.* beam source and method by...raster scanned CW lasers (CWL), pulsed ion beams (PI), area pulsed electron beams (PEE), raster scanned (RSEB) or multi - scanned electron beams (MSEB...where high quality or tailored profiles are required. Continuous wave lasers and multi -scanned or swept-line electron beams are the most likely candidates

  4. Neurosurgical applications of ion beams

    NASA Astrophysics Data System (ADS)

    Fabrikant, Jacob I.; Levy, Richard P.; Phillips, Mark H.; Frankel, Kenneth A.; Lyman, John T.

    1989-04-01

    The program at Donner Pavilion has applied nuclear medicine research to the diagnosis and radiosurgical treatment of life-threatening intracranial vascular disorders that affect more than half a million Americans. Stereotactic heavy-charged-particle Bragg peak radiosurgery, using narrow beams of heavy ions, demonstrates superior biological and physical characteristics in brain over X-and γ-rays, viz., improved dose distribution in the Bragg peak and sharp lateral and distal borders and less scattering of the beam. Examination of CNS tissue response and alteration of cerebral blood-flow dynamics related to heavy-ion Bragg peak radiosurgery is carried out using three-dimensional treatment planning and quantitative imaging utilizing cerebral angiography, computerized tomography (CT), magnetic resonance imaging (MRI), cine-CT, xenon X-ray CT and positron emission tomography (PET). Also under examination are the physical properties of narrow heavy-ion beams for improving methods of dose delivery and dose distribution and for establishing clinical RBE/LET and dose-response relationships for human CNS tissues. Based on the evaluation and treatment with stereotactically directed narrow beams of heavy charged particles of over 300 patients, with cerebral angiography, CT scanning and MRI and PET scanning of selected patients, plus extensive clinical and neuroradiological followup, it appears that Stereotactic charged-particle Bragg peak radiosurgery obliterates intracranial arteriovenous malformations or protects against rebleeding with reduced morbidity and no mortality. Discussion will include the method of evaluation, the clinical research protocol, the Stereotactic neuroradiological preparation, treatment planning, the radiosurgery procedure and the protocol for followup. Emphasis will be placed on the neurological results, including the neuroradiological and clinical response and early and late delayed injury in brain leading to complications (including vasogenic edema

  5. Phase Space Generation for Proton and Carbon Ion Beams for External Users' Applications at the Heidelberg Ion Therapy Center.

    PubMed

    Tessonnier, Thomas; Marcelos, Tiago; Mairani, Andrea; Brons, Stephan; Parodi, Katia

    2015-01-01

    In the field of radiation therapy, accurate and robust dose calculation is required. For this purpose, precise modeling of the irradiation system and reliable computational platforms are needed. At the Heidelberg Ion Therapy Center (HIT), the beamline has been already modeled in the FLUKA Monte Carlo (MC) code. However, this model was kept confidential for disclosure reasons and was not available for any external team. The main goal of this study was to create efficiently phase space (PS) files for proton and carbon ion beams, for all energies and foci available at HIT. PSs are representing the characteristics of each particle recorded (charge, mass, energy, coordinates, direction cosines, generation) at a certain position along the beam path. In order to achieve this goal, keeping a reasonable data size but maintaining the requested accuracy for the calculation, we developed a new approach of beam PS generation with the MC code FLUKA. The generated PSs were obtained using an infinitely narrow beam and recording the desired quantities after the last element of the beamline, with a discrimination of primaries or secondaries. In this way, a unique PS can be used for each energy to accommodate the different foci by combining the narrow-beam scenario with a random sampling of its theoretical Gaussian beam in vacuum. PS can also reproduce the different patterns from the delivery system, when properly combined with the beam scanning information. MC simulations using PS have been compared to simulations, including the full beamline geometry and have been found in very good agreement for several cases (depth dose distributions, lateral dose profiles), with relative dose differences below 0.5%. This approach has also been compared with measured data of ion beams with different energies and foci, resulting in a very satisfactory agreement. Hence, the proposed approach was able to fulfill the different requirements and has demonstrated its capability for application to

  6. Nitrogen ion implantation into various materials using 28 GHz electron cyclotron resonance ion source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shin, Chang Seouk; School of Mechanical Engineering, Pusan National University, Pusan 609-735; Lee, Byoung-Seob

    2016-02-15

    The installation of the 28 GHz electron cyclotron resonance ion source (ECRIS) ion implantation beamline was recently completed at the Korea Basic Science Institute. The apparatus contains a beam monitoring system and a sample holder for the ion implantation process. The new implantation system can function as a multipurpose tool since it can implant a variety of ions, ranging hydrogen to uranium, into different materials with precise control and with implantation areas as large as 1–10 mm{sup 2}. The implantation chamber was designed to measure the beam properties with a diagnostic system as well as to perform ion implantation withmore » an in situ system including a mass spectrometer. This advanced implantation system can be employed in novel applications, including the production of a variety of new materials such as metals, polymers, and ceramics and the irradiation testing and fabrication of structural and functional materials to be used in future nuclear fusion reactors. In this investigation, the first nitrogen ion implantation experiments were conducted using the new system. The 28 GHz ECRIS implanted low-energy, multi-charged nitrogen ions into copper, zinc, and cobalt substrates, and the ion implantation depth profiles were obtained. SRIM 2013 code was used to calculate the profiles under identical conditions, and the experimental and simulation results are presented and compared in this report. The depths and ranges of the ion distributions in the experimental and simulation results agree closely and demonstrate that the new system will enable the treatment of various substrates for advanced materials research.« less

  7. Note: A well-confined pulsed low-energy ion beam: Test experiments of Ar+

    NASA Astrophysics Data System (ADS)

    Hu, Jie; Wu, Chun-Xiao; Tian, Shan Xi

    2018-06-01

    Here we report a pulsed low-energy ion beam source for ion-molecule reaction study, in which the ions produced by the pulsed electron impact are confined well in the spatial size of each bunch. In contrast to the ion focusing method to reduce the transverse section of the beam, the longitudinal section in the translational direction is compressed by introducing a second pulse in the ion time-of-flight system. The test experiments for the low-energy argon ions are performed. The present beam source is ready for applications in the ion-molecule reaction dynamics experiments, in particular, in combination with the ion velocity map imaging technique.

  8. Thrust vectoring of broad ion beams for spacecraft attitude control

    NASA Technical Reports Server (NTRS)

    Collett, C. R.; King, H. J.

    1973-01-01

    Thrust vectoring is shown to increase the attractiveness of ion thrusters for satellite control applications. Incorporating beam deflection into ion thrusters makes it possible to achieve attitude control without adding any thrusters. Two beam vectoring systems are described that can provide up to 10-deg beam deflection in any azimuth. Both systems have been subjected to extended life tests on a 5-cm thruster which resulted in projected life times of from 7500 to 20,000 hours.

  9. High-resolution fluence verification for treatment plan specific QA in ion beam radiotherapy

    NASA Astrophysics Data System (ADS)

    Martišíková, Mária; Brons, Stephan; Hesse, Bernd M.; Jäkel, Oliver

    2013-03-01

    Ion beam radiotherapy exploits the finite range of ion beams and the increased dose deposition of ions toward the end of their range in material. This results in high dose conformation to the target region, which can be further increased using scanning ion beams. The standard method for patient-plan verification in ion beam therapy is ionization chamber dosimetry. The spatial resolution of this method is given by the distance between the chambers (typically 1 cm). However, steep dose gradients created by scanning ion beams call for more information and improved spatial resolution. Here we propose a clinically applicable method, supplementary to standard patient-plan verification. It is based on ion fluence measurements in the entrance region with high spatial resolution in the plane perpendicular to the beam, separately for each energy slice. In this paper the usability of the RID256 L amorphous silicon flat-panel detector for the measurements proposed is demonstrated for carbon ion beams. The detector provides sufficient spatial resolution for this kind of measurement (pixel pitch 0.8 mm). The experiments were performed at the Heidelberg Ion-Beam Therapy Center in Germany. This facility is equipped with a synchrotron capable of accelerating ions from protons up to oxygen to energies between 48 and 430 MeV u-1. Beam application is based on beam scanning technology. The measured signal corresponding to single energy slices was translated to ion fluence on a pixel-by-pixel basis, using calibration, which is dependent on energy and ion type. To quantify the agreement of the fluence distributions measured with those planned, a gamma-index criterion was used. In the patient field investigated excellent agreement was found between the two distributions. At least 95% of the slices contained more than 96% of points agreeing with our criteria. Due to the high spatial resolution, this method is especially valuable for measurements of strongly inhomogeneous fluence

  10. Energetic Ion Beam Production by a Low-Pressure Plasma Focus Discharge

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lim, L. K.; Yap, S. L.; Wong, C. S.

    Energetic ion beam emissions in a 3 kJ Mather type plasma focus operating at low-pressure regime are investigated. Deuterium gas is used and the discharge is operated in a low-pressure regime of below 1 mbar. Formation of the current sheath during the breakdown phase at the back wall is assisted by a set delayed trigger pulse. Energetic and intense ion beams with good reproducibility have been obtained for the operating pressure ranging from 0.05 mbar to 0.5 mbar. Deuteron beam is determined by time resolved measurement by making use of three biased ion collectors placed at the end on direction.more » The average energies of deuteron beams are resolved by using time-of flight method. Correlation between the ion emissions and the current sheath dynamics is also discussed.« less

  11. Ion Beam Measurements of a Dense Plasma Focus Device Using CR 39 Nuclear Track Detectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ngoi, S. K.; Yap, S. L.; Wong, C. S.

    The project is carried out using a small Mather type plasma focus device powered by a 15 kV, 30 {mu}F capacitor. The filling gas used is argon. The ion beam generated is investigated by both time resolved and time integrated methods. Investigation on the dynamic of the current sheath is also carried out in order to obtain an optimum condition for ion beam production. The angular distribution of the ion emission is measured at positions of 0 deg. (end-on), 45 deg. and 90 deg. (side-on) by using CR-39 nuclear track detectors. The divergence of the ion beam is also determinedmore » using these detectors. A biased ion collector is used for time resolved measurement of the ion beam. Time of flight technique is employed for the determination of the ion beam energy. Average ion beam energy obtained is about 180 keV. The ion beam produced can be used for applications such as material surface modification and ion implantation.« less

  12. Development of economic MeV-ion microbeam technology at Chiang Mai University

    NASA Astrophysics Data System (ADS)

    Singkarat, S.; Puttaraksa, N.; Unai, S.; Yu, L. D.; Singkarat, K.; Pussadee, N.; Whitlow, H. J.; Natyanum, S.; Tippawan, U.

    2017-08-01

    Developing high technologies but in economic manners is necessary and also feasible for developing countries. At Chiang Mai University, Thailand, we have developed MeV-ion microbeam technology based on a 1.7-MV Tandetron tandem accelerator with our limited resources in a cost-effective manner. Instead of using expensive and technically complex electrostatic or magnetic quadrupole focusing lens systems, we have developed cheap MeV-ion microbeams using programmed L-shaped blade aperture and capillary techniques for MeV ion beam lithography or writing and mapping. The programmed L-shaped blade micro-aperture system consists of a pair of L-shaped movable aperture pieces which are controlled by computer to cut off the ion beam for controlling the beam size down to the micrometer order. The capillary technique utilizes our home-fabricated tapered glass capillaries to realize microbeams. Either system can be installed inside the endstation of the MeV ion beam line of the accelerator. Both systems have been applied to MeV-ion beam lithography or writing of micro-patterns for microfluidics applications to fabricate lab-on-chip devices. The capillary technique is being developed for MeV-ion beam mapping of biological samples. The paper reports details of the techniques and introduces some applications.

  13. Beam current controller for laser ion source

    DOEpatents

    Okamura, Masahiro

    2014-10-28

    The present invention relates to the design and use of an ion source with a rapid beam current controller for experimental and medicinal purposes. More particularly, the present invention relates to the design and use of a laser ion source with a magnetic field applied to confine a plasma flux caused by laser ablation.

  14. Stripline fast faraday cup for measuring GHz structure of ion beams

    DOEpatents

    Bogaty, John M.

    1992-01-01

    The Stripline Fast Faraday Cup is a device which is used to quantitatively and qualitatively measure gigahertz time structure characteristics of ion beams with energies up to at least 30 Mev per nucleon. A stripline geometry is employed in conjunction with an electrostatic screen and a Faraday cup to provide for analysis of the structural characteristics of an ion beam. The stripline geometry allows for a large reduction in the size of the instrument while the electrostatic screen permits measurements of the properties associated with low speed ion beams.

  15. Slit disk for modified faraday cup diagnostic for determining power density of electron and ion beams

    DOEpatents

    Teruya, Alan T [Livermore, CA; Elmer,; John, W [Danville, CA; Palmer, Todd A [State College, PA

    2011-03-08

    A diagnostic system for characterization of an electron beam or an ion beam includes an electrical conducting disk of refractory material having a circumference, a center, and a Faraday cup assembly positioned to receive the electron beam or ion beam. At least one slit in the disk provides diagnostic characterization of the electron beam or ion beam. The at least one slit is located between the circumference and the center of the disk and includes a radial portion that is in radial alignment with the center and a portion that deviates from radial alignment with the center. The electron beam or ion beam is directed onto the disk and translated to the at least one slit wherein the electron beam or ion beam enters the at least one slit for providing diagnostic characterization of the electron beam or ion beam.

  16. Ion Beam And Plasma Jet Generated By A 3 kJ Plasma Focus

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lim, L. K.; Ngoi, S. K.; Yap, S. L.

    The plasma focus device is well known as a copious source of X-ray, neutrons, ion and electron beams. In this work, the characteristics of energetic ion beam emission in a 3 kJ Mather-type plasma focus is studied. The plasma focus system is operated at low pressure with argon as the working gas. The objective of the project is to obtain the argon ion beam and the plasma jet. The ion beam and plasma jet are used for material processing. In order to investigate the effect of the ion beam and plasma jet, crystalline silicon substrates are placed above the anode.more » Samples obtained after irradiation with the plasma focus discharge are analyzed by using the Scanning electron microscopy (SEM) and Energy Dispersive X-ray spectroscopy (EDX).« less

  17. Negative ion beam injection apparatus with magnetic shield and electron removal means

    DOEpatents

    Anderson, Oscar A.; Chan, Chun F.; Leung, Ka-Ngo

    1994-01-01

    A negative ion source is constructed to produce H.sup.- ions without using Cesium. A high percentage of secondary electrons that typically accompany the extracted H.sup.- are trapped and eliminated from the beam by permanent magnets in the initial stage of acceleration. Penetration of the magnetic field from the permanent magnets into the ion source is minimized. This reduces the destructive effect the magnetic field could have on negative ion production and extraction from the source. A beam expansion section in the extractor results in a strongly converged final beam.

  18. A CW radiofrequency ion source for production of negative hydrogen ion beams for cyclotrons

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kalvas, T.; Tarvainen, O.; Komppula, J.

    2015-04-08

    A CW 13.56 MHz radiofrequency-driven ion source RADIS for production of H{sup −} and D{sup −} beams is under development for replacing the filament-driven ion source of the MCC30/15 cyclotron. The RF ion source has a 16-pole multicusp plasma chamber, an electromagnet-based magnetic filter and an external planar spiral RF antenna behind an AlN window. The extraction is a 5-electrode system with an adjustable puller electrode voltage for optimizing the beam formation, a water-cooled electron dump electrode and an accelerating einzel lens. At 2650 W of RF power, the source produces 1 mA of H{sup −} (2.6 mA/cm{sup 2}), which is the intensity neededmore » at injection for production of 200 µA H{sup +} with the filament-driven ion source. A simple pepperpot device has been developed for characterizing the beam emittance. Plans for improving the power efficiency with the use of a new permanent magnet front plate is discussed.« less

  19. Thermal Skin fabrication technology

    NASA Technical Reports Server (NTRS)

    Milam, T. B.

    1972-01-01

    Advanced fabrication techniques applicable to Thermal Skin structures were investigated, including: (1) chemical machining; (2) braze bonding; (3) diffusion bonding; and (4) electron beam welding. Materials investigated were nickel and nickel alloys. Sample Thermal Skin panels were manufactured using the advanced fabrication techniques studied and were structurally tested. Results of the program included: (1) development of improved chemical machining processes for nickel and several nickel alloys; (2) identification of design geometry limits; (3) identification of diffusion bonding requirements; (4) development of a unique diffusion bonding tool; (5) identification of electron beam welding limits; and (6) identification of structural properties of Thermal Skin material.

  20. Adhesive bonding of ion beam textured metals and fluoropolymers

    NASA Technical Reports Server (NTRS)

    Mirtich, M. J.; Sovey, J. S.

    1978-01-01

    An electron bombardment argon ion source was used to ion etch various metals and fluoropolymers. The metal and fluoropolymers were exposed to (0.5 to 1.0) keV Ar ions at ion current densities of (0.2 to 1.5) mA/sq cm for various exposure times. The resulting surface texture is in the form of needles or spires whose vertical dimensions may range from tenths to hundreds of micrometers, depending on the selection of beam energy, ion current density, and etch time. The bonding of textured surfaces is accomplished by ion beam texturing mating pieces of either metals or fluoropolymers and applying a bonding agent which wets in and around the microscopic cone-like structures. After bonding, both tensile and shear strength measurements were made on the samples. Also tested, for comparison's sake, were untextured and chemically etched fluoropolymers. The results of these measurements are presented.

  1. Adhesive bonding of ion beam textured metals and fluoropolymers

    NASA Technical Reports Server (NTRS)

    Mirtich, M. J.; Sovey, J. S.

    1978-01-01

    An electron-bombardment argon ion source was used to ion-etch various metals and fluoropolymers. The metal and fluoropolymers were exposed to (0.5 to 1.0)-keV Ar ions at ion current densities of 0.2 to 1.5 mA/sq cm for various exposure times. The resulting surface texture is in the form of needles or spires whose vertical dimensions may range from tenths to hundreds of micrometers, depending on the selection of beam energy, ion current density, and etch time. The bonding of textured surfaces is accomplished by ion-beam texturing mating pieces of either metals or fluoropolymers and applying a bonding agent which wets in and around the microscopic conelike structures. After bonding, both tensile and shear strength measurements were made on the samples. Also tested, for comparison's sake, were untextured and chemically etched fluoropolymers. The results of these measurements are presented in this paper.

  2. Electromagnetic and geometric characterization of accelerated ion beams by laser ablation

    NASA Astrophysics Data System (ADS)

    Nassisi, V.; Velardi, L.; Side, D. Delle

    2013-05-01

    Laser ion sources offer the possibility to get ion beam useful to improve particle accelerators. Pulsed lasers at intensities of the order of 108 W/cm2 and of ns pulse duration, interacting with solid matter in vacuum, produce plasma of high temperature and density. The charge state distribution of the plasma generates high electric fields which accelerate ions along the normal to the target surface. The energy of emitted ions has a Maxwell-Boltzmann distribution which depends on the ion charge state. To increase the ion energy, a post-acceleration system can be employed by means of high voltage power supplies of about 100 kV. The post acceleration system results to be a good method to obtain high ion currents by a not expensive system and the final ion beams find interesting applications in the field of the ion implantation, scientific applications and industrial use. In this work we compare the electromagnetic and geometric properties, like emittance, of the beams delivered by pure Cu, Y and Ag targets. The characterization of the plasma was performed by a Faraday cup for the electromagnetic characteristics, whereas a pepper pot system was used for the geometric ones. At 60 kV accelerating voltage the three examined ion bunches get a current peak of 5.5, 7.3 and 15 mA, with a normalized beam emittance of 0.22, 0.12 and 0.09 π mm mrad for the targets of Cu, Y, and Ag, respectively.

  3. Ion beam and plasma methods of producing diamondlike carbon films

    NASA Technical Reports Server (NTRS)

    Swec, Diane M.; Mirtich, Michael J.; Banks, Bruce A.

    1988-01-01

    A variety of plasma and ion beam techniques was employed to generate diamondlike carbon films. These methods included the use of RF sputtering, dc glow discharge, vacuum arc, plasma gun, ion beam sputtering, and both single and dual ion beam deposition. Since films were generated using a wide variety of techniques, the physico-chemical properties of these films varied considerably. In general, these films had characteristics that were desirable in a number of applications. For example, the films generated using both single and dual ion beam systems were evaluated for applications including power electronics as insulated gates and protective coatings on transmitting windows. These films were impervious to reagents which dissolve graphitic and polymeric carbon structures. Nuclear reaction and combustion analysis indicated hydrogen to carbon ratios to be 1.00, which allowed the films to have good transmittance not only in the infrared, but also in the visible. Other evaluated properties of these films include band gap, resistivity, adherence, density, microhardness, and intrinsic stress. The results of these studies and those of the other techniques for depositing diamondlike carbon films are presented.

  4. Electron cyclotron resonance ion sources in use for heavy ion cancer therapy.

    PubMed

    Tinschert, K; Iannucci, R; Lang, R

    2008-02-01

    The use of electron cyclotron resonance (ECR) ion sources for producing ion beams for heavy ion cancer therapy has been established for more than ten years. After the Heavy Ion Medical Accelerator (HIMAC) at Chiba, Japan started therapy of patients with carbon ions in 1994 the first carbon ion beam for patient treatment at the accelerator facility of GSI was delivered in 1997. ECR ion sources are the perfect tool for providing the required ion beams with good stability, high reliability, and easy maintenance after long operating periods. Various investigations were performed at GSI with different combinations of working gas and auxiliary gas to define the optimal beam conditions for an extended use of further ion species for the dedicated Heidelberg Ion Beam Therapy (HIT) facility installed at the Radiological University Hospital Heidelberg, Germany. Commercially available compact all permanent magnet ECR ion sources operated at 14.5 GHz were chosen for this facility. Besides for (12)C(4+) these ion sources are used to provide beams of (1)H(3)(1+), (3)He(1+), and (16)O(6+). The final commissioning at the HIT facility could be finished at the end of 2006.

  5. MULTIPLE ELECTRON BEAM ION PUMP AND SOURCE

    DOEpatents

    Ellis, R.E.

    1962-02-27

    A vacuum pump is designed which operates by ionizing incoming air and by withdrawing the ions from the system by means of electrical fields. The apparatus comprises a cylindrical housing communicable with the vessel to be evacuated and having a thin wall section in one end. Suitable coils provide a longitudinal magnetic field within the cylinder. A broad cathode and an anode structure is provided to establish a plurality of adjacent electron beams which are parallel to the cylinder axis. Electron reflector means are provided so that each of the beams constitutes a PIG or reflex discharge. Such structure provides a large region in which incoming gas molecules may be ionized by electron bombardment. A charged electrode assembly accelerates the ions through the thin window, thereby removing the gas from the system. The invention may also be utilized as a highly efficient ion source. (AEC)

  6. Development of long-lived thick carbon stripper foils for high energy heavy ion accelerators by a heavy ion beam sputtering method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Muto, Hideshi; Ohshiro, Yukimitsu; Kawasaki, Katsunori

    2013-04-19

    In the past decade, we have developed extremely long-lived carbon stripper foils of 1-50 {mu}g/cm{sup 2} thickness prepared by a heavy ion beam sputtering method. These foils were mainly used for low energy heavy ion beams. Recently, high energy negative Hydrogen and heavy ion accelerators have started to use carbon stripper foils of over 100 {mu}g/cm{sup 2} in thickness. However, the heavy ion beam sputtering method was unsuccessful in production of foils thicker than about 50 {mu}g/cm{sup 2} because of the collapse of carbon particle build-up from substrates during the sputtering process. The reproduction probability of the foils was lessmore » than 25%, and most of them had surface defects. However, these defects were successfully eliminated by introducing higher beam energies of sputtering ions and a substrate heater during the sputtering process. In this report we describe a highly reproducible method for making thick carbon stripper foils by a heavy ion beam sputtering with a Krypton ion beam.« less

  7. Extraction of space-charge-dominated ion beams from an ECR ion source: Theory and simulation

    NASA Astrophysics Data System (ADS)

    Alton, G. D.; Bilheux, H.

    2004-05-01

    Extraction of high quality space-charge-dominated ion beams from plasma ion sources constitutes an optimization problem centered about finding an optimal concave plasma emission boundary that minimizes half-angular divergence for a given charge state, independent of the presence or lack thereof of a magnetic field in the extraction region. The curvature of the emission boundary acts to converge/diverge the low velocity beam during extraction. Beams of highest quality are extracted whenever the half-angular divergence, ω, is minimized. Under minimum half-angular divergence conditions, the plasma emission boundary has an optimum curvature and the perveance, P, current density, j+ext, and extraction gap, d, have optimum values for a given charge state, q. Optimum values for each of the independent variables (P, j+ext and d) are found to be in close agreement with those derived from elementary analytical theory for extraction with a simple two-electrode extraction system, independent of the presence of a magnetic field. The magnetic field only increases the emittances of beams through additional aberrational effects caused by increased angular divergences through coupling of the longitudinal to the transverse velocity components of particles as they pass though the mirror region of the electron cyclotron resonance (ECR) ion source. This article reviews the underlying theory of elementary extraction optics and presents results derived from simulation studies of extraction of space-charge dominated heavy-ion beams of varying mass, charge state, and intensity from an ECR ion source with emphasis on magnetic field induced effects.

  8. Evaluation of left ventricular assist device pump bladders cast from ion-sputtered polytetrafluorethylene mandrels

    NASA Technical Reports Server (NTRS)

    1982-01-01

    A highly thromboresistant blood contacting interface for use in implanatable blood pump is investigated. Biomaterials mechanics, dynamics, durability, surface morphology, and chemistry are among the critical consideration pertinent to the choice of an appropriate blood pump bladder material. The use of transfer cast biopolymers from ion beam textured surfaces is investigated to detect subtle variations in blood pump surface morphology using Biomer as the biomaterial of choice. The efficacy of ion beam sputtering as an acceptable method of fabricating textured blood interfaces is evaluated. Aortic grafts and left ventricular assist devices were implanted in claves; the blood interfaces were fabricated by transfer casting methods from ion beam textured polytetrafluorethylene mandrels. The mandrels were textured by superimposing a 15 micron screen mesh; ion sputtering conditions were 300 volts beam energy, 40 to 50 mA beam, and a mandrel to source distance of 25 microns.

  9. Polar cap ion beams during periods of northward IMF: Cluster statistical results

    NASA Astrophysics Data System (ADS)

    Maggiolo, R.; Echim, M.; de Keyser, J.; Fontaine, D.; Jacquey, C.; Dandouras, I.

    2011-05-01

    Above the polar caps and during prolonged periods of northward IMF, the Cluster satellites detect upward accelerated ion beams with energies up to a few keV. They are associated with converging electric field structures indicating that the acceleration is caused by a quasi-static field-aligned electric field that can extend to altitudes higher than 7 RE (Maggiolo et al., 2006; Teste et al., 2007). Using the AMDA science analysis service provided by the Centre de Données de la Physique des Plasmas, we have been able to extract about 200 events of accelerated upgoing ion beams above the polar caps from the Cluster database. Most of these observations are taken at altitudes lower than 7 RE and in the Northern Hemisphere. We investigate the statistical properties of these ion beams. We analyze their geometry, the properties of the plasma populations and of the electric field inside and around the beams, as well as their dependence on solar wind and IMF conditions. We show that ~40 % of the ion beams are collocated with a relatively hot and isotropic plasma population. The density and temperature of the isotropic population are highly variable but suggest that this plasma originates from the plasma sheet. The ion beam properties do not change significantly when the isotropic, hot background population is present. Furthermore, during one single polar cap crossing by Cluster it is possible to detect upgoing ion beams both with and without an accompanying isotropic component. The analysis of the variation of the IMF BZ component prior to the detection of the beams indicates that the delay between a northward/southward turning of IMF and the appearance/disappearance of the beams is respectively ~2 h and 20 min. The observed electrodynamic characteristics of high altitude polar cap ion beams suggest that they are closely connected to polar cap auroral arcs. We discuss the implications of these Cluster observations above the polar cap on the magnetospheric dynamics and

  10. Development of NIRS pencil beam scanning system for carbon ion radiotherapy

    NASA Astrophysics Data System (ADS)

    Furukawa, T.; Hara, Y.; Mizushima, K.; Saotome, N.; Tansho, R.; Saraya, Y.; Inaniwa, T.; Mori, S.; Iwata, Y.; Shirai, T.; Noda, K.

    2017-09-01

    At Heavy Ion Medical Accelerator in Chiba (HIMAC) in National Institute of Radiological Sciences (NIRS), more than 9000 patients have been successfully treated by carbon ion beams since 1994. The successful results of treatments have led us to construct a new treatment facility equipped with a three-dimensional pencil beam scanning irradiation system, which is one of sophisticated techniques for cancer therapy with high energetic ion beam. This new facility comprises two treatment rooms having fixed beam lines and one treatment room having rotating gantry line. The challenge of this project is to realize treatment of a moving target by scanning irradiation. Thus, to realize this, the development of the fast scanning system is one of the most important issues in this project. After intense commissioning and quality assurance tests, the treatment with scanned ion beam was started in May 2011. After treatment of static target starts, we have developed related technologies. As a result, we can start treatment of moving target and treatment without range shifter plates since 2015. In this paper, the developments of the scanning irradiation system are described.

  11. Fabrication of solar beam steering electrowetting devices—present status and future prospects

    NASA Astrophysics Data System (ADS)

    Khan, I.; Castelletto, S.; Rosengarten, G.

    2017-10-01

    Many different technologies are used to track the movement of the sun to both enable concentration of its energy and maximize the yearly energy capture. Their present main limitations are the cost, size, visual impact and wind loading, particularly for applications involving mounting to a building. A parabolic concentrator, for example, along with its steering equipment is heavy and bulky, and is not suitable for rooftop applications. Instead, thin and flat solar concentration devices are required for hassle-free rooftop applications. The use of electrowetting-controlled liquid lenses has emerged as a novel approach for solar tracking and concentration. By steering sunlight using thin electrowetting cell arrays, bulky mechanical equipment is not required. The basic concept of this technology is to change the shape of a liquid interface that is formed by two immiscible fluids of different refractive indices, by simply applying an electric field. An important challenge in electrowetting beam steering devices is the optimization of the design and fabrication process for each of their main constituent components, to maximize optical efficiency. In this paper, we report on the state-of-the-art fabrication methods for electrowetting devices for solar beam steering. We have reviewed the present status of different components types and related fabrication methods, and how they affect the efficiency and performance of such devices. The work identifies future prospects in using electrowetting beam steering devices for solar energy applications. This paper will help researchers and developers in the field to determine the components and fabrication process that affect the development of efficient beam steering electrowetting devices.

  12. Nanofabrication with a helium ion microscope

    NASA Astrophysics Data System (ADS)

    Maas, Diederik; van Veldhoven, Emile; Chen, Ping; Sidorkin, Vadim; Salemink, Huub; van der Drift, Emile..; Alkemade, Paul

    2010-03-01

    The recently introduced helium ion microscope (HIM) is capable of imaging and fabrication of nanostructures thanks to its sub-nanometer sized ion probe. The unique interaction of the helium ions with the sample material provides very localized secondary electron emission, thus providing a valuable signal for high-resolution imaging as well as a mechanism for very precise nanofabrication. The low proximity effects, due to the low yield of backscattered ions and the confinement of the forward scattered ions into a narrow cone, enable patterning of ultra-dense sub-10 nm structures. This paper presents various nanofabrication results obtained with direct-write, with scanning helium ion beam lithography, and with helium ion beam induced deposition.

  13. Ion beam development for the needs of the JYFL nuclear physics programme.

    PubMed

    Koivisto, H; Suominen, P; Ropponen, T; Ropponen, J; Koponen, T; Savonen, M; Toivanen, V; Wu, X; Machicoane, G; Stetson, J; Zavodszky, P; Doleans, M; Spädtke, P; Vondrasek, R; Tarvainen, O

    2008-02-01

    The increased requirements towards the use of higher ion beam intensities motivated us to initiate the project to improve the overall transmission of the K130 cyclotron facility. With the facility the transport efficiency decreases rapidly as a function of total beam intensity extracted from the JYFL ECR ion sources. According to statistics, the total transmission efficiency is of the order of 10% for low beam intensities (I(total)< or =0.7 mA) and only about 2% for high beam intensities (I(total)>1.5 mA). Requirements towards the use of new metal ion beams for the nuclear physics experiments have also increased. The miniature oven used for the production of metal ion beams at the JYFL is not able to reach the temperature needed for the requested metal ion beams. In order to fulfill these requirements intensive development work has been performed. An inductively and a resistively heated oven has successfully been developed and both are capable of reaching temperatures of about 2000 degrees C. In addition, sputtering technique has been tested. GEANT4 simulations have been started in order to better understand the processes involved with the bremsstrahlung, which gives an extra heat load to cryostat in the case of superconducting ECR ion source. Parallel with this work, a new advanced ECR heating simulation program has been developed. In this article we present the latest results of the above-mentioned projects.

  14. Optimal condition for employing an axicon-generated Bessel beam to fabricate cylindrical microlens arrays

    NASA Astrophysics Data System (ADS)

    Luo, Zhi; Yin, Kai; Dong, Xinran; Duan, Ji’an

    2018-05-01

    A numerical algorithm, modelling the transformation from a Gaussian beam to a Bessel beam, is presented for the purpose to study the optimal condition for employing an axicon-generated Bessel beam to fabricate cylindrical microlens arrays (CMLAs). By applying the numerical algorithm to simulate the spatial intensity distribution behind the axicon under different defects of a rotund-apex and different diameter ratios of an incident beam to the axicon, we find that the diffraction effects formed by the axicon edge can be almost eliminated when the diameter ratio is less than 1:2, but the spatial intensity distribution is disturbed dramatically even a few tens of microns deviation of the apex, especially for the front part of the axicon-generated Bessel beam. Fortunately, the lateral intensity profile in the rear part still maintains a desirable Bessel curve. Therefore, the rear part of the Bessel area and the less than 1:2 diameter ratio are the optimal choice for employing an axicon-generated Bessel beam to implement surface microstructures fabrication. Furthermore, by applying the optimal conditions to direct writing microstructures on fused silica with a femtosecond (fs) laser, a large area close-packed CMLA is fabricated. The CMLA presents high quality and uniformity and its optical performance is also demonstrated.

  15. Molecular dynamics and dynamic Monte-Carlo simulation of irradiation damage with focused ion beams

    NASA Astrophysics Data System (ADS)

    Ohya, Kaoru

    2017-03-01

    The focused ion beam (FIB) has become an important tool for micro- and nanostructuring of samples such as milling, deposition and imaging. However, this leads to damage of the surface on the nanometer scale from implanted projectile ions and recoiled material atoms. It is therefore important to investigate each kind of damage quantitatively. We present a dynamic Monte-Carlo (MC) simulation code to simulate the morphological and compositional changes of a multilayered sample under ion irradiation and a molecular dynamics (MD) simulation code to simulate dose-dependent changes in the backscattering-ion (BSI)/secondary-electron (SE) yields of a crystalline sample. Recent progress in the codes for research to simulate the surface morphology and Mo/Si layers intermixing in an EUV lithography mask irradiated with FIBs, and the crystalline orientation effect on BSI and SE yields relating to the channeling contrast in scanning ion microscopes, is also presented.

  16. Beam production of a laser ion source with a rotating hollow cylinder target for low energy positive and negative ions

    NASA Astrophysics Data System (ADS)

    Saquilayan, G. Q.; Wada, M.

    2017-08-01

    A laser ion source that utilizes a hollow cylinder target is being developed for the production of positive and negative ions. Continuous operation of the laser ion source is possible through the design of a rotating target. Ion extraction through a grounded circular aperture was tested for positive and negative ions up to 1 kV. Time-of-flight measurements for the mass separation of ions were made by placing a Faraday cup at locations 0 and 15 mm from the beam extraction axis. Signals corresponding to slow and massive ions were detected with mass at least 380 amu. Investigation on the beam profile suggests a geometrical optimization of the beam forming system is necessary.

  17. Infrared Photodiodes Made by Low Energy Ion Etching of Molecular Beam Epitaxy Grown Mercury-Cadmium Alloy

    NASA Astrophysics Data System (ADS)

    Yoo, Sung-Shik

    Ion etching was used to form junctions on the p-type (111)B Hg_{1-x}Cd_ {x}Te grown by Molecular Beam Epitaxy(MBE). When Hg_{1-x}Cd_{x}Te layers are etched by Ar ions at energies ranging between 300 and 450eV, the top Hg_{1 -x}Cd_{x}Te layer is converted to n-type. The converted region is electrically characterized as a defective n^+-region near the surface, and a low doped n^--region exist below the damaged region. The total thickness of the converted n-type layer was found to be considerable. These results suggest that the creation of the n-type layer is due to the filling of mercury vacancies by mercury atoms displaced by the Ar ion irradiation on the surface. For the performance of the resulting photodiodes on MBE grown (111)B Hg_{1-x}Cd _{x}Te using this technique, the dynamic resistances at 80K are one order of magnitude less than those of junctions made on Liquid Phase Epitaxially and Bulk grown Hg_{1 -x}Cd_{x}Te. The ion etching technique was compared with ion implantation technique by fabricating diodes on the same MBE grown (111)B Hg _{1-x}Cd_{x}Te layers. The result of the comparison illustrates that ion etching technique is as good as ion implantation technique for the fabrication of Hg_{1-x}Cd _{x}Te photodiodes. Also it is believed that the performance of the diodes is limited by a relatively large density of twin defects usually found in MBE grown (111)B Hg_{1-x}Cd _{x}Te.

  18. Scalable Multiplexed Ion Trap Fabrication Using Ball Grid Arrays

    DTIC Science & Technology

    2014-10-31

    mounting micromirrors on the interposer surface to allow for improved ion addressing and distinct Doppler laser cooling and qubit operation zones...Having micromirrors so close to the ion position will allow for tighter beam focusing and individual ion addressing. Other possibilities could include...to build a unit cell that would take advantage of the micromirrors on the chip surface. Currently it only takes into account Doppler cooling and

  19. Electron Beam-Cure Polymer Matrix Composites: Processing and Properties

    NASA Technical Reports Server (NTRS)

    Wrenn, G.; Frame, B.; Jensen, B.; Nettles, A.

    2001-01-01

    Researchers from NASA and Oak Ridge National Laboratory are evaluating a series of electron beam curable composites for application in reusable launch vehicle airframe and propulsion systems. Objectives are to develop electron beam curable composites that are useful at cryogenic to elevated temperatures (-217 C to 200 C), validate key mechanical properties of these composites, and demonstrate cost-saving fabrication methods at the subcomponent level. Electron beam curing of polymer matrix composites is an enabling capability for production of aerospace structures in a non-autoclave process. Payoffs of this technology will be fabrication of composite structures at room temperature, reduced tooling cost and cure time, and improvements in component durability. This presentation covers the results of material property evaluations for electron beam-cured composites made with either unidirectional tape or woven fabric architectures. Resin systems have been evaluated for performance in ambient, cryogenic, and elevated temperature conditions. Results for electron beam composites and similar composites cured in conventional processes are reviewed for comparison. Fabrication demonstrations were also performed for electron beam-cured composite airframe and propulsion piping subcomponents. These parts have been built to validate manufacturing methods with electron beam composite materials, to evaluate electron beam curing processing parameters, and to demonstrate lightweight, low-cost tooling options.

  20. Electromagnetic ion beam instabilities - Growth at cyclotron harmonic wave numbers

    NASA Technical Reports Server (NTRS)

    Smith, Charles W.; Gary, S. Peter

    1987-01-01

    The linear theory of electromagnetic ion beam instabilities for arbitrary angles of propagation is studied, with an emphasis on the conditions necessary to generate unstable modes at low harmonics of the ion cyclotron resonance condition. The present results extend the analysis of Smith et al. (1985). That paper considered only the plasma parameters at a time during which harmonic wave modes were observed in the earth's foreshock. The parameters of that paper are used as the basis of parametric variations here to establish the range of beam properties which may give rise to observable harmonic spectra. It is shown that the growth rates of both left-hand and right-hand cyclotron harmonic instabilities are enhanced by an increase in the beam temperature anisotropy and/or the beam speed. Decreases in the beam density and/or the core-ion beta reduce the overall growth of the cyclotron harmonic instabilities but favor the growth of these modes over the growth of the nonresonant instability and thereby enhance the observability of the harmonics.

  1. Investigation of Damage with Cluster Ion Beam Irradiation Using HR-RBS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seki, Toshio; Aoki, Takaaki; Matsuo, Jiro

    2008-11-03

    Cluster ion beam can process targets with shallow damage because of the very low irradiation energy per atom. However, it is needed to investigate the damage with cluster ion beam irradiation, because recent applications demand process targets with ultra low damage. The shallow damage can be investigated from depth profiles of specific species before and after ion irradiation. They can be measured with secondary ion mass spectrometry (SIMS) and Rutherford backscattering spectroscopy (RBS). High resolution Rutherford backscattering spectroscopy (HR-RBS) is a non destructive measurement method and depth profiles can be measured with nano-resolution. The cluster ion beam mixing of thinmore » Ni layer in carbon targets can be investigated with HR-RBS. The mixing depth with cluster ion irradiation at 10 keV was about 10 nm. The mixing depth with cluster ion irradiation at 1 keV and 5 keV were less than 1 nm and 5 nm, respectively. The number of displaced Ni atoms with cluster ion irradiation was very larger than that with monomer ion irradiation of same energy. This result shows that violent mixing occurs with single cluster impact.« less

  2. The characteristics of a new negative metal ion beam source and its applications

    NASA Astrophysics Data System (ADS)

    Paik, Namwoong

    2001-10-01

    Numerous efforts at energetic thin film deposition processes using ion beams have been made to meet the demands of today's thin film industry. As one of these efforts, a new Magnetron Sputter Negative Ion Source (MSNIS) was developed. In this study, the development and the characterization of the MSNIS were investigated. Amorphous carbon films were used as a sample coating medium to evaluate the ion beam energy effect. A review of energetic Physical Vapor Deposition (PVD) techniques is presented in Chapter 1. The energetic PVD methods can be classified into two major categories: the indirect ion beam method Ion Beam Assisted Deposition (IBAD), and the direct ion beam method-Direct Ion Beam Deposition (DIBD). In this chapter, currently available DIBD processes such as Cathodic Arc, Laser Ablation, Ionized Physical Vapor Deposition (I-PVD) and Magnetron Sputter Negative Ion Source (MSNIS) are individually reviewed. The design and construction of the MSNIS is presented in chapter 2. The MSNIS is a hybrid of the conventional magnetron sputter configuration and the cesium surface ionizer. The negative sputtered ions are produced directly from the sputter target by surface ionization. In chapter 3, the ion beam and plasma characteristics of an 8″ diameter MSNIS are investigated using a retarding field analyzer and a cylindrical Langmuir Probe. The measured electron temperature is approximately 2-5 eV, while the plasma density and plasma potential were of the order of 10 11-1012 cm3 and 5-20 V, respectively, depending on the pressure and power. In chapter 4, in order to evaluate the effect of the ion beam on the resultant films, amorphous carbon films were deposited under various conditions. The structure of carbon films was investigated using Raman spectroscopy and X-ray photoelectron spectroscopy (XPS). The result suggests the fraction of spa bonding is more than 70% in some samples prepared by MSNIS while magnetron sputtered samples showed less than 30%. (Abstract

  3. Fabrication and characterization of tapered graphite/epoxy box beams

    NASA Astrophysics Data System (ADS)

    Yen, S.-C.; Gopal, P.; Dharani, L. R.

    1993-04-01

    Graphite/epoxy (T300/934) prepreg is used to fabricate tapered box beams with a taper angle of 2 deg between the top and bottom walls. The prepreg is cured on a segmented steel core using a hot-press. A screw arrangement is used to apply curing pressure in the horizontal direction, while the platens of the hot-press apply pressure in the vertical direction. The inplane bending stiffness of the beam is determined by 3-point bend test and is found to be in agreement with theory.

  4. Ion beam neutralization using three-dimensional electron confinement by surface modification of magnetic poles

    NASA Astrophysics Data System (ADS)

    Nicolaescu, Dan; Sakai, Shigeki; Gotoh, Yasuhito; Ishikawa, Junzo

    2011-07-01

    Advanced implantation systems used for semiconductor processing require transportation of quasi-parallel ion beams, which have low energy (11B+, 31P+,75As+, Eion=200-1000 eV). Divergence of the ion beam due to space charge effects can be compensated through injection of electrons into different regions of the ion beam. The present study shows that electron confinement takes place in regions of strong magnetic field such as collimator magnet provided with surface mirror magnetic fields and that divergence of the ion beam passing through such regions is largely reduced. Modeling results have been obtained using Opera3D/Tosca/Scala. Electrons may be provided by collision between ions and residual gas molecules or may be injected by field emitter arrays. The size of surface magnets is chosen such as not to disturb ion beam collimation, making the approach compatible with ion beam systems. Surface magnets may form thin magnetic layers with thickness h=0.5 mm or less. Conditions for spacing of surface magnet arrays for optimal electron confinement are outlined.

  5. Production of intense negative hydrogen beams with polarized nuclei by selective neutralization of negative ions

    DOEpatents

    Hershcovitch, Ady

    1987-01-01

    A process for selectively neutralizing H.sup.- ions in a magnetic field to produce an intense negative hydrogen ion beam with spin polarized protons. Characteristic features of the process include providing a multi-ampere beam of H.sup.- ions that are intersected by a beam of laser light. Photodetachment is effected in a uniform magnetic field that is provided around the beam of H.sup.- ions to spin polarize the H.sup.- ions and produce first and second populations or groups of ions, having their respective proton spin aligned either with the magnetic field or opposite to it. The intersecting beam of laser light is directed to selectively neutralize a majority of the ions in only one population, or given spin polarized group of H.sup.- ions, without neutralizing the ions in the other group thereby forming a population of H.sup.- ions each of which has its proton spin down, and a second group or population of H.sup.o atoms having proton spin up. Finally, the two groups of ions are separated from each other by magnetically bending the group of H.sup.- ions away from the group of neutralized ions, thereby to form an intense H.sup.- ion beam that is directed toward a predetermined objective.

  6. Radiation protection considerations along a radioactive ion beam transport line

    NASA Astrophysics Data System (ADS)

    Sarchiapone, Lucia; Zafiropoulos, Demetre

    2016-09-01

    The goal of the SPES project is to produce accelerated radioactive ion beams for Physics studies at “Laboratori Nazionali di Legnaro” (INFN, Italy). This accelerator complex is scheduled to be built by 2016 for an effective operation in 2017. Radioactive species are produced in a uranium carbide target, by the interaction of 200 μA of protons at 40 MeV. All of the ionized species in the 1+ state come out of the target (ISOL method), and pass through a Wien filter for a first selection and an HMRS (high mass resolution spectrometer). Then they are transported by an electrostatic beam line toward a charge state breeder (where the 1+ to n+ multi-ionization takes place) before selection and reacceleration at the already existing superconducting linac. The work concerning dose evaluations, activation calculation, and radiation protection constraints related to the transport of the radioactive ion beam (RIB) from the target to the mass separator will be described in this paper. The FLUKA code has been used as tool for those calculations needing Monte Carlo simulations, in particular for the evaluation of the dose rate due to the presence of the radioactive beam in the selection/interaction points. The time evolution of a radionuclide inventory can be computed online with FLUKA for arbitrary irradiation profiles and decay times. The activity evolution is analytically evaluated through the implementation of the Bateman equations. Furthermore, the generation and transport of decay radiation (limited to gamma, beta- and beta+ emissions) is possible, referring to a dedicated database of decay emissions using mostly information obtained from NNDC, sometimes supplemented with other data and checked for consistency. When the use of Monte Carlo simulations was not feasible, the Bateman equations, or possible simplifications, have been used directly.

  7. Perspective on the Role of Negative Ions and Ion-Ion Plasmas in Heavy Ion Fusion Science, Magnetic Fusion Energy,and Related Fields

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Grisham, L. R.; Kwan, J. W.

    2008-08-01

    Some years ago it was suggested that halogen negative ions could offer a feasible alternative path to positive ions as a heavy ion fusion driver beam which would not suffer degradation due to electron accumulation in the accelerator and beam transport system, and which could be converted to a neutral beam by photodetachment near the chamber entrance if desired. Since then, experiments have demonstrated that negative halogen beams can be extracted and accelerated away from the gas plume near the source with a surviving current density close to what could be achieved with a positive ion of similar mass, andmore » with comparable optical quality. In demonstrating the feasibility of halogen negative ions as heavy ion driver beams, ion - ion plasmas, an interesting and somewhat novel state of matter, were produced. These plasmas, produced near the extractor plane of the sources, appear, based upon many lines of experimental evidence, to consist of almost equal densities of positive and negative chlorine ions, with only a small component of free electrons. Serendipitously, the need to extract beams from this plasma for driver development provides a unique diagnostic tool to investigate the plasma, since each component - positive ions, negative ions, and electrons - can be extracted and measured separately. We discuss the relevance of these observations to understanding negative ion beam extraction from electronegative plasmas such as halogens, or the more familiar hydrogen of magnetic fusion ion sources. We suggest a concept which might improve negative hydrogen extraction by the addition of a halogen. The possibility and challenges of producing ion - ion plasmas with thin targets of halogens or, perhaps, salt, is briefly addressed.« less

  8. Production of Neutral Beams from Negative Ion Beam Systems in the USSR

    DTIC Science & Technology

    1982-12-01

    research is to produce long-pulse and CW high-energy neutral beams. The Oak Ridge National Laboratory ( ORNL ) has been concentrating on the direct extraction...next generation of mirror devices [1II. ORNL is using a cesium converter to produce negative ions from low-energy positive ions from a duopigatron ion...with Formation of Highly Excited Hydrogen Atoms," ZhTF, Vol. 36, No. 7, 1966, p. 1241 . 107. Kartashev, K. B., V. I. Pistunovich, V. V. Platonov, V. D

  9. Pressure dependence of an ion beam accelerating structure in an expanding helicon plasma

    NASA Astrophysics Data System (ADS)

    Zhang, Xiao; Aguirre, Evan; Thompson, Derek S.; McKee, John; Henriquez, Miguel; Scime, Earl E.

    2018-02-01

    We present measurements of the parallel ion velocity distribution function and electric field in an expanding helicon source plasma plume as a function of downstream gas pressure and radial and axial positions. The ion beam that appears spontaneously in the plume persists for all downstream pressures investigated, with the largest parallel ion beam velocities obtained for the lowest downstream pressures. However, the change in ion beam velocity exceeds what would be expected simply for a change in the collisionality of the system. Electric field measurements confirm that it is the magnitude of the potential structure responsible for accelerating the ion beam that changes with downstream pressure. Interestingly, the ion density radial profile is hollow close to the end of the plasma source for all pressures, but it is hollow at downstream distances far from the source only at the highest downstream neutral pressures.

  10. Ion beam sputtering of Ag - Angular and energetic distributions of sputtered and scattered particles

    NASA Astrophysics Data System (ADS)

    Feder, René; Bundesmann, Carsten; Neumann, Horst; Rauschenbach, Bernd

    2013-12-01

    Ion beam sputter deposition (IBD) provides intrinsic features which influence the properties of the growing film, because ion properties and geometrical process conditions generate different energy and spatial distribution of the sputtered and scattered particles. A vacuum deposition chamber is set up to measure the energy and spatial distribution of secondary particles produced by ion beam sputtering of different target materials under variation of geometrical parameters (incidence angle of primary ions and emission angle of secondary particles) and of primary ion beam parameters (ion species and energies).

  11. Development of a Prototype Low-Voltage Electron Beam Freeform Fabrication System

    NASA Technical Reports Server (NTRS)

    Watson, J. K.; Taminger, K. M.; Hafley, R. A.; Petersen, D. D.

    2002-01-01

    NASA's Langley Research Center and Johnson Space Center are developing a solid freeform fabrication system utilizing an electron beam energy source and wire feedstock. This system will serve as a testbed for exploring the influence of gravitational acceleration on the deposition process and will be a simplified prototype for future systems that may be deployed during long-duration space missions for assembly, fabrication, and production of structural and mechanical replacement components. Critical attributes for this system are compactness, minimal mass, efficiency in use of feedstock material, energy use efficiency, and safety. The use of a low-voltage (less than 15kV) electron beam energy source will reduce radiation so that massive shielding is not required to protect adjacent personnel. Feedstock efficiency will be optimized by use of wire, and energy use efficiency will be achieved by use of the electron beam energy source. This system will be evaluated in a microgravity environment using the NASA KC-135A aircraft.

  12. Ion optical design of a collinear laser-negative ion beam apparatus.

    PubMed

    Diehl, C; Wendt, K; Lindahl, A O; Andersson, P; Hanstorp, D

    2011-05-01

    An apparatus for photodetachment studies on atomic and molecular negative ions of medium up to heavy mass (M ≃ 500) has been designed and constructed. Laser and ion beams are merged in the apparatus in a collinear geometry and atoms, neutral molecules and negative ions are detected in the forward direction. The ion optical design and the components used to optimize the mass resolution and the transmission through the extended field-free interaction region are described. A 90° sector field magnet with 50 cm bending radius in combination with two slits is used for mass dispersion providing a resolution of M∕ΔM≅800 for molecular ions and M∕ΔM≅400 for atomic ions. The difference in mass resolution for atomic and molecular ions is attributed to different energy distributions of the sputtered ions. With 1 mm slits, transmission from the source through the interaction region to the final ion detector was determined to be about 0.14%.

  13. Ion-beam treatment to prepare surfaces of p-CdTe films

    DOEpatents

    Gessert, Timothy A.

    2001-01-01

    A method of making a low-resistance electrical contact between a p-CdTe layer and outer contact layers by ion beam processing comprising: a) placing a CdS/CdTe device into a chamber and evacuating the chamber; b) orienting the p-CdTe side of the CdS/CdTe layer so that it faces apparatus capable of generating Ar atoms and ions of preferred energy and directionality; c) introducing Ar and igniting the area of apparatus capable of generating Ar atoms and ions of preferred energy and directionality in a manner so that during ion exposure, the source-to-substrate distance is maintained such that it is less than the mean-free path or diffusion length of the Ar atoms and ions at the vacuum pressure; d) allowing exposure of the p-CdTe side of the device to said ion beam for a period less than about 5 minutes; and e) imparting movement to the substrate to control the real uniformity of the ion-beam exposure on the p-CdTe side of the device.

  14. Shear strength of R/C beams wrapped with CFRP fabric

    DOT National Transportation Integrated Search

    2002-08-01

    The emergence of high strength epoxies has enhanced the feasibility of increasing the shear strength of concrete beams by wrapping with carbon fiber reinforced polymer (CFRP) fabric. The objective of this investigation is to evaluate the increase in ...

  15. High-performance beam steering using electrowetting-driven liquid prism fabricated by a simple dip-coating method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Enrico Clement, Carlos; Park, Sung-Yong, E-mail: mpeps@nus.edu.sg

    2016-05-09

    A high degree of beam steering is demonstrated using an electrowetting-driven liquid prism. While prism devices have typically relied on complex and expensive laboratory setups, such as high-vacuum facilities for fabrication of dielectric layers, this work utilizes a simple dip-coating method to provide an ion gel layer as a dielectric, offering 2 or 3 orders higher specific capacitance (c ≈ 10 μF/cm{sup 2}) than that of conventional dielectrics. Analytical studies present the effects of liquid selection and arrangement on overall prism performance. For experimental demonstrations of high-performance beam steering, we not only selected two immiscible liquids of water and 1-bromonaphthalene (1-BN)more » oil which provide the large refractive index difference (n{sub water} = 1.33 and n{sub 1-BN} = 1.65 at λ = 532 nm) between them, but also utilized a double-stacked prism configuration which increases the number of interfaces for incoming light to be steered. At a prism apex angle of φ = 27°, we were able to achieve significantly large beam steering of up to β = 19.06°, which is the highest beam steering performance ever demonstrated using electrowetting technology.« less

  16. ECR Plasma Source for Heavy Ion Beam Charge Neutralization

    NASA Astrophysics Data System (ADS)

    Efthimion, P. C.; Gilson, E.; Grisham, L.; Davidson, R. C.; Yu, S.; Logan, B. G.

    2002-11-01

    Highly ionized plasmas are being considered as a medium for charge neutralizing heavy ion beams in order to focus beyond the space-charge limit. Calculations suggest that plasma at a density of 1 - 100 times the ion beam density and at a length ˜ 0.1-0.5 m would be suitable for achieving a high level of charge neutralization. An ECR source has been built at the Princeton Plasma Physics Laboratory (PPPL) to support a joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The ECR source operates at 13.6 MHz and with solenoid magnetic fields of 1-10 gauss. The goal is to operate the source at pressures ˜ 10-5 Torr at full ionization. The initial operation of the source has been at pressures of 10-4 - 10-1 Torr. Electron densities in the range of 10^8 - 10^11 cm-3 have been achieved. Low-pressure operation is important to reduce ion beam ionization. A cusp magnetic field has been installed to improve radial confinement and reduce the field strength on the beam axis. In addition, axial confinement is believed to be important to achieve lower-pressure operation. At moderate pressures (> 1 mTorr) the wave damping is collisional, and at low pressures (< 1 mTorr) there is a distinct electron cyclotron resonance. The source has recently been configured to operate with 2.45 GHz microwaves with similar results. At the present operating range the source can simulate the plasma produced by photo-ionization in the target chamber.

  17. Back-streaming ion emission and beam focusing on high power linear induction accelerator

    NASA Astrophysics Data System (ADS)

    Zhu, Jun; Chen, Nan; Yu, Haijun; Jiang, Xiaoguo; Wang, Yuan; Dai, Wenhua; Gao, Feng; Wang, Minhong; Li, Jin; Shi, Jinshui

    2011-08-01

    Ions released from target surfaces by impact of a high intensity and current electron beam can be accelerated and trapped in the beam potential, and further destroy the beam focus. By solving the 2D Poisson equation, we found that the charge neutralization factor of the ions to the beam under space charge limited condition is 1/3, which is large enough to disrupt the spot size. Therefore, the ion emission at the target in a single-pulse beam/target system must be source limited. Experimental results on the time-resolved beam profile measurement have also proven that. A new focus scheme is proposed in this paper to focus the beam to a small spot size with the existence of back-streaming ions. We found that the focal spot will move upstream as the charge neutralization factor increases. By comparing the theoretical and experimental focal length of the Dragon-I accelerator (20 MeV, 2.5 kA, 60 ns flattop), we found that the average neutralization factor is about 5% in the beam/target system.

  18. Customized atomic force microscopy probe by focused-ion-beam-assisted tip transfer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Andrew; Butte, Manish J., E-mail: manish.butte@stanford.edu

    2014-08-04

    We present a technique for transferring separately fabricated tips onto tipless atomic force microscopy (AFM) cantilevers, performed using focused ion beam-assisted nanomanipulation. This method addresses the need in scanning probe microscopy for certain tip geometries that cannot be achieved by conventional lithography. For example, in probing complex layered materials or tall biological cells using AFM, a tall tip with a high-aspect-ratio is required to avoid artifacts caused by collisions of the tip's sides with the material being probed. We show experimentally that tall (18 μm) cantilever tips fabricated by this approach reduce squeeze-film damping, which fits predictions from hydrodynamic theory, andmore » results in an increased quality factor (Q) of the fundamental flexural mode. We demonstrate that a customized tip's well-defined geometry, tall tip height, and aspect ratio enable improved measurement of elastic moduli by allowing access to low-laying portions of tall cells (T lymphocytes). This technique can be generally used to attach tips to any micromechanical device when conventional lithography of tips cannot be accomplished.« less

  19. Evaluation of beam divergence of a negative hydrogen ion beam using Doppler shift spectroscopy diagnostics

    NASA Astrophysics Data System (ADS)

    Deka, A. J.; Bharathi, P.; Pandya, K.; Bandyopadhyay, M.; Bhuyan, M.; Yadav, R. K.; Tyagi, H.; Gahlaut, A.; Chakraborty, A.

    2018-01-01

    The Doppler Shift Spectroscopy (DSS) diagnostic is in the conceptual stage to estimate beam divergence, stripping losses, and beam uniformity of the 100 keV hydrogen Diagnostics Neutral Beam of International Thermonuclear Experimental Reactor. This DSS diagnostic is used to measure the above-mentioned parameters with an error of less than 10%. To aid the design calculations and to establish a methodology for estimation of the beam divergence, DSS measurements were carried out on the existing prototype ion source RF Operated Beam Source in India for Negative ion Research. Emissions of the fast-excited neutrals that are generated from the extracted negative ions were collected in the target tank, and the line broadening of these emissions were used for estimating beam divergence. The observed broadening is a convolution of broadenings due to beam divergence, collection optics, voltage ripple, beam focusing, and instrumental broadening. Hence, for estimating the beam divergence from the observed line broadening, a systematic line profile analysis was performed. To minimize the error in the divergence measurements, a study on error propagation in the beam divergence measurements was carried out and the error was estimated. The measurements of beam divergence were done at a constant RF power of 50 kW and a source pressure of 0.6 Pa by varying the extraction voltage from 4 kV to10 kV and the acceleration voltage from 10 kV to 15 kV. These measurements were then compared with the calorimetric divergence, and the results seemed to agree within 10%. A minimum beam divergence of ˜3° was obtained when the source was operated at an extraction voltage of ˜5 kV and at a ˜10 kV acceleration voltage, i.e., at a total applied voltage of 15 kV. This is in agreement with the values reported in experiments carried out on similar sources elsewhere.

  20. Optimization of ion-atomic beam source for deposition of GaN ultrathin films.

    PubMed

    Mach, Jindřich; Šamořil, Tomáš; Kolíbal, Miroslav; Zlámal, Jakub; Voborny, Stanislav; Bartošík, Miroslav; Šikola, Tomáš

    2014-08-01

    We describe the optimization and application of an ion-atomic beam source for ion-beam-assisted deposition of ultrathin films in ultrahigh vacuum. The device combines an effusion cell and electron-impact ion beam source to produce ultra-low energy (20-200 eV) ion beams and thermal atomic beams simultaneously. The source was equipped with a focusing system of electrostatic electrodes increasing the maximum nitrogen ion current density in the beam of a diameter of ≈15 mm by one order of magnitude (j ≈ 1000 nA/cm(2)). Hence, a successful growth of GaN ultrathin films on Si(111) 7 × 7 substrate surfaces at reasonable times and temperatures significantly lower (RT, 300 °C) than in conventional metalorganic chemical vapor deposition technologies (≈1000 °C) was achieved. The chemical composition of these films was characterized in situ by X-ray Photoelectron Spectroscopy and morphology ex situ using Scanning Electron Microscopy. It has been shown that the morphology of GaN layers strongly depends on the relative Ga-N bond concentration in the layers.