Sample records for ion-beam assisted silicon

  1. Ion beam figuring of silicon aspheres

    NASA Astrophysics Data System (ADS)

    Demmler, Marcel; Zeuner, Michael; Luca, Alfonz; Dunger, Thoralf; Rost, Dirk; Kiontke, Sven; Krüger, Marcus

    2011-03-01

    Silicon lenses are widely used for infrared applications. Especially for portable devices the size and weight of the optical system are very important factors. The use of aspherical silicon lenses instead of spherical silicon lenses results in a significant reduction of weight and size. The manufacture of silicon lenses is more challenging than the manufacture of standard glass lenses. Typically conventional methods like diamond turning, grinding and polishing are used. However, due to the high hardness of silicon, diamond turning is very difficult and requires a lot of experience. To achieve surfaces of a high quality a polishing step is mandatory within the manufacturing process. Nevertheless, the required surface form accuracy cannot be achieved through the use of conventional polishing methods because of the unpredictable behavior of the polishing tools, which leads to an unstable removal rate. To overcome these disadvantages a method called Ion Beam Figuring can be used to manufacture silicon lenses with high surface form accuracies. The general advantage of the Ion Beam Figuring technology is a contactless polishing process without any aging effects of the tool. Due to this an excellent stability of the removal rate without any mechanical surface damage is achieved. The related physical process - called sputtering - can be applied to any material and is therefore also applicable to materials of high hardness like Silicon (SiC, WC). The process is realized through the commercially available ion beam figuring system IonScan 3D. During the process, the substrate is moved in front of a focused broad ion beam. The local milling rate is controlled via a modulated velocity profile, which is calculated specifically for each surface topology in order to mill the material at the associated positions to the target geometry. The authors will present aspherical silicon lenses with very high surface form accuracies compared to conventionally manufactured lenses.

  2. Deposition of reactively ion beam sputtered silicon nitride coatings

    NASA Technical Reports Server (NTRS)

    Grill, A.

    1982-01-01

    An ion beam source was used to deposit silicon nitride films by reactively sputtering a silicon target with beams of Ar + N2 mixtures. The nitrogen fraction in the sputtering gas was 0.05 to 0.80 at a total pressure of 6 to 2 millionth torr. The ion beam current was 50 mA at 500 V. The composition of the deposited films was investigated by auger electron spectroscopy and the rate of deposition was determined by interferometry. A relatively low rate of deposition of about 2 nm. one-tenth min. was found. AES spectra of films obtained with nitrogen fractions higher than 0.50 were consistent with a silicon to nitrogen ratio corresponding to Si3N4. However the AES spectra also indicated that the sputtered silicon nitride films were contaminated with oxygen and carbon and contained significant amounts of iron, nickel, and chromium, most probably sputtered from the holder of the substrate and target.

  3. Ion assisted deposition of SiO2 film from silicon

    NASA Astrophysics Data System (ADS)

    Pham, Tuan. H.; Dang, Cu. X.

    2005-09-01

    Silicon dioxide, SiO2, is one of the preferred low index materials for optical thin film technology. It is often deposited by electron beam evaporation source with less porosity and scattering, relatively durable and can have a good laser damage threshold. Beside these advantages the deposition of critical optical thin film stacks with silicon dioxide from an E-gun was severely limited by the stability of the evaporation pattern or angular distribution of the material. The even surface of SiO2 granules in crucible will tend to develop into groove and become deeper with the evaporation process. As the results, angular distribution of the evaporation vapor changes in non-predicted manner. This report presents our experiments to apply Ion Assisted Deposition process to evaporate silicon in a molten liquid form. By choosing appropriate process parameters we can get SiO2 film with good and stable property.

  4. Ion beam figuring of CVD silicon carbide mirrors

    NASA Astrophysics Data System (ADS)

    Gailly, P.; Collette, J.-P.; Fleury Frenette, K.; Jamar, C.

    2017-11-01

    Optical and structural elements made of silicon carbide are increasingly found in space instruments. Chemical vapor deposited silicon carbide (CVD-SiC) is used as a reflective coating on SiC optics in reason of its good behavior under polishing. The advantage of applying ion beam figuring (IBF) to CVD-SiC over other surface figure-improving techniques is discussed herein. The results of an IBF sequence performed at the Centre Spatial de Liège on a 100 mm CVD-SiC mirror are reported. The process allowed to reduce the mirror surface errors from 243 nm to 13 nm rms . Beside the surface figure, roughness is another critical feature to consider in order to preserve the optical quality of CVD-SiC . Thus, experiments focusing on the evolution of roughness were performed in various ion beam etching conditions. The roughness of samples etched at different depths down to 3 ≠m was determined with an optical profilometer. These measurements emphasize the importance of selecting the right combination of gas and beam energy to keep roughness at a low level. Kaufman-type ion sources are generally used to perform IBF but the performance of an end-Hall ion source in figuring CVD-SiC mirrors was also evaluated in this study. In order to do so, ion beam etching profiles obtained with the end-Hall source on CVD-SiC were measured and used as a basis for IBF simulations.

  5. Silicon Oxide Deposition into a Hole Using a Focused Ion Beam

    NASA Astrophysics Data System (ADS)

    Nakamura, Hiroko; Komano, Haruki; Norimatu, Kenji; Gomei, Yoshio

    1991-11-01

    Focused ion beam (FIB)-induced deposition of silicon oxide in terms of filling a hole is reported. It was found that a vacant space was formed when an ion beam was simply scanned through the hole area. To investigate the mechanism to form the vacancy, deposition on the sample, which has a step with a height of 0.8 μm, was carried out by using a Si2+ and a Be2+ ion beam. An extruded deposit resembling a pent roof was observed from the step ridge. The mechanism of the pent roof growth on the steplike sample was considered and the vacancy formation in the hole can be explained by the same mechanism. For silicon oxide, the high growth rate of the extruded deposit is thought to be the key to the vacancy formation. A useful way is proposed to fill the hole with silicon oxide with almost no vacancy.

  6. Effect of helium ion beam treatment on wet etching of silicon dioxide

    NASA Astrophysics Data System (ADS)

    Petrov, Yu. V.; Grigoryev, E. A.; Sharov, T. V.; Baraban, A. P.

    2018-03-01

    We investigated the effect of helium ion beam treatment on the etching rate of silicon dioxide in a water based solution of hydrofluoric acid. A 460-nm-thick silicon dioxide film on silicon was irradiated with helium ions having energies of 20 keV and 30 keV with ion fluences ranging from 1014 cm-2 to 1017 cm-2. The dependence of the etching rate on depth was obtained and compared with the depth distribution of ion-induced defects, which was obtained from numerical simulation. Irradiation with helium ions results in an increase of the etching rate of silicon dioxide. The dependence of the etching rate on the calculated concentration of ion-induced defects is described.

  7. Investigation of the silicon ion density during molecular beam epitaxy growth

    NASA Astrophysics Data System (ADS)

    Eifler, G.; Kasper, E.; Ashurov, Kh.; Morozov, S.

    2002-05-01

    Ions impinging on a surface during molecular beam epitaxy influence the growth and the properties of the growing layer, for example, suppression of dopant segregation and the generation of crystal defects. The silicon electron gun in the molecular beam epitaxy (MBE) equipment is used as a source for silicon ions. To use the effect of ion bombardment the mechanism of generation and distribution of ions was investigated. A monitoring system was developed and attached at the substrate position in the MBE growth chamber to measure the ion and electron densities towards the substrate. A negative voltage was applied to the substrate to modify the ion energy and density. Furthermore the current caused by charge carriers impinging on the substrate was measured and compared with the results of the monitoring system. The electron and ion densities were measured by varying the emission current of the e-gun achieving silicon growth rates between 0.07 and 0.45 nm/s and by changing the voltage applied to the substrate between 0 to -1000 V. The dependencies of ion and electron densities were shown and discussed within the framework of a simple model. The charged carrier densities measured with the monitoring system enable to separate the ion part of the substrate current and show its correlation to the generation rate. Comparing the ion density on the whole substrate and in the center gives a hint to the ion beam focusing effect. The maximum ion and electron current densities obtained were 0.40 and 0.61 μA/cm2, respectively.

  8. Development of textured magnesium oxide templates and bicrystals using ion beam assisted deposition

    NASA Astrophysics Data System (ADS)

    Vallejo, Ronald N.

    Recently, there has been an increased research effort in the deposition of near-single-crystal thin films on substrates that do not provide a template for epitaxial crystalline film growth. Ion beam assisted deposition (IBAD) has been demonstrated as one of the most promising methods to artificially control the texture in thin films. Biaxially textured MgO templates of 10 nm thickness were successfully fabricated on glass and silicon substrates without any buffer layers using IBAD. This work has shed insights on several issues. First, surface morphology ˜ 1 nm or better is only a necessary condition for textured IBAD-MgO, but not a sufficient condition. Additional surface preparation must be provided for nucleation and subsequent formation of the textured IBAD-MgO templates. Second, the role of buffer layer on IBAD-MgO texturing. It was found that the ion beam pre-exposure of the substrates prior to IBAD processing provided a sufficient condition for the nucleation and subsequent texture formation of the IBAD grown films. The ion pre-exposure replaced the need for buffer layers in silicon and glass substrates. Finally, by pre-exposing the substrates to Ar + ions, it was found that the ion beam modified the surface and improved the surface roughness of the glass substrates. Textured MgO epi templates were demonstrated for the first time on polymer based substrates (polyimide). This is a crucial step in the realization of epitaxial suspended devices. To achieve an epitaxial film on a sacrificial layer, an epitaxial template film must first be grown prior to subsequent film growth. The role of ion pre-exposure and buffer layer on texture formation was investigated in this part of the work. This thesis also presents groundbreaking results on the fabrication of bicrystal MgO films and bicrystal networks using ion beam assisted deposition. Highly oriented bicrystals, with a common (100) out-of-plane orientation and (110) in-plane orientations having a tilt angle of 45

  9. Thermoelectric phonon-glass electron-crystal via ion beam patterning of silicon

    NASA Astrophysics Data System (ADS)

    Zhu, Taishan; Swaminathan-Gopalan, Krishnan; Stephani, Kelly; Ertekin, Elif

    2018-05-01

    Ion beam irradiation has recently emerged as a versatile approach to functional materials design. We show in this work that patterned defective regions generated by ion beam irradiation of silicon can create a phonon-glass electron-crystal (PGEC), a long-standing goal of thermoelectrics. By controlling the effective diameter of and spacing between the defective regions, molecular dynamics simulations suggest a reduction of the thermal conductivity by a factor of ˜20 is achievable. Boltzmann theory shows that the thermoelectric power factor remains largely intact in the damaged material. To facilitate the Boltzmann theory, we derive an analytical model for electron scattering with cylindrical defective regions based on partial-wave analysis. Together we predict a figure of merit of Z T ≈0.5 or more at room temperature for optimally patterned geometries of these silicon metamaterials. These findings indicate that nanostructuring of patterned defective regions in crystalline materials is a viable approach to realize a PGEC, and ion beam irradiation could be a promising fabrication strategy.

  10. Sub-micron resolution of localized ion beam induced charge reduction in silicon detectors damaged by heavy ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Auden, Elizabeth C.; Pacheco, Jose L.; Bielejec, Edward

    In this study, displacement damage reduces ion beam induced charge (IBIC) through Shockley-Read-Hall recombination. Closely spaced pulses of 200 keV Si ++ ions focused in a 40 nm beam spot are used to create damage cascades within 0.25 μm 2 areas. Damaged areas are detected through contrast in IBIC signals generated with focused ion beams of 200 keV Si ++ ions and 60 keV Li + ions. IBIC signal reduction can be resolved over sub-micron regions of a silicon detector damaged by as few as 1000 heavy ions.

  11. Sub-micron resolution of localized ion beam induced charge reduction in silicon detectors damaged by heavy ions

    DOE PAGES

    Auden, Elizabeth C.; Pacheco, Jose L.; Bielejec, Edward; ...

    2015-12-01

    In this study, displacement damage reduces ion beam induced charge (IBIC) through Shockley-Read-Hall recombination. Closely spaced pulses of 200 keV Si ++ ions focused in a 40 nm beam spot are used to create damage cascades within 0.25 μm 2 areas. Damaged areas are detected through contrast in IBIC signals generated with focused ion beams of 200 keV Si ++ ions and 60 keV Li + ions. IBIC signal reduction can be resolved over sub-micron regions of a silicon detector damaged by as few as 1000 heavy ions.

  12. Ion-beam nanopatterning: experimental results with chemically-assisted beam

    NASA Astrophysics Data System (ADS)

    Pochon, Sebastien C. R.

    2018-03-01

    The need for forming gratings (for example used in VR headsets) in materials such as SiO2 has seen a recent surge in the use of Ion beam etching techniques. However, when using an argon-only beam, the selectivity is limited as it is a physical process. Typically, gases such as CHF3, SF6, O2 and Cl2 can be added to argon in order to increase selectivity; depending on where the gas is injected, the process is known as Reactive Ion Beam Etching (RIBE) or Chemically Assisted Ion Beam Etching (CAIBE). The substrate holder can rotate in order to provide an axisymmetric etch rate profile. It can also be tilted over a range of angles to the beam direction. This enables control over the sidewall profile as well as radial uniformity optimisation. Ion beam directionality in conjunction with variable incident beam angle via platen angle setting enables profile control and feature shaping during nanopatterning. These hardware features unique to the Ion Beam etching methods can be used to create angled etch features. The CAIBE technique is also well suited to laser diode facet etch (for optoelectronic devices); these typically use III-V materials like InP. Here, we report on materials such as SiO2 etched without rotation and at a fixed platen angle allowing the formation of gratings and InP etched at a fixed angle with rotation allowing the formation of nanopillars and laser facets.

  13. Nanostructures by ion beams

    NASA Astrophysics Data System (ADS)

    Schmidt, B.

    Ion beam techniques, including conventional broad beam ion implantation, ion beam synthesis and ion irradiation of thin layers, as well as local ion implantation with fine-focused ion beams have been applied in different fields of micro- and nanotechnology. The ion beam synthesis of nanoparticles in high-dose ion-implanted solids is explained as phase separation of nanostructures from a super-saturated solid state through precipitation and Ostwald ripening during subsequent thermal treatment of the ion-implanted samples. A special topic will be addressed to self-organization processes of nanoparticles during ion irradiation of flat and curved solid-state interfaces. As an example of silicon nanocrystal application, the fabrication of silicon nanocrystal non-volatile memories will be described. Finally, the fabrication possibilities of nanostructures, such as nanowires and chains of nanoparticles (e.g. CoSi2), by ion beam synthesis using a focused Co+ ion beam will be demonstrated and possible applications will be mentioned.

  14. Method for forming metallic silicide films on silicon substrates by ion beam deposition

    DOEpatents

    Zuhr, Raymond A.; Holland, Orin W.

    1990-01-01

    Metallic silicide films are formed on silicon substrates by contacting the substrates with a low-energy ion beam of metal ions while moderately heating the substrate. The heating of the substrate provides for the diffusion of silicon atoms through the film as it is being formed to the surface of the film for interaction with the metal ions as they contact the diffused silicon. The metallic silicide films provided by the present invention are contaminant free, of uniform stoichiometry, large grain size, and exhibit low resistivity values which are of particular usefulness for integrated circuit production.

  15. Iodine enhanced focused-ion-beam etching of silicon for photonic applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schrauwen, Jonathan; Thourhout, Dries van; Baets, Roel

    Focused-ion-beam etching of silicon enables fast and versatile fabrication of micro- and nanophotonic devices. However, large optical losses due to crystal damage and ion implantation make the devices impractical when the optical mode is confined near the etched region. These losses are shown to be reduced by the local implantation and etching of silicon waveguides with iodine gas enhancement, followed by baking at 300 deg. C. The excess optical loss in the silicon waveguides drops from 3500 to 1700 dB/cm when iodine gas is used, and is further reduced to 200 dB/cm after baking at 300 deg. C. We presentmore » elemental and chemical surface analyses supporting that this is caused by the desorption of iodine from the silicon surface. Finally we present a model to extract the absorption coefficient from the measurements.« less

  16. Ion-beam-assisted etching of diamond

    NASA Technical Reports Server (NTRS)

    Efremow, N. N.; Geis, M. W.; Flanders, D. C.; Lincoln, G. A.; Economou, N. P.

    1985-01-01

    The high thermal conductivity, low RF loss, and inertness of diamond make it useful in traveling wave tubes operating in excess of 500 GHz. Such use requires the controlled etching of type IIA diamond to produce grating like structures tens of micrometers deep. Previous work on reactive ion etching with O2 gave etching rates on the order of 20 nm/min and poor etch selectivity between the masking material (Ni or Cr) and the diamond. An alternative approach which uses a Xe(+) beam and a reactive gas flux of NO2 in an ion-beam-assisted etching system is reported. An etching rate of 200 nm/min was obtained with an etching rate ratio of 20 between the diamond and an aluminum mask.

  17. Creating nanostructures on silicon using ion blistering and electron beam lithography

    NASA Astrophysics Data System (ADS)

    Giguère, Alexandre; Beerens, Jean; Terreault, Bernard

    2006-01-01

    We have investigated the patterning of silicon surfaces using ion blistering in conjunction with e-beam lithography. Variable width (150-5000 nm) trenches were first written in 500 nm thick PMMA resist spin coated on silicon, using an electron beam. Next, 10 keV H2+ ions were implanted to various fluences through the masks. The resist was then removed and the samples were rapidly thermally annealed at 900 °C. The resulting surface morphologies were investigated by atomic force microscopy. In the wider trenches, round blisters with 600-900 nm diameter are observed, which are similar to those observed on unmasked surfaces. In submicron trenches, there is a transition in morphology, caused by the proximity to the border. The blisters are smaller and they are densely aligned along the trench direction ('string of pearls' pattern). Unusual blister geometries are observed in the narrowest trenches (150 nm) at higher H doses (>=1 × 1017 H cm-2)—such as tubular blisters aligned along the trench. It was also found that for H doses of >=6 × 1016 H cm-2 the surface swells uniformly, which has implications for the blistering mechanism. The prospects for accomplishing ion cutting, layer transfer and bonding of finely delineated patterns of silicon onto another material are discussed in the light of the above results.

  18. Stoichiometry of Silicon Dioxide Films Obtained by Ion-Beam Sputtering

    NASA Astrophysics Data System (ADS)

    Telesh, E. V.; Dostanko, A. P.; Gurevich, O. V.

    2018-03-01

    The composition of SiOx films produced by ion-beam sputtering (IBS) of silicon and quartz targets were studied by infrared spectrometry. Films with thicknesses of 150-390 nm were formed on silicon substrates. It was found that increase in the partial pressure of oxygen in the working gas, increase in the temperature of the substrate, and the presence of a positive potential on the target during reactive IBS of silicon shifted the main absorption band νas into the high-frequency region and increased the composition index from 1.41 to 1.85. During IBS of a quartz target the stoichiometry of the films deteriorates with increase of the energy of the sputtering argon ions. This may be due to increase of the deposition rate. Increase in the current of the thermionic compensator, increase of the substrate temperature, and addition of oxygen led to the formation of SiOx films with improved stoichiometry.

  19. Ion Beam Assisted Deposition of Thin Epitaxial GaN Films.

    PubMed

    Rauschenbach, Bernd; Lotnyk, Andriy; Neumann, Lena; Poppitz, David; Gerlach, Jürgen W

    2017-06-23

    The assistance of thin film deposition with low-energy ion bombardment influences their final properties significantly. Especially, the application of so-called hyperthermal ions (energy <100 eV) is capable to modify the characteristics of the growing film without generating a large number of irradiation induced defects. The nitrogen ion beam assisted molecular beam epitaxy (ion energy <25 eV) is used to deposit GaN thin films on (0001)-oriented 6H-SiC substrates at 700 °C. The films are studied in situ by reflection high energy electron diffraction, ex situ by X-ray diffraction, scanning tunnelling microscopy, and high-resolution transmission electron microscopy. It is demonstrated that the film growth mode can be controlled by varying the ion to atom ratio, where 2D films are characterized by a smooth topography, a high crystalline quality, low biaxial stress, and low defect density. Typical structural defects in the GaN thin films were identified as basal plane stacking faults, low-angle grain boundaries forming between w-GaN and z-GaN and twin boundaries. The misfit strain between the GaN thin films and substrates is relieved by the generation of edge dislocations in the first and second monolayers of GaN thin films and of misfit interfacial dislocations. It can be demonstrated that the low-energy nitrogen ion assisted molecular beam epitaxy is a technique to produce thin GaN films of high crystalline quality.

  20. Specific features of doping with antimony during the ion-beam crystallization of silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pashchenko, A. S., E-mail: as.pashchenko@gmail.com; Chebotarev, S. N.; Lunin, L. S.

    2016-04-15

    A method of doping during the growth of thin films by ion-beam crystallization is proposed. By the example of Si and Sb, the possibility of controllably doping semiconductors during the ion-beam crystallization process is shown. A calibrated temperature dependence of the antimony vapor flow rate in the range from 150 to 400°C is obtained. It is established that, an increase in the evaporator temperature above 200°C brings about the accumulation of impurities in the layer growth direction. Silicon layers doped with antimony to a concentration of 10{sup 18} cm{sup –3} are grown. It is shown that, as the evaporator temperaturemore » is increased, the efficiency of the activation of antimony in silicon nonlinearly decreases from ~10{sup 0} to ~10{sup –3}.« less

  1. Oxygen ion-beam microlithography

    DOEpatents

    Tsuo, Y.S.

    1991-08-20

    A method of providing and developing a resist on a substrate for constructing integrated circuit (IC) chips includes the following steps: of depositing a thin film of amorphous silicon or hydrogenated amorphous silicon on the substrate and exposing portions of the amorphous silicon to low-energy oxygen ion beams to oxidize the amorphous silicon at those selected portions. The nonoxidized portions are then removed by etching with RF-excited hydrogen plasma. Components of the IC chip can then be constructed through the removed portions of the resist. The entire process can be performed in an in-line vacuum production system having several vacuum chambers. Nitrogen or carbon ion beams can also be used. 5 figures.

  2. Oxygen ion-beam microlithography

    DOEpatents

    Tsuo, Y. Simon

    1991-01-01

    A method of providing and developing a resist on a substrate for constructing integrated circuit (IC) chips includes the following steps: of depositing a thin film of amorphous silicon or hydrogenated amorphous silicon on the substrate and exposing portions of the amorphous silicon to low-energy oxygen ion beams to oxidize the amorphous silicon at those selected portions. The nonoxidized portions are then removed by etching with RF-excited hydrogen plasma. Components of the IC chip can then be constructed through the removed portions of the resist. The entire process can be performed in an in-line vacuum production system having several vacuum chambers. Nitrogen or carbon ion beams can also be used.

  3. Dual ion beam assisted deposition of biaxially textured template layers

    DOEpatents

    Groves, James R.; Arendt, Paul N.; Hammond, Robert H.

    2005-05-31

    The present invention is directed towards a process and apparatus for epitaxial deposition of a material, e.g., a layer of MgO, onto a substrate such as a flexible metal substrate, using dual ion beams for the ion beam assisted deposition whereby thick layers can be deposited without degradation of the desired properties by the material. The ability to deposit thicker layers without loss of properties provides a significantly broader deposition window for the process.

  4. Self-organized microstructures induced by MeV ion beam on silicon surface

    NASA Astrophysics Data System (ADS)

    Ahmad, Muthanna

    2017-02-01

    Micro patterning of self organized structure on silicon surface is induced by ion implantation of energetic (MeV) copper ions. This work reports for the first time the ability of using energetic ions for producing highly ordered ripples and dots of micro sizes. The experiments are realized at the Tandem ion beam accelerator (3 MV) at the IBA laboratory of the Atomic Energy Commission of Syria. Similarly to nano patterning formed by slow ions, the formation of micro patterned structures dots and ripples is observed to be depending on the angle of ion beam incidence, energy and ion fluence. The observation of such microstructures formation is limited to a range of ion energies (few MeV) at fluence higher than 1.75 × 1017 ion cm-2. The patterned surface layer is completely amorphousized by the ion implantation. Shadowing effect is observed in the formation of microripples and superstructures in the top of ripples. The superstructure develops new morphology that is not observed before. This morphology has butterfly shape with symmetry in its structure.

  5. Study of the thermal effect on silicon surface induced by ion beam from plasma focus device

    NASA Astrophysics Data System (ADS)

    Ahmad, Z.; Ahmad, M.; Al-Hawat, Sh.; Akel, M.

    2017-04-01

    Structural modifications in form of ripples and cracks are induced by nitrogen ions from plasma focus on silicon surface. The investigation of such structures reveals correlation between ripples and cracks formation in peripheral region of the melt spot. The reason of such correlation and structure formation is explained as result of thermal effect. Melting and resolidification of the center of irradiated area occur within one micro second of time. This is supported by a numerical simulation used to investigate the thermal effect induced by the plasma focus ion beams on the silicon surface. This simulation provides information about the temperature profile as well as the dynamic of the thermal propagation in depth and lateral directions. In accordance with the experimental observations, that ripples are formed in latter stage after the arrival of last ion, the simulation shows that the thermal relaxation takes place in few microseconds after the end of the ion beam arrival. Additionally, the dependency of thermal propagation and relaxation on the distance of the silicon surface from the anode is presented.

  6. Report on the workshop on Ion Implantation and Ion Beam Assisted Deposition

    NASA Astrophysics Data System (ADS)

    Dearnaley, G.

    1992-03-01

    This workshop was organized by the Corpus Christi Army Depot (CCAD), the major helicopter repair base within AVSCOM. Previous meetings had revealed a strong interest throughout DoD in ion beam technology as a means of extending the service life of military systems by reducing wear, corrosion, fatigue, etc. The workshop opened with an account by Dr. Bruce Sartwell of the successful application of ion implantation to bearings and gears at NRL, and the checkered history of the MANTECH Project at Spire Corporation. Dr. James Hirvonen (AMTL) continued with a summary of successful applications to reduce wear in biomedical components, and he also described the processes of ion beam-assisted deposition (IBAD) for a variety of protective coatings, including diamond-like carbon (DLC).

  7. Silicon patterning using ion blistering and e-beam lithography

    NASA Astrophysics Data System (ADS)

    Giguere, A.; Terreault, B.; Beerens, J.; Aimez, V.; Beauvais, J.

    2004-03-01

    We explore the limits of silicon patterning using ion blistering in conjunction with e-beam lithography. In a first approach, we implanted 3.5E16 H/cm**2 at 5 keV through variable width (0.1-10 micron) e-beam written PMMA masks. The resist was then removed and the samples were rapid-thermal-annealed (RTA) up to 650 °C. In the wider trenches, round blisters with 800-900 nm diameter and 15 nm height and a few exfoliations are observed, which are similar to those observed on an unmasked surface. In submicron trenches (500-1000 nm), there is a transition in morphology created by the proximity to the border; the blisters are smaller and they are densely aligned along the trench direction ("pearl-string" pattern). No effect is observed in the lowest dimension trenches. The results are discussed in terms of stress/strain fields, defect configuration, and mask shadowing and charging effects. Ultimate pattern resolution will be limited by lateral straggling of the ions in and by the mechanics of lateral crack propagation.

  8. Charge reconstruction of the DAMPE Silicon-Tungsten Tracker: A preliminary study with ion beams

    NASA Astrophysics Data System (ADS)

    Qiao, Rui; Peng, Wen-Xi; Guo, Dong-Ya; Zhao, Hao; Wang, Huan-Yu; Gong, Ke; Zhang, Fei; Wu, Xin; Azzarello, Phillip; Tykhonov, Andrii; Asfandiyarov, Ruslan; Gallo, Valentina; Ambrosi, Giovanni

    2018-04-01

    The DArk Matter Particle Explorer (DAMPE) is one of the four satellites within Strategic Pioneer Research Program in Space Science of the Chinese Academy of Science (CAS). DAMPE can detect electrons, photons in a wide energy range (5 GeV to 10 TeV) and ions up to iron (100 GeV to 100 TeV). The silicon-Tungsten Tracker (STK) is one of the four subdetectors in DAMPE, providing photon-electron conversion, track reconstruction and charge identification for ions. An ion beam test was carried out in CERN with 60 GeV/u Lead primary beams. Charge reconstruction and charge resolution of the STK detectors were investigated.

  9. Aerosol-Assisted Extraction of Silicon Nanoparticles from Wafer Slicing Waste for Lithium Ion Batteries

    NASA Astrophysics Data System (ADS)

    Jang, Hee Dong; Kim, Hyekyoung; Chang, Hankwon; Kim, Jiwoong; Roh, Kee Min; Choi, Ji-Hyuk; Cho, Bong-Gyoo; Park, Eunjun; Kim, Hansu; Luo, Jiayan; Huang, Jiaxing

    2015-03-01

    A large amount of silicon debris particles are generated during the slicing of silicon ingots into thin wafers for the fabrication of integrated-circuit chips and solar cells. This results in a significant loss of valuable materials at about 40% of the mass of ingots. In addition, a hazardous silicon sludge waste is produced containing largely debris of silicon, and silicon carbide, which is a common cutting material on the slicing saw. Efforts in material recovery from the sludge and recycling have been largely directed towards converting silicon or silicon carbide into other chemicals. Here, we report an aerosol-assisted method to extract silicon nanoparticles from such sludge wastes and their use in lithium ion battery applications. Using an ultrasonic spray-drying method, silicon nanoparticles can be directly recovered from the mixture with high efficiency and high purity for making lithium ion battery anode. The work here demonstrated a relatively low cost approach to turn wafer slicing wastes into much higher value-added materials for energy applications, which also helps to increase the sustainability of semiconductor material and device manufacturing.

  10. Aerosol-Assisted Extraction of Silicon Nanoparticles from Wafer Slicing Waste for Lithium Ion Batteries

    PubMed Central

    Jang, Hee Dong; Kim, Hyekyoung; Chang, Hankwon; Kim, Jiwoong; Roh, Kee Min; Choi, Ji-Hyuk; Cho, Bong-Gyoo; Park, Eunjun; Kim, Hansu; Luo, Jiayan; Huang, Jiaxing

    2015-01-01

    A large amount of silicon debris particles are generated during the slicing of silicon ingots into thin wafers for the fabrication of integrated-circuit chips and solar cells. This results in a significant loss of valuable materials at about 40% of the mass of ingots. In addition, a hazardous silicon sludge waste is produced containing largely debris of silicon, and silicon carbide, which is a common cutting material on the slicing saw. Efforts in material recovery from the sludge and recycling have been largely directed towards converting silicon or silicon carbide into other chemicals. Here, we report an aerosol-assisted method to extract silicon nanoparticles from such sludge wastes and their use in lithium ion battery applications. Using an ultrasonic spray-drying method, silicon nanoparticles can be directly recovered from the mixture with high efficiency and high purity for making lithium ion battery anode. The work here demonstrated a relatively low cost approach to turn wafer slicing wastes into much higher value-added materials for energy applications, which also helps to increase the sustainability of semiconductor material and device manufacturing. PMID:25819285

  11. Change Spectrum Characteristics Modification of Films Deposited by Magnetron Sputtering with the Assistance of Argon Ions Beam

    NASA Astrophysics Data System (ADS)

    Umnov, S.; Asainov, O.

    2015-04-01

    Thin aluminum films were prepared using the method of magnetron sputtering with and without argon ion beam assistance. The influence of argon ion beam on the reflectivity in the UV range and the structure of aluminum films was studied. The structure of the films was studied by transmission electron microscopy (TEM), X-ray diffractometry (XRD) and atomic- force microscope (AFM). The study has shown that the films deposed with the assistance of the argon ion beam have more significant microstresses associated with an increase of crystallites microstructure defects as compared to the films deposed without ion assistance. Comparison of the measured reflectivity of aluminum films deposed without and with the assistance of the ion beam has shown that the films characterized by a higher level of microstructure def ects have increased reflectivity in the UV range. The studies suggest that the defects of thin aluminum films crystal structure influence its optical properties.

  12. Deposition of silicon oxynitride films by low energy ion beam assisted nitridation at room temperature

    NASA Astrophysics Data System (ADS)

    Youroukov, S.; Kitova, S.; Danev, G.

    2008-05-01

    The possibility is studied of growing thin silicon oxynitride films by e-gun evaporation of SiO and SiO2 together with concurrent bombardment with low energy N2+ ions from a cyclotron resonance (ECR) source at room temperature of substrates. The degree of nitridation and oxidation of the films is investigated by means of X-ray spectroscopy. The optical characteristics of the films, their environmental stability and adhesion to different substrates are examined. The results obtained show than the films deposited are transparent. It is found that in the case of SiO evaporation with concurrent N2+ ion bombardment, reactive implantation of nitrogen within the films takes place at room temperature of the substrate with the formation of a new silicon oxynitride compound even at low ion energy (150-200 eV).

  13. In situ mitigation of subsurface and peripheral focused ion beam damage via simultaneous pulsed laser heating

    DOE PAGES

    Stanford, Michael G.; Lewis, Brett B.; Iberi, Vighter O.; ...

    2016-02-16

    Focused helium and neon ion (He(+)/Ne(+) ) beam processing has recently been used to push resolution limits of direct-write nanoscale synthesis. The ubiquitous insertion of focused He(+) /Ne(+) beams as the next-generation nanofabrication tool-of-choice is currently limited by deleterious subsurface and peripheral damage induced by the energetic ions in the underlying substrate. The in situ mitigation of subsurface damage induced by He(+)/Ne(+) ion exposures in silicon via a synchronized infrared pulsed laser-assisted process is demonstrated. The pulsed laser assist provides highly localized in situ photothermal energy which reduces the implantation and defect concentration by greater than 90%. The laser-assisted exposuremore » process is also shown to reduce peripheral defects in He(+) patterned graphene, which makes this process an attractive candidate for direct-write patterning of 2D materials. In conclusion, these results offer a necessary solution for the applicability of high-resolution direct-write nanoscale material processing via focused ion beams.« less

  14. Two-dimensional silicon-based detectors for ion beam therapy

    NASA Astrophysics Data System (ADS)

    Martišíková, M.; Granja, C.; Jakůbek, J.; Hartmann, B.; Telsemeyer, J.; Huber, L.; Brons, S.; Pospíšil, S.; Jäkel, O.

    2012-02-01

    Radiation therapy with ion beams is a highly precise kind of cancer treatment. As ion beams traverse material, the highest ionization density occurs at the end of their path. Due to this Bragg-peak, ion beams enable higher dose conformation to the tumor and increased sparing of the surrounding tissue, in comparison to standard radiation therapy using high energy photons. Ions heavier than protons offer in addition increased biological effectiveness and lower scattering. The Heidelberg Ion Beam Therapy Center (HIT) is a state-of-the-art ion beam therapy facility and the first hospital-based facility in Europe. It provides proton and carbon ion treatments. A synchrotron is used for ion acceleration. For dose delivery to the patient, narrow pencil-like beams are scanned over the target volume.

  15. Through-silicon via plating void metrology using focused ion beam mill

    NASA Astrophysics Data System (ADS)

    Rudack, A. C.; Nadeau, J.; Routh, R.; Young, R. J.

    2012-03-01

    1 μA of ion beam current for fast material removal. At a lower current, the LMIS outperforms the ICP source, but imaging resolution below 30 nm has been demonstrated with ICP-based systems. In addition, the ICP source allows a wide range of possible ion species, with Xe currently the milling species of choice, due to its high mass and favorable ion source performance parameters. Using a 1 μA Xe beam will have an overall milling rate for silicon some 20X higher than a Ga beam operating at 65 nA. This paper will compare the benefits already seen using the Ga-based FIB-SEM approach to TSV metrology, with the improvements in throughput and time-to-data obtained by using the faster material removal capabilities of a FIB based on an ICP ion source. Plasma FIB (PFIB) is demonstrated to be a feasible tool for TSV plating void metrology.

  16. Laser-assisted focused He + ion beam induced etching with and without XeF 2 gas assist

    DOE PAGES

    Stanford, Michael G.; Mahady, Kyle; Lewis, Brett B.; ...

    2016-10-04

    Focused helium ion (He +) milling has been demonstrated as a high-resolution nanopatterning technique; however, it can be limited by its low sputter yield as well as the introduction of undesired subsurface damage. Here, we introduce pulsed laser- and gas-assisted processes to enhance the material removal rate and patterning fidelity. A pulsed laser-assisted He+ milling process is shown to enable high-resolution milling of titanium while reducing subsurface damage in situ. Gas-assisted focused ion beam induced etching (FIBIE) of Ti is also demonstrated in which the XeF 2 precursor provides a chemical assist for enhanced material removal rate. In conclusion, amore » pulsed laser-assisted and gas-assisted FIBIE process is shown to increase the etch yield by ~9× relative to the pure He+ sputtering process. These He + induced nanopatterning techniques improve material removal rate, in comparison to standard He + sputtering, while simultaneously decreasing subsurface damage, thus extending the applicability of the He + probe as a nanopattering tool.« less

  17. Laser-assisted focused He + ion beam induced etching with and without XeF 2 gas assist

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stanford, Michael G.; Mahady, Kyle; Lewis, Brett B.

    Focused helium ion (He +) milling has been demonstrated as a high-resolution nanopatterning technique; however, it can be limited by its low sputter yield as well as the introduction of undesired subsurface damage. Here, we introduce pulsed laser- and gas-assisted processes to enhance the material removal rate and patterning fidelity. A pulsed laser-assisted He+ milling process is shown to enable high-resolution milling of titanium while reducing subsurface damage in situ. Gas-assisted focused ion beam induced etching (FIBIE) of Ti is also demonstrated in which the XeF 2 precursor provides a chemical assist for enhanced material removal rate. In conclusion, amore » pulsed laser-assisted and gas-assisted FIBIE process is shown to increase the etch yield by ~9× relative to the pure He+ sputtering process. These He + induced nanopatterning techniques improve material removal rate, in comparison to standard He + sputtering, while simultaneously decreasing subsurface damage, thus extending the applicability of the He + probe as a nanopattering tool.« less

  18. The tensile effect on crack formation in single crystal silicon irradiated by intense pulsed ion beam

    NASA Astrophysics Data System (ADS)

    Liang, Guoying; Shen, Jie; Zhang, Jie; Zhong, Haowen; Cui, Xiaojun; Yan, Sha; Zhang, Xiaofu; Yu, Xiao; Le, Xiaoyun

    2017-10-01

    Improving antifatigue performance of silicon substrate is very important for the development of semiconductor industry. The cracking behavior of silicon under intense pulsed ion beam irradiation was studied by numerical simulation in order to understand the mechanism of induced surface peeling observed by experimental means. Using molecular dynamics simulation based on Stillinger Weber potential, tensile effect on crack growth and propagation in single crystal silicon was investigated. Simulation results reveal that stress-strain curves of single crystal silicon at a constant strain rate can be divided into three stages, which are not similar to metal stress-strain curves; different tensile load velocities induce difference of single silicon crack formation speed; the layered stress results in crack formation in single crystal silicon. It is concluded that the crack growth and propagation is more sensitive to strain rate, tensile load velocity, stress distribution in single crystal silicon.

  19. ITEP MEVVA ion beam for rhenium silicide production.

    PubMed

    Kulevoy, T; Gerasimenko, N; Seleznev, D; Kropachev, G; Kozlov, A; Kuibeda, R; Yakushin, P; Petrenko, S; Medetov, N; Zaporozhan, O

    2010-02-01

    The rhenium silicides are very attractive materials for semiconductor industry. In the Institute for Theoretical and Experimental Physics (ITEP) at the ion source test bench the research program of rhenium silicide production by ion beam implantation are going on. The investigation of silicon wafer after implantation of rhenium ion beam with different energy and with different total dose were carried out by secondary ions mass spectrometry, energy-dispersive x-ray microanalysis, and x-ray diffraction analysis. The first promising results of rhenium silicide film production by high intensity ion beam implantation are presented.

  20. Full characterization of laser-accelerated ion beams using Faraday cup, silicon carbide, and single-crystal diamond detectors

    NASA Astrophysics Data System (ADS)

    Margarone, D.; Krása, J.; Giuffrida, L.; Picciotto, A.; Torrisi, L.; Nowak, T.; Musumeci, P.; Velyhan, A.; Prokůpek, J.; Láska, L.; Mocek, T.; Ullschmied, J.; Rus, B.

    2011-05-01

    Multi-MeV beams of light ions have been produced using the 300 picosecond, kJ-class iodine laser, operating at the Prague Asterix Laser System facility in Prague. Real-time ion diagnostics have been performed by the use of various time-of-flight (TOF) detectors: ion collectors (ICs) with and without absorber thin films, new prototypes of single-crystal diamond and silicon carbide detectors, and an electrostatic ion mass spectrometer (IEA). In order to suppress the long photopeak induced by soft X-rays and to avoid the overlap with the signal from ultrafast particles, the ICs have been shielded with Al foil filters. The application of large-bandgap semiconductor detectors (>3 eV) ensured cutting of the plasma-emitted visible and soft-UV radiation and enhancing the sensitivity to the very fast proton/ion beams. Employing the IEA spectrometer, various ion species and charge states in the expanding laser-plasma have been determined. Processing of the experimental data based on the TOF technique, including estimation of the plasma fast proton maximum and peak energy, ion beam currents and total charge, total number of fast protons, as well as deconvolution processes, ion stopping power, and ion/photon transmission calculations for the different metallic filters used, are reported.

  1. 3D silicon shapes through bulk nano structuration by focused ion beam implantation and wet etching

    NASA Astrophysics Data System (ADS)

    Salhi, Billel; Troadec, David; Boukherroub, Rabah

    2017-05-01

    The work presented in this paper concerns the synthesis of silicon (Si) 2D and 3D nanostructures using the delayed effect, caused by implanted Ga ions, on the dissolution of Si in aqueous solutions of tetramethylammonium hydroxide (TMAH). The crystalline silicon substrates (100) are first cleaned and then hydrogenated by immersion in an aqueous solution of hydrofluoric acid. The ion implantation is then carried out by a focused ion beam by varying the dose and the exposure time. Chemical etching in aqueous solutions of TMAH at 80 °C leads to the selective dissolution of the Si planes not exposed to the ions. The preliminary results obtained in the laboratory made it possible to optimize the experimental conditions for the synthesis of 2D and 3D nanoobjects of controlled shape and size. Analysis by transmission electron microscopy and energy dispersive x-ray showed the amorphous nature of the nanostructures obtained and the presence of 5%-20% Ga in these nanoobjects. The first experiments of recrystallization by rapid thermal annealing allowed to reconstitute the crystal structure of these nanoobjects.

  2. 3D silicon shapes through bulk nano structuration by focused ion beam implantation and wet etching.

    PubMed

    Salhi, Billel; Troadec, David; Boukherroub, Rabah

    2017-05-19

    The work presented in this paper concerns the synthesis of silicon (Si) 2D and 3D nanostructures using the delayed effect, caused by implanted Ga ions, on the dissolution of Si in aqueous solutions of tetramethylammonium hydroxide (TMAH). The crystalline silicon substrates (100) are first cleaned and then hydrogenated by immersion in an aqueous solution of hydrofluoric acid. The ion implantation is then carried out by a focused ion beam by varying the dose and the exposure time. Chemical etching in aqueous solutions of TMAH at 80 °C leads to the selective dissolution of the Si planes not exposed to the ions. The preliminary results obtained in the laboratory made it possible to optimize the experimental conditions for the synthesis of 2D and 3D nanoobjects of controlled shape and size. Analysis by transmission electron microscopy and energy dispersive x-ray showed the amorphous nature of the nanostructures obtained and the presence of 5%-20% Ga in these nanoobjects. The first experiments of recrystallization by rapid thermal annealing allowed to reconstitute the crystal structure of these nanoobjects.

  3. Oxidation of silicon with a 5 eV O(-) beam

    NASA Technical Reports Server (NTRS)

    Hecht, M. H.; Orient, O. J.; Chutjian, A.; Vasquez, R. P.

    1989-01-01

    A silicon wafer has been oxidized at room temperature in vacuum using a pure, ground-state beam of O(-) ions. The beam was of sufficiently low energy that no displacement damage or implantation was energetically possible. The resulting SiO2 films were analyzed with X-ray photoelectron spectroscopy. A logarithmic dependence of oxide thickness on dose was observed, with an extrapolated oxidation efficiency of unity for the clean silicon surface. A distinct initial oxidation phase was observed, with an anomalously high level of silicon suboxides. In addition, the valence-band offset between the silicon and the oxide was unusually small, suggesting a large interfacial dipole.

  4. Silicon nitride films deposited with an electron beam created plasma

    NASA Technical Reports Server (NTRS)

    Bishop, D. C.; Emery, K. A.; Rocca, J. J.; Thompson, L. R.; Zamani, H.; Collins, G. J.

    1984-01-01

    The electron beam assisted chemical vapor deposition (EBCVD) of silicon nitride films using NH3, N2, and SiH4 as the reactant gases is reported. The films have been deposited on aluminum, SiO2, and polysilicon film substrates as well as on crystalline silicon substrates. The range of experimental conditions under which silicon nitrides have been deposited includes substrate temperatures from 50 to 400 C, electron beam currents of 2-40 mA, electron beam energies of 1-5 keV, total ambient pressures of 0.1-0.4 Torr, and NH3/SiH4 mass flow ratios of 1-80. The physical, electrical, and chemical properties of the EBCVD films are discussed.

  5. Mechanical behaviour of metallic thin films on polymeric substrates and the effect of ion beam assistance on crack propagation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    George, M.; Coupeau, C.; Colin, J.

    2005-01-10

    The mechanisms of crack propagation in metallic films on polymeric substrates have been studied through in situ atomic force microscopy observations of thin films under tensile stresses and finite element stress calculations. Two series of films - ones deposited with ion beam assistance, the others without - have been investigated. The observations and stress calculations show that ion beam assistance can change drastically the propagation of cracks in coated materials: by improving the adhesion film/substrate, it slows down the delamination process, but in the same time enhances the cracks growth in the thickness of the material.

  6. Arrays of suspended silicon nanowires defined by ion beam implantation: mechanical coupling and combination with CMOS technology.

    PubMed

    Llobet, J; Rius, G; Chuquitarqui, A; Borrisé, X; Koops, R; van Veghel, M; Perez-Murano, F

    2018-04-02

    We present the fabrication, operation, and CMOS integration of arrays of suspended silicon nanowires (SiNWs). The functional structures are obtained by a top-down fabrication approach consisting in a resistless process based on focused ion beam irradiation, causing local gallium implantation and silicon amorphization, plus selective silicon etching by tetramethylammonium hydroxide, and a thermal annealing process in a boron rich atmosphere. The last step enables the electrical functionality of the irradiated material. Doubly clamped silicon beams are fabricated by this method. The electrical readout of their mechanical response can be addressed by a frequency down-mixing detection technique thanks to an enhanced piezoresistive transduction mechanism. Three specific aspects are discussed: (i) the engineering of mechanically coupled SiNWs, by making use of the nanometer scale overhang that it is inherently-generated with this fabrication process, (ii) the statistical distribution of patterned lateral dimensions when fabricating large arrays of identical devices, and (iii) the compatibility of the patterning methodology with CMOS circuits. Our results suggest that the application of this method to the integration of large arrays of suspended SiNWs with CMOS circuitry is interesting in view of applications such as advanced radio frequency band pass filters and ultra-high-sensitivity mass sensors.

  7. Arrays of suspended silicon nanowires defined by ion beam implantation: mechanical coupling and combination with CMOS technology

    NASA Astrophysics Data System (ADS)

    Llobet, J.; Rius, G.; Chuquitarqui, A.; Borrisé, X.; Koops, R.; van Veghel, M.; Perez-Murano, F.

    2018-04-01

    We present the fabrication, operation, and CMOS integration of arrays of suspended silicon nanowires (SiNWs). The functional structures are obtained by a top-down fabrication approach consisting in a resistless process based on focused ion beam irradiation, causing local gallium implantation and silicon amorphization, plus selective silicon etching by tetramethylammonium hydroxide, and a thermal annealing process in a boron rich atmosphere. The last step enables the electrical functionality of the irradiated material. Doubly clamped silicon beams are fabricated by this method. The electrical readout of their mechanical response can be addressed by a frequency down-mixing detection technique thanks to an enhanced piezoresistive transduction mechanism. Three specific aspects are discussed: (i) the engineering of mechanically coupled SiNWs, by making use of the nanometer scale overhang that it is inherently-generated with this fabrication process, (ii) the statistical distribution of patterned lateral dimensions when fabricating large arrays of identical devices, and (iii) the compatibility of the patterning methodology with CMOS circuits. Our results suggest that the application of this method to the integration of large arrays of suspended SiNWs with CMOS circuitry is interesting in view of applications such as advanced radio frequency band pass filters and ultra-high-sensitivity mass sensors.

  8. Laser and optical system for laser assisted hydrogen ion beam stripping at SNS

    DOE PAGES

    Liu, Y.; Rakhman, A.; Menshov, A.; ...

    2016-12-01

    A high-efficiency laser assisted hydrogen ion (H-) beam stripping was recently successfully carried out in the Spallation Neutron Source (SNS) accelerator. The experiment was not only an important step toward foil-less H- stripping for charge exchange injection, it also set up a first example of using megawatt ultraviolet (UV) laser source in an operational high power proton accelerator facility. This study reports in detail the design, installation, and commissioning result of a macro-pulsed multi-megawatt UV laser system and laser beam transport line for the laser stripping experiment.

  9. Laser and optical system for laser assisted hydrogen ion beam stripping at SNS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Y.; Rakhman, A.; Menshov, A.

    A high-efficiency laser assisted hydrogen ion (H-) beam stripping was recently successfully carried out in the Spallation Neutron Source (SNS) accelerator. The experiment was not only an important step toward foil-less H- stripping for charge exchange injection, it also set up a first example of using megawatt ultraviolet (UV) laser source in an operational high power proton accelerator facility. This study reports in detail the design, installation, and commissioning result of a macro-pulsed multi-megawatt UV laser system and laser beam transport line for the laser stripping experiment.

  10. Improvement of silicon solar cell efficiency by ion beam sputtered deposition of AlOxNy thin films.

    PubMed

    Chen, Sheng-Hui; Hsu, Chun-Che; Wang, Hsuan-Wen; Yeh, Chi-Li; Tseng, Shao-Ze; Lin, Hung-Ju; Lee, Cheng-Chung; Peng, Cheng-Yu

    2011-03-20

    Negative charge material, AlOxNy, has been fabricated to passivate the surface of p-type silicon. The fabrication of AlOxNy was possible by using ion beam sputtering deposition to deposit AlN thin film on the surface of a p-type silicon wafer and following annealing in oxygen ambient. Capacitance-voltage analysis shows the fixed charge density has increased from 10(11) cm(-2) to 2.26×10(12) cm(-2) after annealing. The solar cell efficiency increased from 15.9% to 17.3%, which is also equivalent to the reduction of surface recombination velocity from 1×10(5)  to 32 cm/s.

  11. Controllable Fabrication of Non-Close-Packed Colloidal Nanoparticle Arrays by Ion Beam Etching

    NASA Astrophysics Data System (ADS)

    Yang, Jie; Zhang, Mingling; Lan, Xu; Weng, Xiaokang; Shu, Qijiang; Wang, Rongfei; Qiu, Feng; Wang, Chong; Yang, Yu

    2018-06-01

    Polystyrene (PS) nanoparticle films with non-close-packed arrays were prepared by using ion beam etching technology. The effects of etching time, beam current, and voltage on the size reduction of PS particles were well investigated. A slow etching rate, about 9.2 nm/min, is obtained for the nanospheres with the diameter of 100 nm. The rate does not maintain constant with increasing the etching time. This may result from the thermal energy accumulated gradually in a long-time bombardment of ion beam. The etching rate increases nonlinearly with the increase of beam current, while it increases firstly then reach its saturation with the increase of beam voltage. The diameter of PS nanoparticles can be controlled in the range from 34 to 88 nm. Based on the non-close-packed arrays of PS nanoparticles, the ordered silicon (Si) nanopillars with their average diameter of 54 nm are fabricated by employing metal-assisted chemical etching technique. Our results pave an effective way to fabricate the ordered nanostructures with the size less than 100 nm.

  12. Growth of biaxially textured template layers using ion beam assisted deposition

    NASA Astrophysics Data System (ADS)

    Park, Seh-Jin

    A two-step IBAD (ion beam assisted deposition) method is investigated, and compared to the conventional IBAD methods. The two step method uses surface energy anisotropy to achieve uniaxial texture and ion beam irradiation for biaxial texture. The biaxial texture was achieved by selective surface etching and enhanced by grain overgrowth. In this method, biaxial texture alignment is performed on a (001) uniaxially textured buffer layer. The material selected for achieving uniaxial texture, YBCO (YBa2Cu3O7-x), has strong surface energy anisotropy. YBCO is chemically susceptible to the reaction with the adjacent layer. Yttria stabilized zirconia (YSZ) was used to prevent the reaction between YBCO and the substrates (polycrystalline Ni alloy [Hastelloy] and amorphous SiNx/Si). A SrTiO3 layer was deposited on the uniaxially textured YBCO layer to retard stoichiometry change with subsequent processing. STO is well lattice matched with YBCO. A top layer of Ni was then deposited. The Ni layer was used for studying the effect of grain overgrowth. The obtained uniaxial Ni films were used for subsequent ion beam processing. Ar ion beam irradiation onto the uniaxially textured Ni film was used to study the effect of selective grain etching in achieving in-plane aligned Ni grains. Additional Ni deposition induces the overgrowth of the in-plane aligned Ni grains and, finally, the overall in-plane alignment. The in-plane alignment is examined with XRD phi scan. The effect of surface polarity of insulating oxide substrates on the epitaxial growth behavior was investigated. The lattice strain energy was the most important factor for determining the orientation of Ni films on a non-polar surface. However, for a polar surface, the surface energy plays an important role in determining the final orientation of the Ni films based on the experimental and theoretical results. Y2O3 growth behavior was also studied. The lattice strain energy is the most important factor for Y2O3 growth on

  13. Reflectivity modification of polymethylmethacrylate by silicon ion implantation

    NASA Astrophysics Data System (ADS)

    Hadjichristov, Georgi B.; Ivanov, Victor; Faulques, Eric

    2008-05-01

    The effect of silicon ion implantation on the optical reflection of bulk polymethylmethacrylate (PMMA) was examined in the visible and near UV. A low-energy (30 and 50 keV) Si + beam at fluences in the range from 10 13 to 10 17 cm -2 was used for ion implantation of PMMA. The results show that a significant enhancement of the reflectivity from Si +-implanted PMMA occurs at appropriate implantation energy and fluence. The structural modifications of PMMA by the silicon ion implantation were characterized by means of photoluminescence and Raman spectroscopy. Formation of hydrogenated amorphous carbon (HAC) layer beneath the surface of the samples was established and the corresponding HAC domain size was estimated.

  14. Beam Simulation Studies of Plasma-Surface Interactions in Fluorocarbon Etching of Silicon and Silicon Dioxide

    NASA Astrophysics Data System (ADS)

    Gray, David C.

    1992-01-01

    A molecular beam apparatus has been constructed which allows the synthesis of dominant species fluxes to a wafer surface during fluorocarbon plasma etching. These species include atomic F as the primary etchant, CF _2 as a potential polymer forming precursor, and Ar^{+} or CF _{rm x}^{+} type ions. Ionic and neutral fluxes employed are within an order of magnitude of those typical of fluorocarbon plasmas and are well characterized through the use of in -situ probes. Etching yields and product distributions have been measured through the use of in-situ laser interferometry and line-of-sight mass spectrometry. XPS studies of etched surfaces were performed to assess surface chemical bonding states and average surface stoichiometry. A useful design guide was developed which allows optimal design of straight -tube molecular beam dosers in the collisionally-opaque regime. Ion-enhanced surface reaction kinetics have been studied as a function of the independently variable fluxes of free radicals and ions, as well as ion energy and substrate temperature. We have investigated the role of Ar ^{+} ions in enhancing the chemistries of F and CF_2 separately, and in combination on undoped silicon and silicon dioxide surfaces. We have employed both reactive and inert ions in the energy range most relevant to plasma etching processes, 20-500 eV, through the use of Kaufman and ECR type ion sources. The effect of increasing ion energy on the etching of fluorine saturated silicon and silicon dioxide surfaces was quantified through extensions of available low energy physical sputtering theory. Simple "site"-occupation models were developed for the quantification of the ion-enhanced fluorine etching kinetics in these systems. These models are suitable for use in topography evolution simulators (e.g. SAMPLE) for the predictive modeling of profile evolution in non-depositing fluorine-based plasmas such as NF_3 and SF_6. (Copies available exclusively from MIT Libraries, Rm. 14

  15. Proof of principle of helium-beam radiography using silicon pixel detectors for energy deposition measurement, identification, and tracking of single ions.

    PubMed

    Gehrke, Tim; Gallas, Raya; Jäkel, Oliver; Martišíková, Maria

    2018-02-01

    Hadron therapy has the capability to provide a high dose conformation to tumor regions. However, it requires an accurate target positioning. Thus, the precise monitoring of the patient's anatomical positioning during treatment is desirable. For this purpose, hadron-beam radiography with protons (pRad) and ions (iRad) could be an attractive tool complementing the conventional imaging technologies. On the pathway to an envisaged clinical application, several challenges have to be addressed. Among them are achieving the desired spatial resolution in the presence of multiple Coulomb scattering (MCS), performing radiographs with a sufficient thickness resolution at clinically applicable dose levels, and the search for combinations of particularly suitable hadrons and detectors. These topics are investigated in this work for a detection system based on silicon pixel detectors. A method of iRad based on energy deposition measurements in thin layers is introduced. It exploits a detection system consisting of three parallel silicon pixel detectors, which also enables particle tracking and identification. Helium ions, which exhibit less pronounced MCS than protons, were chosen as imaging radiation. A PMMA phantom with a mean water-equivalent thickness (WET) of 192 mm, containing maximal WET-variations of ±6 mm, was imaged with a 173 MeV/u helium ion beam at the Heidelberg Ion-Beam Therapy Center. WET-differences in form of 2.3 mm × 2.3 mm steps were aimed to be visualized and resolved in images of the energy deposition measured behind the phantom. The detection system was placed downstream of the imaged object in order to detect single ions leaving it. The combination of the measured information on energy deposition, ion type, and the track behind the phantom was used for the image formation, employing a self-developed data-processing procedure. It was shown that helium-beam radiography is feasible with the reported detection system. The introduced data

  16. Focused-ion-beam-inflicted surface amorphization and gallium implantation--new insights and removal by focused-electron-beam-induced etching.

    PubMed

    Roediger, P; Wanzenboeck, H D; Waid, S; Hochleitner, G; Bertagnolli, E

    2011-06-10

    Recently focused-electron-beam-induced etching of silicon using molecular chlorine (Cl(2)-FEBIE) has been developed as a reliable and reproducible process capable of damage-free, maskless and resistless removal of silicon. As any electron-beam-induced processing is considered non-destructive and implantation-free due to the absence of ion bombardment this approach is also a potential method for removing focused-ion-beam (FIB)-inflicted crystal damage and ion implantation. We show that Cl(2)-FEBIE is capable of removing FIB-induced amorphization and gallium ion implantation after processing of surfaces with a focused ion beam. TEM analysis proves that the method Cl(2)-FEBIE is non-destructive and therefore retains crystallinity. It is shown that Cl(2)-FEBIE of amorphous silicon when compared to crystalline silicon can be up to 25 times faster, depending on the degree of amorphization. Also, using this method it has become possible for the first time to directly investigate damage caused by FIB exposure in a top-down view utilizing a localized chemical reaction, i.e. without the need for TEM sample preparation. We show that gallium fluences above 4 × 10(15) cm(-2) result in altered material resulting from FIB-induced processes down to a depth of ∼ 250 nm. With increasing gallium fluences, due to a significant gallium concentration close beneath the surface, removal of the topmost layer by Cl(2)-FEBIE becomes difficult, indicating that gallium serves as an etch stop for Cl(2)-FEBIE.

  17. Improving depth resolutions in positron beam spectroscopy by concurrent ion-beam sputtering

    NASA Astrophysics Data System (ADS)

    John, Marco; Dalla, Ayham; Ibrahim, Alaa M.; Anwand, Wolfgang; Wagner, Andreas; Böttger, Roman; Krause-Rehberg, Reinhard

    2018-05-01

    The depth resolution of mono-energetic positron annihilation spectroscopy using a positron beam is shown to improve by concurrently removing the sample surface layer during positron beam spectroscopy. During ion-beam sputtering with argon ions, Doppler-broadening spectroscopy is performed with energies ranging from 3 keV to 5 keV allowing for high-resolution defect studies just below the sputtered surface. With this technique, significantly improved depth resolutions could be obtained even at larger depths when compared to standard positron beam experiments which suffer from extended positron implantation profiles at higher positron energies. Our results show that it is possible to investigate layered structures with a thickness of about 4 microns with significantly improved depth resolution. We demonstrated that a purposely generated ion-beam induced defect profile in a silicon sample could be resolved employing the new technique. A depth resolution of less than 100 nm could be reached.

  18. Helium ion beam induced electron emission from insulating silicon nitride films under charging conditions

    NASA Astrophysics Data System (ADS)

    Petrov, Yu. V.; Anikeva, A. E.; Vyvenko, O. F.

    2018-06-01

    Secondary electron emission from thin silicon nitride films of different thicknesses on silicon excited by helium ions with energies from 15 to 35 keV was investigated in the helium ion microscope. Secondary electron yield measured with Everhart-Thornley detector decreased with the irradiation time because of the charging of insulating films tending to zero or reaching a non-zero value for relatively thick or thin films, respectively. The finiteness of secondary electron yield value, which was found to be proportional to electronic energy losses of the helium ion in silicon substrate, can be explained by the electron emission excited from the substrate by the helium ions. The method of measurement of secondary electron energy distribution from insulators was suggested, and secondary electron energy distribution from silicon nitride was obtained.

  19. Low-energy ion beam synthesis of Ag endotaxial nanostructures in silicon

    NASA Astrophysics Data System (ADS)

    Nagarajappa, Kiran; Guha, Puspendu; Thirumurugan, Arun; Satyam, Parlapalli V.; Bhatta, Umananda M.

    2018-06-01

    Coherently, embedded metal nanostructures (endotaxial) are known to have potential applications concerning the areas of plasmonics, optoelectronics and thermoelectronics. Incorporating appropriate concentrations of metal atoms into crystalline silicon is critical for these applications. Therefore, choosing proper dose of low-energy ions, instead of depositing thin film as a source of metal atoms, helps in avoiding surplus concentration of metal atoms that diffuses into the silicon crystal. In this work, 30 keV silver negative ions are implanted into a SiO x /Si(100) at two different fluences: 1 × 1015 and 2.5 × 1015 Ag- ions/cm2. Later, the samples are annealed at 700 °C for 1 h in Ar atmosphere. Embedded silver nanostructures have been characterized using planar and cross-sectional TEM (XTEM) analysis. Planar TEM analysis shows the formation of mostly rectangular silver nanostructures following the fourfold symmetry of the substrate. XTEM analysis confirms the formation of prism-shaped silver nanostructures embedded inside crystalline silicon. Endotaxial nature of the embedded crystals has been discussed using selected area electron diffraction analysis.

  20. Mechanical Modulation of Phonon-Assisted Field Emission in a Silicon Nanomembrane Detector for Time-of-Flight Mass Spectrometry

    PubMed Central

    Park, Jonghoo; Blick, Robert H.

    2016-01-01

    We demonstrate mechanical modulation of phonon-assisted field emission in a free-standing silicon nanomembrane detector for time-of-flight mass spectrometry of proteins. The impacts of ion bombardment on the silicon nanomembrane have been explored in both mechanical and electrical points of view. Locally elevated lattice temperature in the silicon nanomembrane, resulting from the transduction of ion kinetic energy into thermal energy through the ion bombardment, induces not only phonon-assisted field emission but also a mechanical vibration in the silicon nanomembrane. The coupling of these mechanical and electrical phenomenon leads to mechanical modulation of phonon-assisted field emission. The thermal energy relaxation through mechanical vibration in addition to the lateral heat conduction and field emission in the silicon nanomembrane offers effective cooling of the nanomembrane, thereby allowing high resolution mass analysis. PMID:26861329

  1. Fabrication of ultra-high aspect ratio (>160:1) silicon nanostructures by using Au metal assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Li, Hailiang; Ye, Tianchun; Shi, Lina; Xie, Changqing

    2017-12-01

    We present a facile and effective approach for fabricating high aspect ratio, dense and vertical silicon nanopillar arrays, using a combination of metal etching following electron-beam lithography and Au metal assisted chemical etching (MacEtch). Ti/Au nanostructures used as catalysts in MacEtch are formed by single layer resist-based electron-beam exposure followed by ion beam etching. The effects of MacEtch process parameters, including half period, etching time, the concentrations of H2O2 and HF, etching temperature and drying method are systematically investigated. Especially, we demonstrate an enhancement of etching quality by employing cold MacEtch process, and an enhancement in preventing the collapse of high aspect ratio nanostructures by employing low surface tension rinse liquid and natural evaporation in the drying stage. Using an optimized MacEtch process, vertical silicon nanopillar arrays with a period of 250 nm and aspect ratio up to 160:1 are realized. Our results should be instructive for exploring the achievable aspect ratio limit in silicon nanostructures and may find potential applications in photovoltaic devices, thermoelectric devices and x-ray diffractive optics.

  2. K-shell X-ray transition energies of multi-electron ions of silicon and sulfur

    NASA Astrophysics Data System (ADS)

    Beiersdorfer, P.; Brown, G. V.; Hell, N.; Santana, J. A.

    2017-10-01

    Prompted by the detection of K-shell absorption or emission features in the spectra of plasma surrounding high mass X-ray binaries and black holes, recent measurements using the Livermore electron beam ion trap have focused on the energies of the n = 2 to n = 1 K-shell transitions in the L-shell ions of lithiumlike through fluorinelike silicon and sulfur. In parallel, we have made calculations of these transitions using the Flexible Atomic Code and the multi-reference Møller-Plesset (MRMP) atomic physics code. Using this code we have attempted to produce sets of theoretical atomic data with spectroscopic accuracy for all the L-shell ions of silicon and sulfur. We present results of our calculations for oxygenlike and fluorinelike silicon and compare them to the recent electron beam ion trap measurements as well as previous calculations.

  3. Atomistic simulations of focused ion beam machining of strained silicon

    NASA Astrophysics Data System (ADS)

    Guénolé, J.; Prakash, A.; Bitzek, E.

    2017-09-01

    The focused ion beam (FIB) technique has established itself as an indispensable tool in the material science community, both to analyze samples and to prepare specimens by FIB milling. In combination with digital image correlation (DIC), FIB milling can, furthermore, be used to evaluate intrinsic stresses by monitoring the strain release during milling. The irradiation damage introduced by such milling, however, results in a change in the stress/strain state and elastic properties of the material; changes in the strain state in turn affect the bonding strength, and are hence expected to implicitly influence irradiation damage formation and sputtering. To elucidate this complex interplay between strain, irradiation damage and sputtering, we perform TRIM calculations and molecular dynamics simulations on silicon irradiated by Ga+ ions, with slab and trench-like geometries, whilst simultaneously applying uniaxial tensile and compressive strains up to 4%. In addition we calculate the threshold displacement energy (TDE) and the surface binding energy (SBE) for various strain states. The sputter rate and amount of damage produced in the MD simulations show a clear influence of the strain state. The SBE shows no significant dependence on strain, but is strongly affected by surface reconstructions. The TDE shows a clear strain-dependence, which, however, cannot explain the influence of strain on the extent of the induced irradiation damage or the sputter rate.

  4. Linear Stability and Instability Patterns in Ion Bombarded Silicon Surfaces

    NASA Astrophysics Data System (ADS)

    Madi, Charbel Said

    2011-12-01

    This thesis is a combined experimental and theoretical study of the fundamental physical mechanisms governing nanoscale surface morphology evolution of Ar + ion bombarded silicon surfaces. I experimentally determined the topographical phase diagram resulting from Ar+ ion irradiation of Si surfaces at room temperature in the linear regime of surface dynamics as we vary the control parameters ion beam energy and incidence angle. At all energies, it is characterized by a diverging wavelength bifurcation from a smooth stable surface to parallel mode ripples (wavevector parallel to the projected ion beam on the surface) as the ion beam incidence angle is varied. At sufficiently high angles theta ≈ 85°, I observed perpendicular mode ripples (wavevector perpendicular to the ion beam). Through real-time Grazing-Incidence Small Angle X-ray Scattering, I have definitively established that ion-induced erosion, which is the consensus predominant cause of pattern formation, is not only of the wrong sign to explain the measured curvature coefficients responsible in driving the surface dynamics, but also is so small in magnitude as to be essentially negligible for pattern formation except possibly at the most grazing angles of incidence where both erosion and redistribution effects converge to zero. That the contribution of ion impact induced prompt atomic redistribution effects entirely overwhelms that of erosion in both the stabilizing and destabilizing regimes is of profound significance, as it overturns the erosion-based paradigm that has dominated the pattern formation field for over two decades. In situ wafer curvature measurements using the Multi-beam Optical Stress Sensor system were performed during amorphization of silicon by normal incidence 250 eV ion irradiation. An average compressive saturation stress built up in the amorphous layer was found to be as large as 1.5 GPa. By assuming the ion-induced amorphization layer to be modeled as a viscoelastic film that is

  5. K-shell X-ray transition energies of multi-electron ions of silicon and sulfur

    DOE PAGES

    Beiersdorfer, P.; Brown, G. V.; Hell, N.; ...

    2017-04-20

    Prompted by the detection of K-shell absorption or emission features in the spectra of plasma surrounding high mass X-ray binaries and black holes, recent measurements using the Livermore electron beam ion trap have focused on the energies of the n = 2 to n = 1 K-shell transitions in the L-shell ions of lithiumlike through fluorinelike silicon and sulfur. In parallel, we have made calculations of these transitions using the Flexible Atomic Code and the multi-reference Møller-Plesset (MRMP) atomic physics code. Using this code we have attempted to produce sets of theoretical atomic data with spectroscopic accuracy for all themore » L-shell ions of silicon and sulfur. Here, we present results of our calculations for oxygenlike and fluorinelike silicon and compare them to the recent electron beam ion trap measurements as well as previous calculations.« less

  6. Multiple ion beam irradiation for the study of radiation damage in materials

    NASA Astrophysics Data System (ADS)

    Taller, Stephen; Woodley, David; Getto, Elizabeth; Monterrosa, Anthony M.; Jiao, Zhijie; Toader, Ovidiu; Naab, Fabian; Kubley, Thomas; Dwaraknath, Shyam; Was, Gary S.

    2017-12-01

    The effects of transmutation produced helium and hydrogen must be included in ion irradiation experiments to emulate the microstructure of reactor irradiated materials. Descriptions of the criteria and systems necessary for multiple ion beam irradiation are presented and validated experimentally. A calculation methodology was developed to quantify the spatial distribution, implantation depth and amount of energy-degraded and implanted light ions when using a thin foil rotating energy degrader during multi-ion beam irradiation. A dual ion implantation using 1.34 MeV Fe+ ions and energy-degraded D+ ions was conducted on single crystal silicon to benchmark the dosimetry used for multi-ion beam irradiations. Secondary Ion Mass Spectroscopy (SIMS) analysis showed good agreement with calculations of the peak implantation depth and the total amount of iron and deuterium implanted. The results establish the capability to quantify the ion fluence from both heavy ion beams and energy-degraded light ion beams for the purpose of using multi-ion beam irradiations to emulate reactor irradiated microstructures.

  7. Development of Functional Surfaces on High-Density Polyethylene (HDPE) via Gas-Assisted Etching (GAE) Using Focused Ion Beams.

    PubMed

    Sezen, Meltem; Bakan, Feray

    2015-12-01

    Irradiation damage, caused by the use of beams in electron and ion microscopes, leads to undesired physical/chemical material property changes or uncontrollable modification of structures. Particularly, soft matter such as polymers or biological materials is highly susceptible and very much prone to react on electron/ion beam irradiation. Nevertheless, it is possible to turn degradation-dependent physical/chemical changes from negative to positive use when materials are intentionally exposed to beams. Especially, controllable surface modification allows tuning of surface properties for targeted purposes and thus provides the use of ultimate materials and their systems at the micro/nanoscale for creating functional surfaces. In this work, XeF2 and I2 gases were used in the focused ion beam scanning electron microscope instrument in combination with gallium ion etching of high-density polyethylene surfaces with different beam currents and accordingly different gas exposure times resulting at the same ion dose to optimize and develop new polymer surface properties and to create functional polymer surfaces. Alterations in the surface morphologies and surface chemistry due to gas-assisted etching-based nanostructuring with various processing parameters were tracked using high-resolution SEM imaging, complementary energy-dispersive spectroscopic analyses, and atomic force microscopic investigations.

  8. Bent silicon strip crystals for high-energy charged particle beam collimation

    NASA Astrophysics Data System (ADS)

    Germogli, G.; Mazzolari, A.; Guidi, V.; Romagnoni, M.

    2017-07-01

    For applications in high energy particles accelerators, such as the crystal-assisted beam collimation, several strip crystals exploiting anticlastic curvature were produced in the last decade at the Sensor and Semiconductor Laboratory (SSL) of Ferrara by means of revisited techniques for silicon micromachining, such as photolitography and wet etching. Those techniques were recently enhanced by introducing a further treatment called Magnetorheological Finishing (MRF), which allowed to fabricate crystals with ultraflat surface and miscut very close to zero. The technology of the mechanical devices used to hold and bend crystals has been also improved by employing a titanium alloy to realize the holders. Characterization method were also improved: the usage of a high resolution X-rays diffractometer was introduced to directly measure crystal bending and torsion. Accuracy of the diffractometer was furtherly enhanced with an autocollimator, which found an important application in miscut characterization. A new infrared light interferometer was used to map the thickness of the starting swafers with sub-micrometric precision, as well as to measure the length along the beam of the strips. Crystals were characterized at the H8 external lines of CERN-SPS with various hundreds-GeV ion beams, which gave results in agreement with the precharacterization performed at SSL. One strip was selected among the crystals to be installed in the LHC beam pipe during the Long Shutdown 1 in 2014. These crystals were very recently tested in a crystal-assisted collimation experiment with a 6.5 TeV proton beam, resulting in the first observation of channeling at this record energy, being also the first observation of channeling of the beam circulating in the LHC.

  9. Electron Beam "Writes" Silicon On Sapphire

    NASA Technical Reports Server (NTRS)

    Heinemann, Klaus

    1988-01-01

    Method of growing silicon on sapphire substrate uses beam of electrons to aid growth of semiconductor material. Silicon forms as epitaxial film in precisely localized areas in micron-wide lines. Promising fabrication method for fast, densely-packed integrated circuits. Silicon deposited preferentially in contaminated substrate zones and in clean zone irradiated by electron beam. Electron beam, like surface contamination, appears to stimulate decomposition of silane atmosphere.

  10. Silicon microfabricated beam expander

    NASA Astrophysics Data System (ADS)

    Othman, A.; Ibrahim, M. N.; Hamzah, I. H.; Sulaiman, A. A.; Ain, M. F.

    2015-03-01

    The feasibility design and development methods of silicon microfabricated beam expander are described. Silicon bulk micromachining fabrication technology is used in producing features of the structure. A high-precision complex 3-D shape of the expander can be formed by exploiting the predictable anisotropic wet etching characteristics of single-crystal silicon in aqueous Potassium-Hydroxide (KOH) solution. The beam-expander consist of two elements, a micromachined silicon reflector chamber and micro-Fresnel zone plate. The micro-Fresnel element is patterned using lithographic methods. The reflector chamber element has a depth of 40 µm, a diameter of 15 mm and gold-coated surfaces. The impact on the depth, diameter of the chamber and absorption for improved performance are discussed.

  11. Ion Beam And Plasma Jet Generated By A 3 kJ Plasma Focus

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lim, L. K.; Ngoi, S. K.; Yap, S. L.

    The plasma focus device is well known as a copious source of X-ray, neutrons, ion and electron beams. In this work, the characteristics of energetic ion beam emission in a 3 kJ Mather-type plasma focus is studied. The plasma focus system is operated at low pressure with argon as the working gas. The objective of the project is to obtain the argon ion beam and the plasma jet. The ion beam and plasma jet are used for material processing. In order to investigate the effect of the ion beam and plasma jet, crystalline silicon substrates are placed above the anode.more » Samples obtained after irradiation with the plasma focus discharge are analyzed by using the Scanning electron microscopy (SEM) and Energy Dispersive X-ray spectroscopy (EDX).« less

  12. Surface morphology of molybdenum silicide films upon low-energy ion beam sputtering.

    PubMed

    Gago, R; Jaafar, M; Palomares, F J

    2018-07-04

    The surface morphology of molybdenum silicide (Mo x Si 1-x ) films has been studied after low-energy Ar + ion beam sputtering (IBS) to explore eventual pattern formation on compound targets and, simultaneously, gather information about the mechanisms behind silicide-assisted nanopatterning of silicon surfaces by IBS. For this purpose, Mo x Si 1-x films with compositions below, equal and above the MoSi 2 stoichiometry (x  =  0.33) have been produced by magnetron sputtering, as assessed by Rutherford backscattering spectrometry (RBS). The surface morphology of silicon and silicide films before and after IBS has been imaged by atomic force microscopy (AFM), comprising conditions where typical nanodot or ripple patterns emerge on the former. In the case of irradiated Mo x Si 1-x surfaces, AFM shows a marked surface smoothing at normal incidence with and without additional Mo incorporation (the former results in nanodot patterns on Si). The morphological analysis also provides no evidence of ion-induced phase separation in irradiated Mo x Si 1-x . Contrary to silicon, Mo x Si 1-x surfaces also do not display ripple formation for (impurity free) oblique irradiations, except at grazing incidence conditions where parallel ripples emerge in a more evident fashion than in the Si counterpart. By means of RBS, irradiated Mo x Si 1-x films with 1 keV Ar + at normal incidence have also been used to measure experimentally the (absolute) sputtering yield and rate of Si and Mo x Si 1-x materials. The analysis reveals that, under the present working conditions, the erosion rate of silicides is larger than for silicon, supporting simulations from the TRIDYN code. This finding questions the shielding effect from silicide regions as roughening mechanism in metal-assisted nanopatterning of silicon. On the contrary, the results highlight the relevance of in situ silicide formation. Ripple formation on Mo x Si 1-x under grazing incidence is also attributed to the dominance of

  13. Surface morphology of molybdenum silicide films upon low-energy ion beam sputtering

    NASA Astrophysics Data System (ADS)

    Gago, R.; Jaafar, M.; Palomares, F. J.

    2018-07-01

    The surface morphology of molybdenum silicide (Mo x Si1‑x ) films has been studied after low-energy Ar+ ion beam sputtering (IBS) to explore eventual pattern formation on compound targets and, simultaneously, gather information about the mechanisms behind silicide-assisted nanopatterning of silicon surfaces by IBS. For this purpose, Mo x Si1‑x films with compositions below, equal and above the MoSi2 stoichiometry (x  =  0.33) have been produced by magnetron sputtering, as assessed by Rutherford backscattering spectrometry (RBS). The surface morphology of silicon and silicide films before and after IBS has been imaged by atomic force microscopy (AFM), comprising conditions where typical nanodot or ripple patterns emerge on the former. In the case of irradiated Mo x Si1‑x surfaces, AFM shows a marked surface smoothing at normal incidence with and without additional Mo incorporation (the former results in nanodot patterns on Si). The morphological analysis also provides no evidence of ion-induced phase separation in irradiated Mo x Si1‑x . Contrary to silicon, Mo x Si1‑x surfaces also do not display ripple formation for (impurity free) oblique irradiations, except at grazing incidence conditions where parallel ripples emerge in a more evident fashion than in the Si counterpart. By means of RBS, irradiated Mo x Si1‑x films with 1 keV Ar+ at normal incidence have also been used to measure experimentally the (absolute) sputtering yield and rate of Si and Mo x Si1‑x materials. The analysis reveals that, under the present working conditions, the erosion rate of silicides is larger than for silicon, supporting simulations from the TRIDYN code. This finding questions the shielding effect from silicide regions as roughening mechanism in metal-assisted nanopatterning of silicon. On the contrary, the results highlight the relevance of in situ silicide formation. Ripple formation on Mo x Si1‑x under grazing incidence is also attributed to the dominance

  14. Ion-beam mixed ultra-thin cobalt suicide (CoSi2) films by cobalt sputtering and rapid thermal annealing

    NASA Astrophysics Data System (ADS)

    Kal, S.; Kasko, I.; Ryssel, H.

    1995-10-01

    The influence of ion-beam mixing on ultra-thin cobalt silicide (CoSi2) formation was investigated by characterizing the ion-beam mixed and unmixed CoSi2 films. A Ge+ ion-implantation through the Co film prior to silicidation causes an interface mixing of the cobalt film with the silicon substrate and results in improved silicide-to-silicon interface roughness. Rapid thermal annealing was used to form Ge+ ion mixed and unmixed thin CoSi2 layer from 10 nm sputter deposited Co film. The silicide films were characterized by secondary neutral mass spectroscopy, x-ray diffraction, tunneling electron microscopy (TEM), Rutherford backscattering, and sheet resistance measurements. The experi-mental results indicate that the final rapid thermal annealing temperature should not exceed 800°C for thin (<50 nm) CoSi2 preparation. A comparison of the plan-view and cross-section TEM micrographs of the ion-beam mixed and unmixed CoSi2 films reveals that Ge+ ion mixing (45 keV, 1 × 1015 cm-2) produces homogeneous silicide with smooth silicide-to-silicon interface.

  15. Focused ion beam-assisted technology in sub-picolitre micro-dispenser fabrication

    NASA Astrophysics Data System (ADS)

    Lopez, M. J.; Caballero, D.; Campo, E. M.; Perez-Castillejos, R.; Errachid, A.; Esteve, J.; Plaza, J. A.

    2008-07-01

    Novel medical and biological applications are driving increased interest in the fabrication of micropipette or micro-dispensers. Reduced volume samples and drug dosages are prime motivators in this effort. We have combined microfabrication technology with ion beam milling techniques to successfully produce cantilever-type polysilicon micro-dispensers with 3D enclosed microchannels. The microfabrication technology described here allows for the designing of nozzles with multiple shapes. The contribution of ion beam milling has had a large impact on the fabrication process and on further customizing shapes of nozzles and inlet ports. Functionalization tests were conducted to prove the viability of ion beam-fabricated micro-dispensers. Self-assembled monolayers were successfully formed when a gold surface was patterned with a thiol solution dispensed by the fabricated micro-dispensers.

  16. Perspectives of the Pixel Detector Timepix for Needs of Ion Beam Therapy

    NASA Astrophysics Data System (ADS)

    Martišíková, M.; Hartmann, B.; Jäkel, O.; Granja, C.; Jakubek, J.

    2012-08-01

    Radiation therapy with ion beams is a highly precise kind of cancer treatment. In ion beam therapy the finite range of the ion beams in tissue and the increase of ionization density at the end of their path, the Bragg-peak, are exploited. Ions heavier than protons offer in addition increased biological effectiveness and decreased scattering. In this contribution we discuss the potential of a quantum counting and position sensitive semiconductor detector Timepix for its applications in ion beam therapy measurements. It provides high sensitivity and high spatial resolution (pixel pitch 55 μm). The detector, developed by the Medipix Collaboration, consists of a silicon sensor bump bonded to a pixelated readout chip (256 × 256 pixels with 55 μm pitch). An integrated USB-based readout interface together with the Pixelman software enable registering single particles online with 2D-track visualization. The experiments were performed at the Heidelberg Ion Beam Therapy Center (HIT), which is a modern ion beam therapy facility. Patient treatments are performed with proton and carbon ions, which are accelerated by a synchrotron. For dose delivery to the patient an active technique is used: narrow pencil-like beams are scanned over the target volume. The possibility to use the detector for two different applications was investigated: ion spectroscopy and beam delivery monitoring by measurement of secondary charged particles around the patient. During carbon ion therapy, a variety of ion species is created by nuclear fragmentation processes of the primary beam. Since they differ in their biological effectiveness, it is of large interest to measure the ion spectra created under different conditions and to visualize their spatial distribution. The possibility of measurements of ion energy loss in silicon makes Timepix a promising detector for ion-spectroscopic studies in patient-like phantoms. Unpredictable changes in the patient can alter the range of the ion beam in the body

  17. Low-energy ion beam-based deposition of gallium nitride

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vasquez, M. R., E-mail: mrvasquez@coe.upd.edu.ph; Wada, M.

    2016-02-15

    An ion source with a remote plasma chamber excited by a 13.56 MHz radio frequency power was used for low-energy broad ion beam extraction. Optical emission spectral analyses showed the sputtering and postionization of a liquid gallium (Ga) target placed in a chamber separated from the source bombarded by argon (Ar) plasma guided by a bent magnetic field. In addition, an E × B probe successfully showed the extraction of low-energy Ga and Ar ion beams using a dual-electrode extractor configuration. By introducing dilute amounts of nitrogen gas into the system, formation of thin Ga-based films on a silicon substratemore » was demonstrated as determined from X-ray diffraction and X-ray reflectivity studies.« less

  18. Adaptation of ion beam technology to microfabrication of solid state devices and transducers

    NASA Technical Reports Server (NTRS)

    Topich, J. A.

    1977-01-01

    It was found that ion beam texturing of silicon surfaces can be used to increase the effective surface area of MOS capacitors. There is, however, a problem with low dielectric breakdown. Preliminary work was begun on the fabrication of ion implanted resistors on textured surfaces and the potential improvement of wire bond strength by bonding to a textured surface. In the area of ion beam sputtering, the techniques for sputtering PVC were developed. A PVC target containing valinomycin was used to sputter an ion selective membrane on a field effect transistor to form a potassium ion sensor.

  19. Optical properties of ion beam textured metals. [using copper, silicon, aluminum, titanium and stainless steels

    NASA Technical Reports Server (NTRS)

    Hudson, W. R.; Weigand, A. J.; Mirtich, M. J.

    1977-01-01

    Copper, silicon, aluminum, titanium and 316 stainless steel were textured by 1000 eV xenon ions from an 8 cm diameter electron bombardment ion source. Simultaneously sputter-deposited tantalum was used to facilitate the development of the surface microstructure. Scanning electron microscopy of the ion textured surfaces revealed two types of microstructure. Copper, silicon, and aluminum developed a cone structure with an average peak-to-peak distance ranging from 1 micron for silicon to 6 microns for aluminum. Titanium and 316 stainless steel developed a serpentine ridge structure. The average peak-to-peak distance for both of these materials was 0.5 micron. Spectral reflectance was measured using an integrating sphere and a holraum reflectometer. Total reflectance for air mass 0 and 2, solar absorptance and total emittance normalized for a 425 K black body were calculated from the reflectance measurements.

  20. Copper nanorod array assisted silicon waveguide polarization beam splitter

    PubMed Central

    Kim, Sangsik; Qi, Minghao

    2014-01-01

    We present the design of a three-dimensional (3D) polarization beam splitter (PBS) with a copper nanorod array placed between two silicon waveguides. The localized surface plasmon resonance (LSPR) of a metal nanorod array selectively cross-couples transverse electric (TE) mode to the coupler waveguide, while transverse magnetic (TM) mode passes through the original input waveguide without coupling. An ultra-compact and broadband PBS compared to all-dielectric devices is achieved with the LSPR. The output ports of waveguides are designed to support either TM or TE mode only to enhance the extinction ratios. Compared to silver, copper is fully compatible with complementary metal-oxide-semiconductor (CMOS) technology. PMID:24787839

  1. Copper nanorod array assisted silicon waveguide polarization beam splitter.

    PubMed

    Kim, Sangsik; Qi, Minghao

    2014-04-21

    We present the design of a three-dimensional (3D) polarization beam splitter (PBS) with a copper nanorod array placed between two silicon waveguides. The localized surface plasmon resonance (LSPR) of a metal nanorod array selectively cross-couples transverse electric (TE) mode to the coupler waveguide, while transverse magnetic (TM) mode passes through the original input waveguide without coupling. An ultra-compact and broadband PBS compared to all-dielectric devices is achieved with the LSPR. The output ports of waveguides are designed to support either TM or TE mode only to enhance the extinction ratios. Compared to silver, copper is fully compatible with complementary metal-oxide-semiconductor (CMOS) technology.

  2. Potassium ions in SiO2: electrets for silicon surface passivation

    NASA Astrophysics Data System (ADS)

    Bonilla, Ruy S.; Wilshaw, Peter R.

    2018-01-01

    This manuscript reports an experimental and theoretical study of the transport of potassium ions in thin silicon dioxide films. While alkali contamination was largely researched in the context of MOSFET instability, recent reports indicate that potassium ions can be embedded into oxide films to produce dielectric materials with permanent electric charge, also known as electrets. These electrets are integral to a number of applications, including the passivation of silicon surfaces for optoelectronic devices. In this work, electric field assisted migration of ions is used to rapidly drive K+ into SiO2 and produce effective passivation of silicon surfaces. Charge concentrations of up to ~5  ×  1012 e cm-2 have been achieved. This charge was seen to be stable for over 1500 d, with decay time constants as high as 17 000 d, producing an effectively passivated oxide-silicon interface with SRV  <  7 cm s-1, in 1 Ω cm n-type material. This level of charge stability and passivation effectiveness has not been previously reported. Overall, this is a new and promising methodology to enhance surface passivation for the industrial manufacture of silicon optoelectronic devices.

  3. Advanced process control and novel test methods for PVD silicon and elastomeric silicone coatings utilized on ion implant disks, heatsinks and selected platens

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Springer, J.; Allen, B.; Wriggins, W.

    Coatings play multiple key roles in the proper functioning of mature and current ion implanters. Batch and serial implanters require strategic control of elemental and particulate contamination which often includes scrutiny of the silicon surface coatings encountering direct beam contact. Elastomeric Silicone Coatings must accommodate wafer loading and unloading as well as direct backside contact during implant plus must maintain rigid elemental and particulate specifications. The semiconductor industry has had a significant and continuous effort to obtain ultra-pure silicon coatings with sustained process performance and long life. Low particles and reduced elemental levels for silicon coatings are a major requirementmore » for process engineers, OEM manufacturers, and second source suppliers. Relevant data will be presented. Some emphasis and detail will be placed on the structure and characteristics of a relatively new PVD Silicon Coating process that is very dense and homogeneous. Wear rate under typical ion beam test conditions will be discussed. The PVD Silicon Coating that will be presented here is used on disk shields, wafer handling fingers/fences, exclusion zones of heat sinks, beam dumps and other beamline components. Older, legacy implanters can now provide extended process capability using this new generation PVD silicon - even on implanter systems that were shipped long before the advent of silicon coating for contamination control. Low particles and reduced elemental levels are critical performance criteria for the silicone elastomers used on disk heatsinks and serial implanter platens. Novel evaluation techniques and custom engineered tools are used to investigate the surface interaction characteristics of multiple Elastomeric Silicone Coatings currently in use by the industry - specifically, friction and perpendicular stiction. These parameters are presented as methods to investigate the critical wafer load and unload function. Unique tools and

  4. Advanced process control and novel test methods for PVD silicon and elastomeric silicone coatings utilized on ion implant disks, heatsinks and selected platens

    NASA Astrophysics Data System (ADS)

    Springer, J.; Allen, B.; Wriggins, W.; Kuzbyt, R.; Sinclair, R.

    2012-11-01

    Coatings play multiple key roles in the proper functioning of mature and current ion implanters. Batch and serial implanters require strategic control of elemental and particulate contamination which often includes scrutiny of the silicon surface coatings encountering direct beam contact. Elastomeric Silicone Coatings must accommodate wafer loading and unloading as well as direct backside contact during implant plus must maintain rigid elemental and particulate specifications. The semiconductor industry has had a significant and continuous effort to obtain ultra-pure silicon coatings with sustained process performance and long life. Low particles and reduced elemental levels for silicon coatings are a major requirement for process engineers, OEM manufacturers, and second source suppliers. Relevant data will be presented. Some emphasis and detail will be placed on the structure and characteristics of a relatively new PVD Silicon Coating process that is very dense and homogeneous. Wear rate under typical ion beam test conditions will be discussed. The PVD Silicon Coating that will be presented here is used on disk shields, wafer handling fingers/fences, exclusion zones of heat sinks, beam dumps and other beamline components. Older, legacy implanters can now provide extended process capability using this new generation PVD silicon - even on implanter systems that were shipped long before the advent of silicon coating for contamination control. Low particles and reduced elemental levels are critical performance criteria for the silicone elastomers used on disk heatsinks and serial implanter platens. Novel evaluation techniques and custom engineered tools are used to investigate the surface interaction characteristics of multiple Elastomeric Silicone Coatings currently in use by the industry - specifically, friction and perpendicular stiction. These parameters are presented as methods to investigate the critical wafer load and unload function. Unique tools and test

  5. Monte Carlo simulations of secondary electron emission due to ion beam milling

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mahady, Kyle; Tan, Shida; Greenzweig, Yuval

    We present a Monte Carlo simulation study of secondary electron emission resulting from focused ion beam milling of a copper target. The basis of this study is a simulation code which simulates ion induced excitation and emission of secondary electrons, in addition to simulating focused ion beam sputtering and milling. This combination of features permits the simulation of the interaction between secondary electron emission, and the evolving target geometry as the ion beam sputters material. Previous ion induced SE Monte Carlo simulation methods have been restricted to predefined target geometries, while the dynamic target in the presented simulations makes thismore » study relevant to image formation in ion microscopy, and chemically assisted ion beam etching, where the relationship between sputtering, and its effects on secondary electron emission, is important. We focus on a copper target, and validate our simulation against experimental data for a range of: noble gas ions, ion energies, ion/substrate angles and the energy distribution of the secondary electrons. We then provide a detailed account of the emission of secondary electrons resulting from ion beam milling; we quantify both the evolution of the yield as high aspect ratio valleys are milled, as well as the emission of electrons within these valleys that do not escape the target, but which are important to the secondary electron contribution to chemically assisted ion induced etching.« less

  6. Irradiation of Materials using Short, Intense Ion Beams

    NASA Astrophysics Data System (ADS)

    Seidl, Peter; Ji, Q.; Persaud, A.; Feinberg, E.; Silverman, M.; Sulyman, A.; Waldron, W. L.; Schenkel, T.; Barnard, J. J.; Friedman, A.; Grote, D. P.; Gilson, E. P.; Kaganovich, I. D.; Stepanov, A.; Zimmer, M.

    2016-10-01

    We present experiments studying material properties created with nanosecond and millimeter-scale ion beam pulses on the Neutralized Drift Compression Experiment-II at Berkeley Lab. The explored scientific topics include the dynamics of ion induced damage in materials, materials synthesis far from equilibrium, warm dense matter and intense beam-plasma physics. We describe the improved accelerator performance, diagnostics and results of beam-induced irradiation of thin samples of, e.g., tin and silicon. Bunches with >3x1010 ions/pulse with 1-mm radius and 2-30 ns FWHM duration and have been created. To achieve the short pulse durations and mm-scale focal spot radii, the 1.2 MeV He+ ion beam is neutralized in a drift compression section which removes the space charge defocusing effect during the final compression and focusing. Quantitative comparison of detailed particle-in-cell simulations with the experiment play an important role in optimizing the accelerator performance and keep pace with the accelerator repetition rate of <1/minute. This work was supported by the Office of Science of the US Department of Energy under contracts DE-AC0205CH11231 (LBNL), DE-AC52-07NA27344 (LLNL) and DE-AC02-09CH11466 (PPPL).

  7. Ion-beam irradiation, gene identification, and marker-assisted breeding in the development of low-cadmium rice.

    PubMed

    Ishikawa, Satoru; Ishimaru, Yasuhiro; Igura, Masato; Kuramata, Masato; Abe, Tadashi; Senoura, Takeshi; Hase, Yoshihiro; Arao, Tomohito; Nishizawa, Naoko K; Nakanishi, Hiromi

    2012-11-20

    Rice (Oryza sativa L.) grain is a major dietary source of cadmium (Cd), which is toxic to humans, but no practical technique exists to substantially reduce Cd contamination. Carbon ion-beam irradiation produced three rice mutants with <0.05 mg Cd⋅kg(-1) in the grain compared with a mean of 1.73 mg Cd⋅kg(-1) in the parent, Koshihikari. We identified the gene responsible for reduced Cd uptake and developed a strategy for marker-assisted selection of low-Cd cultivars. Sequence analysis revealed that these mutants have different mutations of the same gene (OsNRAMP5), which encodes a natural resistance-associated macrophage protein. Functional analysis revealed that the defective transporter protein encoded by the mutant osnramp5 greatly decreases Cd uptake by roots, resulting in decreased Cd in the straw and grain. In addition, we developed DNA markers to facilitate marker-assisted selection of cultivars carrying osnramp5. When grown in Cd-contaminated paddy fields, the mutants have nearly undetectable Cd in their grains and exhibit no agriculturally or economically adverse traits. Because mutants produced by ion-beam radiation are not transgenic plants, they are likely to be accepted by consumers and thus represent a practical choice for rice production worldwide.

  8. Development of bipolar-pulse accelerator for intense pulsed ion beam acceleration

    NASA Astrophysics Data System (ADS)

    Masugata, Katsumi; Shimizu, Yuichro; Fujioka, Yuhki; Kitamura, Iwao; Tanoue, Hisao; Arai, Kazuo

    2004-12-01

    To improve the purity of intense pulsed ion beams, a new type of pulsed ion beam accelerator named "bipolar pulse accelerator" was proposed. To confirm the principle of the accelerator a prototype of the experimental system was developed. The system utilizes By type magnetically insulated acceleration gap and operated with single polar negative pulse. A coaxial gas puff plasma gun was used as an ion source, which was placed inside the grounded anode. Source plasma (nitrogen) of current density ≈25 A/cm2, duration ≈1.5 μs was injected into the acceleration gap by the plasma gun. The ions were successfully accelerated from the grounded anode to the drift tube by applying negative pulse of voltage 240 kV, duration 100 ns to the drift tube. Pulsed ion beam of current density ≈40 A/cm2, duration ≈50 ns was obtained at 41 mm downstream from the anode surface. To evaluate the irradiation effect of the ion beam to solid material, an amorphous silicon thin film of thickness ≈500 nm was used as the target, which was deposited on the glass substrate. The film was found to be poly-crystallized after 4-shots of the pulsed nitrogen ion beam irradiation.

  9. Silicon solar cells by ion implantation and pulsed energy processing

    NASA Technical Reports Server (NTRS)

    Kirkpatrick, A. R.; Minnucci, J. A.; Shaughnessy, T. S.; Greenwald, A. C.

    1976-01-01

    A new method for fabrication of silicon solar cells is being developed around ion implantation in conjunction with pulsed electron beam techniques to replace conventional furnace processing. Solar cells can be fabricated totally in a vacuum environment at room temperature. Cells with 10% AM0 efficiency have been demonstrated. High efficiency cells and effective automated processing capabilities are anticipated.

  10. Ion traps for precision experiments at rare-isotope-beam facilities

    NASA Astrophysics Data System (ADS)

    Kwiatkowski, Anna

    2016-09-01

    Ion traps first entered experimental nuclear physics when the ISOLTRAP team demonstrated Penning trap mass spectrometry of radionuclides. From then on, the demand for ion traps has grown at radioactive-ion-beam (RIB) facilities since beams can be tailored for the desired experiment. Ion traps have been deployed for beam preparation, from bunching (thereby allowing time coincidences) to beam purification. Isomerically pure beams needed for nuclear-structure investigations can be prepared for trap-assisted or in-trap decay spectroscopy. The latter permits studies of highly charged ions for stellar evolution, which would be impossible with traditional experimental nuclear-physics methods. Moreover, the textbook-like conditions and advanced ion manipulation - even of a single ion - permit high-precision experiments. Consequently, the most accurate and precise mass measurements are now performed in Penning traps. After a brief introduction to ion trapping, I will focus on examples which showcase the versatility and utility of the technique at RIB facilities. I will demonstrate how this atomic-physics technique has been integrated into nuclear science, accelerator physics, and chemistry. DOE.

  11. Laser-accelerated ion beam diagnostics with TOF detectors for the ELIMED beam line

    NASA Astrophysics Data System (ADS)

    Milluzzo, G.; Scuderi, V.; Amico, A. G.; Borghesi, M.; Cirrone, G. A. P.; Cuttone, G.; De Napoli, M.; Doria, D.; Dostal, J.; Larosa, G.; Leanza, R.; Margarone, D.; Petringa, G.; Pipek, J.; Romagnani, L.; Romano, F.; Schillaci, F.; Velyhan, A.

    2017-02-01

    Laser-accelerated ion beams could represent the future of particle acceleration in several multidisciplinary applications, as for instance medical physics, hadrontherapy and imaging field, being a concrete alternative to old paradigm of acceleration, characterized by huge and complex machines. In this framework, following on from the ELIMED collaboration, launched in 2012 between INFN-LNS and ELI-Beamlines, in 2014 a three-years contract has been signed between the two institutions for the design and the development of a complete transport beam-line for high-energy ion beams (up to 60 MeV) coupled with innovative diagnostics and in-air dosimetry devices. The beam-line will be installed at the ELI-Beamlines facility and will be available for users. The measurement of the beam characteristics, such as energy spectra, angular distributions and dose-rate is mandatory to optimize the transport as well as the beam delivery at the irradiation point. In order to achieve this purpose, the development of appropriate on-line diagnostics devices capable to detect high-pulsed beams with high accuracy, represents a crucial point in the ELIMED beamline development. The diagnostics solution, based on the use of silicon carbide (SiC) and diamond detectors using TOF technique, will be presented together with the preliminary results obtained with laser-accelerated proton beams.

  12. Supercritical Carbon Dioxide-Assisted Process for Well-Dispersed Silicon/Graphene Composite as a Li ion Battery Anode.

    PubMed

    Lee, Sang Ha; Park, Sengyoen; Kim, Min; Yoon, Dohyeon; Chanthad, Chalathorn; Cho, Misuk; Kim, Jaehoon; Park, Jong Hyeok; Lee, Youngkwan

    2016-08-18

    The silicon (Si)/graphene composite has been touted as one of the most promising anode materials for lithium ion batteries. However, the optimal fabrication method for this composite remains a challenge. Here, we developed a novel method using supercritical carbon dioxide (scCO2) to intercalate Si nanoparticles into graphene nanosheets. Silicon was modified with a thin layer of polyaniline, which assisted the dispersion of graphene sheets by introducing π-π interaction. Using scCO2, well-dispersed Si/graphene composite was successfully obtained in a short time under mild temperature. The composite showed high cycle performance (1,789 mAh/g after 250 cycles) and rate capability (1,690 mAh/g at a current density of 4,000 mA/g). This study provides a new approach for cost-effective and scalable preparation of a Si/graphene composite using scCO2 for a highly stable lithium battery anode material.

  13. Supercritical Carbon Dioxide-Assisted Process for Well-Dispersed Silicon/Graphene Composite as a Li ion Battery Anode

    PubMed Central

    Lee, Sang Ha; Park, Sengyoen; Kim, Min; Yoon, Dohyeon; Chanthad, Chalathorn; Cho, Misuk; Kim, Jaehoon; Park, Jong Hyeok; Lee, Youngkwan

    2016-01-01

    The silicon (Si)/graphene composite has been touted as one of the most promising anode materials for lithium ion batteries. However, the optimal fabrication method for this composite remains a challenge. Here, we developed a novel method using supercritical carbon dioxide (scCO2) to intercalate Si nanoparticles into graphene nanosheets. Silicon was modified with a thin layer of polyaniline, which assisted the dispersion of graphene sheets by introducing π-π interaction. Using scCO2, well-dispersed Si/graphene composite was successfully obtained in a short time under mild temperature. The composite showed high cycle performance (1,789 mAh/g after 250 cycles) and rate capability (1,690 mAh/g at a current density of 4,000 mA/g). This study provides a new approach for cost-effective and scalable preparation of a Si/graphene composite using scCO2 for a highly stable lithium battery anode material. PMID:27535108

  14. Phonon-assisted field emission in silicon nanomembranes for time-of-flight mass spectrometry of proteins.

    PubMed

    Park, Jonghoo; Aksamija, Zlatan; Shin, Hyun-Cheol; Kim, Hyunseok; Blick, Robert H

    2013-06-12

    Time-of-flight (TOF) mass spectrometry has been considered as the method of choice for mass analysis of large intact biomolecules, which are ionized in low charge states by matrix-assisted-laser-desorption/ionization (MALDI). However, it remains predominantly restricted to the mass analysis of biomolecules with a mass below about 50,000 Da. This limitation mainly stems from the fact that the sensitivity of the standard detectors decreases with increasing ion mass. We describe here a new principle for ion detection in TOF mass spectrometry, which is based upon suspended silicon nanomembranes. Impinging ion packets on one side of the suspended silicon nanomembrane generate nonequilibrium phonons, which propagate quasi-diffusively and deliver thermal energy to electrons within the silicon nanomembrane. This enhances electron emission from the nanomembrane surface with an electric field applied to it. The nonequilibrium phonon-assisted field emission in the suspended nanomembrane connected to an effective cooling of the nanomembrane via field emission allows mass analysis of megadalton ions with high mass resolution at room temperature. The high resolution of the detector will give better insight into high mass proteins and their functions.

  15. Characterization of Noble Gas Ion Beam Fabricated Single Molecule Nanopore Detectors

    NASA Astrophysics Data System (ADS)

    Rollings, Ryan; Ledden, Bradley; Shultz, John; Fologea, Daniel; Li, Jiali; Chervinsky, John; Golovchenko, Jene

    2006-03-01

    Nanopores fabricated with low energy noble gas ion beams in a silicon nitride membrane can be employed as the fundamental element of single biomolecule detection and characterization devices [1,2]. With the help of X-ray Photoelectron Spectroscopy (XPS) and Rutherford Backscattering (RBS), we demonstrate that the electrical noise properties, and hence ultimate sensitivity of nanopore single molecule detectors depends on ion beam species and nanopore annealing conditions. .1. Li, J., D. Stein, C. McMullan, D. Branton, M.J. Aziz, and J.A. Golovchenko, Ion-beam sculpting at nanometre length scales. Nature, 2001. 412(12 July): p. 166-169. 2. Li, J., M. Gershow, D. Stein, E. Brandin, and J.A. Golovchenko, DNA Molecules and Configurations in a Solid-state Nanopore Microscope. Nature Materials, 2003. 2: p. 611-615.

  16. Fast prototyping of high-aspect ratio, high-resolution x-ray masks by gas-assisted focused ion beam

    NASA Technical Reports Server (NTRS)

    Hartley, F.; Malek, C.; Neogi, J.

    2001-01-01

    The capacity of chemically-assisted focused ion beam (fib) etching systems to undertake direct and highly anisotropic erosion of thin and thick gold (or other high atomic number [Z])coatings on x-ray mask membranes/substrates provides new levels of precision, flexibility, simplification and rapidity in the manufacture of mask absorber patterns, allowing the fast prototyping of high aspect ratio, high-resolution masks for deep x-ray lithography.

  17. Properties of chirped mirrors manufactured by plasma ion assisted electron beam evaporation

    NASA Astrophysics Data System (ADS)

    Bischoff, Martin; Stenzel, Olaf; Gäbler, Dieter; Kaiser, Norbert

    2005-09-01

    Nowadays, chirped dielectric mirrors for ultrafast optics and laser applications are usually manufactured by sputtering techniques. The suitability of Advanced Plasma Source (APS) assisted electron beam evaporation with respect to such coatings is still under investigation. The purpose of this presentation is to show our first results of the deposition of chirped layers produced by plasma ion assisted electron beam evaporation and of the investigation of their properties. The aim was to design and prepare a NIR-mirror for the spectral range of 700 nm to 900 nm. It has been attempted to find a design that is robust with respect to errors of thickness and refractive index. The mirror consists of more than 26 layers composed of alternating high- (Nb2O5) and low-refractive index (SiO2) material. The deposited coatings were tested in terms of their group delay dispersion (GDD) and their reflectivity. We show, that in the wavelength range between 720 nm and 890 nm the GDD exhibits a value of about -50 fs2, whereas the reflectivity is above 99%. However, the subsequent reverse engineering operations show a relatively large thickness error of more than 1% - 2% regarding the particular layers. Nevertheless the effect on the GDD and the reflectivity is tolerable. Furthermore, we present our first experiments concerning the design and fabrication of a chirped mirror, which allows controlling the third order dispersion (TOD), whereas the relative thickness error of the particular layers should not exceed 1%.

  18. Ion irradiation of the native oxide/silicon surface increases the thermal boundary conductance across aluminum/silicon interfaces

    NASA Astrophysics Data System (ADS)

    Gorham, Caroline S.; Hattar, Khalid; Cheaito, Ramez; Duda, John C.; Gaskins, John T.; Beechem, Thomas E.; Ihlefeld, Jon F.; Biedermann, Laura B.; Piekos, Edward S.; Medlin, Douglas L.; Hopkins, Patrick E.

    2014-07-01

    The thermal boundary conductance across solid-solid interfaces can be affected by the physical properties of the solid boundary. Atomic composition, disorder, and bonding between materials can result in large deviations in the phonon scattering mechanisms contributing to thermal boundary conductance. Theoretical and computational studies have suggested that the mixing of atoms around an interface can lead to an increase in thermal boundary conductance by creating a region with an average vibrational spectra of the two materials forming the interface. In this paper, we experimentally demonstrate that ion irradiation and subsequent modification of atoms at solid surfaces can increase the thermal boundary conductance across solid interfaces due to a change in the acoustic impedance of the surface. We measure the thermal boundary conductance between thin aluminum films and silicon substrates with native silicon dioxide layers that have been subjected to proton irradiation and post-irradiation surface cleaning procedures. The thermal boundary conductance across the Al/native oxide/Si interfacial region increases with an increase in proton dose. Supported with statistical simulations, we hypothesize that ion beam mixing of the native oxide and silicon substrate within ˜2.2nm of the silicon surface results in the observed increase in thermal boundary conductance. This ion mixing leads to the spatial gradation of the silicon native oxide into the silicon substrate, which alters the acoustic impedance and vibrational characteristics at the interface of the aluminum film and native oxide/silicon substrate. We confirm this assertion with picosecond acoustic analyses. Our results demonstrate that under specific conditions, a "more disordered and defected" interfacial region can have a lower resistance than a more "perfect" interface.

  19. Ion-beam-induced bending of semiconductor nanowires.

    PubMed

    Hanif, Imran; Camara, Osmane; Tunes, Matheus A; Harrison, Robert W; Greaves, Graeme; Donnelly, Stephen E; Hinks, Jonathan A

    2018-08-17

    The miniaturisation of technology increasingly requires the development of both new structures as well as novel techniques for their manufacture and modification. Semiconductor nanowires (NWs) are a prime example of this and as such have been the subject of intense scientific research for applications ranging from microelectronics to nano-electromechanical devices. Ion irradiation has long been a key processing step for semiconductors and the natural extension of this technique to the modification of semiconductor NWs has led to the discovery of ion beam-induced deformation effects. In this work, transmission electron microscopy with in situ ion bombardment has been used to directly observe the evolution of individual silicon and germanium NWs under irradiation. Silicon NWs were irradiated with either 6 keV neon ions or xenon ions at 5, 7 or 9.5 keV with a flux of 3 × 10 13 ions cm -2 s -1 . Germanium NWs were irradiated with 30 or 70 keV xenon ions with a flux of 10 13 ions cm -2 s -1 . These new results are combined with those reported in the literature in a systematic analysis using a custom implementation of the transport of ions in matter Monte Carlo computer code to facilitate a direct comparison with experimental results taking into account the wide range of experimental conditions. Across the various studies this has revealed underlying trends and forms the basis of a critical review of the various mechanisms which have been proposed to explain the deformation of semiconductor NWs under ion irradiation.

  20. Replication of the nano-scale mold fabricated with focused ion beam

    NASA Astrophysics Data System (ADS)

    Gao, J. X.; Chan-Park, M. B.; Xie, D. Z.; Ngoi, Bryan K. A.

    2004-12-01

    Silicon mold fabricated with Focused Ion Beam lithography (FIB) was used to make silicone elastomer molds. The silicon mold is composed of lattice of holes which the diameter and depth are about 200 nm and 60 nm, respectively. The silicone elastomer material was then used to replicate slavery mold. Our study show the replication process with the elastomer mold had been performed successfully and the diameter of humps on the elastomer mold is near to that of holes on the master mold. But the height of humps in the elastomer mold is only 42 nm and it is different from the depth of holes in the master mold.

  1. Three-dimensional patterning in polymer optical waveguides using focused ion beam milling

    NASA Astrophysics Data System (ADS)

    Kruse, Kevin; Burrell, Derek; Middlebrook, Christopher

    2016-07-01

    Waveguide (WG) photonic-bridge taper modules are designed for symmetric planar coupling between silicon WGs and single-mode fibers (SMFs) to minimize photonic chip and packaging footprint requirements with improving broadband functionality. Micromachined fabrication and evaluation of polymer WG tapers utilizing high-resolution focused ion beam (FIB) milling is performed and presented. Polymer etch rates utilizing the FIB and optimal methods for milling polymer tapers are identified for three-dimensional patterning. Polymer WG tapers with low sidewall roughness are manufactured utilizing FIB milling and optically tested for fabrication loss. FIB platforms utilize a focused beam of ions (Ga+) to etch submicron patterns into substrates. Fabricating low-loss polymer WG taper prototypes with the FIB before moving on to mass-production techniques provides theoretical understanding of the polymer taper and its feasibility for connectorization devices between silicon WGs and SMFs.

  2. Ion Beam Propulsion Study

    NASA Technical Reports Server (NTRS)

    2008-01-01

    The Ion Beam Propulsion Study was a joint high-level study between the Applied Physics Laboratory operated by NASA and ASRC Aerospace at Kennedy Space Center, Florida, and Berkeley Scientific, Berkeley, California. The results were promising and suggested that work should continue if future funding becomes available. The application of ion thrusters for spacecraft propulsion is limited to quite modest ion sources with similarly modest ion beam parameters because of the mass penalty associated with the ion source and its power supply system. Also, the ion source technology has not been able to provide very high-power ion beams. Small ion beam propulsion systems were used with considerable success. Ion propulsion systems brought into practice use an onboard ion source to form an energetic ion beam, typically Xe+ ions, as the propellant. Such systems were used for steering and correction of telecommunication satellites and as the main thruster for the Deep Space 1 demonstration mission. In recent years, "giant" ion sources were developed for the controlled-fusion research effort worldwide, with beam parameters many orders of magnitude greater than the tiny ones of conventional space thruster application. The advent of such huge ion beam sources and the need for advanced propulsion systems for exploration of the solar system suggest a fresh look at ion beam propulsion, now with the giant fusion sources in mind.

  3. Ripple pattern formation on silicon surfaces by low-energy ion-beam erosion: Experiment and theory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ziberi, B.; Frost, F.; Rauschenbach, B.

    The topography evolution of Si surfaces during low-energy noble-gas ion-beam erosion (ion energy {<=}2000 eV) at room temperature has been studied. Depending on the ion-beam parameters, self-organized ripple patterns evolve on the surface with a wavelength {lambda}<100 nm. Ripple patterns were found to occur at near-normal ion incidence angles (5 deg. -30 deg.) with the wave vector oriented parallel to the ion-beam direction. The ordering and homogeneity of these patterns increase with ion fluence, leading to very-well-ordered ripples. The ripple wavelength remains constant with ion fluence. Also, the influence of ion energy on the ripple wavelength is investigated. Additionally itmore » is shown that the mass of the bombarding ion plays a decisive role in the ripple formation process. Ripple patterns evolve for Ar{sup +},Kr{sup +}, and Xe{sup +} ions, while no ripples are observed using Ne{sup +} ions. These results are discussed in the context of continuum theories and by using Monte Carlo simulations.« less

  4. The parameter influence of ion irradiation on the distribution profile of the defect in silicon films

    NASA Astrophysics Data System (ADS)

    Shemukhin, A. A.; Balaskshin, Yu. V.; Evseev, A. P.; Chernysh, V. S.

    2017-09-01

    As silicon is an important element in semiconductor devices, the process of defect formation under ion irradiation in it is studied well enough. Modern electronic components are made on silicon lattices (films) that are 100-300 nm thick (Chernysh et al., 1980; Shemukhin et al., 2012; Ieshkin et al., 2015). However, there are still features to be observed in the process of defect formation in silicon. In our work we investigate the effect of fluence and target temperature on the defect formation in films and bulk silicon samples. To investigate defect formation in the silicon films and bulk silicon samples we present experimental data on Si+ implantation with an energy of 200 keV, fluences range from 5 * 1014 to 5 * 1015 ion/cm2 for a fixed flux 1 μA/cm2 and the substrate temperatures from 150 to 350 K The sample crystallinity was investigated by using the Rutherford backscattering technique (RBS) in channeling and random modes. It is shown that in contrast to bulk silicon for which amorphization is observed at 5 × 1016 ion/cm2, the silicon films on sapphire amorphize at lower critical fluences (1015 ion/cm2). So the amorphization critical fluences depend on the target temperature. In addition it is shown that under similar implantation parameters, the disordering of silicon films under the action of the ion beam is stronger than the bulk silicon.

  5. Ion-Beam-Induced Atomic Mixing in Ge, Si, and SiGe, Studied by Means of Isotope Multilayer Structures

    PubMed Central

    Radek, Manuel; Liedke, Bartosz; Schmidt, Bernd; Voelskow, Matthias; Bischoff, Lothar; Lundsgaard Hansen, John; Nylandsted Larsen, Arne; Bougeard, Dominique; Böttger, Roman; Prucnal, Slawomir; Posselt, Matthias; Bracht, Hartmut

    2017-01-01

    Crystalline and preamorphized isotope multilayers are utilized to investigate the dependence of ion beam mixing in silicon (Si), germanium (Ge), and silicon germanium (SiGe) on the atomic structure of the sample, temperature, ion flux, and electrical doping by the implanted ions. The magnitude of mixing is determined by secondary ion mass spectrometry. Rutherford backscattering spectrometry in channeling geometry, Raman spectroscopy, and transmission electron microscopy provide information about the structural state after ion irradiation. Different temperature regimes with characteristic mixing properties are identified. A disparity in atomic mixing of Si and Ge becomes evident while SiGe shows an intermediate behavior. Overall, atomic mixing increases with temperature, and it is stronger in the amorphous than in the crystalline state. Ion-beam-induced mixing in Ge shows no dependence on doping by the implanted ions. In contrast, a doping effect is found in Si at higher temperature. Molecular dynamics simulations clearly show that ion beam mixing in Ge is mainly determined by the thermal spike mechanism. In the case of Si thermal spike, mixing prevails at low temperature whereas ion beam-induced enhanced self-diffusion dominates the atomic mixing at high temperature. The latter process is attributed to highly mobile Si di-interstitials formed under irradiation and during damage annealing. PMID:28773172

  6. Ion-Beam-Induced Atomic Mixing in Ge, Si, and SiGe, Studied by Means of Isotope Multilayer Structures.

    PubMed

    Radek, Manuel; Liedke, Bartosz; Schmidt, Bernd; Voelskow, Matthias; Bischoff, Lothar; Hansen, John Lundsgaard; Larsen, Arne Nylandsted; Bougeard, Dominique; Böttger, Roman; Prucnal, Slawomir; Posselt, Matthias; Bracht, Hartmut

    2017-07-17

    Crystalline and preamorphized isotope multilayers are utilized to investigate the dependence of ion beam mixing in silicon (Si), germanium (Ge), and silicon germanium (SiGe) on the atomic structure of the sample, temperature, ion flux, and electrical doping by the implanted ions. The magnitude of mixing is determined by secondary ion mass spectrometry. Rutherford backscattering spectrometry in channeling geometry, Raman spectroscopy, and transmission electron microscopy provide information about the structural state after ion irradiation. Different temperature regimes with characteristic mixing properties are identified. A disparity in atomic mixing of Si and Ge becomes evident while SiGe shows an intermediate behavior. Overall, atomic mixing increases with temperature, and it is stronger in the amorphous than in the crystalline state. Ion-beam-induced mixing in Ge shows no dependence on doping by the implanted ions. In contrast, a doping effect is found in Si at higher temperature. Molecular dynamics simulations clearly show that ion beam mixing in Ge is mainly determined by the thermal spike mechanism. In the case of Si thermal spike, mixing prevails at low temperature whereas ion beam-induced enhanced self-diffusion dominates the atomic mixing at high temperature. The latter process is attributed to highly mobile Si di-interstitials formed under irradiation and during damage annealing.

  7. Detailed characterisation of focused ion beam induced lateral damage on silicon carbide samples by electrical scanning probe microscopy and transmission electron microscopy

    NASA Astrophysics Data System (ADS)

    Stumpf, F.; Abu Quba, A. A.; Singer, P.; Rumler, M.; Cherkashin, N.; Schamm-Chardon, S.; Cours, R.; Rommel, M.

    2018-03-01

    The lateral damage induced by focused ion beam on silicon carbide was characterized using electrical scanning probe microscopy (SPM), namely, scanning spreading resistance microscopy and conductive atomic force microscopy (c-AFM). It is shown that the damage exceeds the purposely irradiated circles with a radius of 0.5 μm by several micrometres, up to 8 μm for the maximum applied ion dose of 1018 cm-2. Obtained SPM results are critically compared with earlier findings on silicon. For doses above the amorphization threshold, in both cases, three different areas can be distinguished. The purposely irradiated area exhibits resistances smaller than the non-affected substrate. A second region with strongly increasing resistance and a maximum saturation value surrounds it. The third region shows the transition from maximum resistance to the base resistance of the unaffected substrate. It correlates to the transition from amorphized to defect-rich to pristine crystalline substrate. Additionally, conventional transmission electron microscopy (TEM) and annular dark-field STEM were used to complement and explain the SPM results and get a further understanding of the defect spreading underneath the surface. Those measurements also show three different regions that correlate well with the regions observed from electrical SPM. TEM results further allow to explain observed differences in the electrical results for silicon and silicon carbide which are most prominent for ion doses above 3 × 1016 cm-2. Furthermore, the conventional approach to perform current-voltage measurements by c-AFM was critically reviewed and several improvements for measurement and analysis process were suggested that result in more reliable and impactful c-AFM data.

  8. Localization of carbon atoms and extended defects in silicon implanted separately with C+ and B+ ions and jointly with C+ and B+ ions

    NASA Astrophysics Data System (ADS)

    Jadan, M.; Chelyadinskii, A. R.; Odzhaev, V. B.

    2013-02-01

    The possibility to control the localization of implanted carbon in sites and interstices in silicon immediately during the implantation has been demonstrated. The formation of residual extended defects in silicon implanted separately with C+ and B+ ions and jointly with C+ and B+ ions has been shown. It has been found that the formation of residual defects can be suppressed due to annihilation of point defects at C atoms (the Watkins effect). The positive effect is attained if implanted carbon is localized over lattice sites, which is provided by its implantation with the effective current density of the scanning ion beam no lower than 1.0 μA cm-2.

  9. Broad beam ion implanter

    DOEpatents

    Leung, K.N.

    1996-10-08

    An ion implantation device for creating a large diameter, homogeneous, ion beam is described, as well as a method for creating same, wherein the device is characterized by extraction of a diverging ion beam and its conversion by ion beam optics to an essentially parallel ion beam. The device comprises a plasma or ion source, an anode and exit aperture, an extraction electrode, a divergence-limiting electrode and an acceleration electrode, as well as the means for connecting a voltage supply to the electrodes. 6 figs.

  10. Broad beam ion implanter

    DOEpatents

    Leung, Ka-Ngo

    1996-01-01

    An ion implantation device for creating a large diameter, homogeneous, ion beam is described, as well as a method for creating same, wherein the device is characterized by extraction of a diverging ion beam and its conversion by ion beam optics to an essentially parallel ion beam. The device comprises a plasma or ion source, an anode and exit aperture, an extraction electrode, a divergence-limiting electrode and an acceleration electrode, as well as the means for connecting a voltage supply to the electrodes.

  11. Friction and Wear of Ion-Beam-Deposited Diamondlike Carbon on Chemical-Vapor-Deposited, Fine-Grain Diamond

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Wu, Richard L. C.; Lanter, William C.

    1996-01-01

    Friction and wear behavior of ion-beam-deposited diamondlike carbon (DLC) films coated on chemical-vapor-deposited (CVD), fine-grain diamond coatings were examined in ultrahigh vacuum, dry nitrogen, and humid air environments. The DLC films were produced by the direct impact of an ion beam (composed of a 3:17 mixture of Ar and CH4) at ion energies of 1500 and 700 eV and an RF power of 99 W. Sliding friction experiments were conducted with hemispherical CVD diamond pins sliding on four different carbon-base coating systems: DLC films on CVD diamond; DLC films on silicon; as-deposited, fine-grain CVD diamond; and carbon-ion-implanted, fine-grain CVD diamond on silicon. Results indicate that in ultrahigh vacuum the ion-beam-deposited DLC films on fine-grain CVD diamond (similar to the ion-implanted CVD diamond) greatly decrease both the friction and wear of fine-grain CVD diamond films and provide solid lubrication. In dry nitrogen and in humid air, ion-beam-deposited DLC films on fine-grain CVD diamond films also had a low steady-state coefficient of friction and a low wear rate. These tribological performance benefits, coupled with a wider range of coating thicknesses, led to longer endurance life and improved wear resistance for the DLC deposited on fine-grain CVD diamond in comparison to the ion-implanted diamond films. Thus, DLC deposited on fine-grain CVD diamond films can be an effective wear-resistant, lubricating coating regardless of environment.

  12. (abstract) Optical Scattering and Surface Microroughness of Ion Beam Deposited Au and Pt Thin Films

    NASA Technical Reports Server (NTRS)

    Al-Jumaily, Ghanim A.; Raouf, Nasrat A.; Edlou, Samad M.; Simons, John C.

    1994-01-01

    Thin films of gold and platinum have been deposited onto superpolished fused silica substrates using thermal evaporation, ion assisted deposition (IAD), and ion assisted sputtering. The influence of ion beam flux, thin film material, and deposition rate on the films microroughness have been investigated. Short range surface microroughness of the films has been examined using scanning tunneling microscopy (STM) and atomic force microscopy (AFM). Long range surface microroughness has been characterized using an angle resolved optical scatterometer. Results indicate that ion beam deposited coatings have improved microstructure over thermally evaporated films.

  13. Structural and mechanical properties of hydroxyapatite coatings formed by ion-beam assisted deposition

    NASA Astrophysics Data System (ADS)

    Zykova, A.; Safonov, V.; Dudin, S.; Yakovin, S.; Donkov, N.; Ghaemi, M. H.; Szkodo, M.; Antoszkiewicz, M.; Szyfelbain, M.; Czaban, A.

    2018-03-01

    The ion-beam assisted deposition (IBAD) is an advanced method capable of producing crystalline coatings at low temperatures. We determined the characteristics of hydroxyapatite Ca10(PO4)6(OH)2 target and coatings formed by IBAD using X-ray photoemission spectroscopy (XPS), X-ray diffraction (XRD), scanning electron microscopy (SEM), atomic force microscopy (AFM) and energy dispersive X-ray (EDX). The composition of the coatings’ cross-section and surface was close to those of the target. The XPS spectra showed that the binding energy values of Ca (2p1/2, 2p3/2), P (2p3/2), and O 1s levels are related to the hydroxyapatite phase. The coatings demonstrate an optimal H/E ratio, and a good resistance to scratch tests.

  14. Non-invasive monitoring of therapeutic carbon ion beams in a homogeneous phantom by tracking of secondary ions.

    PubMed

    Gwosch, K; Hartmann, B; Jakubek, J; Granja, C; Soukup, P; Jäkel, O; Martišíková, M

    2013-06-07

    Radiotherapy with narrow scanned carbon ion beams enables a highly accurate treatment of tumours while sparing the surrounding healthy tissue. Changes in the patient's geometry can alter the actual ion range in tissue and result in unfavourable changes in the dose distribution. Consequently, it is desired to verify the actual beam delivery within the patient. Real-time and non-invasive measurement methods are preferable. Currently, the only technically feasible method to monitor the delivered dose distribution within the patient is based on tissue activation measurements by means of positron emission tomography (PET). An alternative monitoring method based on tracking of prompt secondary ions leaving a patient irradiated with carbon ion beams has been previously suggested. It is expected to help in overcoming the limitations of the PET-based technique like physiological washout of the beam induced activity, low signal and to allow for real-time measurements. In this paper, measurements of secondary charged particle tracks around a head-sized homogeneous PMMA phantom irradiated with pencil-like carbon ion beams are presented. The investigated energies and beam widths are within the therapeutically used range. The aim of the study is to deduce properties of the primary beam from the distribution of the secondary charged particles. Experiments were performed at the Heidelberg Ion Beam Therapy Center, Germany. The directions of secondary charged particles emerging from the PMMA phantom were measured using an arrangement of two parallel pixelated silicon detectors (Timepix). The distribution of the registered particle tracks was analysed to deduce its dependence on clinically important beam parameters: beam range, width and position. Distinct dependencies of the secondary particle tracks on the properties of the primary carbon ion beam were observed. In the particular experimental set-up used, beam range differences of 1.3 mm were detectable. In addition, variations in

  15. Adaptation of ion beam technology to microfabrication of solid state devices and transducers

    NASA Technical Reports Server (NTRS)

    Topich, J. A.

    1978-01-01

    A number of areas were investigated to determine the potential uses of ion beam techniques in the construction of solid state devices and transducers and the packaging of implantable electronics for biomedical applications. The five areas investigated during the past year were: (1) diode-like devices fabricated on textured silicon; (2) a photolithographic technique for patterning ion beam sputtered PVC (polyvinyl chloride); (3) use of sputtered Teflon as a protective coating for implantable pressure sensors; (4) the sputtering of Macor to seal implantable hybrid circuits; and (5) the use of sputtered Teflon to immobilize enzymes.

  16. Origin of Si(LMM) Auger Electron Emission from Silicon and Si-Alloys by keV Ar+ Ion Bombardment

    NASA Astrophysics Data System (ADS)

    Iwami, Motohiro; Kim, Su Chol; Kataoka, Yoshihide; Imura, Takeshi; Hiraki, Akio; Fujimoto, Fuminori

    1980-09-01

    Si(LMM) Auger electrons emitted from specimens of pure silicon and several Si-alloys (Ni-Si, Pd-Si and Cu-Si) under keV Ar+ ion bombardment, were examined. In the Auger spectra from all specimens studied there were four peaks at energies of 92, 86, 76 and 66 eV. The Auger signal intensity varied considerably with both the incident angle and the energy of the primary ion beam. It is proposed that the Auger electrons are emitted from silicon atoms (or ions) just beneath the specimen surface but free from the bulk network.

  17. Silicon-based photonic crystals fabricated using proton beam writing combined with electrochemical etching method

    PubMed Central

    2012-01-01

    A method for fabrication of three-dimensional (3D) silicon nanostructures based on selective formation of porous silicon using ion beam irradiation of bulk p-type silicon followed by electrochemical etching is shown. It opens a route towards the fabrication of two-dimensional (2D) and 3D silicon-based photonic crystals with high flexibility and industrial compatibility. In this work, we present the fabrication of 2D photonic lattice and photonic slab structures and propose a process for the fabrication of 3D woodpile photonic crystals based on this approach. Simulated results of photonic band structures for the fabricated 2D photonic crystals show the presence of TE or TM gap in mid-infrared range. PMID:22824206

  18. Bright focused ion beam sources based on laser-cooled atoms

    PubMed Central

    McClelland, J. J.; Steele, A. V.; Knuffman, B.; Twedt, K. A.; Schwarzkopf, A.; Wilson, T. M.

    2016-01-01

    Nanoscale focused ion beams (FIBs) represent one of the most useful tools in nanotechnology, enabling nanofabrication via milling and gas-assisted deposition, microscopy and microanalysis, and selective, spatially resolved doping of materials. Recently, a new type of FIB source has emerged, which uses ionization of laser cooled neutral atoms to produce the ion beam. The extremely cold temperatures attainable with laser cooling (in the range of 100 μK or below) result in a beam of ions with a very small transverse velocity distribution. This corresponds to a source with extremely high brightness that rivals or may even exceed the brightness of the industry standard Ga+ liquid metal ion source. In this review we discuss the context of ion beam technology in which these new ion sources can play a role, their principles of operation, and some examples of recent demonstrations. The field is relatively new, so only a few applications have been demonstrated, most notably low energy ion microscopy with Li ions. Nevertheless, a number of promising new approaches have been proposed and/or demonstrated, suggesting that a rapid evolution of this type of source is likely in the near future. PMID:27239245

  19. Bright focused ion beam sources based on laser-cooled atoms

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McClelland, J. J.; Wilson, T. M.; Steele, A. V.

    2016-03-15

    Nanoscale focused ion beams (FIBs) represent one of the most useful tools in nanotechnology, enabling nanofabrication via milling and gas-assisted deposition, microscopy and microanalysis, and selective, spatially resolved doping of materials. Recently, a new type of FIB source has emerged, which uses ionization of laser cooled neutral atoms to produce the ion beam. The extremely cold temperatures attainable with laser cooling (in the range of 100 μK or below) result in a beam of ions with a very small transverse velocity distribution. This corresponds to a source with extremely high brightness that rivals or may even exceed the brightness of themore » industry standard Ga{sup +} liquid metal ion source. In this review, we discuss the context of ion beam technology in which these new ion sources can play a role, their principles of operation, and some examples of recent demonstrations. The field is relatively new, so only a few applications have been demonstrated, most notably low energy ion microscopy with Li ions. Nevertheless, a number of promising new approaches have been proposed and/or demonstrated, suggesting that a rapid evolution of this type of source is likely in the near future.« less

  20. Ion recombination correction in carbon ion beams.

    PubMed

    Rossomme, S; Hopfgartner, J; Lee, N D; Delor, A; Thomas, R A S; Romano, F; Fukumura, A; Vynckier, S; Palmans, H

    2016-07-01

    In this work, ion recombination is studied as a function of energy and depth in carbon ion beams. Measurements were performed in three different passively scattered carbon ion beams with energies of 62 MeV/n, 135 MeV/n, and 290 MeV/n using various types of plane-parallel ionization chambers. Experimental results were compared with two analytical models for initial recombination. One model is generally used for photon beams and the other model, developed by Jaffé, takes into account the ionization density along the ion track. An investigation was carried out to ascertain the effect on the ion recombination correction with varying ionization chamber orientation with respect to the direction of the ion tracks. The variation of the ion recombination correction factors as a function of depth was studied for a Markus ionization chamber in the 62 MeV/n nonmodulated carbon ion beam. This variation can be related to the depth distribution of linear energy transfer. Results show that the theory for photon beams is not applicable to carbon ion beams. On the other hand, by optimizing the value of the ionization density and the initial mean-square radius, good agreement is found between Jaffé's theory and the experimental results. As predicted by Jaffé's theory, the results confirm that ion recombination corrections strongly decrease with an increasing angle between the ion tracks and the electric field lines. For the Markus ionization chamber, the variation of the ion recombination correction factor with depth was modeled adequately by a sigmoid function, which is approximately constant in the plateau and strongly increasing in the Bragg peak region to values of up to 1.06. Except in the distal edge region, all experimental results are accurately described by Jaffé's theory. Experimental results confirm that ion recombination in the investigated carbon ion beams is dominated by initial recombination. Ion recombination corrections are found to be significant and cannot be

  1. Amphotericin B channels in phospholipid membrane-coated nanoporous silicon surfaces: implications for photovoltaic driving of ions across membranes.

    PubMed

    Yilma, Solomon; Liu, Nangou; Samoylov, Alexander; Lo, Ting; Brinker, C Jeffrey; Vodyanoy, Vitaly

    2007-03-15

    The antimycotic agent amphotericin B (AmB) functions by forming complexes with sterols to form ion channels that cause membrane leakage. When AmB and cholesterol mixed at 2:1 ratio were incorporated into phospholipid bilayer membranes formed on the tip of patch pipettes, ion channel current fluctuations with characteristic open and closed states were observed. These channels were also functional in phospholipid membranes formed on nanoporous silicon surfaces. Electrophysiological studies of AmB-cholesterol mixtures that were incorporated into phospholipid membranes formed on the surface of nanoporous (6.5 nm pore diameter) silicon plates revealed large conductance ion channels ( approximately 300 pS) with distinct open and closed states. Currents through the AmB-cholesterol channels on nanoporous silicon surfaces can be driven by voltage applied via conventional electrical circuits or by photovoltaic electrical potential entirely generated when the nanoporous silicon surface is illuminated with a narrow laser beam. Electrical recordings made during laser illumination of AmB-cholesterol containing membrane-coated nanoporous silicon surfaces revealed very large conductance ion channels with distinct open and closed states. Our findings indicate that nanoporous silicon surfaces can serve as mediums for ion-channel-based biosensors. The photovoltaic properties of nanoporous silicon surfaces show great promise for making such biosensors addressable via optical technologies.

  2. Materials science education: ion beam modification and analysis of materials

    NASA Astrophysics Data System (ADS)

    Zimmerman, Robert; Muntele, Claudiu; Ila, Daryush

    2012-08-01

    The Center for Irradiation of Materials (CIM) at Alabama A&M University (http://cim.aamu.edu) was established in 1990 to serve the University in its research, education and services to the need of the local community and industry. CIM irradiation capabilities are oriented around two tandem-type ion accelerators with seven beam lines providing high-resolution Rutherford backscattering spectrometry, MeV focus ion beam, high-energy ion implantation and irradiation damage studies, particle-induced X-ray emission, particle-induced gamma emission and ion-induced nuclear reaction analysis in addition to fully automated ion channeling. One of the two tandem ion accelerators is designed to produce high-flux ion beam for MeV ion implantation and ion irradiation damage studies. The facility is well equipped with a variety of surface analysis systems, such as SEM, ESCA, as well as scanning micro-Raman analysis, UV-VIS Spectrometry, luminescence spectroscopy, thermal conductivity, electrical conductivity, IV/CV systems, mechanical test systems, AFM, FTIR, voltammetry analysis as well as low-energy implanters, ion beam-assisted deposition and MBE systems. In this presentation, we will demonstrate how the facility is used in material science education, as well as providing services to university, government and industry researches.

  3. Energetic Ion Beam Production by a Low-Pressure Plasma Focus Discharge

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lim, L. K.; Yap, S. L.; Wong, C. S.

    Energetic ion beam emissions in a 3 kJ Mather type plasma focus operating at low-pressure regime are investigated. Deuterium gas is used and the discharge is operated in a low-pressure regime of below 1 mbar. Formation of the current sheath during the breakdown phase at the back wall is assisted by a set delayed trigger pulse. Energetic and intense ion beams with good reproducibility have been obtained for the operating pressure ranging from 0.05 mbar to 0.5 mbar. Deuteron beam is determined by time resolved measurement by making use of three biased ion collectors placed at the end on direction.more » The average energies of deuteron beams are resolved by using time-of flight method. Correlation between the ion emissions and the current sheath dynamics is also discussed.« less

  4. Fabrication of two-dimensional periodic structures on silicon after scanning irradiation with femtosecond laser multi-beams

    NASA Astrophysics Data System (ADS)

    Pan, An; Si, Jinhai; Chen, Tao; Li, Cunxia; Hou, Xun

    2016-04-01

    Two-dimensional (2D) periodic structures were fabricated on silicon surfaces by femtosecond laser irradiation in air and water, with the assistance of a microlens array (MLA) placed in the beam's path. By scanning the laser beam along the silicon surface, multiple grooves were simultaneously fabricated in parallel along with smaller laser-induced ripples. The 2D periodic structures contained long-periodic grooves and perpendicular short-periodic laser-induced ripples, which had periods of several microns and several hundred nanometers, respectively. We investigated the influence of laser power and scanning velocity on the morphological evolution of the 2D periodic structures in air and water. Large-area grid-like structures with ripples were fabricated by successively scanning once along each direction of the silicon's surface, which showed enhanced optical absorption. Hydrofluoric acid was then used to remove any oxygen and laser-induced defects for all-silicon structures.

  5. Structural Analysis of a Carbon Nitride Film Prepared by Ion-Beam-Assisted Deposition

    NASA Astrophysics Data System (ADS)

    Hayashi, Toshiyuki; Matsumuro, Akihito; Muramatsu, Mutsuo; Kohzaki, Masao; Takahashi, Yutaka; Yamaguchi, Katsumi

    1999-04-01

    The microstructure of a carbon nitride (CNx) film formed by ion-beam-assisted deposition (IBAD) was investigated by transmission electron microscopy (TEM). This film was formed on the Si (100) substrate by IBAD with an N/C transport ratio of 1. Three different spacings (0.34 nm, 0.21 nm, 0.12 nm) were observed by transmission electron diffraction (TED) and the periodic structure corresponding to the spacing of 0.34 nm was aligned perpendicular to the substrate. The bending of this plane resembled a carbon nanotube; therefore, it seemed reasonable to suppose that the CNx film obtained consisted of numerous carbon-nanotube-like structural elements grown vertically, relative to the substrate, and it also seemed appropriate that these structural elements should be termed nanotube-like carbon nitride.

  6. A Multicusp Ion Source for Radioactive Ion Beams

    NASA Astrophysics Data System (ADS)

    Wutte, D.; Freedman, S.; Gough, R.; Lee, Y.; Leitner, M.; Leung, K. N.; Lyneis, C.; Picard, D. S.; Sun, L.; Williams, M. D.; Xie, Z. Q.

    1997-05-01

    In order to produce a radioactive ion beam of (14)O+, a 10-cm-diameter, 13.56 MHz radio frequency (rf) driven multicusp ion source is now being developed at Lawrence Berkeley National Laboratory. In this paper we describe the specific ion source design and the basic ion source characteristics using Ar, Xe and a 90types of measurements have been performed: extractable ion current, ion species distributions, gas efficiency, axial energy spread and ion beam emittance measurements. The source can generate ion current densities of approximately 60 mA/cm2 . In addition the design of the ion beam extraction/transport system for the actual experimental setup for the radioactive beam line will be presented.

  7. Dual ion beam deposition of carbon films with diamondlike properties

    NASA Technical Reports Server (NTRS)

    Mirtich, M. J.; Swec, D. M.; Angus, J. C.

    1984-01-01

    A single and dual ion beam system was used to generate amorphous carbon films with diamond like properties. A methane/argon mixture at a molar ratio of 0.28 was ionized in the low pressure discharge chamber of a 30-cm-diameter ion source. A second ion source, 8 cm in diameter was used to direct a beam of 600 eV Argon ions on the substrates (fused silica or silicon) while the deposition from the 30-cm ion source was taking place. Nuclear reaction and combustion analysis indicate H/C ratios for the films to be 1.00. This high value of H/C, it is felt, allowed the films to have good transmittance. The films were impervious to reagents which dissolve graphitic and polymeric carbon structures. Although the measured density of the films was approximately 1.8 gm/cu cm, a value lower than diamond, the films exhibited other properties that were relatively close to diamond. These films were compared with diamondlike films generated by sputtering a graphite target.

  8. A silicon strip detector array for energy verification and quality assurance in heavy ion therapy.

    PubMed

    Debrot, Emily; Newall, Matthew; Guatelli, Susanna; Petasecca, Marco; Matsufuji, Naruhiro; Rosenfeld, Anatoly B

    2018-02-01

    The measurement of depth dose profiles for range and energy verification of heavy ion beams is an important aspect of quality assurance procedures for heavy ion therapy facilities. The steep dose gradients in the Bragg peak region of these profiles require the use of detectors with high spatial resolution. The aim of this work is to characterize a one dimensional monolithic silicon detector array called the "serial Dose Magnifying Glass" (sDMG) as an independent ion beam energy and range verification system used for quality assurance conducted for ion beams used in heavy ion therapy. The sDMG detector consists of two linear arrays of 128 silicon sensitive volumes each with an effective size of 2mm × 50μm × 100μm fabricated on a p-type substrate at a pitch of 200 μm along a single axis of detection. The detector was characterized for beam energy and range verification by measuring the response of the detector when irradiated with a 290 MeV/u 12 C ion broad beam incident along the single axis of the detector embedded in a PMMA phantom. The energy of the 12 C ion beam incident on the detector and the residual energy of an ion beam incident on the phantom was determined from the measured Bragg peak position in the sDMG. Ad hoc Monte Carlo simulations of the experimental setup were also performed to give further insight into the detector response. The relative response profiles along the single axis measured with the sDMG detector were found to have good agreement between experiment and simulation with the position of the Bragg peak determined to fall within 0.2 mm or 1.1% of the range in the detector for the two cases. The energy of the beam incident on the detector was found to vary less than 1% between experiment and simulation. The beam energy incident on the phantom was determined to be (280.9 ± 0.8) MeV/u from the experimental and (280.9 ± 0.2) MeV/u from the simulated profiles. These values coincide with the expected energy of 281 MeV/u. The sDMG detector

  9. Wafer scale formation of monocrystalline silicon-based Mie resonators via silicon-on-insulator dewetting.

    PubMed

    Abbarchi, Marco; Naffouti, Meher; Vial, Benjamin; Benkouider, Abdelmalek; Lermusiaux, Laurent; Favre, Luc; Ronda, Antoine; Bidault, Sébastien; Berbezier, Isabelle; Bonod, Nicolas

    2014-11-25

    Subwavelength-sized dielectric Mie resonators have recently emerged as a promising photonic platform, as they combine the advantages of dielectric microstructures and metallic nanoparticles supporting surface plasmon polaritons. Here, we report the capabilities of a dewetting-based process, independent of the sample size, to fabricate Si-based resonators over large scales starting from commercial silicon-on-insulator (SOI) substrates. Spontaneous dewetting is shown to allow the production of monocrystalline Mie-resonators that feature two resonant modes in the visible spectrum, as observed in confocal scattering spectroscopy. Homogeneous scattering responses and improved spatial ordering of the Si-based resonators are observed when dewetting is assisted by electron beam lithography. Finally, exploiting different thermal agglomeration regimes, we highlight the versatility of this technique, which, when assisted by focused ion beam nanopatterning, produces monocrystalline nanocrystals with ad hoc size, position, and organization in complex multimers.

  10. Combined binary collision and continuum mechanics model applied to focused ion beam milling of a silicon membrane

    NASA Astrophysics Data System (ADS)

    Hobler, Gerhard

    2015-06-01

    Many experiments indicate the importance of stress and stress relaxation upon ion implantation. In this paper, a model is proposed that is capable of describing ballistic effects as well as stress relaxation by viscous flow. It combines atomistic binary collision simulation with continuum mechanics. The only parameters that enter the continuum model are the bulk modulus and the radiation-induced viscosity. The shear modulus can also be considered but shows only minor effects. A boundary-fitted grid is proposed that is usable both during the binary collision simulation and for the spatial discretization of the force balance equations. As an application, the milling of a slit into an amorphous silicon membrane with a 30 keV focused Ga beam is studied, which demonstrates the relevance of the new model compared to a more heuristic approach used in previous work.

  11. Injected ion energy dependence of SiC film deposited by low-energy SiC3H9+ ion beam produced from hexamethyldisilane

    NASA Astrophysics Data System (ADS)

    Yoshimura, Satoru; Sugimoto, Satoshi; Takeuchi, Takae; Murai, Kensuke; Kiuchi, Masato

    2018-04-01

    We mass-selected SiC3H9+ ions from various fragments produced through the decomposition of hexamethyldisilane, and finally produced low-energy SiC3H9+ ion beams. The ion beams were injected into Si(1 0 0) substrates and the dependence of deposited films on injected ion energy was then investigated. Injected ion energies were 20, 100, or 200 eV. Films obtained were investigated with X-ray diffraction, X-ray photoelectron spectroscopy, and Raman spectroscopy. X-ray diffraction and X-ray photoelectron spectroscopy of the substrates obtained following the injection of 20 eV ions demonstrated the occurrence of silicon carbide film (3C-SiC) deposition. On the other hand, Raman spectroscopy showed that the films deposited by the injection of 100 or 200 eV ions included 3C-SiC plus diamond-like carbon. Ion beam deposition using hexamethyldisilane-derived 20 eV SiC3H9+ ions is an efficient technique for 3C-SiC film formation on Si substrates.

  12. The Influence of High-Power Ion Beams and High-Intensity Short-Pulse Implantation of Ions on the Properties of Ceramic Silicon Carbide

    NASA Astrophysics Data System (ADS)

    Kabyshev, A. V.; Konusov, F. V.; Pavlov, S. K.; Remnev, G. E.

    2016-02-01

    The paper is focused on the study of the structural, electrical and optical characteristics of the ceramic silicon carbide before and after irradiation in the regimes of the high-power ion beams (HPIB) and high-intensity short-pulse implantation (HISPI) of carbon ions. The dominant mechanism of transport of charge carriers, their type and the energy spectrum of localized states (LS) of defects determining the properties of SiC were established. Electrical and optical characteristics of ceramic before and after irradiation are determined by the biographical and radiation defects whose band gap (BG) energy levels have a continuous energetic distribution. A dominant p-type activation component of conduction with participation of shallow acceptor levels 0.05-0.16 eV is complemented by hopping mechanism of conduction involving the defects LS with a density of 1.2T017-2.4T018 eV-Am-3 distributed near the Fermi level.The effect of radiation defects with deep levels in the BG on properties change dominates after HISPI. A new material with the changed electronic structure and properties is formed in the near surface layer of SiC after the impact of the HPIB.

  13. Lithium ion batteries based on nanoporous silicon

    DOEpatents

    Tolbert, Sarah H.; Nemanick, Eric J.; Kang, Chris Byung-Hwa

    2015-09-22

    A lithium ion battery that incorporates an anode formed from a Group IV semiconductor material such as porous silicon is disclosed. The battery includes a cathode, and an anode comprising porous silicon. In some embodiments, the anode is present in the form of a nanowire, a film, or a powder, the porous silicon having a pore diameters within the range between 2 nm and 100 nm and an average wall thickness of within the range between 1 nm and 100 nm. The lithium ion battery further includes, in some embodiments, a non-aqueous lithium containing electrolyte. Lithium ion batteries incorporating a porous silicon anode demonstrate have high, stable lithium alloying capacity over many cycles.

  14. Temperature-Dependent Helium Ion-Beam Mixing in an Amorphous SiOC/Crystalline Fe Composite

    DOE PAGES

    Su, Qing; Price, Lloyd; Shao, Lin; ...

    2016-10-31

    Temperature dependent He-irradiation-induced ion-beam mixing between amorphous silicon oxycarbide (SiOC) and crystalline Fe was examined with a transmission electron microscope (TEM) and via Rutherford backscattering spectrometry (RBS). The Fe marker layer (7.2 ± 0.8 nm) was placed in between two amorphous SiOC layers (200 nm). The amount of ion-beam mixing after 298, 473, 673, 873, and 1073 K irradiation was investigated. Both TEM and RBS results showed no ion-beam mixing between Fe and SiOC after 473 and 673 K irradiation and a very trivial amount of ion-beam mixing (~2 nm) after 298 K irradiation. At irradiation temperatures higher than 873more » K, the Fe marker layer broke down and RBS could no longer be used to quantitatively examine the amount of ion mixing. The results indicate that the Fe/SiOC nanocomposite is thermally stable and tends to demix in the temperature range from 473 to 673 K. For application of this composite structure at temperatures of 873 K or higher, layer stability is a key consideration.« less

  15. The quantitative analysis of silicon carbide surface smoothing by Ar and Xe cluster ions

    NASA Astrophysics Data System (ADS)

    Ieshkin, A. E.; Kireev, D. S.; Ermakov, Yu. A.; Trifonov, A. S.; Presnov, D. E.; Garshev, A. V.; Anufriev, Yu. V.; Prokhorova, I. G.; Krupenin, V. A.; Chernysh, V. S.

    2018-04-01

    The gas cluster ion beam technique was used for the silicon carbide crystal surface smoothing. The effect of processing by two inert cluster ions, argon and xenon, was quantitatively compared. While argon is a standard element for GCIB, results for xenon clusters were not reported yet. Scanning probe microscopy and high resolution transmission electron microscopy techniques were used for the analysis of the surface roughness and surface crystal layer quality. The gas cluster ion beam processing results in surface relief smoothing down to average roughness about 1 nm for both elements. It was shown that xenon as the working gas is more effective: sputtering rate for xenon clusters is 2.5 times higher than for argon at the same beam energy. High resolution transmission electron microscopy analysis of the surface defect layer gives values of 7 ± 2 nm and 8 ± 2 nm for treatment with argon and xenon clusters.

  16. Energetic Beam Processing of Silicon to Engineer Optoelectronically Active Defects

    NASA Astrophysics Data System (ADS)

    Recht, Daniel

    This thesis explores ways to use ion implantation and nanosecond pulsed laser melting, both energetic beam techniques, to engineer defects in silicon. These defects are chosen to facilitate the use of silicon in optoelectronic applications for which its indirect bandgap is not ideal. Chapter 2 develops a kinetic model for the use of point defects as luminescence centers for light-emitting diodes and demonstrates an experimental procedure capable of high-throughput screening of the electroluminescent properties of such defects. Chapter 3 discusses the dramatic change in optical absorption observed in silicon highly supersaturated (i.e., hyperdoped) with the chalcogens sulfur, selenium, and tellurium and reports the first measurements of the optical absorption of such materials for photon energies greater than the bandgap of silicon. Chapter 3 examines the use of silicon hyperdoped with chalcogens in light detectors and concludes that while these devices display strong internal gain that is coupled to a particular type of surface defect, hyperdoping with chalcogens does not lead directly to measurable sub-bandgap photoconductivity. Chapter 4 considers the potential for Silicon to serve as the active material in an intermediate-band solar cell and reports experimental progress on two proposed approaches for hyperdoping silicon for this application. The main results of this chapter are the use of native-oxide etching to control the surface evaporation rate of sulfur from silicon and the first synthesis of monocrystalline silicon hyperdoped with gold.

  17. Evaluation of the ion implantation process for production of solar cells from silicon sheet materials

    NASA Technical Reports Server (NTRS)

    Spitzer, M. B.

    1983-01-01

    The objective of this program is the investigation and evaluation of the capabilities of the ion implantation process for the production of photovoltaic cells from a variety of present-day, state-of-the-art, low-cost silicon sheet materials. Task 1 of the program concerns application of ion implantation and furnace annealing to fabrication of cells made from dendritic web silicon. Task 2 comprises the application of ion implantation and pulsed electron beam annealing (PEBA) to cells made from SEMIX, SILSO, heat-exchanger-method (HEM), edge-defined film-fed growth (EFG) and Czochralski (CZ) silicon. The goals of Task 1 comprise an investigation of implantation and anneal processes applied to dendritic web. A further goal is the evaluation of surface passivation and back surface reflector formation. In this way, processes yielding the very highest efficiency can be evaluated. Task 2 seeks to evaluate the use of PEBA for various sheet materials. A comparison of PEBA to thermal annealing will be made for a variety of ion implantation processes.

  18. Beam brilliance investigation of high current ion beams at GSI heavy ion accelerator facility.

    PubMed

    Adonin, A A; Hollinger, R

    2014-02-01

    In this work the emittance measurements of high current Ta-beam provided by VARIS (Vacuum Arc Ion Source) ion source are presented. Beam brilliance as a function of beam aperture at various extraction conditions is investigated. Influence of electrostatic ion beam compression in post acceleration gap on the beam quality is discussed. Use of different extraction systems (single aperture, 7 holes, and 13 holes) in order to achieve more peaked beam core is considered. The possible ways to increase the beam brilliance are discussed.

  19. Ion beam texturing

    NASA Technical Reports Server (NTRS)

    Hudson, W. R.

    1976-01-01

    A microscopic surface texture is created by sputter etching a surface while simultaneously sputter depositing a lower sputter yield material onto the surface. A xenon ion beam source has been used to perform this texturing process on samples as large as three centimeters in diameter. Ion beam textured surface structures have been characterized with SEM photomicrographs for a large number of materials including Cu, Al, Si, Ti, Ni, Fe, Stainless steel, Au, and Ag. Surfaces have been textured using a variety of low sputter yield materials - Ta, Mo, Nb, and Ti. The initial stages of the texture creation have been documented, and the technique of ion beam sputter removal of any remaining deposited material has been studied. A number of other texturing parameters have been studied such as the variation of the texture with ion beam power, surface temperature, and the rate of texture growth with sputter etching time.

  20. Neutralized ion beam modification of cellulose membranes for study of ion charge effect on ion-beam-induced DNA transfer

    NASA Astrophysics Data System (ADS)

    Prakrajang, K.; Sangwijit, K.; Anuntalabhochai, S.; Wanichapichart, P.; Yu, L. D.

    2012-02-01

    Low-energy ion beam biotechnology (IBBT) has recently been rapidly developed worldwide. Ion-beam-induced DNA transfer is one of the important applications of IBBT. However, mechanisms involved in this application are not yet well understood. In this study plasma-neutralized ion beam was applied to investigate ion charge effect on induction of DNA transfer. Argon ion beam at 7.5 keV was neutralized by RF-driven plasma in the beam path and then bombarded cellulose membranes which were used as the mimetic plant cell envelope. Electrical properties such as impedance and capacitance of the membranes were measured after the bombardment. An in vitro experiment on plasmid DNA transfer through the cellulose membrane was followed up. The results showed that the ion charge input played an important role in the impedance and capacitance changes which would affect DNA transfer. Generally speaking, neutral particle beam bombardment of biologic cells was more effective in inducing DNA transfer than charged ion beam bombardment.

  1. Development of a beam ion velocity detector for the heavy ion beam probe

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fimognari, P. J., E-mail: PJFimognari@XanthoTechnologies.com; Crowley, T. P.; Demers, D. R.

    2016-11-15

    In an axisymmetric plasma, the conservation of canonical angular momentum constrains heavy ion beam probe (HIBP) trajectories such that measurement of the toroidal velocity component of secondary ions provides a localized determination of the poloidal flux at the volume where they originated. We have developed a prototype detector which is designed to determine the beam angle in one dimension through the detection of ion current landing on two parallel planes of detecting elements. A set of apertures creates a pattern of ion current on wires in the first plane and solid metal plates behind them; the relative amounts detected bymore » the wires and plates determine the angle which beam ions enter the detector, which is used to infer the toroidal velocity component. The design evolved from a series of simulations within which we modeled ion beam velocity changes due to equilibrium and fluctuating magnetic fields, along with the ion beam profile and velocity dispersion, and studied how these and characteristics such as the size, cross section, and spacing of the detector elements affect performance.« less

  2. Compositionally-graded silicon-copper helical arrays as anodes for lithium-ion batteries

    NASA Astrophysics Data System (ADS)

    Polat, Deniz B.; Keles, Ozgul; Amine, Khalil

    2016-02-01

    Restrictions in silicon based anodes have been the subject of many researches for years. As an innovative approach, we have adopted ion assisted deposition technique to glancing angle deposition method and have used compositionally-graded structuring. A unique helical shaped gradient film has been produced in which the Cu/Si atomic ratio decreases from the bottom to the top of the coating. With such a unique film (high surface area) more spaces have been created promoting mechanical integrity and reaction between active materials (silicon) with lithium ions. The highly adherent film is formed as a result of ion assisted deposition process and the gradual change in Cu/Si atomic ratio diverts stress through the helices. To compare the performance of the SiCu electrode, a pure Si film is deposited in the same experimental condition. Galvanostatic test results show that although the film with pure Si helices fails after 30th cycles, the compositionally graded anode exhibits a capacity of 1228 mAh g-1 at the 100th cycles with 99.5% coulombic efficiencies when cycled at 100 mA g-1, and delivers 815 mAh g-1 when cycled with a rate of 400 mA g-1.

  3. Low leakage current gate dielectrics prepared by ion beam assisted deposition for organic thin film transistors

    NASA Astrophysics Data System (ADS)

    Kim, Chang Su; Jo, Sung Jin; Kim, Jong Bok; Ryu, Seung Yoon; Noh, Joo Hyon; Baik, Hong Koo; Lee, Se Jong; Kim, Youn Sang

    2007-12-01

    This communication reports on the fabrication of low operating voltage pentacene thin-film transistors with high-k gate dielectrics by ion beam assisted deposition (IBAD). These densely packed dielectric layers by IBAD show a much lower level of leakage current than those created by e-beam evaporation. These results, from the fact that those thin films deposited with low adatom mobility, have an open structure, consisting of spherical grains with pores in between, that acts as a significant path for leakage current. By contrast, our results demonstrate the potential to limit this leakage. The field effect mobility, on/off current ratio, and subthreshold slope obtained from pentacene thin-film transistors (TFTs) were 1.14 cm2/V s, 105, and 0.41 V/dec, respectively. Thus, the high-k gate dielectrics obtained by IBAD show promise in realizing low leakage current, low voltage, and high mobility pentacene TFTs.

  4. A specialized bioengineering ion beam line

    NASA Astrophysics Data System (ADS)

    Yu, L. D.; Sangyuenyongpipat, S.; Sriprom, C.; Thongleurm, C.; Suwanksum, R.; Tondee, N.; Prakrajang, K.; Vilaithong, T.; Brown, I. G.; Wiedemann, H.

    2007-04-01

    A specialized bioengineering ion beam line has recently been completed at Chiang Mai University to meet rapidly growing needs of research and application development in low-energy ion beam biotechnology. This beam line possesses special features: vertical main beam line, low-energy (30 keV) ion beams, double swerve of the beam, a fast pumped target chamber, and an in-situ atomic force microscope (AFM) system chamber. The whole beam line is situated in a bioclean environment, occupying two stories. The quality of the ion beam has been studied. It has proved that this beam line has significantly contributed to our research work on low-energy ion beam biotechnology.

  5. Ion beam and dual ion beam sputter deposition of tantalum oxide films

    NASA Astrophysics Data System (ADS)

    Cevro, Mirza; Carter, George

    1994-11-01

    Ion beam sputter deposition (IBS) and dual ion beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. Optical properties ie refractive index and extinction coefficient of IBS films were determined in the 250 - 1100 nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n equals 2.06 at (lambda) equals 550 nm. Films deposited using DIBS ie deposition assisted by low energy Ar and O2 ions (Ea equals 0 - 300 eV) and low current density (Ji equals 0 - 40 (mu) A/cm2) showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy while composition of the film and contaminants were determined by Rutherford scattering spectroscopy. Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target while assisted deposition slightly increased the Ar content. Stress in the IBS deposited films was measured by the bending technique. IBS deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals 35 (mu) A/cm2. All

  6. Ion-beam and dual-ion-beam sputter deposition of tantalum oxide films

    NASA Astrophysics Data System (ADS)

    Cevro, Mirza; Carter, George

    1995-02-01

    Ion-beam sputter deposition (IBS) and dual-ion-beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. The optical properties, i.e., refractive index and extinction coefficient, of IBS films were determined in the 250- to 1100-nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n 2.06 at (lambda) equals 550 nm. Films deposited using DIBS, i.e., deposition assisted by low energy Ar and O2 ions (Ea equals 0 to 300 eV) and low current density (Ji equals 0 to 40 (mu) A/cm2), showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy, whereas composition of the film and contaminants were determined by Rutherford backscattering spectroscopy (RBS). Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target whereas assisted deposition slightly increased the Ar content. Stress in the IBS-deposited films was measured by the bending technique. IBS-deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals

  7. Electron beam ion source and electron beam ion trap (invited).

    PubMed

    Becker, Reinard; Kester, Oliver

    2010-02-01

    The electron beam ion source (EBIS) and its trap variant [electron beam ion trap (EBIT)] celebrated their 40th and 20th anniversary, respectively, at the EBIS/T Symposium 2007 in Heidelberg. These technologically challenging sources of highly charged ions have seen a broad development in many countries over the last decades. In contrast to most other ion sources the recipe of improvement was not "sorcery" but a clear understanding of the physical laws and obeying the technological constraints. This review will report important achievements of the past as well as promising developments in the future.

  8. Microscopic morphology evolution during ion beam smoothing of Zerodur® surfaces.

    PubMed

    Liao, Wenlin; Dai, Yifan; Xie, Xuhui; Zhou, Lin

    2014-01-13

    Ion sputtering of Zerodur material often results in the formation of nanoscale microstructures on the surfaces, which seriously influences optical surface quality. In this paper, we describe the microscopic morphology evolution during ion sputtering of Zerodur surfaces through experimental researches and theoretical analysis, which shows that preferential sputtering together with curvature-dependent sputtering overcomes ion-induced smoothing mechanisms leading to granular nanopatterns formation in morphology and the coarsening of the surface. Consequently, we propose a new method for ion beam smoothing (IBS) of Zerodur optics assisted by deterministic ion beam material adding (IBA) technology. With this method, Zerodur optics with surface roughness down to 0.15 nm root mean square (RMS) level is obtained through the experimental investigation, which demonstrates the feasibility of our proposed method.

  9. Dual-ion-beam deposition of carbon films with diamond-like properties

    NASA Technical Reports Server (NTRS)

    Mirtich, M. J.; Swec, D. M.; Angus, J. C.

    1985-01-01

    A single and dual ion beam system was used to generate amorphous carbon films with diamond like properties. A methane/argon mixture at a molar ratio of 0.28 was ionized in the low pressure discharge chamber of a 30-cm-diameter ion source. A second ion source, 8 cm in diameter was used to direct a beam of 600 eV Argon ions on the substrates (fused silica or silicon) while the deposition from the 30-cm ion source was taking place. Nuclear reaction and combustion analysis indicate H/C ratios for the films to be 1.00. This high value of H/C, it is felt, allowed the films to have good transmittance. The films were impervious to reagents which dissolve graphitic and polymeric carbon structures. Although the measured density of the films was approximately 1.8 gm/cu cm, a value lower than diamond, the films exhibited other properties that were relatively close to diamond. These films were compared with diamond like films generated by sputtering a graphite target.

  10. Internal energy deposition with silicon nanoparticle-assisted laser desorption/ionization (SPALDI) mass spectrometry

    NASA Astrophysics Data System (ADS)

    Dagan, Shai; Hua, Yimin; Boday, Dylan J.; Somogyi, Arpad; Wysocki, Ronald J.; Wysocki, Vicki H.

    2009-06-01

    The use of silicon nanoparticles for laser desorption/ionization (LDI) is a new appealing matrix-less approach for the selective and sensitive mass spectrometry of small molecules in MALDI instruments. Chemically modified silicon nanoparticles (30 nm) were previously found to require very low laser fluence in order to induce efficient LDI, which raised the question of internal energy deposition processes in that system. Here we report a comparative study of internal energy deposition from silicon nanoparticles to previously explored benzylpyridinium (BP) model compounds during LDI experiments. The internal energy deposition in silicon nanoparticle-assisted laser desorption/ionization (SPALDI) with different fluorinated linear chain modifiers (decyl, hexyl and propyl) was compared to LDI from untreated silicon nanoparticles and from the organic matrix, [alpha]-cyano-4-hydroxycinnamic acid (CHCA). The energy deposition to internal vibrational modes was evaluated by molecular ion survival curves and indicated that the ions produced by SPALDI have an internal energy threshold of 2.8-3.7 eV. This is slightly lower than the internal energy induced using the organic CHCA matrix, with similar molecular survival curves as previously reported for LDI off silicon nanowires. However, the internal energy associated with desorption/ionization from the silicon nanoparticles is significantly lower than that reported for desorption/ionization on silicon (DIOS). The measured survival yields in SPALDI gradually decrease with increasing laser fluence, contrary to reported results for silicon nanowires. The effect of modification of the silicon particle surface with semifluorinated linear chain silanes, including fluorinated decyl (C10), fluorinated hexyl (C6) and fluorinated propyl (C3) was explored too. The internal energy deposited increased with a decrease in the length of the modifier alkyl chain. Unmodified silicon particles exhibited the highest analyte internal energy

  11. A Silicon Nanomembrane Detector for Matrix-Assisted Laser Desorption/Ionization Time-of-Flight Mass Spectrometry (MALDI-TOF MS) of Large Proteins

    PubMed Central

    Park, Jonghoo; Blick, Robert H.

    2013-01-01

    We describe a MALDI-TOF ion detector based on freestanding silicon nanomembrane technology. The detector is tested in a commercial MALDI-TOF mass spectrometer with equimolar mixtures of proteins. The operating principle of the nanomembrane detector is based on phonon-assisted field emission from these silicon nanomembranes, in which impinging ion packets excite electrons in the nanomembrane to higher energy states. Thereby the electrons can overcome the vacuum barrier and escape from the surface of the nanomembrane via field emission. Ion detection is demonstrated of apomyoglobin (16,952 Da), aldolase (39,212 Da), bovine serum albumin (66,430 Da), and their equimolar mixtures. In addition to the three intact ions, a large number of fragment ions are also revealed by the silicon nanomembrane detector, which are not observable with conventional detectors. PMID:24152929

  12. A silicon nanomembrane detector for matrix-assisted laser desorption/ionization time-of-flight mass spectrometry (MALDI-TOF MS) of large proteins.

    PubMed

    Park, Jonghoo; Blick, Robert H

    2013-10-11

    We describe a MALDI-TOF ion detector based on freestanding silicon nanomembrane technology. The detector is tested in a commercial MALDI-TOF mass spectrometer with equimolar mixtures of proteins. The operating principle of the nanomembrane detector is based on phonon-assisted field emission from these silicon nanomembranes, in which impinging ion packets excite electrons in the nanomembrane to higher energy states. Thereby the electrons can overcome the vacuum barrier and escape from the surface of the nanomembrane via field emission. Ion detection is demonstrated of apomyoglobin (16,952 Da), aldolase (39,212 Da), bovine serum albumin (66,430 Da), and their equimolar mixtures. In addition to the three intact ions, a large number of fragment ions are also revealed by the silicon nanomembrane detector, which are not observable with conventional detectors.

  13. High-resolution fluence verification for treatment plan specific QA in ion beam radiotherapy

    NASA Astrophysics Data System (ADS)

    Martišíková, Mária; Brons, Stephan; Hesse, Bernd M.; Jäkel, Oliver

    2013-03-01

    Ion beam radiotherapy exploits the finite range of ion beams and the increased dose deposition of ions toward the end of their range in material. This results in high dose conformation to the target region, which can be further increased using scanning ion beams. The standard method for patient-plan verification in ion beam therapy is ionization chamber dosimetry. The spatial resolution of this method is given by the distance between the chambers (typically 1 cm). However, steep dose gradients created by scanning ion beams call for more information and improved spatial resolution. Here we propose a clinically applicable method, supplementary to standard patient-plan verification. It is based on ion fluence measurements in the entrance region with high spatial resolution in the plane perpendicular to the beam, separately for each energy slice. In this paper the usability of the RID256 L amorphous silicon flat-panel detector for the measurements proposed is demonstrated for carbon ion beams. The detector provides sufficient spatial resolution for this kind of measurement (pixel pitch 0.8 mm). The experiments were performed at the Heidelberg Ion-Beam Therapy Center in Germany. This facility is equipped with a synchrotron capable of accelerating ions from protons up to oxygen to energies between 48 and 430 MeV u-1. Beam application is based on beam scanning technology. The measured signal corresponding to single energy slices was translated to ion fluence on a pixel-by-pixel basis, using calibration, which is dependent on energy and ion type. To quantify the agreement of the fluence distributions measured with those planned, a gamma-index criterion was used. In the patient field investigated excellent agreement was found between the two distributions. At least 95% of the slices contained more than 96% of points agreeing with our criteria. Due to the high spatial resolution, this method is especially valuable for measurements of strongly inhomogeneous fluence

  14. Optimization of ion-atomic beam source for deposition of GaN ultrathin films.

    PubMed

    Mach, Jindřich; Šamořil, Tomáš; Kolíbal, Miroslav; Zlámal, Jakub; Voborny, Stanislav; Bartošík, Miroslav; Šikola, Tomáš

    2014-08-01

    We describe the optimization and application of an ion-atomic beam source for ion-beam-assisted deposition of ultrathin films in ultrahigh vacuum. The device combines an effusion cell and electron-impact ion beam source to produce ultra-low energy (20-200 eV) ion beams and thermal atomic beams simultaneously. The source was equipped with a focusing system of electrostatic electrodes increasing the maximum nitrogen ion current density in the beam of a diameter of ≈15 mm by one order of magnitude (j ≈ 1000 nA/cm(2)). Hence, a successful growth of GaN ultrathin films on Si(111) 7 × 7 substrate surfaces at reasonable times and temperatures significantly lower (RT, 300 °C) than in conventional metalorganic chemical vapor deposition technologies (≈1000 °C) was achieved. The chemical composition of these films was characterized in situ by X-ray Photoelectron Spectroscopy and morphology ex situ using Scanning Electron Microscopy. It has been shown that the morphology of GaN layers strongly depends on the relative Ga-N bond concentration in the layers.

  15. Surface wet-ability modification of thin PECVD silicon nitride layers by 40 keV argon ion treatments

    NASA Astrophysics Data System (ADS)

    Caridi, F.; Picciotto, A.; Vanzetti, L.; Iacob, E.; Scolaro, C.

    2015-10-01

    Measurements of wet-ability of liquid drops have been performed on a 30 nm silicon nitride (Si3N4) film deposited by a PECVD reactor on a silicon wafer and implanted by 40 keV argon ions at different doses. Surface treatments by using Ar ion beams have been employed to modify the wet-ability. The chemical composition of the first Si3N4 monolayer was investigated by means of X-ray Photoelectron Spectroscopy (XPS). The surface morphology was tested by Atomic Force Microscopy (AFM). Results put in evidence the best implantation conditions for silicon nitride to increase or to reduce the wet-ability of the biological liquid. This permits to improve the biocompatibility and functionality of Si3N4. In particular experimental results show that argon ion bombardment increases the contact angle, enhances the oxygen content and increases the surface roughness.

  16. Epitaxial pentacene films grown on the surface of ion-beam-processed gate dielectric layer

    NASA Astrophysics Data System (ADS)

    Chou, W. Y.; Kuo, C. W.; Cheng, H. L.; Mai, Y. S.; Tang, F. C.; Lin, S. T.; Yeh, C. Y.; Horng, J. B.; Chia, C. T.; Liao, C. C.; Shu, D. Y.

    2006-06-01

    The following research describes the process of fabrication of pentacene films with submicron thickness, deposited by thermal evaporation in high vacuum. The films were fabricated with the aforementioned conditions and their characteristics were analyzed using x-ray diffraction, scanning electron microscopy, polarized Raman spectroscopy, and photoluminescence. Organic thin-film transistors (OTFTs) were fabricated on an indium tin oxide coated glass substrate, using an active layer of ordered pentacene molecules, which were grown at room temperature. Pentacene film was aligned using the ion-beam aligned method, which is typically employed to align liquid crystals. Electrical measurements taken on a thin-film transistor indicated an increase in the saturation current by a factor of 15. Pentacene-based OTFTs with argon ion-beam-processed gate dielectric layers of silicon dioxide, in which the direction of the ion beam was perpendicular to the current flow, exhibited a mobility that was up to an order of magnitude greater than that of the controlled device without ion-beam process; current on/off ratios of approximately 106 were obtained. Polarized Raman spectroscopy investigation indicated that the surface of the gate dielectric layer, treated with argon ion beam, enhanced the intermolecular coupling of pentacene molecules. The study also proposes the explanation for the mechanism of carrier transportation in pentacene films.

  17. Correction factors to convert microdosimetry measurements in silicon to tissue in 12C ion therapy.

    PubMed

    Bolst, David; Guatelli, Susanna; Tran, Linh T; Chartier, Lachlan; Lerch, Michael L F; Matsufuji, Naruhiro; Rosenfeld, Anatoly B

    2017-03-21

    Silicon microdosimetry is a promising technology for heavy ion therapy (HIT) quality assurance, because of its sub-mm spatial resolution and capability to determine radiation effects at a cellular level in a mixed radiation field. A drawback of silicon is not being tissue-equivalent, thus the need to convert the detector response obtained in silicon to tissue. This paper presents a method for converting silicon microdosimetric spectra to tissue for a therapeutic 12 C beam, based on Monte Carlo simulations. The energy deposition spectra in a 10 μm sized silicon cylindrical sensitive volume (SV) were found to be equivalent to those measured in a tissue SV, with the same shape, but with dimensions scaled by a factor κ equal to 0.57 and 0.54 for muscle and water, respectively. A low energy correction factor was determined to account for the enhanced response in silicon at low energy depositions, produced by electrons. The concept of the mean path length [Formula: see text] to calculate the lineal energy was introduced as an alternative to the mean chord length [Formula: see text] because it was found that adopting Cauchy's formula for the [Formula: see text] was not appropriate for the radiation field typical of HIT as it is very directional. [Formula: see text] can be determined based on the peak of the lineal energy distribution produced by the incident carbon beam. Furthermore it was demonstrated that the thickness of the SV along the direction of the incident 12 C ion beam can be adopted as [Formula: see text]. The tissue equivalence conversion method and [Formula: see text] were adopted to determine the RBE 10 , calculated using a modified microdosimetric kinetic model, applied to the microdosimetric spectra resulting from the simulation study. Comparison of the RBE 10 along the Bragg peak to experimental TEPC measurements at HIMAC, NIRS, showed good agreement. Such agreement demonstrates the validity of the developed tissue equivalence correction factors and of

  18. Correction factors to convert microdosimetry measurements in silicon to tissue in 12C ion therapy

    NASA Astrophysics Data System (ADS)

    Bolst, David; Guatelli, Susanna; Tran, Linh T.; Chartier, Lachlan; Lerch, Michael L. F.; Matsufuji, Naruhiro; Rosenfeld, Anatoly B.

    2017-03-01

    Silicon microdosimetry is a promising technology for heavy ion therapy (HIT) quality assurance, because of its sub-mm spatial resolution and capability to determine radiation effects at a cellular level in a mixed radiation field. A drawback of silicon is not being tissue-equivalent, thus the need to convert the detector response obtained in silicon to tissue. This paper presents a method for converting silicon microdosimetric spectra to tissue for a therapeutic 12C beam, based on Monte Carlo simulations. The energy deposition spectra in a 10 μm sized silicon cylindrical sensitive volume (SV) were found to be equivalent to those measured in a tissue SV, with the same shape, but with dimensions scaled by a factor κ equal to 0.57 and 0.54 for muscle and water, respectively. A low energy correction factor was determined to account for the enhanced response in silicon at low energy depositions, produced by electrons. The concept of the mean path length < {{l}\\text{Path}}> to calculate the lineal energy was introduced as an alternative to the mean chord length < l> because it was found that adopting Cauchy’s formula for the < l> was not appropriate for the radiation field typical of HIT as it is very directional. < {{l}\\text{Path}}> can be determined based on the peak of the lineal energy distribution produced by the incident carbon beam. Furthermore it was demonstrated that the thickness of the SV along the direction of the incident 12C ion beam can be adopted as < {{l}\\text{Path}}> . The tissue equivalence conversion method and < {{l}\\text{Path}}> were adopted to determine the RBE10, calculated using a modified microdosimetric kinetic model, applied to the microdosimetric spectra resulting from the simulation study. Comparison of the RBE10 along the Bragg peak to experimental TEPC measurements at HIMAC, NIRS, showed good agreement. Such agreement demonstrates the validity of the developed tissue equivalence correction factors and of the determination of < {{l}\\text{Path}}> .

  19. Modeling of intense pulsed ion beam heated masked targets for extreme materials characterization

    NASA Astrophysics Data System (ADS)

    Barnard, John J.; Schenkel, Thomas

    2017-11-01

    Intense, pulsed ion beams locally heat materials and deliver dense electronic excitations that can induce material modifications and phase transitions. Material properties can potentially be stabilized by rapid quenching. Pulsed ion beams with pulse lengths of order ns have recently become available for materials processing. Here, we optimize mask geometries for local modification of materials by intense ion pulses. The goal is to rapidly excite targets volumetrically to the point where a phase transition or local lattice reconstruction is induced followed by rapid cooling that stabilizes desired material's properties fast enough before the target is altered or damaged by, e.g., hydrodynamic expansion. By using a mask, the longitudinal dimension can be large compared to the transverse dimension, allowing the possibility of rapid transverse cooling. We performed HYDRA simulations that calculate peak temperatures for a series of excitation conditions and cooling rates of silicon targets with micro-structured masks and compare these to a simple analytical model. The model gives scaling laws that can guide the design of targets over a wide range of pulsed ion beam parameters.

  20. Low energy ion beam dynamics of NANOGAN ECR ion source

    NASA Astrophysics Data System (ADS)

    Kumar, Sarvesh; Mandal, A.

    2016-04-01

    A new low energy ion beam facility (LEIBF) has been developed for providing the mass analyzed highly charged intense ion beams of energy ranging from a few tens of keV to a few MeV for atomic, molecular and materials sciences research. The new facility consists of an all permanent magnet 10 GHz electron cyclotron resonance (ECR) ion source (NANOGAN) installed on a high voltage platform (400 kV) which provides large currents of multiply charged ion beams. Higher emittance at low energy of intense ion beam puts a tremendous challenge to the beam optical design of this facility. The beam line consists of mainly the electrostatic quadrupoles, an accelerating section, analyzing cum switching magnet and suitable beam diagnostics including vacuum components. The accelerated ion beam is analyzed for a particular mass to charge (m/q) ratio as well as guided to three different lines along 75°, 90° and 105° using a large acceptance analyzing cum switching magnet. The details of transverse beam optics to all the beam lines with TRANSPORT and GICOSY beam optics codes are being described. Field computation code, OPERA 3D has been utilized to design the magnets and electrostatic quadrupoles. A theoretical estimation of emittance for optimized geometry of ion source is given so as to form the basis of beam optics calculations. The method of quadrupole scan of the beam is used to characterize the emittance of the final beam on the target. The measured beam emittance increases with m/q ratios of various ion beams similar to the trend observed theoretically.

  1. The use of an ion-beam source to alter the surface morphology of biological implant materials

    NASA Technical Reports Server (NTRS)

    Weigand, A. J.

    1978-01-01

    An electron-bombardment ion-thruster was used as a neutralized-ion-beam sputtering source to texture the surfaces of biological implant materials. The materials investigated included 316 stainless steel; titanium-6% aluminum, 4% vanadium; cobalt-20% chromium, 15% tungsten; cobalt-35% nickel, 20% chromium, 10% molybdenum; polytetrafluoroethylene; polyoxymethylene; silicone and polyurethane copolymer; 32%-carbon-impregnated polyolefin; segmented polyurethane; silicone rubber; and alumina. Scanning electron microscopy was used to determine surface morphology changes of all materials after ion-texturing. Electron spectroscopy for chemical analysis was used to determine the effects of ion-texturing on the surface chemical composition of some polymers. Liquid contact angle data were obtained for ion-textured and untextured polymer samples. Results of tensile and fatigue tests of ion-textured metal alloys are presented. Preliminary data of tissue response to ion-textured surfaces of some metals, polytetrafluoroethylene, alumina, and segmented polyurethane have been obtained.

  2. Evaluation and utilization of beam simulation codes for the SNS ion source and low energy beam transport developmenta)

    NASA Astrophysics Data System (ADS)

    Han, B. X.; Welton, R. F.; Stockli, M. P.; Luciano, N. P.; Carmichael, J. R.

    2008-02-01

    Beam simulation codes PBGUNS, SIMION, and LORENTZ-3D were evaluated by modeling the well-diagnosed SNS base line ion source and low energy beam transport (LEBT) system. Then, an investigation was conducted using these codes to assist our ion source and LEBT development effort which is directed at meeting the SNS operational and also the power-upgrade project goals. A high-efficiency H- extraction system as well as magnetic and electrostatic LEBT configurations capable of transporting up to 100mA is studied using these simulation tools.

  3. Beam test of CSES silicon strip detector module

    NASA Astrophysics Data System (ADS)

    Zhang, Da-Li; Lu, Hong; Wang, Huan-Yu; Li, Xin-Qiao; Xu, Yan-Bing; An, Zheng-Hua; Yu, Xiao-xia; Wang, Hui; Shi, Feng; Wang, Ping; Zhao, Xiao-Yun

    2017-05-01

    The silicon-strip tracker of the China Seismo-Electromagnetic Satellite (CSES) consists of two double-sided silicon strip detectors (DSSDs) which provide incident particle tracking information. A low-noise analog ASIC VA140 was used in this study for DSSD signal readout. A beam test on the DSSD module was performed at the Beijing Test Beam Facility of the Beijing Electron Positron Collider (BEPC) using a 400-800 MeV/c proton beam. The pedestal analysis results, RMSE noise, gain correction, and intensity distribution of incident particles of the DSSD module are presented. Supported by the XXX Civil Space Programme

  4. Customized atomic force microscopy probe by focused-ion-beam-assisted tip transfer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Andrew; Butte, Manish J., E-mail: manish.butte@stanford.edu

    2014-08-04

    We present a technique for transferring separately fabricated tips onto tipless atomic force microscopy (AFM) cantilevers, performed using focused ion beam-assisted nanomanipulation. This method addresses the need in scanning probe microscopy for certain tip geometries that cannot be achieved by conventional lithography. For example, in probing complex layered materials or tall biological cells using AFM, a tall tip with a high-aspect-ratio is required to avoid artifacts caused by collisions of the tip's sides with the material being probed. We show experimentally that tall (18 μm) cantilever tips fabricated by this approach reduce squeeze-film damping, which fits predictions from hydrodynamic theory, andmore » results in an increased quality factor (Q) of the fundamental flexural mode. We demonstrate that a customized tip's well-defined geometry, tall tip height, and aspect ratio enable improved measurement of elastic moduli by allowing access to low-laying portions of tall cells (T lymphocytes). This technique can be generally used to attach tips to any micromechanical device when conventional lithography of tips cannot be accomplished.« less

  5. Ion beam accelerator system

    NASA Technical Reports Server (NTRS)

    Aston, G. (Inventor)

    1981-01-01

    A system is described that combines geometrical and electrostatic focusing to provide high ion extraction efficiency and good focusing of an accelerated ion beam. The apparatus includes a pair of curved extraction grids with multiple pairs of aligned holes positioned to direct a group of beamlets along converging paths. The extraction grids are closely spaced and maintained at a moderate potential to efficiently extract beamlets of ions and allow them to combine into a single beam. An accelerator electrode device downstream from the extraction grids is at a much lower potential than the grids to accelerate the combined beam. The application of the system to ion implantation is mentioned.

  6. Development and Commissioning of an External Beam Facility in the Union College Ion Beam Analysis Laboratory

    NASA Astrophysics Data System (ADS)

    Yoskowitz, Joshua; Clark, Morgan; Labrake, Scott; Vineyard, Michael

    2015-10-01

    We have developed an external beam facility for the 1.1-MV tandem Pelletron accelerator in the Union College Ion Beam Analysis Laboratory. The beam is extracted from an aluminum pipe through a 1 / 4 ' ' diameter window with a 7.5- μm thick Kapton foil. This external beam facility allows us to perform ion beam analysis on samples that cannot be put under vacuum, including wet samples and samples too large to fit into the scattering chamber. We have commissioned the new facility by performing proton induced X-ray emission (PIXE) analysis of several samples of environmental interest. These include samples of artificial turf, running tracks, and a human tooth with an amalgam filling. A 1.7-MeV external proton beam was incident on the samples positioned 2 cm from the window. The resulting X-rays were measured using a silicon drift detector and were analyzed using GUPIX software to determine the concentrations of elements in the samples. The results on the human tooth indicate that while significant concentrations of Hg, Ag, and Sn are present in the amalgam filling, only trace amounts of Hg appear to have leached into the tooth. The artificial turf and running tracks show rather large concentrations of a broad range of elements and trace amounts of Pb in the turf infill.

  7. Ion-beam assisted laser printing of porous nanorings

    NASA Astrophysics Data System (ADS)

    Syubaev, S.; Kuchmizhak, A.; Nepomnyashchiy, A.

    2017-09-01

    Pulsed-laser fabrication of noble-metal nanorings with a tunable internal porous structure, which can be further uncapped by using an ion-beam etching procedure, was demonstrated for the first time. Density and average size of the pores were shown to be tuned in a wide range by varying an applied pulse energy and a chemical composition of the metal film controlled via the film magnetron deposition in the appropriate gaseous environment. According to our preliminary numerical simulations, the controlled porosity provides multifold near-field enhancement of the electromagnetic fields, making such structures promising for spectroscopic bioidentification based on a surface-enhanced Raman scattering.

  8. Ion beam deposition of amorphous carbon films with diamond like properties

    NASA Technical Reports Server (NTRS)

    Angus, John C.; Mirtich, Michael J.; Wintucky, Edwin G.

    1982-01-01

    Carbon films were deposited on silicon, quartz, and potassium bromide substrates from an ion beam. Growth rates were approximately 0.3 micron/hour. The films were featureless and amorphous and contained only carbon and hydrogen in significant amounts. The density and carbon/hydrogen ratio indicate the film is a hydrogen deficient polymer. One possible structure, consistent with the data, is a random network of methylene linkages and tetrahedrally coordinated carbon atoms.

  9. Lithium-assisted electrochemical welding in silicon nanowire battery electrodes.

    PubMed

    Karki, Khim; Epstein, Eric; Cho, Jeong-Hyun; Jia, Zheng; Li, Teng; Picraux, S Tom; Wang, Chunsheng; Cumings, John

    2012-03-14

    From in situ transmission electron microscopy (TEM) observations, we present direct evidence of lithium-assisted welding between physically contacted silicon nanowires (SiNWs) induced by electrochemical lithiation and delithiation. This electrochemical weld between two SiNWs demonstrates facile transport of lithium ions and electrons across the interface. From our in situ observations, we estimate the shear strength of the welded region after delithiation to be approximately 200 MPa, indicating that a strong bond is formed at the junction of two SiNWs. This welding phenomenon could help address the issue of capacity fade in nanostructured silicon battery electrodes, which is typically caused by fracture and detachment of active materials from the current collector. The process could provide for more robust battery performance either through self-healing of fractured components that remain in contact or through the formation of a multiconnected network architecture. © 2012 American Chemical Society

  10. Focused electron and ion beam systems

    DOEpatents

    Leung, Ka-Ngo; Reijonen, Jani; Persaud, Arun; Ji, Qing; Jiang, Ximan

    2004-07-27

    An electron beam system is based on a plasma generator in a plasma ion source with an accelerator column. The electrons are extracted from a plasma cathode in a plasma ion source, e.g. a multicusp plasma ion source. The beam can be scanned in both the x and y directions, and the system can be operated with multiple beamlets. A compact focused ion or electron beam system has a plasma ion source and an all-electrostatic beam acceleration and focusing column. The ion source is a small chamber with the plasma produced by radio-frequency (RF) induction discharge. The RF antenna is wound outside the chamber and connected to an RF supply. Ions or electrons can be extracted from the source. A multi-beam system has several sources of different species and an electron beam source.

  11. Intense ion beam generator

    DOEpatents

    Humphries, Jr., Stanley; Sudan, Ravindra N.

    1977-08-30

    Methods and apparatus for producing intense megavolt ion beams are disclosed. In one embodiment, a reflex triode-type pulsed ion accelerator is described which produces ion pulses of more than 5 kiloamperes current with a peak energy of 3 MeV. In other embodiments, the device is constructed so as to focus the beam of ions for high concentration and ease of extraction, and magnetic insulation is provided to increase the efficiency of operation.

  12. Practical layer designs for polarizing beam-splitter cubes.

    PubMed

    von Blanckenhagen, Bernhard

    2006-03-01

    Liquid-crystal-on-silicon- (LCoS-) based digital projection systems require high-performance polarizing beam splitters. The classical beam-splitter cube with an immersed interference coating can fulfill these requirements. Practical layer designs can be generated by computer optimization using the classic MacNeille polarizer layer design as the starting layer design. Multilayer structures with 100 nm bandwidth covering the blue, green, or red spectral region and one design covering the whole visible spectral region are designed. In a second step these designs are realized by using plasma-ion-assisted deposition. The performance of the practical beam-splitter cubes is compared with the theoretical performance of the layer designs.

  13. Focused ion beam system

    DOEpatents

    Leung, Ka-Ngo; Gough, Richard A.; Ji, Qing; Lee, Yung-Hee Yvette

    1999-01-01

    A focused ion beam (FIB) system produces a final beam spot size down to 0.1 .mu.m or less and an ion beam output current on the order of microamps. The FIB system increases ion source brightness by properly configuring the first (plasma) and second (extraction) electrodes. The first electrode is configured to have a high aperture diameter to electrode thickness aspect ratio. Additional accelerator and focusing electrodes are used to produce the final beam. As few as five electrodes can be used, providing a very compact FIB system with a length down to only 20 mm. Multibeamlet arrangements with a single ion source can be produced to increase throughput. The FIB system can be used for nanolithography and doping applications for fabrication of semiconductor devices with minimum feature sizes of 0.1 .mu.m or less.

  14. Focused ion beam system

    DOEpatents

    Leung, K.; Gough, R.A.; Ji, Q.; Lee, Y.Y.

    1999-08-31

    A focused ion beam (FIB) system produces a final beam spot size down to 0.1 {mu}m or less and an ion beam output current on the order of microamps. The FIB system increases ion source brightness by properly configuring the first (plasma) and second (extraction) electrodes. The first electrode is configured to have a high aperture diameter to electrode thickness aspect ratio. Additional accelerator and focusing electrodes are used to produce the final beam. As few as five electrodes can be used, providing a very compact FIB system with a length down to only 20 mm. Multibeamlet arrangements with a single ion source can be produced to increase throughput. The FIB system can be used for nanolithography and doping applications for fabrication of semiconductor devices with minimum feature sizes of 0.1 m or less. 13 figs.

  15. Scalable synthesis of nano-silicon from beach sand for long cycle life Li-ion batteries.

    PubMed

    Favors, Zachary; Wang, Wei; Bay, Hamed Hosseini; Mutlu, Zafer; Ahmed, Kazi; Liu, Chueh; Ozkan, Mihrimah; Ozkan, Cengiz S

    2014-07-08

    Herein, porous nano-silicon has been synthesized via a highly scalable heat scavenger-assisted magnesiothermic reduction of beach sand. This environmentally benign, highly abundant, and low cost SiO₂ source allows for production of nano-silicon at the industry level with excellent electrochemical performance as an anode material for Li-ion batteries. The addition of NaCl, as an effective heat scavenger for the highly exothermic magnesium reduction process, promotes the formation of an interconnected 3D network of nano-silicon with a thickness of 8-10 nm. Carbon coated nano-silicon electrodes achieve remarkable electrochemical performance with a capacity of 1024 mAhg(-1) at 2 Ag(-1) after 1000 cycles.

  16. Ion transport by gating voltage to nanopores produced via metal-assisted chemical etching method

    NASA Astrophysics Data System (ADS)

    Van Toan, Nguyen; Inomata, Naoki; Toda, Masaya; Ono, Takahito

    2018-05-01

    In this work, we report a simple and low-cost way to create nanopores that can be employed for various applications in nanofluidics. Nano sized Ag particles in the range from 1 to 20 nm are formed on a silicon substrate with a de-wetting method. Then the silicon nanopores with an approximate 15 nm average diameter and 200 μm height are successfully produced by the metal-assisted chemical etching method. In addition, electrically driven ion transport in the nanopores is demonstrated for nanofluidic applications. Ion transport through the nanopores is observed and could be controlled by an application of a gating voltage to the nanopores.

  17. Ion transport by gating voltage to nanopores produced via metal-assisted chemical etching method.

    PubMed

    Van Toan, Nguyen; Inomata, Naoki; Toda, Masaya; Ono, Takahito

    2018-05-11

    In this work, we report a simple and low-cost way to create nanopores that can be employed for various applications in nanofluidics. Nano sized Ag particles in the range from 1 to 20 nm are formed on a silicon substrate with a de-wetting method. Then the silicon nanopores with an approximate 15 nm average diameter and 200 μm height are successfully produced by the metal-assisted chemical etching method. In addition, electrically driven ion transport in the nanopores is demonstrated for nanofluidic applications. Ion transport through the nanopores is observed and could be controlled by an application of a gating voltage to the nanopores.

  18. Focused ion beam assisted three-dimensional rock imaging at submicron scale

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tomutsa, Liviu; Radmilovic, Velimir

    2003-05-09

    Computation of effective flow properties of fluids in porous media based on three dimensional (3D) pore structure information has become more successful in the last few years, due to both improvements in the input data and the network models. Computed X-ray microtomography has been successful in 3D pore imaging at micron scale, which is adequate for many sandstones. For other rocks of economic interest, such as chalk and diatomite, submicron resolution is needed in order to resolve the 3D-pore structure. To achieve submicron resolution, a new method of sample serial sectioning and imaging using Focused Ion Beam (FIB) technology hasmore » been developed and 3D pore images of the pore system for diatomite and chalk have been obtained. FIB was used in the milling of layers as wide as 50 micrometers and as thin as 100 nanometers by sputtering of atoms from the sample surface. The focused ion beam, consisting of gallium ions (Ga+) accelerated by potentials of up to 30 kV and currents up to 20,000 pA, yields very clean, flat surfaces in which the pore-grain boundaries appear in high contrast. No distortion of the pore boundaries due to the ion milling is apparent. After each milling step, as a new surface is exposed, an image of the surface is generated. Using secondary electrons or ions, resolutions as high as 10 nm can be obtained. Afterwards, the series of 2D images can be stacked in the computer and, using appropriate interpolation and surface rendering algorithms, the 3D pore structure is reconstructed.« less

  19. Theoretical and experimental comparison of proton and helium-beam radiography using silicon pixel detectors

    NASA Astrophysics Data System (ADS)

    Gehrke, T.; Amato, C.; Berke, S.; Martišíková, M.

    2018-02-01

    Ion-beam radiography (iRAD) could potentially improve the quality control of ion-beam therapy. The main advantage of iRAD is the possibility to directly measure the integrated stopping power. Until now there is no clinical implementation of iRAD. Topics of ongoing research include developing dedicated detection systems to achieve the desired spatial resolution (SR) and investigating different ion types as imaging radiation. This work focuses on the theoretical and experimental comparison of proton (pRAD) and helium-beam radiography (αRAD). The experimental comparison was performed with an in-house developed detection system consisting of silicon pixel detectors. This system enables the measurement of energy deposition of single ions, their tracking, and the identification of the ion type, which is important for αRAD due to secondary fragments. A 161 mm-thick PMMA phantom with an air gap of 1 mm placed at different depths was imaged with a 168 MeV u-1 proton/helium-ion beam at the Heidelberg ion-beam therapy center. The image quality in terms of SR and contrast-to-noise ratio (CNR) was evaluated. After validating MC simulations against experiments, pRAD and αRAD were compared to carbon-beam radiography (cRAD) in simulations. The theoretical prediction that the CNR of pRAD and αRAD is equal at similar imaging doses was experimentally confirmed. The measured SR of αRAD was 55% better compared to pRAD. The simulated cRads showed the expected improvement in SR and the decreased CNR at the same dose compared to the αRads, however only at dose levels exceeding typical doses of diagnostic x-ray projections. For clinically applicable dose levels, the cRads suffered from an insufficient number of carbon ions per pixel (220 μm  ×  220 μm). In conclusion, it was theoretically and experimentally shown that αRAD provides a better SR than pRAD without any disadvantages concerning the CNR. Using carbon ions instead of helium ions leads to a better SR at the

  20. Porous Silicon as Anode Material for Lithium-Ion Batteries

    NASA Astrophysics Data System (ADS)

    Thakur, Madhuri; Pernites, Roderick; Sinsabaugh, Steve L.; Wong, Michael S.; Biswal, Sibani L.

    Lithium-ion batteries are ubiquitous in our modern society, powering everything from cell phones, laptops, and power tools.They are also powering emerging applications such as electric vehicles and used for on-grid power stabilization. Lithium-ion batteries are a significant and growing part of this market due to their high specific energy. The worldwide market for lithium-ion batteries is projected to reach more than USD 9 billion by 2015. While lithium-ion batteries are often selected for their high specific energy, the market is demanding yet higher performance, usually in terms of energy stored per unit mass of battery. Many groups have recently turned their attention toward developing a silicon-based anode material to increase lithium-ion battery density. Silicon continues to draw great interest as an anode for lithium-ion batteries due to its large specific capacity as compared to the conventional graphite. Despite this exciting property, its practical use has been limited due to a large volume change associated with the insertion and extraction of lithium, which oftentimes leads to cracking and pulverization of the anode, limiting its cycle life. To overcome this problem, significant research has been focused toward developing various silicon nanostructures to accommodate the severe volume expansion and contraction. The structuring of the silicon often involves costly processing steps, limiting its application in price sensitive commercial lithium-ion batteries. To achieve commercial viability, work is being pursued on silicon battery anode structures and processes with a special emphasis on the cost and environment. In this review book chapter, we will summarize recent development of a cost-effective electrochemically etched porous silicon as an anode material for lithium-ion batteries. Briefly, the new approach involves creating hierarchical micron-and nanometer-sized pores on the surface of micron-sized silicon particulates, which are combined with an

  1. Ion beam sputtering of fluoropolymers

    NASA Technical Reports Server (NTRS)

    Sovey, J. S.

    1978-01-01

    Etching and deposition of fluoropolymers are of considerable industrial interest for applications dealing with adhesion, chemical inertness, hydrophobicity, and dielectric properties. This paper describes ion beam sputter processing rates as well as pertinent characteristics of etched targets and films. An argon ion beam source was used to sputter etch and deposit the fluoropolymers PTFE, FEP, and CTFE. Ion beam energy, current density, and target temperature were varied to examine effects on etch and deposition rates. The ion etched fluoropolymers yield cone or spire-like surface structures which vary depending upon the type of polymer, ion beam power density, etch time, and target temperature. Also presented are sputter target and film characteristics which were documented by spectral transmittance measurements, X-ray diffraction, ESCA, and SEM photomicrographs.

  2. Evaluation of left ventricular assist device pump bladders cast from ion-sputtered polytetrafluorethylene mandrels

    NASA Technical Reports Server (NTRS)

    1982-01-01

    A highly thromboresistant blood contacting interface for use in implanatable blood pump is investigated. Biomaterials mechanics, dynamics, durability, surface morphology, and chemistry are among the critical consideration pertinent to the choice of an appropriate blood pump bladder material. The use of transfer cast biopolymers from ion beam textured surfaces is investigated to detect subtle variations in blood pump surface morphology using Biomer as the biomaterial of choice. The efficacy of ion beam sputtering as an acceptable method of fabricating textured blood interfaces is evaluated. Aortic grafts and left ventricular assist devices were implanted in claves; the blood interfaces were fabricated by transfer casting methods from ion beam textured polytetrafluorethylene mandrels. The mandrels were textured by superimposing a 15 micron screen mesh; ion sputtering conditions were 300 volts beam energy, 40 to 50 mA beam, and a mandrel to source distance of 25 microns.

  3. Ion energy/momentum effects during ion assisted growth of niobium nitride films

    NASA Astrophysics Data System (ADS)

    Klingenberg, Melissa L.

    The research described herein was performed to better understand and discern ion energy vs. ion momentum effects during ion beam assisted (IBAD) film growth and their effects on residual stress, crystalline structure, morphology, and composition, which influence film tribological properties. NbxN y was chosen for this research because it is a refractory material that can possess a large number of crystalline structures, and it has been found to have good tribological properties. To separate the effects of momentum transfer per arriving atom (p/a), which considers bombarding species mass, energy, and ion-to-atom transport ratio, from those of energy deposition per arriving atom (E/a), a mass independent parameter, different inert ion beams (krypton, argon, and neon) were used to create a matrix of coatings formed using similar energy deposition, but different momentum transfer and vice versa. Deposition was conducted in a research-scale IBAD system using electron beam evaporation, a radio frequency ion source, and a neutral nitrogen gas backfill. Films were characterized using x-ray diffraction, atomic force microscopy, Rutherford backscattering spectrometry, and residual stress analysis. Direct and quantifiable effects of bombardment were observed; however, energy deposition and momentum transfer effects could not be completely separated, confirming that thin film processes are complex. Complexities arose from ion-specific interactions (ion size, recoil energy, per cent reflected neutrals, Penning ionization, etc.) and chemistry effects that are not considered by the simple models. Overall, it can be stated that bombardment promoted nitride formation, nanocrystallinity, and compressive stress formation; influenced morphology (which influenced post-deposition oxygen uptake) and stress evolution; increased lattice parameter; modified crystalline phase and texture; and led to inert gas incorporation. High stress levels correlated strongly with material disorder and

  4. MEMS based ion beams for fusion

    NASA Astrophysics Data System (ADS)

    Persaud, A.; Seidl, P. A.; Ji, Q.; Waldron, W. L.; Schenkel, T.; Ardanuc, S.; Vinayakumar, K. B.; Schaffer, Z. A.; Lal, A.

    2016-10-01

    Micro-Electro-Mechanical Systems (MEMS) fabrication provides an exciting opportunity to shrink existing accelerator concepts to smaller sizes and to reduce cost by orders of magnitude. We revisit the concept of a Multiple Electrostatic Quadrupole Array Linear Accelerator (MEQALAC) and show how, with current technologies, the concept can be downsized from gap distances of several cm to distances in the sub-mm regime. The basic concept implements acceleration gaps using radio frequency (RF) fields and electrostatic quadrupoles (ESQ) on silicon wafers. First results from proof-of-concept experiments using printed circuit boards to realize the MEQALAC structures are presented. We show results from accelerating structures that were used in an array of nine (3x3) parallel beamlets with He ions at 15 keV. We will also present results from an ESQ focusing lattice using the same beamlet layout showing beam transport and matching. We also will discuss our progress in fabricating MEMS devices in silicon wafers for both the RF and ESQ structures and integration of necessary RF-circuits on-chip. The concept can be scaled up to thousands of beamlets providing high power beams at low cost and can be used to form and compress a plasma for the development of magnetized target fusion approaches. This work was supported by the Office of Science of the US Department of Energy through the ARPA-e ALPHA program under contracts DE-AC0205CH11231 (LBNL).

  5. Energy deposition measurements of single 1H, 4He and 12C ions of therapeutic energies in a silicon pixel detector

    NASA Astrophysics Data System (ADS)

    Gehrke, T.; Burigo, L.; Arico, G.; Berke, S.; Jakubek, J.; Turecek, D.; Tessonnier, T.; Mairani, A.; Martišíková, M.

    2017-04-01

    In the field of ion-beam radiotherapy and space applications, measurements of the energy deposition of single ions in thin layers are of interest for dosimetry and imaging. The present work investigates the capability of a pixelated detector Timepix to measure the energy deposition of single ions in therapeutic proton, helium- and carbon-ion beams in a 300 μm-thick sensitive silicon layer. For twelve different incident beams, the measured energy deposition distributions of single ions are compared to the expected energy deposition spectra, which were predicted by detailed Monte Carlo simulations using the FLUKA code. A methodology for the analysis of the measured data is introduced in order to identify and reject signals that are either degraded or caused by multiple overlapping ions. Applying a newly proposed linear recalibration, the energy deposition measurements are in good agreement with the simulations. The twelve measured mean energy depositions between 0.72 MeV/mm and 56.63 MeV/mm in a partially depleted silicon sensor do not deviate more than 7% from the corresponding simulated values. Measurements of energy depositions above 10 MeV/mm with a fully depleted sensor are found to suffer from saturation effects due to the too high per-pixel signal. The utilization of thinner sensors, in which a lower signal is induced, could further improve the performance of the Timepix detector for energy deposition measurements.

  6. Ion beam enhancement in magnetically insulated ion diodes for high-intensity pulsed ion beam generation in non-relativistic mode

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhu, X. P.; Surface Engineering Laboratory, School of Materials Science and Engineering, Dalian University of Technology, Dalian 116024; Zhang, Z. C.

    High-intensity pulsed ion beam (HIPIB) with ion current density above Child-Langmuir limit is achieved by extracting ion beam from anode plasma of ion diodes with suppressing electron flow under magnetic field insulation. It was theoretically estimated that with increasing the magnetic field, a maximal value of ion current density may reach nearly 3 times that of Child-Langmuir limit in a non-relativistic mode and close to 6 times in a highly relativistic mode. In this study, the behavior of ion beam enhancement by magnetic insulation is systematically investigated in three types of magnetically insulated ion diodes (MIDs) with passive anode, takingmore » into account the anode plasma generation process on the anode surface. A maximal enhancement factor higher than 6 over the Child-Langmuir limit can be obtained in the non-relativistic mode with accelerating voltage of 200–300 kV. The MIDs differ in two anode plasma formation mechanisms, i.e., surface flashover of a dielectric coating on the anode and explosive emission of electrons from the anode, as well as in two insulation modes of external-magnetic field and self-magnetic field with either non-closed or closed drift of electrons in the anode-cathode (A-K) gap, respectively. Combined with ion current density measurement, energy density characterization is employed to resolve the spatial distribution of energy density before focusing for exploring the ion beam generation process. Consistent results are obtained on three types of MIDs concerning control of neutralizing electron flows for the space charge of ions where the high ion beam enhancement is determined by effective electron neutralization in the A-K gap, while the HIPIB composition of different ion species downstream from the diode may be considerably affected by the ion beam neutralization during propagation.« less

  7. Ion beam enhancement in magnetically insulated ion diodes for high-intensity pulsed ion beam generation in non-relativistic mode

    NASA Astrophysics Data System (ADS)

    Zhu, X. P.; Zhang, Z. C.; Pushkarev, A. I.; Lei, M. K.

    2016-01-01

    High-intensity pulsed ion beam (HIPIB) with ion current density above Child-Langmuir limit is achieved by extracting ion beam from anode plasma of ion diodes with suppressing electron flow under magnetic field insulation. It was theoretically estimated that with increasing the magnetic field, a maximal value of ion current density may reach nearly 3 times that of Child-Langmuir limit in a non-relativistic mode and close to 6 times in a highly relativistic mode. In this study, the behavior of ion beam enhancement by magnetic insulation is systematically investigated in three types of magnetically insulated ion diodes (MIDs) with passive anode, taking into account the anode plasma generation process on the anode surface. A maximal enhancement factor higher than 6 over the Child-Langmuir limit can be obtained in the non-relativistic mode with accelerating voltage of 200-300 kV. The MIDs differ in two anode plasma formation mechanisms, i.e., surface flashover of a dielectric coating on the anode and explosive emission of electrons from the anode, as well as in two insulation modes of external-magnetic field and self-magnetic field with either non-closed or closed drift of electrons in the anode-cathode (A-K) gap, respectively. Combined with ion current density measurement, energy density characterization is employed to resolve the spatial distribution of energy density before focusing for exploring the ion beam generation process. Consistent results are obtained on three types of MIDs concerning control of neutralizing electron flows for the space charge of ions where the high ion beam enhancement is determined by effective electron neutralization in the A-K gap, while the HIPIB composition of different ion species downstream from the diode may be considerably affected by the ion beam neutralization during propagation.

  8. First Results From A Multi-Ion Beam Lithography And Processing System At The University Of Florida

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gila, Brent; Appleton, Bill R.; Fridmann, Joel

    2011-06-01

    The University of Florida (UF) have collaborated with Raith to develop a version of the Raith ionLiNE IBL system that has the capability to deliver multi-ion species in addition to the Ga ions normally available. The UF system is currently equipped with a AuSi liquid metal alloy ion source (LMAIS) and ExB filter making it capable of delivering Au and Si ions and ion clusters for ion beam processing. Other LMAIS systems could be developed in the future to deliver other ion species. This system is capable of high performance ion beam lithography, sputter profiling, maskless ion implantation, ion beammore » mixing, and spatial and temporal ion beam assisted writing and processing over large areas (100 mm2)--all with selected ion species at voltages from 15-40 kV and nanometer precision. We discuss the performance of the system with the AuSi LMAIS source and ExB mass separator. We report on initial results from the basic system characterization, ion beam lithography, as well as for basic ion-solid interactions.« less

  9. Effects of Processing Variables on Tantalum Nitride by Reactive-Ion-Assisted Magnetron Sputtering Deposition

    NASA Astrophysics Data System (ADS)

    Wei, Chao‑Tsang; Shieh, Han‑Ping D.

    2006-08-01

    The binary compound tantalum nitride (TaN) and ternary compounds tantalum tungsten nitrides (Ta1-xWxNy) exhibit interesting properties such as high melting point, high hardness, and chemical inertness. Such nitrides were deposited on a tungsten carbide (WC) die and silicon wafers by ion-beam-sputter evaporation of the respective metal under nitrogen ion-assisted deposition (IAD). The effects of N2/Ar flux ratio, post annealing, ion-assisted deposition, deposition rate, and W doping in coating processing variables on hardness, load critical scratching, oxidation resistance, stress and surface roughness were investigated. The optimum N2/Ar flux ratios in view of the hardness and critical load of TaN and Ta1-xWxNy films were ranged from 0.9 to 1.0. Doping W into TaN to form Ta1-xWxNy films led significant increases in hardness, critical load, oxidation resistance, and reduced surface roughness. The optimum doping ratio was [W/(W+Ta)]=0.85. From the deposition rate and IAD experiments, the stress in the films is mainly contributed by sputtering atoms. The lower deposition rate at a high N2/Ar flux ratio resulted in a higher compressive stress. A high compressive residual stress accounts for a high hardness. The relatively high compressive stress was attributed primarily to peening by atoms, ions and electrons during film growth, the Ta1-xWxNy films showed excellent hardness and strength against a high temperature, and sticking phenomena can essentially be avoided through their use. Ta1-xWxNy films showed better performance than the TaN film in terms of mechanical properties and oxidation resistance.

  10. ION BEAM FOCUSING MEANS FOR CALUTRON

    DOEpatents

    Backus, J.G.

    1959-06-01

    An ion beam focusing arrangement for calutrons is described. It provides a virtual focus of origin for the ion beam so that the ions may be withdrawn from an arc plasma of considerable width providing greater beam current and accuracy. (T.R.H.)

  11. Modeling of intense pulsed ion beam heated masked targets for extreme materials characterization

    DOE PAGES

    Barnard, John J.; Schenkel, Thomas

    2017-11-15

    Intense, pulsed ion beams locally heat materials and deliver dense electronic excitations that can induce material modifications and phase transitions. Material properties can potentially be stabilized by rapid quenching. Pulsed ion beams with pulse lengths of order ns have recently become available for materials processing. Here, we optimize mask geometries for local modification of materials by intense ion pulses. The goal is to rapidly excite targets volumetrically to the point where a phase transition or local lattice reconstruction is induced followed by rapid cooling that stabilizes desired material's properties fast enough before the target is altered or damaged by, e.g.,more » hydrodynamic expansion. By using a mask, the longitudinal dimension can be large compared to the transverse dimension, allowing the possibility of rapid transverse cooling. We performed HYDRA simulations that calculate peak temperatures for a series of excitation conditions and cooling rates of silicon targets with micro-structured masks and compare these to a simple analytical model. In conclusion, the model gives scaling laws that can guide the design of targets over a wide range of pulsed ion beam parameters.« less

  12. Pulsed ion beam source

    DOEpatents

    Greenly, J.B.

    1997-08-12

    An improved pulsed ion beam source is disclosed having a new biasing circuit for the fast magnetic field. This circuit provides for an initial negative bias for the field created by the fast coils in the ion beam source which pre-ionize the gas in the source, ionize the gas and deliver the gas to the proper position in the accelerating gap between the anode and cathode assemblies in the ion beam source. The initial negative bias improves the interaction between the location of the nulls in the composite magnetic field in the ion beam source and the position of the gas for pre-ionization and ionization into the plasma as well as final positioning of the plasma in the accelerating gap. Improvements to the construction of the flux excluders in the anode assembly are also accomplished by fabricating them as layered structures with a high melting point, low conductivity material on the outsides with a high conductivity material in the center. 12 figs.

  13. Pulsed ion beam source

    DOEpatents

    Greenly, John B.

    1997-01-01

    An improved pulsed ion beam source having a new biasing circuit for the fast magnetic field. This circuit provides for an initial negative bias for the field created by the fast coils in the ion beam source which pre-ionize the gas in the source, ionize the gas and deliver the gas to the proper position in the accelerating gap between the anode and cathode assemblies in the ion beam source. The initial negative bias improves the interaction between the location of the nulls in the composite magnetic field in the ion beam source and the position of the gas for pre-ionization and ionization into the plasma as well as final positioning of the plasma in the accelerating gap. Improvements to the construction of the flux excluders in the anode assembly are also accomplished by fabricating them as layered structures with a high melting point, low conductivity material on the outsides with a high conductivity material in the center.

  14. Investigation of the ion beam emission from a pulsed power plasma device

    NASA Astrophysics Data System (ADS)

    Henríquez, A.; Bhuyan, H.; Favre, M.; Retamal, M. J.; Volkmann, U.; Wyndham, E.; Chuaqui, H.

    2014-05-01

    Plasma Focus (PF) devices are well known as ion beam sources with characteristic energy among the hundreds of keV to tens of MeV. The information on ion beam energy, ion distribution and composition is essential from the viewpoint of understanding fundamental physics behind their production and acceleration and also their applications in various fields, such as surface properties modification, ion implantation, thin film deposition, semiconductor doping and ion assisted coating. An investigation from a low energy, 1.8 kJ 160 kA, Mather type plasma focus device operating with nitrogen using CR-39 detectors was conducted to study the emission of ions at different angular positions. Tracks on CR-39 detectors at different angular positions reveal the existence of angular ion anisotropy. The results obtained are comparable with the time integrated measurements using FC. Preliminary results of this work are presented.

  15. Nanostructured silicon anodes for lithium ion rechargeable batteries.

    PubMed

    Teki, Ranganath; Datta, Moni K; Krishnan, Rahul; Parker, Thomas C; Lu, Toh-Ming; Kumta, Prashant N; Koratkar, Nikhil

    2009-10-01

    Rechargeable lithium ion batteries are integral to today's information-rich, mobile society. Currently they are one of the most popular types of battery used in portable electronics because of their high energy density and flexible design. Despite their increasing use at the present time, there is great continued commercial interest in developing new and improved electrode materials for lithium ion batteries that would lead to dramatically higher energy capacity and longer cycle life. Silicon is one of the most promising anode materials because it has the highest known theoretical charge capacity and is the second most abundant element on earth. However, silicon anodes have limited applications because of the huge volume change associated with the insertion and extraction of lithium. This causes cracking and pulverization of the anode, which leads to a loss of electrical contact and eventual fading of capacity. Nanostructured silicon anodes, as compared to the previously tested silicon film anodes, can help overcome the above issues. As arrays of silicon nanowires or nanorods, which help accommodate the volume changes, or as nanoscale compliant layers, which increase the stress resilience of silicon films, nanoengineered silicon anodes show potential to enable a new generation of lithium ion batteries with significantly higher reversible charge capacity and longer cycle life.

  16. Positive and negative ion beam merging system for neutral beam production

    DOEpatents

    Leung, Ka-Ngo; Reijonen, Jani

    2005-12-13

    The positive and negative ion beam merging system extracts positive and negative ions of the same species and of the same energy from two separate ion sources. The positive and negative ions from both sources pass through a bending magnetic field region between the pole faces of an electromagnet. Since the positive and negative ions come from mirror image positions on opposite sides of a beam axis, and the positive and negative ions are identical, the trajectories will be symmetrical and the positive and negative ion beams will merge into a single neutral beam as they leave the pole face of the electromagnet. The ion sources are preferably multicusp plasma ion sources. The ion sources may include a multi-aperture extraction system for increasing ion current from the sources.

  17. Ion beam induced defects in solids studied by optical techniques

    NASA Astrophysics Data System (ADS)

    Comins, J. D.; Amolo, G. O.; Derry, T. E.; Connell, S. H.; Erasmus, R. M.; Witcomb, M. J.

    2009-08-01

    Optical methods can provide important insights into the mechanisms and consequences of ion beam interactions with solids. This is illustrated by four distinctly different systems. X- and Y-cut LiNbO 3 crystals implanted with 8 MeV Au 3+ ions with a fluence of 1 × 10 17 ions/cm 2 result in gold nanoparticle formation during high temperature annealing. Optical extinction curves simulated by the Mie theory provide the average nanoparticle sizes. TEM studies are in reasonable agreement and confirm a near-spherical nanoparticle shape but with surface facets. Large temperature differences in the nanoparticle creation in the X- and Y-cut crystals are explained by recrystallisation of the initially amorphised regions so as to recreate the prior crystal structure and to result in anisotropic diffusion of the implanted gold. Defect formation in alkali halides using ion beam irradiation has provided new information. Radiation-hard CsI crystals bombarded with 1 MeV protons at 300 K successfully produce F-type centres and V-centres having the I3- structure as identified by optical absorption and Raman studies. The results are discussed in relation to the formation of interstitial iodine aggregates of various types in alkali iodides. Depth profiling of I3- and I5- aggregates created in RbI bombarded with 13.6 MeV/A argon ions at 300 K is discussed. The recrystallisation of an amorphous silicon layer created in crystalline silicon bombarded with 100 keV carbon ions with a fluence of 5 × 10 17 ions/cm 2 during subsequent high temperature annealing is studied by Raman and Brillouin light scattering. Irradiation of tin-doped indium oxide (ITO) films with 1 MeV protons with fluences from 1 × 10 15 to 250 × 10 15 ions/cm -2 induces visible darkening over a broad spectral region that shows three stages of development. This is attributed to the formation of defect clusters by a model of defect growth and also high fluence optical absorption studies. X-ray diffraction studies show

  18. An electron beam ion trap and source for re-acceleration of rare-isotope ion beams at TRIUMF

    NASA Astrophysics Data System (ADS)

    Blessenohl, M. A.; Dobrodey, S.; Warnecke, C.; Rosner, M. K.; Graham, L.; Paul, S.; Baumann, T. M.; Hockenbery, Z.; Hubele, R.; Pfeifer, T.; Ames, F.; Dilling, J.; Crespo López-Urrutia, J. R.

    2018-05-01

    Electron beam driven ionization can produce highly charged ions (HCIs) in a few well-defined charge states. Ideal conditions for this are maximally focused electron beams and an extremely clean vacuum environment. A cryogenic electron beam ion trap fulfills these prerequisites and delivers very pure HCI beams. The Canadian rare isotope facility with electron beam ion source-electron beam ion sources developed at the Max-Planck-Institut für Kernphysik (MPIK) reaches already for a 5 keV electron beam and a current of 1 A with a density in excess of 5000 A/cm2 by means of a 6 T axial magnetic field. Within the trap, the beam quickly generates a dense HCI population, tightly confined by a space-charge potential of the order of 1 keV times the ionic charge state. Emitting HCI bunches of ≈107 ions at up to 100 Hz repetition rate, the device will charge-breed rare-isotope beams with the mass-over-charge ratio required for re-acceleration at the Advanced Rare IsotopE Laboratory (ARIEL) facility at TRIUMF. We present here its design and results from commissioning runs at MPIK, including X-ray diagnostics of the electron beam and charge-breeding process, as well as ion injection and HCI-extraction measurements.

  19. Ion Beam Etching: Replication of Micro Nano-structured 3D Stencil Masks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weber, Patrick; Guibert, Edouard; Mikhailov, Serguei

    2009-03-10

    Ion beam LIGA allows the etching of 3D nano-structures by direct writing with a nano-sized beam. However, this is a relatively time consuming process. We propose here another approach for etching structures on large surfaces and faster, compared to the direct writing process. This approach consists of replicating 3D structured masks, by scanning an unfocused ion beam. A polymer substrate is placed behind the mask, as in UV photolithography. But the main advantage is that the 3D structure of the mask can be replicated into the polymer. For that purpose, the masks (developped at LMIS1, EPFL) are made of amore » silicon nitride membrane 100 nm thick, on which 3D gold structures up to 200 nm thick, are deposited. The 3D Au structures are made with the nanostencil method, based on successive gold deposition. The IMA institute, from HE-Arc, owns a High Voltage Engineering 1.7 MV Tandetron with both solid and gaseous negative ion sources, able to generate ions from almost every chemical element in a broad range of energies comprised between 400 keV and 6.8 MeV. The beam composition and energy are chosen in such a way, that ions lose a significant fraction of their energy when passing through the thickest regions of the mask. Ions passing through thinner regions of the mask loose a smaller fraction of their energy and etch the polymer with larger thicknesses, allowing a replication of the mask into the polymer. For our trials, we have used a carbon beam with an energy of 500 keV. The beam was focussed to a diameter of 5 mm with solid slits, in order to avoid border effects and thus ensure a homogeneous dose distribution on the beam diameter. The feasibility of this technique has been demonstrated, allowing industrial applications for micro-mould fabrication, micro-fluidics and micro-optics.« less

  20. Ion beam accelerator system

    NASA Technical Reports Server (NTRS)

    Aston, Graeme (Inventor)

    1984-01-01

    A system is described that combines geometrical and electrostatic focusing to provide high ion extraction efficiency and good focusing of an accelerated ion beam. The apparatus includes a pair of curved extraction grids (16, 18) with multiple pairs of aligned holes positioned to direct a group of beamlets (20) along converging paths. The extraction grids are closely spaced and maintained at a moderate potential to efficiently extract beamlets of ions and allow them to combine into a single beam (14). An accelerator electrode device (22) downstream from the extraction grids, is at a much lower potential than the grids to accelerate the combined beam.

  1. Laser beam joining of optical fibers in silicon V-grooves

    NASA Astrophysics Data System (ADS)

    Kaufmann, Stefan; Otto, Andreas; Luz, Gerhard

    2000-06-01

    The increasing use of optical data transmission systems and the development of new optical components require adjustment-insensitive and reliable joining and assembling techniques. The state of the art includes the utilization of silicon submounts with anisotropically etched V-grooves. Several glass fibers are fixed in these V-grooves with adhesive. Adhesive bonds tend towards degradation under the influence of temperature and moisture. For this reason, the alternative joining processes laser beam welding and laser beam soldering are relevant. The goal is a reliable joining of optical fibers in V-grooves without damage to the fibers or the silicon submount. Because of the anomaly of silicon during phase transformation, a positive joining can be realized by laser beam welding. A melt pool is created through the energy of a Nd:YAG-laser pulse. During solidification, the volume of silicon increases and a bump is formed in the center. Experiments have shown that this phenomenon can be used for joining optical fibers in silicon-V-grooves. With suitable parameters the silicon flows half around the fiber during solidification. For each fiber, several welding points are necessary. Another promising joining method is laser bema soldering. In this case, a second silicon sheet with a solder deposit is placed on the fibers which lie in the V-grooves of the metallized silicon submount. The laser heats the upper silicon until the solder metals by heat conduction.

  2. A vacuum spark ion source: High charge state metal ion beams

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yushkov, G. Yu., E-mail: gyushkov@mail.ru; Nikolaev, A. G.; Frolova, V. P.

    2016-02-15

    High ion charge state is often important in ion beam physics, among other reasons for the very practical purpose that it leads to proportionately higher ion beam energy for fixed accelerating voltage. The ion charge state of metal ion beams can be increased by replacing a vacuum arc ion source by a vacuum spark ion source. Since the voltage between anode and cathode remains high in a spark discharge compared to the vacuum arc, higher metal ion charge states are generated which can then be extracted as an ion beam. The use of a spark of pulse duration less thanmore » 10 μs and with current up to 10 kA allows the production of ion beams with current of several amperes at a pulse repetition rate of up to 5 pps. We have demonstrated the formation of high charge state heavy ions (bismuth) of up to 15 + and a mean ion charge state of more than 10 +. The physics and techniques of our vacuum spark ion source are described.« less

  3. Helicon plasma generator-assisted surface conversion ion source for the production of H(-) ion beams at the Los Alamos Neutron Science Center.

    PubMed

    Tarvainen, O; Rouleau, G; Keller, R; Geros, E; Stelzer, J; Ferris, J

    2008-02-01

    The converter-type negative ion source currently employed at the Los Alamos Neutron Science Center (LANSCE) is based on cesium enhanced surface production of H(-) ion beams in a filament-driven discharge. In this kind of an ion source the extracted H(-) beam current is limited by the achievable plasma density which depends primarily on the electron emission current from the filaments. The emission current can be increased by increasing the filament temperature but, unfortunately, this leads not only to shorter filament lifetime but also to an increase in metal evaporation from the filament, which deposits on the H(-) converter surface and degrades its performance. Therefore, we have started an ion source development project focused on replacing these thermionic cathodes (filaments) of the converter source by a helicon plasma generator capable of producing high-density hydrogen plasmas with low electron energy. In our studies which have so far shown that the plasma density of the surface conversion source can be increased significantly by exciting a helicon wave in the plasma, and we expect to improve the performance of the surface converter H(-) ion source in terms of beam brightness and time between services. The design of this new source and preliminary results are presented, along with a discussion of physical processes relevant for H(-) ion beam production with this novel design. Ultimately, we perceive this approach as an interim step towards our long-term goal, combining a helicon plasma generator with an SNS-type main discharge chamber, which will allow us to individually optimize the plasma properties of the plasma cathode (helicon) and H(-) production (main discharge) in order to further improve the brightness of extracted H(-) ion beams.

  4. Helicon plasma generator-assisted surface conversion ion source for the production of H- ion beams at the Los Alamos Neutron Science Centera)

    NASA Astrophysics Data System (ADS)

    Tarvainen, O.; Rouleau, G.; Keller, R.; Geros, E.; Stelzer, J.; Ferris, J.

    2008-02-01

    The converter-type negative ion source currently employed at the Los Alamos Neutron Science Center (LANSCE) is based on cesium enhanced surface production of H- ion beams in a filament-driven discharge. In this kind of an ion source the extracted H- beam current is limited by the achievable plasma density which depends primarily on the electron emission current from the filaments. The emission current can be increased by increasing the filament temperature but, unfortunately, this leads not only to shorter filament lifetime but also to an increase in metal evaporation from the filament, which deposits on the H- converter surface and degrades its performance. Therefore, we have started an ion source development project focused on replacing these thermionic cathodes (filaments) of the converter source by a helicon plasma generator capable of producing high-density hydrogen plasmas with low electron energy. In our studies which have so far shown that the plasma density of the surface conversion source can be increased significantly by exciting a helicon wave in the plasma, and we expect to improve the performance of the surface converter H- ion source in terms of beam brightness and time between services. The design of this new source and preliminary results are presented, along with a discussion of physical processes relevant for H- ion beam production with this novel design. Ultimately, we perceive this approach as an interim step towards our long-term goal, combining a helicon plasma generator with an SNS-type main discharge chamber, which will allow us to individually optimize the plasma properties of the plasma cathode (helicon) and H- production (main discharge) in order to further improve the brightness of extracted H- ion beams.

  5. Pseudo ribbon metal ion beam source.

    PubMed

    Stepanov, Igor B; Ryabchikov, Alexander I; Sivin, Denis O; Verigin, Dan A

    2014-02-01

    The paper describes high broad metal ion source based on dc macroparticle filtered vacuum arc plasma generation with the dc ion-beam extraction. The possibility of formation of pseudo ribbon beam of metal ions with the parameters: ion beam length 0.6 m, ion current up to 0.2 A, accelerating voltage 40 kV, and ion energy up to 160 kV has been demonstrated. The pseudo ribbon ion beam is formed from dc vacuum arc plasma. The results of investigation of the vacuum arc evaporator ion-emission properties are presented. The influence of magnetic field strength near the cathode surface on the arc spot movement and ion-emission properties of vacuum-arc discharge for different cathode materials are determined. It was shown that vacuum-arc discharge stability can be reached when the magnetic field strength ranges from 40 to 70 G on the cathode surface.

  6. Computer simulation of ion beam analysis of laterally inhomogeneous materials

    NASA Astrophysics Data System (ADS)

    Mayer, M.

    2016-03-01

    The program STRUCTNRA for the simulation of ion beam analysis charged particle spectra from arbitrary two-dimensional distributions of materials is described. The code is validated by comparison to experimental backscattering data from a silicon grating on tantalum at different orientations and incident angles. Simulated spectra for several types of rough thin layers and a chessboard-like arrangement of materials as example for a multi-phase agglomerate material are presented. Ambiguities between back-scattering spectra from two-dimensional and one-dimensional sample structures are discussed.

  7. Ion-beam assisted laser fabrication of sensing plasmonic nanostructures

    PubMed Central

    Kuchmizhak, Aleksandr; Gurbatov, Stanislav; Vitrik, Oleg; Kulchin, Yuri; Milichko, Valentin; Makarov, Sergey; Kudryashov, Sergey

    2016-01-01

    Simple high-performance, two-stage hybrid technique was developed for fabrication of different plasmonic nanostructures, including nanorods, nanorings, as well as more complex structures on glass substrates. In this technique, a thin noble-metal film on a dielectric substrate is irradiated by a single tightly focused nanosecond laser pulse and then the modified region is slowly polished by an accelerated argon ion (Ar+) beam. As a result, each nanosecond laser pulse locally modifies the initial metal film through initiation of fast melting and subsequent hydrodynamic processes, while the following Ar+-ion polishing removes the rest of the film, revealing the hidden topography features and fabricating separate plasmonic structures on the glass substrate. We demonstrate that the shape and lateral size of the resulting functional plasmonic nanostructures depend on the laser pulse energy and metal film thickness, while subsequent Ar+-ion polishing enables to vary height of the resulting nanostructures. Plasmonic properties of the fabricated nanostructures were characterized by dark-field micro-spectroscopy, Raman and photoluminescence measurements performed on single nanofeatures, as well as by supporting numerical calculations of the related electromagnetic near-fields and Purcell factors. The developed simple two-stage technique represents a new step towards direct large-scale laser-induced fabrication of highly ordered arrays of complex plasmonic nanostructures. PMID:26776569

  8. An electron cyclotron resonance ion source based low energy ion beam platform.

    PubMed

    Sun, L T; Shang, Y; Ma, B H; Zhang, X Z; Feng, Y C; Li, X X; Wang, H; Guo, X H; Song, M T; Zhao, H Y; Zhang, Z M; Zhao, H W; Xie, D Z

    2008-02-01

    To satisfy the requirements of surface and atomic physics study in the field of low energy multiple charge state ion incident experiments, a low energy (10 eV/q-20 keV/q) ion beam platform is under design at IMP. A simple test bench has been set up to test the ion beam deceleration systems. Considering virtues such as structure simplicity, easy handling, compactness, cost saving, etc., an all-permanent magnet ECRIS LAPECR1 [Lanzhou all-permanent magnet electron cyclotron resonance (ECR) ion source No. 1] working at 14.5 GHz has been adopted to produce intense medium and low charge state ion beams. LAPECR1 source has already been ignited. Some intense low charge state ion beams have been produced on it, but the first test also reveals that many problems are existing on the ion beam transmission line. The ion beam transmission mismatches result in the depressed performance of LAPECR1, which will be discussed in this paper. To obtain ultralow energy ion beam, after being analyzed by a double-focusing analyzer magnet, the selected ion beam will be further decelerated by two afocal deceleration lens systems, which is still under design. This design has taken into consideration both ions slowing down and also ion beam focusing. In this paper, the conceptual design of deceleration system will be discussed.

  9. Ion beams in multi-species plasmas

    NASA Astrophysics Data System (ADS)

    Aguirre, E. M.; Scime, E. E.; Good, T. N.

    2018-04-01

    Argon and xenon ion velocity distribution functions are measured in Ar-He, Ar-Xe, and Xe-He expanding helicon plasmas to determine if ion beam velocity is enhanced by the presence of lighter ions. Contrary to observations in mixed gas sheath experiments, we find that adding a lighter ion does not increase the ion beam speed. The predominant effect is a reduction of ion beam velocity consistent with increased drag arising from increased gas pressure under all conditions: constant total gas pressure, equal plasma densities of different ions, and very different plasma densities of different ions. These results suggest that the physics responsible for the acceleration of multiple ion species in simple sheaths is not responsible for the ion acceleration observed in expanding helicon plasmas.

  10. Nanopatterning of metal-coated silicon surfaces via ion beam irradiation: Real time x-ray studies reveal the effect of silicide bonding

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    El-Atwani, Osman; Birck Nanotechnology Center, Purdue University, West Lafayette, Indiana 47907; Gonderman, Sean

    We investigated the effect of silicide formation on ion-induced nanopatterning of silicon with various ultrathin metal coatings. Silicon substrates coated with 10 nm Ni, Fe, and Cu were irradiated with 200 eV argon ions at normal incidence. Real time grazing incidence small angle x-ray scattering (GISAXS) and x-ray fluorescence (XRF) were performed during the irradiation process and real time measurements revealed threshold conditions for nanopatterning of silicon at normal incidence irradiation. Three main stages of the nanopatterning process were identified. The real time GISAXS intensity of the correlated peaks in conjunction with XRF revealed that the nanostructures remain for amore » time period after the removal of the all the metal atoms from the sample depending on the binding energy of the metal silicides formed. Ex-situ XPS confirmed the removal of all metal impurities. In-situ XPS during the irradiation of Ni, Fe, and Cu coated silicon substrates at normal incidence demonstrated phase separation and the formation of different silicide phases that occur upon metal-silicon mixing. Silicide formation leads to nanostructure formation due the preferential erosion of the non-silicide regions and the weakening of the ion induced mass redistribution.« less

  11. Production of negatively charged radioactive ion beams

    DOE PAGES

    Liu, Y.; Stracener, D. W.; Stora, T.

    2017-02-15

    Beams of short-lived radioactive nuclei are needed for frontier experimental research in nuclear structure, reactions, and astrophysics. Negatively charged radioactive ion beams have unique advantages and allow for the use of a tandem accelerator for post-acceleration, which can provide the highest beam quality and continuously variable energies. Negative ion beams can be obtained with high intensity and some unique beam purification techniques based on differences in electronegativity and chemical reactivity can be used to provide beams with high purity. This article describes the production of negative radioactive ion beams at the former holifield radioactive ion beam facility at Oak Ridgemore » National Laboratory and at the CERN ISOLDE facility with emphasis on the development of the negative ion sources employed at these two facilities.« less

  12. Redundancy Technology With A Focused Ion Beam

    NASA Astrophysics Data System (ADS)

    Komano, Haruki; Hashimoto, Kazuhiko; Takigawa, Tadahiro

    1989-08-01

    Fuse cutting with a focused ion beam to activate redundancy circuits is proposed. In order to verify its potential usefulness, experiments have been performed. Fuse-cutting time was evaluated using aluminum fuses with a thin passivation layer, which are difficult to cut by conventional laser-beam technology due to the material's high reflectivity. The fuse width and thickness were 2 and 0.8 μm, respectively. The fuse was cut in 5 seconds with a 30 keV focused ion beam of 0.3 A/cm2 current density. Since the fuses used in DRAMs will be smaller, their cutting time will become shorter by scanning an ion beam on narrower areas. Moreover, it can be shortened by increasing current density. Fuses for redundancy technology in 256 k CMOS SRAMs were cut with a focused ion beam. The operation of the memories was checked with a memory tester. It was confirmed that memories which had failure cells operated normally after focused-ion-beam fuse-cutting. Focused ion beam irradiation effects upon a device have been studied. When a 30 keV gallium focused ion beam was irradiated near the gate of MOSFETs, a threshold voltage shift was not observed at an ion dose of 0.3 C/cm2 which corresponded to the ion dose in cutting a fuse. However, when irradiated on the gate, a threshold voltage shift was observed at ion doses of more than 8 x 10-4 C/cm2. The voltage shift was caused by the charge of ions within the passivation layer. It is necessary at least not to irradiate a focused ion beam on a device in cutting fuses. It is concluded that the focused-ion-beam method will be advantageous for future redundancy technology application.

  13. Accessing defect dynamics using intense, nanosecond pulsed ion beams

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Persaud, A.; Barnard, J. J.; Guo, H.

    2015-06-18

    Gaining in-situ access to relaxation dynamics of radiation induced defects will lead to a better understanding of materials and is important for the verification of theoretical models and simulations. We show preliminary results from experiments at the new Neutralized Drift Compression Experiment (NDCX-II) at Lawrence Berkeley National Laboratory that will enable in-situ access to defect dynamics through pump-probe experiments. Here, the unique capabilities of the NDCX-II accelerator to generate intense, nanosecond pulsed ion beams are utilized. Preliminary data of channeling experiments using lithium and potassium ions and silicon membranes are shown. We compare these data to simulation results using Crystalmore » Trim. Furthermore, we discuss the improvements to the accelerator to higher performance levels and the new diagnostics tools that are being incorporated.« less

  14. Beam delivery system with a non-digitized diffractive beam splitter for laser-drilling of silicon

    NASA Astrophysics Data System (ADS)

    Amako, J.; Fujii, E.

    2016-02-01

    We report a beam-delivery system consisting of a non-digitized diffractive beam splitter and a Fourier transform lens. The system is applied to the deep-drilling of silicon using a nanosecond pulse laser in the manufacture of inkjet printer heads. In this process, a circularly polarized pulse beam is divided into an array of uniform beams, which are then delivered precisely to the process points. To meet these requirements, the splitter was designed to be polarization-independent with an efficiency>95%. The optical elements were assembled so as to allow the fine tuning of the effective overall focal length by adjusting the wavefront curvature of the beam. Using the system, a beam alignment accuracy of<5 μm was achieved for a 12-mm-wide beam array and the throughput was substantially improved (10,000 points on a silicon wafer drilled in ~1 min). This beam-delivery scheme works for a variety of laser applications that require parallel processing.

  15. Ion energy distribution near a plasma meniscus with beam extraction for multi element focused ion beams

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mathew, Jose V.; Paul, Samit; Bhattacharjee, Sudeep

    2010-05-15

    An earlier study of the axial ion energy distribution in the extraction region (plasma meniscus) of a compact microwave plasma ion source showed that the axial ion energy spread near the meniscus is small ({approx}5 eV) and comparable to that of a liquid metal ion source, making it a promising candidate for focused ion beam (FIB) applications [J. V. Mathew and S. Bhattacharjee, J. Appl. Phys. 105, 96101 (2009)]. In the present work we have investigated the radial ion energy distribution (IED) under the influence of beam extraction. Initially a single Einzel lens system has been used for beam extractionmore » with potentials up to -6 kV for obtaining parallel beams. In situ measurements of IED with extraction voltages upto -5 kV indicates that beam extraction has a weak influence on the energy spread ({+-}0.5 eV) which is of significance from the point of view of FIB applications. It is found that by reducing the geometrical acceptance angle at the ion energy analyzer probe, close to unidirectional distribution can be obtained with a spread that is smaller by at least 1 eV.« less

  16. Atomic-scale thermocapillary flow in focused ion beam milling

    NASA Astrophysics Data System (ADS)

    Das, Kallol; Johnson, Harley; Freund, Jonathan

    2016-11-01

    Focused ion beams (FIB) offer an attractive tool for nanometer-scale manufacturing and material processing, particularly because they can be focused to a few nanometer diameter spot. This motivates their use for many applications, such as sample preparation for transmission electron microscopy (TEM), forming nanometer scale pores in thin films for DNA sequencing. Despite its widespread use, the specific mechanisms of FIB milling, especially at high ion fluxes for which significant phase change might occur, remains incompletely understood. Here we investigate the process of nanopore fabrication in thin Si films using molecular dynamics simulation where Ga+ ions are used as the focused ions. For a range of ion intensities in a realistic configuration, a recirculating melt region develops, which is seen to flow with a symmetrical pattern, counter to how it would flow were it is driven by the ion momentum flux. Such flow is potentially important for the shape and composition of the formed structures. Relevant stress scales and estimated physical properties of silicon under these extreme conditions support the importance thermocapillary effects. A continuum flow model with Marangoni forcing reproduces the flow.

  17. Tailoring the Optical Properties of Silicon with Ion Beam Created Nanostructures for Advanced Photonics Applications

    NASA Astrophysics Data System (ADS)

    Akhter, Perveen

    light trapping in poly-Si thin films using ion implantation induced surface texturing. In addition to surface texturing produced by H and Ar ion implantations, metal nanostructures are also added to the surface to further suppress light reflection at the plasmonic resonance of metal nanostructures. Remarkable suppression has been achieved resulting in reflection from the air/Si interface to below ˜5%. In the second part, optical properties of embedded metal nanostructures in silicon matrix gettered into the ion implantation created nanocavities are studied. Embedded nanostructures can have a huge impact in future photonics applications by replacing the existing electronic and photonic components such as interconnects, waveguides, modulators and amplifiers with their plasmonic counterparts. This new method of encapsulating metal nanostructures in silicon is cost-effective and compatible with silicon fabrication technology. Spectroscopic ellipsometry is used to study the dielectric properties of silicon with embedded silver nanostructures. High absorption regions around 900 nm, corresponding to plasmonic absorption of Ag nanoparticles in Si, have been observed and compared to theoretical calculations and simulation results. The possibility of modifying the dielectric function of Si with metal nanostructures can lay the foundation for functional base structures for advanced applications in silicon photonics, photovoltaics and plasmonics.

  18. The characteristics of a new negative metal ion beam source and its applications

    NASA Astrophysics Data System (ADS)

    Paik, Namwoong

    2001-10-01

    Numerous efforts at energetic thin film deposition processes using ion beams have been made to meet the demands of today's thin film industry. As one of these efforts, a new Magnetron Sputter Negative Ion Source (MSNIS) was developed. In this study, the development and the characterization of the MSNIS were investigated. Amorphous carbon films were used as a sample coating medium to evaluate the ion beam energy effect. A review of energetic Physical Vapor Deposition (PVD) techniques is presented in Chapter 1. The energetic PVD methods can be classified into two major categories: the indirect ion beam method Ion Beam Assisted Deposition (IBAD), and the direct ion beam method-Direct Ion Beam Deposition (DIBD). In this chapter, currently available DIBD processes such as Cathodic Arc, Laser Ablation, Ionized Physical Vapor Deposition (I-PVD) and Magnetron Sputter Negative Ion Source (MSNIS) are individually reviewed. The design and construction of the MSNIS is presented in chapter 2. The MSNIS is a hybrid of the conventional magnetron sputter configuration and the cesium surface ionizer. The negative sputtered ions are produced directly from the sputter target by surface ionization. In chapter 3, the ion beam and plasma characteristics of an 8″ diameter MSNIS are investigated using a retarding field analyzer and a cylindrical Langmuir Probe. The measured electron temperature is approximately 2-5 eV, while the plasma density and plasma potential were of the order of 10 11-1012 cm3 and 5-20 V, respectively, depending on the pressure and power. In chapter 4, in order to evaluate the effect of the ion beam on the resultant films, amorphous carbon films were deposited under various conditions. The structure of carbon films was investigated using Raman spectroscopy and X-ray photoelectron spectroscopy (XPS). The result suggests the fraction of spa bonding is more than 70% in some samples prepared by MSNIS while magnetron sputtered samples showed less than 30%. (Abstract

  19. Silicon carbide-free graphene growth on silicon for lithium-ion battery with high volumetric energy density

    PubMed Central

    Son, In Hyuk; Hwan Park, Jong; Kwon, Soonchul; Park, Seongyong; Rümmeli, Mark H.; Bachmatiuk, Alicja; Song, Hyun Jae; Ku, Junhwan; Choi, Jang Wook; Choi, Jae-man; Doo, Seok-Gwang; Chang, Hyuk

    2015-01-01

    Silicon is receiving discernable attention as an active material for next generation lithium-ion battery anodes because of its unparalleled gravimetric capacity. However, the large volume change of silicon over charge–discharge cycles weakens its competitiveness in the volumetric energy density and cycle life. Here we report direct graphene growth over silicon nanoparticles without silicon carbide formation. The graphene layers anchored onto the silicon surface accommodate the volume expansion of silicon via a sliding process between adjacent graphene layers. When paired with a commercial lithium cobalt oxide cathode, the silicon carbide-free graphene coating allows the full cell to reach volumetric energy densities of 972 and 700 Wh l−1 at first and 200th cycle, respectively, 1.8 and 1.5 times higher than those of current commercial lithium-ion batteries. This observation suggests that two-dimensional layered structure of graphene and its silicon carbide-free integration with silicon can serve as a prototype in advancing silicon anodes to commercially viable technology. PMID:26109057

  20. Intense Pulsed Heavy Ion Beam Technology

    NASA Astrophysics Data System (ADS)

    Masugata, Katsumi; Ito, Hiroaki

    Development of intense pulsed heavy ion beam accelerator technology is described for the application of materials processing. Gas puff plasma gun and vacuum arc discharge plasma gun were developed as an active ion source for magnetically insulated pulsed ion diode. Source plasma of nitrogen and aluminum were successfully produced with the gas puff plasma gun and the vacuum arc plasma gun, respectively. The ion diode was successfully operated with gas puff plasma gun at diode voltage 190 kV, diode current 2.2 kA and nitrogen ion beam of ion current density 27 A/cm2 was obtained. The ion composition was evaluated by a Thomson parabola spectrometer and the purity of the nitrogen ion beam was estimated to be 86%. The diode also operated with aluminum ion source of vacuum arc plasma gun. The ion diode was operated at 200 kV, 12 kA, and aluminum ion beam of current density 230 A/cm2 was obtained. The beam consists of aluminum ions (Al(1-3)+) of energy 60-400 keV, and protons (90-130 keV), and the purity was estimated to be 89 %. The development of the bipolar pulse accelerator (BPA) was reported. A double coaxial type bipolar pulse generator was developed as the power supply of the BPA. The generator was tested with dummy load of 7.5 ohm, bipolar pulses of -138 kV, 72 ns (1st pulse) and +130 kV, 70 ns (2nd pulse) were succesively generated. By applying the bipolar pulse to the drift tube of the BPA, nitrogen ion beam of 2 A/cm2 was observed in the cathode, which suggests the bipolar pulse acceleration.

  1. Ion beam technology applications study. [ion impact, implantation, and surface finishing

    NASA Technical Reports Server (NTRS)

    Sellen, J. M., Jr.; Zafran, S.; Komatsu, G. K.

    1978-01-01

    Specific perceptions and possible ion beam technology applications were obtained as a result of a literature search and contact interviews with various institutions and individuals which took place over a 5-month period. The use of broad beam electron bombardment ion sources is assessed for materials deposition, removal, and alteration. Special techniques examined include: (1) cleaning, cutting, and texturing for surface treatment; (2) crosslinking of polymers, stress relief in deposited layers, and the creation of defect states in crystalline material by ion impact; and (3) ion implantation during epitaxial growth and the deposition of neutral materials sputtered by the ion beam. The aspects, advantages, and disadvantages of ion beam technology and the competitive role of alternative technologies are discussed.

  2. Experiments on Ion Beam Deflection Using Ion Optics with Slit Apertures

    NASA Astrophysics Data System (ADS)

    Okawa, Yasushi; Hayakawa, Yukio; Kitamura, Shoji

    2004-03-01

    An experimental investigation on ion beam deflection by grid translation was performed. The ion beam deflection in ion optics is a desired technology for ion thrusters because thrust vector control utilizing this technique can eliminate the need for conventional gimbaling devices and thus reduce propulsion system mass. A grid translation mechanism consisting of a piezoelectric motor, a ceramic lever, and carbon-based grids with slit apertures was fabricated and high repeatability in beam deflection characteristics was obtained using this mechanism. Results showed that the beam deflection angle was proportional to the grid translation distance and independent of slit width and grid voltage. A numerical simulation successfully reproduced the beam deflection characteristics in a qualitative and quantitative sense. A maximum beam deflection angle of approximately plus or minus 6 degrees, which was comparable to that of the ordinary gimbaling devices used in space, was obtained without a severe drain current. Therefore, the beam deflection by grid translation is promising as a thrust vectoring method in ion thrusters.

  3. Laser ion source for high brightness heavy ion beam

    DOE PAGES

    Okamura, M.

    2016-09-01

    A laser ion source is known as a high current high charge state heavy ion source. But, we place great emphasis on the capability to realize a high brightness ion source. A laser ion source has a pinpoint small volume where materials are ionized and can achieve quite uniform low temperature ion beam. Those features may enable us to realize very small emittance beams. Furthermore, a low charge state high brightness laser ion source was successfully commissioned in Brookhaven National Laboratory in 2014. Now most of all the solid based heavy ions are being provided from the laser ion sourcemore » for regular operation.« less

  4. Integration of Ion Implantation with Scanning ProbeAlignment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Persaud, A.; Rangelow, I.W.; Schenkel, T.

    We describe a scanning probe instrument which integrates ion beams with imaging and alignment functions of a piezo resistive scanning probe in high vacuum. Energetic ions (1 to a few hundred keV) are transported through holes in scanning probe tips [1]. Holes and imaging tips are formed by Focused Ion Beam (FIB) drilling and ion beam assisted thin film deposition. Transport of single ions can be monitored through detection of secondary electrons from highly charged dopant ions (e. g., Bi{sup 45+}) enabling single atom device formation. Fig. 1 shows SEM images of a scanning probe tip formed by ion beammore » assisted Pt deposition in a dual beam FIB. Ion beam collimating apertures are drilled through the silicon cantilever with a thickness of 5 {micro}m. Aspect ratio limitations preclude the direct drilling of holes with diameters well below 1 {micro}m, and smaller hole diameters are achieved through local thin film deposition [2]. The hole in Fig. 1 was reduced from 2 {micro}m to a residual opening of about 300 nm. Fig. 2 shows an in situ scanning probe image of an alignment dot pattern taken with the tip from Fig. 1. Transport of energetic ions through the aperture in the scanning probe tip allows formation of arbitrary implant patterns. In the example shown in Fig. 2 (right), a 30 nm thick PMMA resist layer on silicon was exposed to 7 keV Ar{sup 2+} ions with an equivalent dose of 10{sup 14} ions/cm{sup 2} to form the LBL logo. An exciting goal of this approach is the placement of single dopant ions into precise locations for integration of single atom devices, such as donor spin based quantum computers [3, 4]. In Fig. 3, we show a section of a micron size dot area exposed to a low dose (10{sup 11}/cm{sup 2}) of high charge state dopant ions. The Bi{sup 45+} ions (200 keV) were extracted from a low emittance highly charged ions source [5]. The potential energy of B{sup 45+}, i. e., the sum of the binding energies required to remove the electrons, amounts to 36

  5. Generation of forerunner electron beam during interaction of ion beam pulse with plasma

    NASA Astrophysics Data System (ADS)

    Hara, Kentaro; Kaganovich, Igor D.; Startsev, Edward A.

    2018-01-01

    The long-time evolution of the two-stream instability of a cold tenuous ion beam pulse propagating through the background plasma with density much higher than the ion beam density is investigated using a large-scale one-dimensional electrostatic kinetic simulation. The three stages of the instability are investigated in detail. After the initial linear growth and saturation by the electron trapping, a portion of the initially trapped electrons becomes detrapped and moves ahead of the ion beam pulse forming a forerunner electron beam, which causes a secondary two-stream instability that preheats the upstream plasma electrons. Consequently, the self-consistent nonlinear-driven turbulent state is set up at the head of the ion beam pulse with the saturated plasma wave sustained by the influx of the cold electrons from upstream of the beam that lasts until the final stage when the beam ions become trapped by the plasma wave. The beam ion trapping leads to the nonlinear heating of the beam ions that eventually extinguishes the instability.

  6. Generation of forerunner electron beam during interaction of ion beam pulse with plasma

    DOE PAGES

    Hara, Kentaro; Kaganovich, Igor D.; Startsev, Edward A.

    2018-01-01

    The long-time evolution of the two-stream instability of a cold tenuous ion beam pulse propagating through the background plasma with density much higher than the ion beam density is investigated using a large-scale one-dimensional electrostatic kinetic simulation. The three stages of the instability are investigated in detail. After the initial linear growth and saturation by the electron trapping, a portion of the initially trapped electrons becomes detrapped and moves ahead of the ion beam pulse forming a forerunner electron beam, which causes a secondary two-stream instability that preheats the upstream plasma electrons. Consequently, the self-consistent nonlinear-driven turbulent state is setmore » up at the head of the ion beam pulse with the saturated plasma wave sustained by the influx of the cold electrons from upstream of the beam that lasts until the final stage when the beam ions become trapped by the plasma wave. Finally, the beam ion trapping leads to the nonlinear heating of the beam ions that eventually extinguishes the instability.« less

  7. Generation of forerunner electron beam during interaction of ion beam pulse with plasma

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hara, Kentaro; Kaganovich, Igor D.; Startsev, Edward A.

    The long-time evolution of the two-stream instability of a cold tenuous ion beam pulse propagating through the background plasma with density much higher than the ion beam density is investigated using a large-scale one-dimensional electrostatic kinetic simulation. The three stages of the instability are investigated in detail. After the initial linear growth and saturation by the electron trapping, a portion of the initially trapped electrons becomes detrapped and moves ahead of the ion beam pulse forming a forerunner electron beam, which causes a secondary two-stream instability that preheats the upstream plasma electrons. Consequently, the self-consistent nonlinear-driven turbulent state is setmore » up at the head of the ion beam pulse with the saturated plasma wave sustained by the influx of the cold electrons from upstream of the beam that lasts until the final stage when the beam ions become trapped by the plasma wave. Finally, the beam ion trapping leads to the nonlinear heating of the beam ions that eventually extinguishes the instability.« less

  8. Measurement of ultra-low ion energy of decelerated ion beam using a deflecting electric field

    NASA Astrophysics Data System (ADS)

    Thopan, P.; Suwannakachorn, D.; Tippawan, U.; Yu, L. D.

    2015-12-01

    In investigation on ultra-low-energy ion bombardment effect on DNA, an ion beam deceleration lens was developed for high-quality ultra-low-energy ion beam. Measurement of the ion energy after deceleration was necessary to confirm the ion beam really decelerated as theoretically predicted. In contrast to conventional methods, this work used a simple deflecting electrostatic field after the deceleration lens to bend the ion beam. The beam bending distance depended on the ion energy and was described and simulated. A system for the measurement of the ion beam energy was constructed. It consisted of a pair of parallel electrode plates to generate the deflecting electrical field, a copper rod measurement piece to detect ion beam current, a vernier caliper to mark the beam position, a stepping motor to translate the measurement rod, and a webcam-camera to read the beam bending distance. The entire system was installed after the ion-beam deceleration lens inside the large chamber of the bioengineering vertical ion beam line. Moving the measurement rod across the decelerated ion beam enabled to obtain beam profiles, from which the beam bending distance could be known and the ion beam energy could be calculated. The measurement results were in good agreement with theoretical and simulated results.

  9. Lithium ion beam divergence on SABRE extraction ion diode experiments

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hanson, D.L.; Cuneo, M.E.; Johnson, D.J.

    Intense lithium beams are of particular interest for light ion inertial confinement fusion applications because lithium ions can be accelerated at high voltage in a single charge state (Li{sup +}) with a high mass-to-charge ratio and appropriate range for efficient focusing and heating of a hohlraum ICF target. Scaling to ion power densities adequate to drive high gain pellet implosions (600 TW at 30 MeV) will require a large number of beams transported, temporally bunched, and focused onto a target, with the necessary target standoff to ensure survival of the driver modules. For efficient long distance transport and focusing tomore » a small pellet, lithium beam divergence must be reduced to about 12 mrad or less (depending on the transport scheme). To support the eventual development of a light ion driver module for ICF applications, the authors are currently working to improve the composition, uniformity, and divergence of lithium ion beams produced by both passive LiF and active laser-generated lithium ion sources on extraction applied-B ion diodes on the SABRE accelerator (1 TW, 5 MV, 250 kA). While lithium beam divergence accounting and control are an essential goal of these experiments, divergence measurements for lithium beams present some unique problems not encountered to the same degree in divergence measurements on proton sources. To avoid these difficulties, the authors have developed a large aperture ion imaging diagnostic for time-resolved lithium divergence measurements. The authors will report on the operation of this lithium beam divergence diagnostic and on results of time-resolved divergence measurements in progress for passive LiF ion sources and laser-produced active lithium sources operated in diode configurations designed to control divergence growth. Comparisons will also be made with time-integrated divergence results obtained with small entrance aperture ultracompact pinhole cameras.« less

  10. Absolute rate coefficients for photorecombination of beryllium-like and boron-like silicon ions

    NASA Astrophysics Data System (ADS)

    Bernhardt, D.; Becker, A.; Brandau, C.; Grieser, M.; Hahn, M.; Krantz, C.; Lestinsky, M.; Novotný, O.; Repnow, R.; Savin, D. W.; Spruck, K.; Wolf, A.; Müller, A.; Schippers, S.

    2016-04-01

    We report measured rate coefficients for electron-ion recombination of Si10+ forming Si9+ and of Si9+ forming Si8+, respectively. The measurements were performed using the electron-ion merged-beams technique at a heavy-ion storage ring. Electron-ion collision energies ranged from 0 to 50 eV for Si9+ and from 0 to 2000 eV for Si10+, thus, extending previous measurements for Si10+ (Orban et al 2010 Astrophys. J. 721 1603) to much higher energies. Experimentally derived rate coefficients for the recombination of Si9+ and Si10+ ions in a plasma are presented along with simple parameterizations. These rate coefficients are useful for the modeling of the charge balance of silicon in photoionized plasmas (Si9+ and Si10+) and in collisionally ionized plasmas (Si10+ only). In the corresponding temperature ranges, the experimentally derived rate coefficients agree with the latest corresponding theoretical results within the experimental uncertainties.

  11. Ion beam sputter etching and deposition of fluoropolymers

    NASA Technical Reports Server (NTRS)

    Banks, B. A.; Sovey, J. S.; Miller, T. B.; Crandall, K. S.

    1978-01-01

    Fluoropolymer etching and deposition techniques including thermal evaporation, RF sputtering, plasma polymerization, and ion beam sputtering are reviewed. Etching and deposition mechanism and material characteristics are discussed. Ion beam sputter etch rates for polytetrafluoroethylene (PTFE) were determined as a function of ion energy, current density and ion beam power density. Peel strengths were measured for epoxy bonds to various ion beam sputtered fluoropolymers. Coefficients of static and dynamic friction were measured for fluoropolymers deposited from ion bombarded PTFE.

  12. Investigations of the emittance and brightness of ion beams from an electron beam ion source of the Dresden EBIS type.

    PubMed

    Silze, Alexandra; Ritter, Erik; Zschornack, Günter; Schwan, Andreas; Ullmann, Falk

    2010-02-01

    We have characterized ion beams extracted from the Dresden EBIS-A, a compact room-temperature electron beam ion source (EBIS) with a permanent magnet system for electron beam compression, using a pepper-pot emittance meter. The EBIS-A is the precursor to the Dresden EBIS-SC in which the permanent magnets have been replaced by superconducting solenoids for the use of the source in high-ion-current applications such as heavy-ion cancer therapy. Beam emittance and brightness values were calculated from data sets acquired for a variety of source parameters, in leaky as well as pulsed ion extraction mode. With box shaped pulses of C(4+) ions at an energy of 39 keV root mean square emittances of 1-4 mm mrad and a brightness of 10 nA mm(-2) mrad(-2) were achieved. The results meet the expectations for high quality ion beams generated by an electron beam ion source.

  13. Pump-probe studies of radiation induced defects and formation of warm dense matter with pulsed ion beams

    NASA Astrophysics Data System (ADS)

    Schenkel, T.; Persaud, A.; Gua, H.; Seidl, P. A.; Waldron, W. L.; Gilson, E. P.; Kaganovich, I. D.; Davidson, R. C.; Friedman, A.; Barnard, J. J.; Minior, A. M.

    2014-10-01

    We report results from the 2nd generation Neutralized Drift Compression Experiment at Berkeley Lab. NDCX-II is a pulsed, linear induction accelerator designed to drive thin foils to warm dense matter (WDM) states with peak temperatures of ~ 1 eV using intense, short pulses of 1.2 MeV lithium ions. Tunability of the ion beam enables pump-probe studies of radiation effects in solids as a function of excitation density, from isolated collision cascades to the onset of phase-transitions and WDM. Ion channeling is an in situ diagnostic of damage evolution during ion pulses with a sensitivity of <0.1% displacements per atom. We will report results from damage evolution studies in thin silicon crystals with Li + and K + beams. Detection of channeled ions tracks lattice disorder evolution with a resolution of ~ 1 ns using fast current measurements. We will discuss pump-probe experiments with pulsed ion beams and the development of diagnostics for WDM and multi-scale (ms to fs) access to the materials physics of collision cascades e.g. in fusion reactor materials. Work performed under auspices of the US DOE under Contract No. DE-AC02-05CH11231.

  14. Kinetic energy offsets for multicharged ions from an electron beam ion source.

    PubMed

    Kulkarni, D D; Ahl, C D; Shore, A M; Miller, A J; Harriss, J E; Sosolik, C E; Marler, J P

    2017-08-01

    Using a retarding field analyzer, we have measured offsets between the nominal and measured kinetic energy of multicharged ions extracted from an electron beam ion source (EBIS). By varying source parameters, a shift in ion kinetic energy was attributed to the trapping potential produced by the space charge of the electron beam within the EBIS. The space charge of the electron beam depends on its charge density, which in turn depends on the amount of negative charge (electron beam current) and its velocity (electron beam energy). The electron beam current and electron beam energy were both varied to obtain electron beams of varying space charge and these were related to the observed kinetic energy offsets for Ar 4+ and Ar 8+ ion beams. Knowledge of these offsets is important for studies that seek to utilize slow, i.e., low kinetic energy, multicharged ions to exploit their high potential energies for processes such as surface modification. In addition, we show that these offsets can be utilized to estimate the effective radius of the electron beam inside the trap.

  15. Concurrent segregation and erosion effects in medium-energy iron beam patterning of silicon surfaces

    NASA Astrophysics Data System (ADS)

    Redondo-Cubero, A.; Lorenz, K.; Palomares, F. J.; Muñoz, A.; Castro, M.; Muñoz-García, J.; Cuerno, R.; Vázquez, L.

    2018-07-01

    We have bombarded crystalline silicon targets with a 40 keV Fe+ ion beam at different incidence angles. The resulting surfaces have been characterized by atomic force, current-sensing and magnetic force microscopies, scanning electron microscopy, and x-ray photoelectron spectroscopy. We have found that there is a threshold angle smaller than 40° for the formation of ripple patterns, which is definitely lower than those frequently reported for noble gas ion beams. We compare our observations with estimates of the value of the critical angle and of additional basic properties of the patterning process, which are based on a continuum model whose parameters are obtained from binary collision simulations. We have further studied experimentally the ripple structures and measured how the surface slopes change with the ion incidence angle. We explore in particular detail the fluence dependence of the pattern for an incidence angle value (40°) close to the threshold. Initially, rimmed holes appear randomly scattered on the surface, which evolve into large, bug-like structures. Further increasing the ion fluence induces a smooth, rippled background morphology. By means of microscopy techniques, a correlation between the morphology of these structures and their metal content can be unambiguously established.

  16. Ion-beam technology and applications

    NASA Technical Reports Server (NTRS)

    Hudson, W. R.; Robson, R. R.; Sovey, J. S.

    1977-01-01

    Ion propulsion research and development yields a mature technology that is transferable to a wide range of nonpropulsive applications, including terrestrial and space manufacturing. A xenon ion source was used for an investigation into potential ion-beam applications. The results of cathode tests and discharge-chamber experiments are presented. A series of experiments encompassing a wide range of potential applications is discussed. Two types of processes, sputter deposition, and erosion were studied. Some of the potential applications are thin-film Teflon capacitor fabrication, lubrication applications, ion-beam cleaning and polishing, and surface texturing.

  17. Focused helium-ion-beam-induced deposition

    NASA Astrophysics Data System (ADS)

    Alkemade, P. F. A.; Miro, H.

    2014-12-01

    The recent introduction of the helium ion microscope (HIM) offers new possibilities for materials modification and fabrication with spatial resolution below 10 nm. In particular, the specific interaction of He+ ions in the tens of keV energy range with materials—i.e., minimal deflection and mainly energy loss via electronic excitations—renders the HIM a special tool for ion-beam-induced deposition. In this work, an overview is given of all studies of helium-ion-beam-induced deposition (He-IBID) that appeared in the literature before summer 2014. Continuum models that describe the deposition processes are presented in detail, with emphasis on precursor depletion and replenishment. In addition, a Monte Carlo model is discussed. Basic experimental He-IBID studies are critically examined. They show deposition rates of up to 0.1 nm3/ion. Analysis by means of a continuum model yields the precursor diffusion constant and the cross sections for beam-induced precursor decomposition and beam-induced desorption. Moreover, it is shown that deposition takes place only in a small zone around the beam impact point. Furthermore, the characterization of deposited materials is discussed in terms of microstructure and resistivity. It is shown that He-IBID material resembles more electron-beam-induced-deposition (EBID) material than Ga-ion-beam-induced-deposition (Ga-IBID) material. Nevertheless, the spatial resolution for He-IBID is in general better than for EBID and Ga-IBID; in particular, proximity effects are minimal.

  18. Micro-PIXE and micro-RBS characterization of micropores in porous silicon prepared using microwave-assisted hydrofluoric acid etching.

    PubMed

    Ahmad, Muthanna; Grime, Geoffrey W

    2013-04-01

    Porous silicon (PS) has been prepared using a microwave-assisted hydrofluoric acid (HF) etching method from a silicon wafer pre-implanted with 5 MeV Cu ions. The use of microbeam proton-induced X-ray emission (micro-PIXE) and microbeam Rutherford backscattering techniques reveals for the first time the capability of these techniques for studying the formation of micropores. The porous structures observed from micro-PIXE imaging results are compared to scanning electron microscope images. It was observed that the implanted copper accumulates in the same location as the pores and that at high implanted dose the pores form large-scale patterns of lines and concentric circles. This is the first work demonstrating the use of microwave-assisted HF etching in the formation of PS.

  19. Plasma formed ion beam projection lithography system

    DOEpatents

    Leung, Ka-Ngo; Lee, Yung-Hee Yvette; Ngo, Vinh; Zahir, Nastaran

    2002-01-01

    A plasma-formed ion-beam projection lithography (IPL) system eliminates the acceleration stage between the ion source and stencil mask of a conventional IPL system. Instead a much thicker mask is used as a beam forming or extraction electrode, positioned next to the plasma in the ion source. Thus the entire beam forming electrode or mask is illuminated uniformly with the source plasma. The extracted beam passes through an acceleration and reduction stage onto the resist coated wafer. Low energy ions, about 30 eV, pass through the mask, minimizing heating, scattering, and sputtering.

  20. A field-assisted moderator for low-energy positron beams

    NASA Astrophysics Data System (ADS)

    Beling, C. D.; Simpson, R. I.; Charlton, M.; Jacobsen, F. M.; Griffith, T. C.; Moriarty, P.; Fung, S.

    1987-01-01

    A new positron field-assisted (FA) moderator based on the drift of positrons across a cooled silicon crystal is proposed. Using estimates for both the β + implantation profile and attainable drift velocities, the efficiency of drift to a slow e+ emitting surface is calculated using a diffusion equation which incorporates terms describing positron drift and annihilation. It is conjectured that efficiencies of up to 10% can be achieved. The use of epitaxially grown metallic suicide contacts to facilitate the application of the electric field is described and the consequences of using such contacts are fully discussed. Applications of the FA transmission mode moderator described here to produce timed brightness enhanced beams are briefly discussed.

  1. Longitudinal instabilities of the experimentally generated laser accelerated ion beam relevant to fast ignition

    NASA Astrophysics Data System (ADS)

    Khoshbinfar, S.

    2017-11-01

    The advent of laser-assisted ion acceleration technology promises an alternative candidate to conventional accelerator drivers used in inertial confinement fusion. The experimental generation of quasi-monoenergetic heavier ion species i.e. carbon and aluminum, applicable to fast ignition studies has been recently reported. The propagation of these energetic ions may impact on the proper ignition phase through growing of micro-instabilities of beam-plasma system. The growth of flow-aligned instabilities is much more important for heavier ions transport in the dense plasma. Here, we have presented a general non-relativistic one-dimensional dispersion relation of cold fluid model as well as corresponding kinetic theory of incident ion beam with atomic number, Zb enters into a fast ignition DT plasma. The longitudinal instabilities of some selected average energies of experimentally generated C6+ (EC=50, 100 and 200 MeV with δE/E ∼ 10 %) and Al11+ (EAl=150 and 300 MeV with δE/E ∼25%) quasi-monoenergetic beams were examined and beam-plasma system stable configuration have been then derived. It has been shown that in the kinetic theory framework, carbon and aluminum ions may be completely stabilized by the combination of beam to plasma density ratio (αb) and plasma temperature (Tp) of ignition phase parameters. Moreover, in complete stabilization, αb parameter of aluminum beam is an order of magnitude lower than carbon.

  2. Enhanced light trapping by focused ion beam (FIB) induced self-organized nanoripples on germanium (100) surface

    NASA Astrophysics Data System (ADS)

    Kamaliya, Bhaveshkumar; Mote, Rakesh G.; Aslam, Mohammed; Fu, Jing

    2018-03-01

    In this paper, we demonstrate enhanced light trapping by self-organized nanoripples on the germanium surface. The enhanced light trapping leading to high absorption of light is confirmed by the experimental studies as well as the numerical simulations using the finite-difference time-domain method. We used gallium ion (Ga+) focused ion beam to enable the formation of the self-organized nanoripples on the germanium (100) surface. During the fabrication, the overlap of the scanning beam is varied from zero to negative value and found to influence the orientation of the nanoripples. Evolution of nanostructures with the variation of beam overlap is investigated. Parallel, perpendicular, and randomly aligned nanoripples with respect to the scanning direction are obtained via manipulation of the scanning beam overlap. 95% broadband absorptance is measured in the visible electromagnetic region for the nanorippled germanium surface. The reported light absorption enhancement can significantly improve the efficiency of germanium-silicon based photovoltaic systems.

  3. Numerical simulation of ion charge breeding in electron beam ion source

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhao, L., E-mail: zhao@far-tech.com; Kim, Jin-Soo

    2014-02-15

    The Electron Beam Ion Source particle-in-cell code (EBIS-PIC) tracks ions in an EBIS electron beam while updating electric potential self-consistently and atomic processes by the Monte Carlo method. Recent improvements to the code are reported in this paper. The ionization module has been improved by using experimental ionization energies and shell effects. The acceptance of injected ions and the emittance of extracted ion beam are calculated by extending EBIS-PIC to the beam line transport region. An EBIS-PIC simulation is performed for a Cs charge-breeding experiment at BNL. The charge state distribution agrees well with experiments, and additional simulation results ofmore » radial profiles and velocity space distributions of the trapped ions are presented.« less

  4. Silicon Based Anodes for Li-Ion Batteries

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Jiguang; Wang, Wei; Xiao, Jie

    2012-06-15

    Silicon is environmentally benign and ubiquitous. Because of its high specific capacity, it is considered one of the most promising candidates to replace the conventional graphite negative electrode used in today's Li ion batteries. Silicon has a theoretical specific capacity of nearly 4200 mAh/g (Li21Si5), which is 10 times larger than the specific capacity of graphite (LiC6, 372 mAh/g). However, the high capacity of silicon is associated with huge volume changes (more than 300 percent) when alloyed with lithium, which can cause severe cracking and pulverization of the electrode and lead to significant capacity loss. Significant scientific research has beenmore » conducted to circumvent the deterioration of silicon based anode materials during cycling. Various strategies, such as reduction of particle size, generation of active/inactive composites, fabrication of silicon based thin films, use of alternative binders, and the synthesis of 1-D silicon nanostructures have been implemented by a number of research groups. Fundamental mechanistic research has also been performed to better understand the electrochemical lithiation and delithiation process during cycling in terms of crystal structure, phase transitions, morphological changes, and reaction kinetics. Although efforts to date have not attained a commercially viable Si anode, further development is expected to produce anodes with three to five times the capacity of graphite. In this chapter, an overview of research on silicon based anodes used for lithium-ion battery applications will be presented. The overview covers electrochemical alloying of the silicon with lithium, mechanisms responsible for capacity fade, and methodologies adapted to overcome capacity degradation observed during cycling. The recent development of silicon nanowires and nanoparticles with significantly improved electrochemical performance will also be discussed relative to the mechanistic understanding. Finally, future directions on the

  5. Correlation between the structural and optical properties of ion-assisted hafnia thin films

    NASA Astrophysics Data System (ADS)

    Scaglione, Salvatore; Sarto, Francesca; Alvisi, Marco; Rizzo, Antonella; Perrone, Maria R.; Protopapa, Maria L.

    2000-03-01

    The ion beam assistance during the film growth is one of the most useful method to obtain dense film along with improved optical and structural properties. Afnia material is widely used in optical coating operating in the UV region of the spectrum and its optical properties depend on the production method and the physical parameters of the species involved in the deposition process. In this work afnia thin films were evaporated by an e-gun and assisted during the growth process. The deposition parameters, ion beam energy, density of ions impinging on the growing film and the number of arrival atoms from the crucible, have been related to the optical and structural properties of the film itself. The absorption coefficient and the refractive index were measured by spectrophotometric technique while the microstructure has been studied by means of x-ray diffraction. A strictly correlation between the grain size, the optical properties and the laser damage threshold measurements at 248 nm was found for the samples deposited at different deposition parameters.

  6. Processing of silicon solar cells by ion implantation and laser annealing

    NASA Technical Reports Server (NTRS)

    Minnucci, J. A.; Matthei, K. W.; Greenwald, A. C.

    1981-01-01

    Methods to improve the radiation tolerance of silicon cells for spacecraft use are described. The major emphasis of the program was to reduce the process-induced carbon and oxygen impurities in the junction and base regions of the solar cell, and to measure the effect of reduced impurity levels on the radiation tolerance of cells. Substrates of 0.1, 1.0 and 10.0 ohm-cm float-zone material were used as starting material in the process sequence. High-dose, low-energy ion implantation was used to form the junction in n+p structures. Implant annealing was performed by conventional furnace techniques and by pulsed laser and pulsed electron beam annealing. Cells were tested for radiation tolerance at Spire and NASA-LeRC. After irradiation by 1 MeV electrons to a fluence of 10 to the 16th power per sq cm, the cells tested at Spire showed no significant process induced variations in radiation tolerance. However, for cells tested at Lewis to a fluence of 10 to the 15th power per sq cm, ion-implanted cells annealed in vacuum by pulsed electron beam consistently showed the best radiation tolerance for all cell resistivities.

  7. Innovative thin silicon detectors for monitoring of therapeutic proton beams: preliminary beam tests

    NASA Astrophysics Data System (ADS)

    Vignati, A.; Monaco, V.; Attili, A.; Cartiglia, N.; Donetti, M.; Fadavi Mazinani, M.; Fausti, F.; Ferrero, M.; Giordanengo, S.; Hammad Ali, O.; Mandurrino, M.; Manganaro, L.; Mazza, G.; Sacchi, R.; Sola, V.; Staiano, A.; Cirio, R.; Boscardin, M.; Paternoster, G.; Ficorella, F.

    2017-12-01

    To fully exploit the physics potentials of particle therapy in delivering dose with high accuracy and selectivity, charged particle therapy needs further improvement. To this scope, a multidisciplinary project (MoVeIT) of the Italian National Institute for Nuclear Physics (INFN) aims at translating research in charged particle therapy into clinical outcome. New models in the treatment planning system are being developed and validated, using dedicated devices for beam characterization and monitoring in radiobiological and clinical irradiations. Innovative silicon detectors with internal gain layer (LGAD) represent a promising option, overcoming the limits of currently used ionization chambers. Two devices are being developed: one to directly count individual protons at high rates, exploiting the large signal-to-noise ratio and fast collection time in small thicknesses (1 ns in 50 μm) of LGADs, the second to measure the beam energy with time-of-flight techniques, using LGADs optimized for excellent time resolutions (Ultra Fast Silicon Detectors, UFSDs). The preliminary results of first beam tests with therapeutic beam will be presented and discussed.

  8. XANES analyses of silicon crystalline irradiated by nitrogen/oxygen ions.

    PubMed

    Yoshida, T; Hara, T; Li, T; Yoshida, H; Tanabe, T

    2001-03-01

    X-ray absorption techniques have been applied to the characterization of 5 keV nitrogen / oxygen ions implanted silicon samples. The depth selective measurement of XANES by recording in PEY mode and the quantitative analysis by superposition of XANES spectra were carried out to elucidate the depth profile of implanted ions. It has been revealed that the silicon nitride phase were formed in silicon after prolonged N+ irradiation and it extended over the deep part of the damaged region from the surface. On the other hand, for the O+ irradiation, silicon dioxide phase were produced only in the shallow part of the damaged region, i.e., the silicon dioxide phase likely broke off during the irradiation.

  9. High efficiency ion beam accelerator system

    NASA Technical Reports Server (NTRS)

    Aston, G.

    1981-01-01

    An ion accelerator system that successfully combines geometrical and electrostatic focusing principles is presented. This accelerator system uses thin, concave, multiple-hole, closely spaced graphite screen and focusing grids which are coupled to single slot accelerator and decelerator grids to provide high ion extraction efficiency and good focusing. Tests with the system showed a substantial improvement in ion beam current density and collimation as compared with a Pierce electrode configuration. Durability of the thin graphite screen and focusing grids has been proven, and tests are being performed to determine the minimum screen and focusing grid spacing and thickness required to extract the maximum reliable beam current density. Compared with present neutral beam injector accelerator systems, this one has more efficient ion extraction, easier grid alignment, easier fabrication, a less cumbersome design, and the capacity to be constructed in a modular fashion. Conceptual neutral beam injector designs using this modular approach have electrostatic beam deflection plates downstream of each module.

  10. Graphene engineering by neon ion beams

    DOE PAGES

    Iberi, Vighter; Ievlev, Anton V.; Vlassiouk, Ivan; ...

    2016-02-18

    Achieving the ultimate limits of materials and device performance necessitates the engineering of matter with atomic, molecular, and mesoscale fidelity. While common for organic and macromolecular chemistry, these capabilities are virtually absent for 2D materials. In contrast to the undesired effect of ion implantation from focused ion beam (FIB) lithography with gallium ions, and proximity effects in standard e-beam lithography techniques, the shorter mean free path and interaction volumes of helium and neon ions offer a new route for clean, resist free nanofabrication. Furthermore, with the advent of scanning helium ion microscopy, maskless He + and Ne + beam lithographymore » of graphene based nanoelectronics is coming to the forefront. Here, we will discuss the use of energetic Ne ions in engineering graphene devices and explore the mechanical, electromechanical and chemical properties of the ion-milled devices using scanning probe microscopy (SPM). By using SPM-based techniques such as band excitation (BE) force modulation microscopy, Kelvin probe force microscopy (KPFM) and Raman spectroscopy, we demonstrate that the mechanical, electrical and optical properties of the exact same devices can be quantitatively extracted. Additionally, the effect of defects inherent in ion beam direct-write lithography, on the overall performance of the fabricated devices is elucidated.« less

  11. Surface Modification of Silicone Rubber for Adhesion Patterning of Mesenchymal Stem Cells by Water Cluster Ion Beam

    NASA Astrophysics Data System (ADS)

    Sommani, Piyanuch; Ichihashi, Gaku; Ryuto, Hiromichi; Tsuji, Hiroshi; Gotoh, Yasuhito; Takaoka, Gikan H.

    2011-01-01

    Biocompatibility of silicone rubber sheet (SR) was improved by the water cluster ion irradiation for adhesion patterning of mesenchymal stem cells (MSCs). The water cluster ions were irradiated at acceleration voltage of 6 kV and doses of 1014-1016 ions/cm2. The effect of ion dose on changes in wettability and surface atomic bonding state was observed. Compared to the unirradiated SR, about four-time smoother surface on the irradiated one was observed. Water contact angle decreased with an increase in the ion dose up to 1×1015 ions/cm2. With an increase in ion dose, XPS showed decrease of atomic carbon due to lateral sputtering effect and increase of atomic oxygen due to surface oxidation. After 7 days in vitro culture, the complete adhesion pattern of the rat MSCs was obtained on the irradiated SR at dose of 1×1015 ions/cm2, corresponding to the low contact angle of 87°. At low dose, the partial pattern on the irradiated region was observed instead.

  12. Experimental Validation of an Ion Beam Optics Code with a Visualized Ion Thruster

    NASA Astrophysics Data System (ADS)

    Nakayama, Yoshinori; Nakano, Masakatsu

    For validation of an ion beam optics code, the behavior of ion beam optics was experimentally observed and evaluated with a two-dimensional visualized ion thruster (VIT). Since the observed beam focus positions, sheath positions and measured ion beam currents were in good agreement with the numerical results, it was confirmed that the numerical model of this code was appropriated. In addition, it was also confirmed that the beam focus position was moved on center axis of grid hole according to the applied grid potentials, which differs from conventional understanding/assumption. The VIT operations may be useful not only for the validation of ion beam optics codes but also for the fundamental and intuitive understanding of the Child Law Sheath theory.

  13. Electron beam induced deposition of silicon nanostructures from a liquid phase precursor.

    PubMed

    Liu, Yin; Chen, Xin; Noh, Kyong Wook; Dillon, Shen J

    2012-09-28

    This work demonstrates electron beam induced deposition of silicon from a SiCl(4) liquid precursor in a transmission electron microscope and a scanning electron microscope. Silicon nanodots of tunable size are reproducibly grown in controlled geometries. The volume of these features increases linearly with deposition time. The results indicate that secondary electrons generated at the substrate surface serve as the primary source of silicon reduction. However, at high current densities the influence of the primary electrons is observed to retard growth. The results demonstrate a new approach to fabricating silicon nanostructures and provide fundamental insights into the mechanism for liquid phase electron beam induced deposition.

  14. Electron beam induced deposition of silicon nanostructures from a liquid phase precursor

    NASA Astrophysics Data System (ADS)

    Liu, Yin; Chen, Xin; Noh, Kyong Wook; Dillon, Shen J.

    2012-09-01

    This work demonstrates electron beam induced deposition of silicon from a SiCl4 liquid precursor in a transmission electron microscope and a scanning electron microscope. Silicon nanodots of tunable size are reproducibly grown in controlled geometries. The volume of these features increases linearly with deposition time. The results indicate that secondary electrons generated at the substrate surface serve as the primary source of silicon reduction. However, at high current densities the influence of the primary electrons is observed to retard growth. The results demonstrate a new approach to fabricating silicon nanostructures and provide fundamental insights into the mechanism for liquid phase electron beam induced deposition.

  15. Selective formation of porous silicon

    NASA Technical Reports Server (NTRS)

    Fathauer, Jones (Inventor)

    1993-01-01

    A pattern of porous silicon is produced in the surface of a silicon substrate by forming a pattern of crystal defects in said surface, preferably by applying an ion milling beam through openings in a photoresist layer to the surface, and then exposing said surface to a stain etchant, such as HF:HNO3:H20. The defected crystal will preferentially etch to form a pattern of porous silicon. When the amorphous content of the porous silicon exceeds 70 percent, the porous silicon pattern emits visible light at room temperature.

  16. Selective formation of porous silicon

    NASA Technical Reports Server (NTRS)

    Fathauer, Robert W. (Inventor); Jones, Eric W. (Inventor)

    1993-01-01

    A pattern of porous silicon is produced in the surface of a silicon substrate by forming a pattern of crystal defects in said surface, preferably by applying an ion milling beam through openings in a photoresist layer to the surface, and then exposing said surface to a stain etchant, such as HF:HNO3:H2O. The defected crystal will preferentially etch to form a pattern of porous silicon. When the amorphous content of the porous silicon exceeds 70 percent, the porous silicon pattern emits visible light at room temperature.

  17. Effects on electron scattering and resist characteristics using assisting underlayers for e-beam direct write lithography

    NASA Astrophysics Data System (ADS)

    Thrun, Xaver; Choi, Kang-Hoon; Hanisch, Norbert; Hohle, Christoph; Steidel, Katja; Guerrero, Douglas; Figueiro, Thiago; Bartha, Johann W.

    2013-03-01

    Resist processing for future technology nodes becomes more and more complex. The resist film thickness is getting thinner and hardmask concepts (trilayer) are needed for reproducible etch transfer into the stack. Additional layers between resist and substrate are influencing the electron scattering in e-beam lithography and may also improve sensitivity and resolution. In this study, bare silicon wafers with different assisting underlayers were processed in a 300 mm CMOS manufacturing environment and were exposed on a 50 keV VISTEC SB3050DW variable-shaped electron beam direct writer at Fraunhofer CNT. The underlayers are organic-inorganic hybrid coatings with different metal additives. The negative-tone resist was evaluated in terms of contrast, sensitivity, resolution and LWR/LER as a function of the stack. The interactions between resist and different assisting underlayers on e-beam direct writing will be investigated. These layers could be used to optimize the trade-off among resolution, LWR and sensitivity in future applications.

  18. Calibrating ion density profile measurements in ion thruster beam plasma

    NASA Astrophysics Data System (ADS)

    Zhang, Zun; Tang, Haibin; Ren, Junxue; Zhang, Zhe; Wang, Joseph

    2016-11-01

    The ion thruster beam plasma is characterized by high directed ion velocity (104 m/s) and low plasma density (1015 m-3). Interpretation of measurements of such a plasma based on classical Langmuir probe theory can yield a large experimental error. This paper presents an indirect method to calibrate ion density determination in an ion thruster beam plasma using a Faraday probe, a retarding potential analyzer, and a Langmuir probe. This new method is applied to determine the plasma emitted from a 20-cm-diameter Kaufman ion thruster. The results show that the ion density calibrated by the new method can be as much as 40% less than that without any ion current density and ion velocity calibration.

  19. Ions beams and ferroelectric plasma sources

    NASA Astrophysics Data System (ADS)

    Stepanov, Anton

    Near-perfect space-charge neutralization is required for the transverse compression of high perveance ion beams for ion-beam-driven warm dense matter experiments, such as the Neutralized Drift Compression eXperiment (NDCX). Neutralization can be accomplished by introducing a plasma in the beam path, which provides free electrons that compensate the positive space charge of the ion beam. In this thesis, charge neutralization of a 40 keV, perveance-dominated Ar+ beam by a Ferroelectric Plasma Source (FEPS) is investigated. First, the parameters of the ion beam, such as divergence due to the extraction optics, charge neutralization fraction, and emittance were measured. The ion beam was propagated through the FEPS plasma, and the effects of charge neutralization were inferred from time-resolved measurements of the transverse beam profile. In addition, the dependence of FEPS plasma parameters on the configuration of the driving pulser circuit was studied to optimize pulser design. An ion accelerator was constructed that produced a 30-50 keV Ar + beam with pulse duration <300 mus and dimensionless perveance Q up to 8 x 10-4. Transverse profile measurements 33 cm downstream of the ion source showed that the dependence of beam radius on Q was consistent with space charge expansion. It was concluded that the beam was perveance-dominated with a charge neutralization fraction of approximately zero in the absence of neutralizing plasma. Since beam expansion occurred primarily due to space charge, the decrease in effective perveance due to neutralization by FEPS plasma can be inferred from the reduction in beam radius. Results on propagation of the ion beam through FEPS plasma demonstrate that after the FEPS is triggered, the beam radius decreases to its neutralized value in about 5 mus. The duration of neutralization was about 10 mus at a charging voltage VFEPS = 5.5 kV and 35 mus at VFEPS = 6.5 kV. With VFEPS = 6.5 kV, the transverse current density profile 33 cm downstream

  20. Highly charged ion beams and their applications

    NASA Astrophysics Data System (ADS)

    Marler, Joan

    2018-01-01

    While much previous work with highly charged ions has been performed with the ions in the plasma state in which they were formed, beams of highly charged ions hold promise for exciting new experiments. Specifically low energy beams with a high degree of charge state purity are a prerequisite for momentum resolved cross section measurements and for efficient loading of highly charged ions into UHV traps for spectroscopy. The Clemson University facility is optimized for the delivery of such beams of highly charged ions with low kinetic energies. Near term experiments include energy resolved charge exchange with neutral targets.

  1. Production of N[sup +] ions from a multicusp ion beam apparatus

    DOEpatents

    Kango Leung; Kunkel, W.B.; Walther, S.R.

    1993-03-30

    A method of generating a high purity (at least 98%) N[sup +] ion beam using a multicusp ion source having a chamber formed by a cylindrical chamber wall surrounded by a plurality of magnets, a filament centrally disposed in said chamber, a plasma electrode having an extraction orifice at one end of the chamber, a magnetic filter having two parallel magnets spaced from said plasma electrode and dividing the chamber into arc discharge and extraction regions. The method includes ionizing nitrogen gas in the arc discharge region of the chamber, maintaining the chamber wall at a positive voltage relative to the filament and at a magnitude for an optimum percentage of N[sup +] ions in the extracted ion beams, disposing a hot liner within the chamber and near the chamber wall to limit recombination of N[sup +] ions into the N[sub 2][sup +] ions, spacing the magnets of the magnetic filter from each other for optimum percentage of N[sup 3] ions in the extracted ion beams, and maintaining a relatively low pressure downstream of the extraction orifice and of a magnitude (preferably within the range of 3-8[times]10[sup [minus]4] torr) for an optimum percentage of N[sup +] ions in the extracted ion beam.

  2. Damage to the Silicon Substrate by Reactive Ion Etching Detected by a Slow Positron Beam

    NASA Astrophysics Data System (ADS)

    Wei, Long; Tabuki, Yasushi; Tanigawa, Shoichiro

    1993-01-01

    Defects in reactive ion-etched Si have been investigated by means of a slow positron beam. A thin carbon-containing film (<30 Å) was formed on the Si surface after reactive ion etching (RIE). Vacancy-type defects, which were estimated to distribute over 1200 Å in depth by numerical fitting using the positron trapping model, were observed in the damaged subsurface region of Si. Aside from ion bombardment, ultraviolet radiation is also presumed to affect the formation of vacancies, interstitials in oxide and the formation of vacancies in Si substrate. The ionization-enhanced diffusion (IED) mechanism is expected to promote the diffusion of vacancies and interstitials into Si substrate.

  3. High-energy accelerator for beams of heavy ions

    DOEpatents

    Martin, Ronald L.; Arnold, Richard C.

    1978-01-01

    An apparatus for accelerating heavy ions to high energies and directing the accelerated ions at a target comprises a source of singly ionized heavy ions of an element or compound of greater than 100 atomic mass units, means for accelerating the heavy ions, a storage ring for accumulating the accelerated heavy ions and switching means for switching the heavy ions from the storage ring to strike a target substantially simultaneously from a plurality of directions. In a particular embodiment the heavy ion that is accelerated is singly ionized hydrogen iodide. After acceleration, if the beam is of molecular ions, the ions are dissociated to leave an accelerated singly ionized atomic ion in a beam. Extraction of the beam may be accomplished by stripping all the electrons from the atomic ion to switch the beam from the storage ring by bending it in magnetic field of the storage ring.

  4. Fundamental Investigation of Silicon Anode in Lithium-Ion Cells

    NASA Technical Reports Server (NTRS)

    Wu, James J.; Bennett, William R.

    2012-01-01

    Silicon is a promising and attractive anode material to replace graphite for high capacity lithium ion cells since its theoretical capacity is 10 times of graphite and it is an abundant element on Earth. However, there are challenges associated with using silicon as Li-ion anode due to the significant first cycle irreversible capacity loss and subsequent rapid capacity fade during cycling. Understanding solid electrolyte interphase (SEI) formation along with the lithium ion insertion/de-insertion kinetics in silicon anodes will provide greater insight into overcoming these issues, thereby lead to better cycle performance. In this paper, cyclic voltammetry and electrochemical impedance spectroscopy are used to build a fundamental understanding of silicon anodes. The results show that it is difficult to form the SEI film on the surface of a Si anode during the first cycle; the lithium ion insertion and de-insertion kinetics for Si are sluggish, and the cell internal resistance changes with the state of lithiation after electrochemical cycling. These results are compared with those for extensively studied graphite anodes. The understanding gained from this study will help to design better Si anodes, and the combination of cyclic voltammetry with impedance spectroscopy provides a useful tool to evaluate the effectiveness of the design modifications on the Si anode performance.

  5. Photon-assisted Beam Probes for Low Temperature Plasmas and Installation of Neutral Beam Probe in Helimak

    NASA Astrophysics Data System (ADS)

    Garcia de Gorordo, Alvaro; Hallock, Gary A.; Kandadai, Nirmala

    2008-11-01

    The Heavy Ion Beam Probe (HIBP) diagnostic has successfully measured the electric potential in a number of major plasma devices in the fusion community. In contrast to a Langmuir probe, the HIBP measures the exact electric potential rather than the floating potential. It is also has the advantage of being a very nonperturbing diagnostic. We propose a new photon-assisted beam probe technique that would extend the HIBP type of diagnostics into the low temperature plasma regime. We expect this method to probe plasmas colder than 10 eV. The novelty of the proposed diagnostic is a VUV laser that ionizes the probing particle. Excimer lasers produce the pulsed VUV radiation needed. The lasers on the market don't have a short enough wavelength too ionize any ion directly and so we calculate the population density of excited states in a NLTE plasma. These new photo-ionization techniques can take an instantaneous one-dimensional potential measurement of a plasma and are ideal for nonmagnitized plasmas where continuous time resolution is not required. Also the status of the Neutral Beam Probe installation on the Helimak experiment will be presented.

  6. One-Step Formation of Silicon-Graphene Composites from Silicon Sludge Waste and Graphene Oxide via Aerosol Process for Lithium Ion Batteries

    PubMed Central

    Kim, Sun Kyung; Kim, Hyekyoung; Chang, Hankwon; Cho, Bong-Gyoo; Huang, Jiaxing; Yoo, Hyundong; Kim, Hansu; Jang, Hee Dong

    2016-01-01

    Over 40% of high-purity silicon (Si) is consumed as sludge waste consisting of Si, silicon carbide (SiC) particles and metal impurities from the fragments of cutting wire mixed in ethylene glycol based cutting fluid during Si wafer slicing in semiconductor fabrication. Recovery of Si from the waste Si sludge has been a great concern because Si particles are promising high-capacity anode materials for Li ion batteries. In this study, we report a novel one-step aerosol process that not only extracts Si particles but also generates Si-graphene (GR) composites from the colloidal mixture of waste Si sludge and graphene oxide (GO) at the same time by ultrasonic atomization-assisted spray pyrolysis. This process supports many advantages such as eco-friendly, low-energy, rapid, and simple method for forming Si-GR composite. The morphology of the as-formed Si-GR composites looked like a crumpled paper ball and the average size of the composites varied from 0.6 to 0.8 μm with variation of the process variables. The electrochemical performance was then conducted with the Si-GR composites for Lithium Ion Batteries (LIBs). The Si-GR composites exhibited very high performance as Li ion battery anodes in terms of capacity, cycling stability, and Coulombic efficiency. PMID:27646853

  7. Ion Temperature Measurements in an electron beam ion trap (EBIT)

    NASA Astrophysics Data System (ADS)

    Beiersdorfer, P.; Decaux, V.; Widmann, K.

    1997-11-01

    An electron beam ion trap consists of a Penning-type cylindrical trap traversed by a high-energy (<= 200 keV), high-density (Ne <= 10^13 cm-3) electron beam. Ions are trapped by the space charge potential of the electron beam, a static potential on the end electrodes, and a 3-T axial magnetic field [1]. The ions are heated by the electron beam and leave the trap once their kinetic energy suffices to overcome the potential barriers. Using high-resolution x-ray spectroscopy, we have made systematic measurements of the temperature of Ti^20+ and Cs^45+ ions in the trap [2]. The dependence of the ion temperature on operating parameters, such as trapping potential, beam current, and neutral gas pressure, will be presented. Temperatures as low as 15.4 ± 4.4 eV and as high as 2 keV were observed. *Work performed under the auspices of the U.S.D.o.E. by Lawrence Livermore National Laboratory under contract No. W-7405-ENG-48. [1] M. Levine et al., Phys. Scripta T22, 157 (1989). [2]P. Beiersdorfer et al., PRL 77, 5356 (1996); P. Beiersdorfer, in AIP Conf. Proc. No. 389, p. 121 (1997).

  8. Focused ion beam source method and apparatus

    DOEpatents

    Pellin, Michael J.; Lykke, Keith R.; Lill, Thorsten B.

    2000-01-01

    A focused ion beam having a cross section of submicron diameter, a high ion current, and a narrow energy range is generated from a target comprised of particle source material by laser ablation. The method involves directing a laser beam having a cross section of critical diameter onto the target, producing a cloud of laser ablated particles having unique characteristics, and extracting and focusing a charged particle beam from the laser ablated cloud. The method is especially suited for producing focused ion beams for semiconductor device analysis and modification.

  9. Design study of primary ion provider for relativistic heavy ion collider electron beam ion source.

    PubMed

    Kondo, K; Kanesue, T; Tamura, J; Okamura, M

    2010-02-01

    Brookhaven National Laboratory has developed the new preinjector system, electron beam ion source (EBIS) for relativistic heavy ion collider (RHIC) and National Aeronautics and Space Administration Space Radiation Laboratory. Design of primary ion provider is an essential problem since it is required to supply beams with different ion species to multiple users simultaneously. The laser ion source with a defocused laser can provide a low charge state and low emittance ion beam, and is a candidate for the primary ion source for RHIC-EBIS. We show a suitable design with appropriate drift length and solenoid, which helps to keep sufficient total charge number with longer pulse length. The whole design of primary ion source, as well as optics arrangement, solid targets configuration and heating about target, is presented.

  10. Study of beam optics and beam halo by integrated modeling of negative ion beams from plasma meniscus formation to beam acceleration

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Miyamoto, K.; Okuda, S.; Hatayama, A.

    2013-01-14

    To understand the physical mechanism of the beam halo formation in negative ion beams, a two-dimensional particle-in-cell code for simulating the trajectories of negative ions created via surface production has been developed. The simulation code reproduces a beam halo observed in an actual negative ion beam. The negative ions extracted from the periphery of the plasma meniscus (an electro-static lens in a source plasma) are over-focused in the extractor due to large curvature of the meniscus.

  11. Gas cluster ion beam assisted NiPt germano-silicide formation on SiGe

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ozcan, Ahmet S., E-mail: asozcan@us.ibm.com; Lavoie, Christian; Jordan-Sweet, Jean

    We report the formation of very uniform and smooth Ni(Pt)Si on epitaxially grown SiGe using Si gas cluster ion beam treatment after metal-rich silicide formation. The gas cluster ion implantation process was optimized to infuse Si into the metal-rich silicide layer and lowered the NiSi nucleation temperature significantly according to in situ X-ray diffraction measurements. This novel method which leads to more uniform films can also be used to control silicide depth in ultra-shallow junctions, especially for high Ge containing devices, where silicidation is problematic as it leads to much rougher interfaces.

  12. Thermally assisted infrared multiphoton photodissociation in a quadrupole ion trap.

    PubMed

    Payne, A H; Glish, G L

    2001-08-01

    Thermally assisted infrared multiphoton photodissociation (TA-IRMPD) provides an effective means to dissociate ions in the quadrupole ion trap mass spectrometer (QITMS) without detrimentally affecting the performance of the instrument. IRMPD can offer advantages over collision-induced dissociation (CID). However, collisions with the QITMS bath gas at the standard pressure and ambient temperature cause IR-irradiated ions to lose energy faster than photons can be absorbed to induce dissociation. The low pressure required for IRMPD (< or = 10(-5) Torr) is not that required for optimal performance of the QITMS (10(-3) Torr), and sensitivity and resolution suffer. TA-IRMPD is performed with the bath gas at an elevated temperature. The higher temperature of the bath gas results in less energy lost in collisions of the IR-excited ions with the bath gas. Thermal assistance allows IRMPD to be used at or near optimal pressures, which results in an approximately 1 order of magnitude increase in signal intensity. Unlike CID, IRMPD allows small product ions, those less than about one-third the m/z of the parent ion, to be observed. IRMPD should also be more easily paired with fluctuating ion sources, as the corresponding fluctuations in resonant frequencies do not affect IRMPD. Finally, while IR irradiation nonselectively causes dissociation of all ions, TA-IRMPD can be made selective by using axial expansion to move ions away from the path of the laser beam.

  13. Formation of silicon nanodots via ion beam sputtering of ultrathin gold thin film coatings on Si

    PubMed Central

    2011-01-01

    Ion beam sputtering of ultrathin film Au coatings used as a physical catalyst for self-organization of Si nanostructures has been achieved by tuning the incident particle energy. This approach holds promise as a scalable nanomanufacturing parallel processing alternative to candidate nanolithography techniques. Structures of 11- to 14-nm Si nanodots are formed with normal incidence low-energy Ar ions of 200 eV and fluences above 2 × 1017 cm-2. In situ surface characterization during ion irradiation elucidates early stage ion mixing migration mechanism for nanodot self-organization. In particular, the evolution from gold film islands to the formation of ion-induced metastable gold silicide followed by pure Si nanodots formed with no need for impurity seeding. PMID:21711934

  14. Ion beam modification of biological materials in nanoscale

    NASA Astrophysics Data System (ADS)

    Yu, L. D.; Anuntalabhochai, S.

    2012-07-01

    Ion interaction with biological objects in nanoscale is a novel research area stemming from applications of low-energy ion beams in biotechnology and biomedicine. Although the ion beam applications in biotechnology and biomedicine have achieved great successes, many mechanisms remain unclear and many new applications are to be explored. We have carried out some research on exploring the mechanisms and new applications besides attaining ion beam induction of mutation breeding and gene transformation. In the studies on the mechanisms, we focused our investigations on the direct interaction in nanoscale between ions and biological living materials. Our research topics have included the low-energy ion range in DNA, low-energy ion or neutral beam bombardment effect on DNA topological form change and mutation, low-energy ion or neutral beam bombardment effect on the cell envelope and gene transformation, and molecular dynamics simulation of ultra-low-energy ion irradiation of DNA. In the exploration of new applications, we have started experiments on ion irradiation or bombardment, in the nanoscaled depth or area, of human cells for biomedical research. This paper introduces our experiments and reports interesting results.

  15. The optical properties of β-FeSi 2 fabricated by ion beam assisted sputtering

    NASA Astrophysics Data System (ADS)

    McKinty, C. N.; Kewell, A. K.; Sharpe, J. S.; Lourenço, M. A.; Butler, T. M.; Valizadeh, R.; Colligon, J. S.; Reeson Kirkby, K. J.; Homewood, K. P.

    2000-03-01

    β-FeSi 2 has been shown to have a minimum direct band gap of 0.87 eV [T.D. Hunt, K.J. Reeson, K.P. Homewood, S.W. Teon, R.M. Gwilliam, B.J. Sealy, Nucl. Instr. and Meth. B 84 (1994) 168-171] which leads to the opportunity for Si based opto-electronics, optical communications and optical interconnects. Electroluminescence has been reported from structures containing β-FeSi 2, which were produced by high dose ion implantation and annealing [D. Leong, M.A. Harry, K.J. Reeson, K.P. Homewood, Nature 387 (12 June 1987) 686]. In this paper we report the formation of β-FeSi 2 by ion beam assisted co-sputtering of Fe and Si in varying percentages. The layers were deposited with a varying Fe/Si ratio, with a Si capping layer applied to prevent oxidation. Separate regions of the sample were investigated at room temperature using optical absorption, to measure the band gap values. Absorption under the fundamental edge was also analysed at room temperature. Further investigations looked at the temperature dependence of the band gap and the absorption under the fundamental edge. The results showed that a variety of Fe/Si ratios produced β-FeSi 2, the formation of which was ascertained by the presence of a suitable band gap value [0.83-0.88 eV]. Absorption under the fundamental edge was shown to follow an exponential Urbach tail [C.H. Grein, S. John, Phys. Rev. B 39 (1989) 1140]. The temperature measurements are in good agreement with the Einstein model.

  16. Effects of laser fluence on silicon modification by four-beam laser interference

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhao, Le; Li, Dayou; JR3CN and IRAC, University of Bedfordshire, Luton LU1 3JU

    2015-12-21

    This paper discusses the effects of laser fluence on silicon modification by four-beam laser interference. In this work, four-beam laser interference was used to pattern single crystal silicon wafers for the fabrication of surface structures, and the number of laser pulses was applied to the process in air. By controlling the parameters of laser irradiation, different shapes of silicon structures were fabricated. The results were obtained with the single laser fluence of 354 mJ/cm{sup 2}, 495 mJ/cm{sup 2}, and 637 mJ/cm{sup 2}, the pulse repetition rate of 10 Hz, the laser exposure pulses of 30, 100, and 300, the laser wavelength of 1064 nm, andmore » the pulse duration of 7–9 ns. The effects of the heat transfer and the radiation of laser interference plasma on silicon wafer surfaces were investigated. The equations of heat flow and radiation effects of laser plasma of interfering patterns in a four-beam laser interference distribution were proposed to describe their impacts on silicon wafer surfaces. The experimental results have shown that the laser fluence has to be properly selected for the fabrication of well-defined surface structures in a four-beam laser interference process. Laser interference patterns can directly fabricate different shape structures for their corresponding applications.« less

  17. Production of N.sup.+ ions from a multicusp ion beam apparatus

    DOEpatents

    Leung, Ka-Ngo; Kunkel, Wulf B.; Walther, Steven R.

    1993-01-01

    A method of generating a high purity (at least 98%) N.sup.+ ion beam using a multicusp ion source (10) having a chamber (11) formed by a cylindrical chamber wall (12) surrounded by a plurality of magnets (13), a filament (57) centrally disposed in said chamber, a plasma electrode (36) having an extraction orifice (41) at one end of the chamber, a magnetic filter having two parallel magnets (21, 22) spaced from said plasma electrode (36) and dividing the chamber (11) into arc discharge and extraction regions. The method includes ionizing nitrogen gas in the arc discharge region of the chamber (11), maintaining the chamber wall (12) at a positive voltage relative to the filament (57) and at a magnitude for an optimum percentage of N.sup.+ ions in the extracted ion beams, disposing a hot liner (45) within the chamber and near the chamber wall (12) to limit recombination of N.sup.+ ions into the N.sub.2.sup.+ ions, spacing the magnets (21, 22) of the magnetic filter from each other for optimum percentage of N.sup.3 ions in the extracted ion beams, and maintaining a relatively low pressure downstream of the extraction orifice and of a magnitude (preferably within the range of 3-8.times.10.sup.-4 torr) for an optimum percentage of N.sup.+ ions in the extracted ion beam.

  18. Silicon-ion-implanted PMMA with nanostructured ultrathin layers for plastic electronics

    NASA Astrophysics Data System (ADS)

    Hadjichristov, G. B.; Ivanov, Tz E.; Marinov, Y. G.

    2014-12-01

    Being of interest for plastic electronics, ion-beam produced nanostructure, namely silicon ion (Si+) implanted polymethyl-methacrylate (PMMA) with ultrathin nanostructured dielectric (NSD) top layer and nanocomposite (NC) buried layer, is examined by electric measurements. In the proposed field-effect organic nanomaterial structure produced within the PMMA network by ion implantation with low energy (50 keV) Si+ at the fluence of 3.2 × 1016 cm-2 the gate NSD is ion-nanotracks-modified low-conductive surface layer, and the channel NC consists of carbon nanoclusters. In the studied ion-modified PMMA field-effect configuration, the gate NSD and the buried NC are formed as planar layers both with a thickness of about 80 nm. The NC channel of nano-clustered amorphous carbon (that is an organic semiconductor) provides a huge increase in the electrical conduction of the material in the subsurface region, but also modulates the electric field distribution in the drift region. The field effect via the gate NSD is analyzed. The most important performance parameters, such as the charge carrier field-effect mobility and amplification of this particular type of PMMA- based transconductance device with NC n-type channel and gate NSD top layer, are determined.

  19. Ion beam evaluation of silicon carbide membrane structures intended for particle detectors

    NASA Astrophysics Data System (ADS)

    Pallon, J.; Syväjärvi, M.; Wang, Q.; Yakimova, R.; Iakimov, T.; Elfman, M.; Kristiansson, P.; Nilsson, E. J. C.; Ros, L.

    2016-03-01

    Thin ion transmission detectors can be used as a part of a telescope detector for mass and energy identification but also as a pre-cell detector in a microbeam system for studies of biological effects from single ion hits on individual living cells. We investigated a structure of graphene on silicon carbide (SiC) with the purpose to explore a thin transmission detector with a very low noise level and having mechanical strength to act as a vacuum window. In order to reach very deep cavities in the SiC wafers for the preparation of the membrane in the detector, we have studied the Inductive Coupled Plasma technique to etch deep circular cavities in 325 μm prototype samples. By a special high temperature process the outermost layers of the etched SiC wafers were converted into a highly conductive graphitic layer. The produced cavities were characterized by electron microscopy, optical microscopy and proton energy loss measurements. The average membrane thickness was found to be less than 40 μm, however, with a slightly curved profile. Small spots representing much thinner membrane were also observed and might have an origin in crystal defects or impurities. Proton energy loss measurement (also called Scanning Transmission Ion Microscopy, STIM) is a well suited technique for this thickness range. This work presents the first steps of fabricating a membrane structure of SiC and graphene which may be an attractive approach as a detector due to the combined properties of SiC and graphene in a monolithic materials structure.

  20. High sensitivity charge amplifier for ion beam uniformity monitor

    DOEpatents

    Johnson, Gary W.

    2001-01-01

    An ion beam uniformity monitor for very low beam currents using a high-sensitivity charge amplifier with bias compensation. The ion beam monitor is used to assess the uniformity of a raster-scanned ion beam, such as used in an ion implanter, and utilizes four Faraday cups placed in the geometric corners of the target area. Current from each cup is integrated with respect to time, thus measuring accumulated dose, or charge, in Coulombs. By comparing the dose at each corner, a qualitative assessment of ion beam uniformity is made possible. With knowledge of the relative area of the Faraday cups, the ion flux and areal dose can also be obtained.

  1. An ion source module for the Beijing Radioactive Ion-beam Facility

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cui, B., E-mail: cui@ciae.ac.cn; Huang, Q.; Tang, B.

    2014-02-15

    An ion source module is developed for Beijing Radioactive Ion-beam Facility. The ion source module is designed to meet the requirements of remote handling. The connection and disconnection of the electricity, cooling and vacuum between the module and peripheral units can be executed without on-site manual work. The primary test of the target ion source has been carried out and a Li{sup +} beam has been extracted. Details of the ion source module and its primary test results are described.

  2. Preparation of nanowire specimens for laser-assisted atom probe tomography

    NASA Astrophysics Data System (ADS)

    Blumtritt, H.; Isheim, D.; Senz, S.; Seidman, D. N.; Moutanabbir, O.

    2014-10-01

    The availability of reliable and well-engineered commercial instruments and data analysis software has led to development in recent years of robust and ergonomic atom-probe tomographs. Indeed, atom-probe tomography (APT) is now being applied to a broader range of materials classes that involve highly important scientific and technological problems in materials science and engineering. Dual-beam focused-ion beam microscopy and its application to the fabrication of APT microtip specimens have dramatically improved the ability to probe a variety of systems. However, the sample preparation is still challenging especially for emerging nanomaterials such as epitaxial nanowires which typically grow vertically on a substrate through metal-catalyzed vapor phase epitaxy. The size, morphology, density, and sensitivity to radiation damage are the most influential parameters in the preparation of nanowire specimens for APT. In this paper, we describe a step-by-step process methodology to allow a precisely controlled, damage-free transfer of individual, short silicon nanowires onto atom probe microposts. Starting with a dense array of tiny nanowires and using focused ion beam, we employed a sequence of protective layers and markers to identify the nanowire to be transferred and probed while protecting it against Ga ions during lift-off processing and tip sharpening. Based on this approach, high-quality three-dimensional atom-by-atom maps of single aluminum-catalyzed silicon nanowires are obtained using a highly focused ultraviolet laser-assisted local electrode atom probe tomograph.

  3. First storage of ion beams in the Double Electrostatic Ion-Ring Experiment: DESIREE.

    PubMed

    Schmidt, H T; Thomas, R D; Gatchell, M; Rosén, S; Reinhed, P; Löfgren, P; Brännholm, L; Blom, M; Björkhage, M; Bäckström, E; Alexander, J D; Leontein, S; Hanstorp, D; Zettergren, H; Liljeby, L; Källberg, A; Simonsson, A; Hellberg, F; Mannervik, S; Larsson, M; Geppert, W D; Rensfelt, K G; Danared, H; Paál, A; Masuda, M; Halldén, P; Andler, G; Stockett, M H; Chen, T; Källersjö, G; Weimer, J; Hansen, K; Hartman, H; Cederquist, H

    2013-05-01

    We report on the first storage of ion beams in the Double ElectroStatic Ion Ring ExpEriment, DESIREE, at Stockholm University. We have produced beams of atomic carbon anions and small carbon anion molecules (C(n)(-), n = 1, 2, 3, 4) in a sputter ion source. The ion beams were accelerated to 10 keV kinetic energy and stored in an electrostatic ion storage ring enclosed in a vacuum chamber at 13 K. For 10 keV C2 (-) molecular anions we measure the residual-gas limited beam storage lifetime to be 448 s ± 18 s with two independent detector systems. Using the measured storage lifetimes we estimate that the residual gas pressure is in the 10(-14) mbar range. When high current ion beams are injected, the number of stored particles does not follow a single exponential decay law as would be expected for stored particles lost solely due to electron detachment in collision with the residual-gas. Instead, we observe a faster initial decay rate, which we ascribe to the effect of the space charge of the ion beam on the storage capacity.

  4. Guided ultrasonic wave beam skew in silicon wafers

    NASA Astrophysics Data System (ADS)

    Pizzolato, Marco; Masserey, Bernard; Robyr, Jean-Luc; Fromme, Paul

    2018-04-01

    In the photovoltaic industry, monocrystalline silicon wafers are employed for solar cells with high conversion efficiency. Micro-cracks induced by the cutting process in the thin wafers can lead to brittle wafer fracture. Guided ultrasonic waves would offer an efficient methodology for the in-process non-destructive testing of wafers to assess micro-crack density. The material anisotropy of the monocrystalline silicon leads to variations of the guided wave characteristics, depending on the propagation direction relative to the crystal orientation. Selective guided ultrasonic wave excitation was achieved using a contact piezoelectric transducer with custom-made wedges for the A0 and S0 Lamb wave modes and a transducer holder to achieve controlled contact pressure and orientation. The out-of-plane component of the guided wave propagation was measured using a non-contact laser interferometer. The phase slowness (velocity) of the two fundamental Lamb wave modes was measured experimentally for varying propagation directions relative to the crystal orientation and found to match theoretical predictions. Significant wave beam skew was observed experimentally, especially for the S0 mode, and investigated from 3D finite element simulations. Good agreement was found with the theoretical predictions based on nominal material properties of the silicon wafer. The important contribution of guided wave beam skewing effects for the non-destructive testing of silicon wafers was demonstrated.

  5. University of Wisconsin Ion Beam Laboratory: A facility for irradiated materials and ion beam analysis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Field, K. G.; Wetteland, C. J.; Cao, G.

    2013-04-19

    The University of Wisconsin Ion Beam Laboratory (UW-IBL) has recently undergone significant infrastructure upgrades to facilitate graduate level research in irradiated materials phenomena and ion beam analysis. A National Electrostatics Corp. (NEC) Torodial Volume Ion Source (TORVIS), the keystone upgrade for the facility, can produce currents of hydrogen ions and helium ions up to {approx}200 {mu}A and {approx}5 {mu}A, respectively. Recent upgrades also include RBS analysis packages, end station developments for irradiation of relevant material systems, and the development of an in-house touch screen based graphical user interface for ion beam monitoring. Key research facilitated by these upgrades includes irradiationmore » of nuclear fuels, studies of interfacial phenomena under irradiation, and clustering dynamics of irradiated oxide dispersion strengthened steels. The UW-IBL has also partnered with the Advanced Test Reactor National Scientific User Facility (ATR-NSUF) to provide access to the irradiation facilities housed at the UW-IBL as well as access to post irradiation facilities housed at the UW Characterization Laboratory for Irradiated Materials (CLIM) and other ATR-NSUF partner facilities. Partnering allows for rapid turnaround from proposed research to finalized results through the ATR-NSUF rapid turnaround proposal system. An overview of the UW-IBL including CLIM and relevant research is summarized.« less

  6. Plasma focus ion beam-scaling laws

    NASA Astrophysics Data System (ADS)

    Saw, S. H.

    2014-08-01

    Measurements on plasma focus ion beams include various advanced techniques producing a variety of data which has yet to produce benchmark numbers. Recent numerical experiments using an extended version of the Lee Code has produced reference numbers and scaling trends for number and energy fluence of deuteron beams as functions of stored energy E0. At the pinch exit the ion number fluence (ions m-2) and energy fluence (J m-2) computed as 2.4-7.8×1020 and 2.2-33×106 respectively were found to be independent of E0 from 0.4 - 486 kJ. This work was extended to the ion beams for various gases. The results show that, for a given plasma focus, the fluence, flux, ion number and ion current decrease from the lightest to the heaviest gas except for trend-breaking higher values for Ar fluence and flux. The energy fluence, energy flux, power flow and damage factors are relatively constant from H2 to N2 but increase for Ne, Ar, Kr and Xe due to radiative cooling and collapse effects. This paper reviews this work and in a concluding section attempts to put the accumulating large amounts of data into the form of a scaling law of beam energy Ebeam versus storage energy E0 taking the form for deuteron as: {Ebeam} = 18.2{E}01.23; where Ebeam is in J and E0 is in kJ. It is hoped that the establishment of such scaling laws places on a firm footing the reference quantitative ideas for plasma focus ion beams.

  7. Helium ion beam imaging for image guided ion radiotherapy.

    PubMed

    Martišíková, M; Gehrke, T; Berke, S; Aricò, G; Jäkel, O

    2018-06-14

    Ion beam radiotherapy provides potential for increased dose conformation to the target volume. To translate it into a clinical advantage, it is necessary to guarantee a precise alignment of the actual internal patient geometry with the treatment beam. This is in particular challenging for inter- and intrafractional variations, including movement. Ion beams have the potential for a high sensitivity imaging of the patient geometry. However, the research on suitable imaging methods is not conclusive yet. Here we summarize the research activities within the "Clinical research group heavy ion therapy" funded by the DFG (KFO214). Our aim was to develop a method for the visualization of a 1 mm thickness difference with a spatial resolution of about 1 mm at clinically applicable doses. We designed and built a dedicated system prototype for ion radiography using exclusively the pixelated semiconductor technology Timepix developed at CERN. Helium ions were chosen as imaging radiation due to their decreased scattering in comparison to protons, and lower damaging potential compared to carbon ions. The data acquisition procedure and a dedicated information processing algorithm were established. The performance of the method was evaluated at the ion beam therapy facility HIT in Germany with geometrical phantoms. The quality of the images was quantified by contrast-to-noise ratio (CNR) and spatial resolution (SR) considering the imaging dose. Using the unique method for single ion identification, degradation of the images due to the inherent contamination of the outgoing beam with light secondary fragments (hydrogen) was avoided. We demonstrated experimentally that the developed data processing increases the CNR by 350%. Consideration of the measured ion track directions improved the SR by 150%. Compared to proton radiographs at the same dose, helium radiographs exhibited 50% higher SR (0.56 ± 0.04lp/mm vs. 0.37 ± 0.02lp/mm) at a comparable CNR in the middle of the

  8. Ion beam generating apparatus

    DOEpatents

    Brown, I.G.; Galvin, J.

    1987-12-22

    An ion generating apparatus utilizing a vacuum chamber, a cathode and an anode in the chamber. A source of electrical power produces an arc or discharge between the cathode and anode. The arc is sufficient to vaporize a portion of the cathode to form a plasma. The plasma is directed to an extractor which separates the electrons from the plasma, and accelerates the ions to produce an ion beam. 10 figs.

  9. Ion-beam nitriding of steels

    NASA Technical Reports Server (NTRS)

    Salik, J.

    1984-01-01

    The application of the ion beam technique to the nitriding of steels is described. It is indicated that the technique can be successfully applied to nitriding. Some of the structural changes obtained by this technique are similar to those obtained by ion nitriding. The main difference is the absence of the iron nitride diffraction lines. It is found that the dependence of the resultant microhardness on beam voltage for super nitralloy is different from that of 304 stainless steel.

  10. Ion-beam-assisted deposition of Au nanocluster/Nb 2O 5 thin films with nonlinear optical properties

    NASA Astrophysics Data System (ADS)

    Cotell, C. M.; Schiestel, S.; Carosella, C. A.; Flom, S.; Hubler, G. K.; Knies, D. L.

    1997-05-01

    Gold nanocluster thin films (˜ 200 nm thickness) consisting of metal clusters ˜ 5 nm in size embedded in a matrix of Nb 2O 5 were deposited by ion beam-assisted deposition (IBAD) by coevaporation of Au and Nb with O 2+ ion bombardment. The microstructure and optical characteristics of these films were examined as-deposited and after annealing at 600°C. Annealing crystallized the amorphous oxide matrix and ripened the nanoclusters. A strong linear absorption at the wavelength of the surface plasmon resonance for Au developed as a result of annealing. The linear optical behavior was modeled using Mie scattering theory. Good agreement was found between the nanocluster sizes predicted by the theory and the particle sizes observed experimentally using transmission electron microscopy (TEM). The nonlinear optical (NLO) properties of the nanocluster films were probed experimentally using degenerate four wave mixing and nonlinear transmission. The wavelength was near the peak of the surface plasmon resonance as measured by VIS/UV spectroscopy. Values of | χxxxx(3)| were 7.3 × 10 -8 and 3.0 × 10 -10 esu for annealed and unannealed samples, respe The dominant mechanism for the nonlinear response was change in dielectric constant due to the generation of a distribution of hot, photoexcited electrons.

  11. Room Temperature Ion-Beam-Induced Recrystallization and Large Scale Nanopatterning.

    PubMed

    Satpati, Biswarup; Ghosh, Tanmay

    2015-02-01

    We have studied ion-induced effects in the near-surface region of two eutectic systems. Gold and Silver nanodots on Silicon (100) substrate were prepared by thermal evaporation under high vacuum condition at room temperature (RT) and irradiated with 1.5 MeV Au2+ ions at flux ~1.25 x 10(11) ions cm-2 s-1 also at RT. These samples were characterized using cross-sectional transmission electron microscopy (XTEM) and associated techniques. We have observed that gold act as catalysis in the recrystallization process of ion-beam-induced amorphous Si at room temperature and also large mass transport up to a distance of about 60 nm into the substrate. Mass transport is much beyond the size (~ 6-20 nm) of these Au nanodots. Ag nanoparticles with diameter 15-45 nm are half-way embedded into the Si substrate and does not stimulate in recrystallization. In case of Au nanoparticles upon ion irradiation, mixed phase formed only when the local composition and transient temperature during irradiation is sufficient to cause mixing in accordance with the Au-Si stable phase diagram. Spectroscopic imaging in the scanning TEM using spatially resolved electron energy loss spectroscopy provides one of the few ways to measure the real-space nanoscale mixing.

  12. Variable-spot ion beam figuring

    NASA Astrophysics Data System (ADS)

    Wu, Lixiang; Qiu, Keqiang; Fu, Shaojun

    2016-03-01

    This paper introduces a new scheme of ion beam figuring (IBF), or rather variable-spot IBF, which is conducted at a constant scanning velocity with variable-spot ion beam collimated by a variable diaphragm. It aims at improving the reachability and adaptation of the figuring process within the limits of machine dynamics by varying the ion beam spot size instead of the scanning velocity. In contrast to the dwell time algorithm in the conventional IBF, the variable-spot IBF adopts a new algorithm, which consists of the scan path programming and the trajectory optimization using pattern search. In this algorithm, instead of the dwell time, a new concept, integral etching time, is proposed to interpret the process of variable-spot IBF. We conducted simulations to verify its feasibility and practicality. The simulation results indicate the variable-spot IBF is a promising alternative to the conventional approach.

  13. Self-excitation of microwave oscillations in plasma-assisted slow-wave oscillators by an electron beam with a movable focus

    NASA Astrophysics Data System (ADS)

    Bliokh, Yu. P.; Nusinovich, G. S.; Shkvarunets, A. G.; Carmel, Y.

    2004-10-01

    Plasma-assisted slow-wave oscillators (pasotrons) operate without external magnetic fields, which makes these devices quite compact and lightweight. Beam focusing in pasotrons is provided by ions, which appear in the device due to the impact ionization of a neutral gas by beam electrons. Typically, the ionization time is on the order of the rise time of the beam current. This means that, during the rise of the current, beam focusing by ions becomes stronger. Correspondingly, a beam of electrons, which was initially diverging radially due to the self-electric field, starts to be focused by ions, and this focus moves towards the gun as the ion density increases. This feature makes the self-excitation of electromagnetic (em) oscillations in pasotrons quite different from practically all other microwave sources where em oscillations are excited by a stationary electron beam. The process of self-excitation of em oscillations has been studied both theoretically and experimentally. It is shown that in pasotrons, during the beam current rise the amount of current entering the interaction space and the beam coupling to the em field vary. As a result, the self-excitation can proceed faster than in conventional microwave sources with similar operating parameters such as the operating frequency, cavity quality-factor and the beam current and voltage.

  14. Industrial ion source technology. [for ion beam etching, surface texturing, and deposition

    NASA Technical Reports Server (NTRS)

    Kaufman, H. R.

    1977-01-01

    Plasma probe surveys were conducted in a 30-cm source to verify that the uniformity in the ion beam is the result of a corresponding uniformity in the discharge-chamber plasma. A 15 cm permanent magnet multipole ion source was designed, fabricated, and demonstrated. Procedures were investigated for texturing a variety of seed and surface materials for controlling secondary electron emission, increasing electron absorption of light, and improved attachment of biological tissue for medical implants using argon and tetrafluoromethane as the working gases. The cross section for argon-argon elastic collisions in the ion-beam energy range was calculated from interaction potentials and permits calculation of beam interaction effects that can determine system pumping requirements. The data also indicate that different optimizations of ion-beam machines will be advantageous for long and short runs, with 1 mA-hr/cm being the rough dividing line for run length. The capacity to simultaneously optimize components in an ion-beam machine for a single application, a capacity that is not evident in competitive approaches such as diode sputtering is emphasized.

  15. Low-energy mass-selected ion beam production of fragments produced from hexamethyldisilane for SiC film formation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yoshimura, Satoru, E-mail: yosimura@ppl.eng.osaka-u.ac.jp; Sugimoto, Satoshi; Kiuchi, Masato

    2016-03-14

    We have proposed an experimental methodology which makes it possible to deposit silicon carbide (SiC) films on Si substrates with a low-energy mass-selected ion beam system using hexamethyldisilane (HMD) as a gas source. In this study, one of the fragment ions produced from HMD, SiCH{sub 4}{sup +}, was mass-selected. The ion energy was approximately 100 eV. Then, the SiCH{sub 4}{sup +} ions were irradiated to a Si(100) substrate. When the temperature of the Si substrate was set at 800 °C during the ion irradiation, the X-ray diffraction and Raman spectroscopy of the substrate following the completion of ion irradiation experiment demonstrated themore » occurrence of 3C-SiC deposition.« less

  16. Ion-optical studies for a range adaptation method in ion beam therapy using a static wedge degrader combined with magnetic beam deflection.

    PubMed

    Chaudhri, Naved; Saito, Nami; Bert, Christoph; Franczak, Bernhard; Steidl, Peter; Durante, Marco; Rietzel, Eike; Schardt, Dieter

    2010-06-21

    Fast radiological range adaptation of the ion beam is essential when target motion is mitigated by beam tracking using scanned ion beams for dose delivery. Electromagnetically controlled deflection of a well-focused ion beam on a small static wedge degrader positioned between two dipole magnets, inside the beam delivery system, has been considered as a fast range adaptation method. The principle of the range adaptation method was tested in experiments and Monte Carlo simulations for the therapy beam line at the GSI Helmholtz Centre for Heavy Ions Research. Based on the simulations, ion optical settings of beam deflection and realignment of the adapted beam were experimentally applied to the beam line, and additional tuning was manually performed. Different degrader shapes were employed for the energy adaptation. Measured and simulated beam profiles, i.e. lateral distribution and range in water at isocentre, were analysed and compared with the therapy beam values for beam scanning. Deflected beam positions of up to +/-28 mm on degrader were performed which resulted in a range adaptation of up to +/-15 mm water equivalence (WE). The maximum deviation between the measured adapted range from the nominal range adaptation was below 0.4 mm WE. In experiments, the width of the adapted beam at the isocentre was adjustable between 5 and 11 mm full width at half maximum. The results demonstrate the feasibility/proof of the proposed range adaptation method for beam tracking from the beam quality point of view.

  17. Ion beam collimating grid to reduce added defects

    DOEpatents

    Lindquist, Walter B.; Kearney, Patrick A.

    2003-01-01

    A collimating grid for an ion source located after the exit grid. The collimating grid collimates the ion beamlets and disallows beam spread and limits the beam divergence during transients and steady state operation. The additional exit or collimating grid prevents beam divergence during turn-on and turn-off and prevents ions from hitting the periphery of the target where there is re-deposited material or from missing the target and hitting the wall of the vessel where there is deposited material, thereby preventing defects from being deposited on a substrate to be coated. Thus, the addition of a collimating grid to an ion source ensures that the ion beam will hit and be confined to a specific target area.

  18. Ion beam driven ion-acoustic waves in a plasma cylinder with negative ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sharma, Suresh C.; Gahlot, Ajay

    2008-07-15

    An ion beam propagating through a magnetized plasma cylinder containing K{sup +} positive ions, electrons, and SF{sub 6}{sup -} negative ions drives electrostatic ion-acoustic (IA) waves to instability via Cerenkov interaction. Two electrostatic IA wave modes in presence of K{sup +} and SF{sub 6}{sup -} ions are studied. The phase velocity of the sound wave in presence of positive and negative ions increase with the relative density of negative ions. The unstable wave frequencies and the growth rate of both the modes in presence of positive and negative ions increase with the relative density of negative ions. The growth ratemore » of both the unstable modes in presence of SF{sub 6}{sup -} and K{sup +} ions scales as the one-third power of the beam density. Numerical calculations of the phase velocity, growth rate, and mode frequencies have been carried out for the parameters of the experiment of Song et al. [Phys. Fluids B 3, 284 (1991)].« less

  19. The automated array assembly task of the low-cost silicon solar array project, phase 2

    NASA Technical Reports Server (NTRS)

    Coleman, M. G.; Pryor, R. A.; Sparks, T. G.; Legge, R.; Saltzman, D. L.

    1980-01-01

    Several specific processing steps as part of a total process sequence for manufacturing silicon solar cells were studied. Ion implantation was identified as the preferred process step for impurity doping. Unanalyzed beam ion implantation was shown to have major cost advantages over analyzed beam implantation. Further, high quality cells were fabricated using a high current unanalyzed beam. Mechanically masked plasma patterning of silicon nitride was shown to be capable of forming fine lines on silicon surfaces with spacings between mask and substrate as great as 250 micrometers. Extensive work was performed on advances in plated metallization. The need for the thick electroless palladium layer was eliminated. Further, copper was successfully utilized as a conductor layer utilizing nickel as a barrier to copper diffusion into the silicon. Plasma etching of silicon for texturing and saw damage removal was shown technically feasible but not cost effective compared to wet chemical etching techniques.

  20. Storage-ring Electron Cooler for Relativistic Ion Beams

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lin, Fanglei; Derbenev, Yaroslav; Douglas, David R.

    Application of electron cooling at ion energies above a few GeV has been limited due to reduction of electron cooling efficiency with energy and difficulty in producing and accelerating a high-current high-quality electron beam. A high-current storage-ring electron cooler offers a solution to both of these problems by maintaining high cooling beam quality through naturally-occurring synchrotron radiation damping of the electron beam. However, the range of ion energies where storage-ring electron cooling can be used has been limited by low electron beam damping rates at low ion energies and high equilibrium electron energy spread at high ion energies. This papermore » reports a development of a storage ring based cooler consisting of two sections with significantly different energies: the cooling and damping sections. The electron energy and other parameters in the cooling section are adjusted for optimum cooling of a stored ion beam. The beam parameters in the damping section are adjusted for optimum damping of the electron beam. The necessary energy difference is provided by an energy recovering SRF structure. A prototype linear optics of such storage-ring cooler is presented.« less

  1. Collective acceleration of ions in picosecond pinched electron beams

    NASA Astrophysics Data System (ADS)

    Baryshnikov, V. I.; Paperny, V. L.; Shipayev, I. V.

    2017-10-01

    Сharacteristics of intense electron-ion beams emitted by a high-voltage (280 kV) electron accelerator with a pulse duration of 200 ps and current 5 kA are studied. The capture phenomena and the subsequent collective acceleration of multi charged ions of the cathode material by the electric field of the electron beam are observed. It is shown that the electron-ion beam diameter does not exceed 30 µm therein in the case of lighter ions, and the decay of the pinched beam occurs at a shorter distance from the cathode. It is established that the ions of the cathode material Tin+ captured by the electron beam are accelerated up to an energy of  ⩽10 MeV, and the ion fluence reaches 1017 ion cm-2 in the pulse. These ions are effectively embedded into the lattice sites of the irradiated substrate (sapphire crystal), forming the luminescent areas of the micron scale.

  2. Drag of ballistic electrons by an ion beam

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gurevich, V. L.; Muradov, M. I., E-mail: mag.muradov@mail.ioffe.ru

    2015-12-15

    Drag of electrons of a one-dimensional ballistic nanowire by a nearby one-dimensional beam of ions is considered. We assume that the ion beam is represented by an ensemble of heavy ions of the same velocity V. The ratio of the drag current to the primary current carried by the ion beam is calculated. The drag current turns out to be a nonmonotonic function of velocity V. It has a sharp maximum for V near v{sub nF}/2, where n is the number of the uppermost electron miniband (channel) taking part in conduction and v{sub nF} is the corresponding Fermi velocity. Thismore » means that the phenomenon of ion beam drag can be used for investigation of the electron spectra of ballistic nanostructures. We note that whereas observation of the Coulomb drag between two parallel quantum wires may in general be complicated by phenomena such as tunneling and phonon drag, the Coulomb drag of electrons of a one-dimensional ballistic nanowire by an ion beam is free of such spurious effects.« less

  3. Silicon/Carbon Anodes with One-Dimensional Pore Structure for Lithium-Ion Batteries

    DTIC Science & Technology

    2012-02-28

    REPORT Silicon/Carbon Anodes with One-Dimensional Pore Structure for Lithium - Ion Batteries 14. ABSTRACT 16. SECURITY CLASSIFICATION OF: A series of...Dimensional Pore Structure for Lithium - Ion Batteries Report Title ABSTRACT A series of composite electrode materials have been synthesized and...1 Silicon/Carbon Anodes with One-Dimensional Pore Structure for Lithium - Ion Batteries Grant # W911NF1110231 Annual Progress report June

  4. Studies on Amorphizing Silicon Using Silicon Ion Implantation.

    DTIC Science & Technology

    1985-04-01

    for removal from their lattice site, relax or recombine with their original or other vacant lattice site. This effect is also temperature sensitive...The results should be comparable since the samples were oriented to appear like a random lattice target to the incoming ion beam. At the Avionics...times greater than 10- seconds after the impinging ion has come to Il rest. Thus any displaced atoms which relax back onto a lattice site or are able

  5. Biological effects of mixed-ion beams. Part 1: Effect of irradiation of the CHO-K1 cells with a mixed-ion beam containing the carbon and oxygen ions.

    PubMed

    Czub, Joanna; Banaś, Dariusz; Braziewicz, Janusz; Buraczewska, Iwona; Jaskóła, Marian; Kaźmierczak, Urszula; Korman, Andrzej; Lankoff, Anna; Lisowska, Halina; Szefliński, Zygmunt; Wojewódzka, Maria; Wójcik, Andrzej

    2018-05-30

    Carbon and oxygen ions were accelerated simultaneously to estimate the effect of irradiation of living cells with the two different ions. This mixed ion beam was used to irradiate the CHO-K1 cells, and a survival test was performed. The type of the effect of the mixed ion beam on the cells was determined with the isobologram method, whereby survival curves for irradiations with individual ion beams were also used. An additive effect of irradiation with the two ions was found. Copyright © 2018 Elsevier Ltd. All rights reserved.

  6. Charge breeding simulations for radioactive ion beam production

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Variale, V.; Raino, A. C.; Clauser, T.

    2012-02-15

    The charge breeding technique is used for radioactive ion beam (RIB) production in order of optimizing the re-acceleration of the radioactive element ions produced by a primary beam in a thick target. Charge breeding is achieved by means of a device capable of increasing the ion charge state from 1+ to a desired value n+. In order to get high intensity RIB, experiments with charge breeding of very high efficiency could be required. To reach this goal, the charge breeding simulation could help to optimize the high charge state production efficiency by finding more proper parameters for the radioactive 1+more » ions. In this paper a device based on an electron beam ion source (EBIS) is considered. In order to study that problem, a code already developed for studying the ion selective containment in an EBIS with RF quadrupoles, BRICTEST, has been modified to simulate the ion charge state breeding rate for different 1+ ion injection conditions. Particularly, the charge breeding simulations for an EBIS with a hollow electron beam have been studied.« less

  7. Generating High-Brightness Ion Beams for Inertial Confinement Fusion

    NASA Astrophysics Data System (ADS)

    Cuneo, M. E.

    1997-11-01

    The generation of high current density ion beams with applied-B ion diodes showed promise in the late-1980's as an efficient, rep-rate, focusable driver for inertial confinement fusion. These devices use several Tesla insulating magnetic fields to restrict electron motion across anode-cathode gaps of order 1-2 cm, while accelerating ions to generate ≈ 1 kA/cm^2, 5 - 15 MeV beams. These beams have been used to heat hohlraums to about 65 eV. However, meeting the ICF driver requirements for low-divergence and high-brightness lithium ion beams has been more technically challenging than initially thought. Experimental and theoretical work over the last 5 years shows that high-brightness beams meeting the requirements for inertial confinement fusion are possible. The production of these beams requires the simultaneous integration of at least four conditions: 1) rigorous vacuum cleaning techniques for control of undesired anode, cathode, ion source and limiter plasma formation from electrode contaminants to control impurity ions and impedance collapse; 2) carefully tailored insulating magnetic field geometry for uniform beam generation; 3) high magnetic fields (V_crit/V > 2) and other techniques to control the electron sheath and the onset of a high divergence electromagnetic instability that couples strongly to the ion beam; and 4) an active, pre-formed, uniform lithium plasma for low source divergence which is compatible with the above electron-sheath control techniques. These four conditions have never been simultaneously present in any lithium beam experiment, but simulations and experimental tests of individual conditions have been done. The integration of these conditions is a goal of the present ion beam generation program at Sandia. This talk will focus on the vacuum cleaning techniques for ion diodes and pulsed power devices in general, including experimental results obtained on the SABRE and PBFA-II accelerators over the last 3 years. The current status of

  8. Ion beam lithography system

    DOEpatents

    Leung, Ka-Ngo

    2005-08-02

    A maskless plasma-formed ion beam lithography tool provides for patterning of sub-50 nm features on large area flat or curved substrate surfaces. The system is very compact and does not require an accelerator column and electrostatic beam scanning components. The patterns are formed by switching beamlets on or off from a two electrode blanking system with the substrate being scanned mechanically in one dimension. This arrangement can provide a maskless nano-beam lithography tool for economic and high throughput processing.

  9. Chemically Etched Silicon Nanowires as Anodes for Lithium-Ion Batteries

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    West, Hannah Elise

    2015-08-01

    This study focused on silicon as a high capacity replacement anode for Lithium-ion batteries. The challenge of silicon is that it expands ~270% upon lithium insertion which causes particles of silicon to fracture, causing the capacity to fade rapidly. To account for this expansion chemically etched silicon nanowires from the University of Maine were studied as anodes. They were built into electrochemical half-cells and cycled continuously to measure the capacity and capacity fade.

  10. Ultracompact high-efficiency polarising beam splitter based on silicon nanobrick arrays.

    PubMed

    Zheng, Guoxing; Liu, Guogen; Kenney, Mitchell Guy; Li, Zile; He, Ping'an; Li, Song; Ren, Zhi; Deng, Qiling

    2016-03-21

    Since the transmission of anisotropic nano-structures is sensitive to the polarisation of an incident beam, a novel polarising beam splitter (PBS) based on silicon nanobrick arrays is proposed. With careful design of such structures, an incident beam with polarisation direction aligned with the long axis of the nanobrick is almost totally reflected (~98.5%), whilst that along the short axis is nearly totally transmitted (~94.3%). More importantly, by simply changing the width of the nanobrick we can shift the peak response wavelength from 1460 nm to 1625 nm, covering S, C and L bands of the fiber telecommunications windows. The silicon nanobrick-based PBS can find applications in many fields which require ultracompactness, high efficiency, and compatibility with semiconductor industry technologies.

  11. Mutation breeding of ornamental plants using ion beams.

    PubMed

    Yamaguchi, Hiroyasu

    2018-01-01

    Ornamental plants that have a rich variety of flower colors and shapes are highly prized in the commercial flower market, and therefore, mutant cultivars that produce different types of flowers while retaining their growth habits are in demand. Furthermore, mutation breeding is well suited for ornamental plants because many species can be easily vegetatively propagated, facilitating the production of spontaneous and induced mutants. The use of ion beams in mutation breeding has rapidly expanded since the 1990s in Japan, with the prospect that more ion beam-specific mutants will be generated. There are currently four irradiation facilities in Japan that provide ion beam irradiation for plant materials. The development of mutant cultivars using ion beams has been attempted on many ornamental plants thus far, and some species have been used to investigate the process of mutagenesis. In addition, progress is being made in clarifying the genetic mechanism for expressing important traits, which will probably result in the development of more efficient mutation breeding methods for ornamental plants. This review not only provides examples of successful mutation breeding results using ion beams, but it also describes research on mutagenesis and compares results of ion beam and gamma ray breeding using ornamental plants.

  12. Mutation breeding of ornamental plants using ion beams

    PubMed Central

    Yamaguchi, Hiroyasu

    2018-01-01

    Ornamental plants that have a rich variety of flower colors and shapes are highly prized in the commercial flower market, and therefore, mutant cultivars that produce different types of flowers while retaining their growth habits are in demand. Furthermore, mutation breeding is well suited for ornamental plants because many species can be easily vegetatively propagated, facilitating the production of spontaneous and induced mutants. The use of ion beams in mutation breeding has rapidly expanded since the 1990s in Japan, with the prospect that more ion beam-specific mutants will be generated. There are currently four irradiation facilities in Japan that provide ion beam irradiation for plant materials. The development of mutant cultivars using ion beams has been attempted on many ornamental plants thus far, and some species have been used to investigate the process of mutagenesis. In addition, progress is being made in clarifying the genetic mechanism for expressing important traits, which will probably result in the development of more efficient mutation breeding methods for ornamental plants. This review not only provides examples of successful mutation breeding results using ion beams, but it also describes research on mutagenesis and compares results of ion beam and gamma ray breeding using ornamental plants. PMID:29681749

  13. Mass spectrometer and methods of increasing dispersion between ion beams

    DOEpatents

    Appelhans, Anthony D.; Olson, John E.; Delmore, James E.

    2006-01-10

    A mass spectrometer includes a magnetic sector configured to separate a plurality of ion beams, and an electrostatic sector configured to receive the plurality of ion beams from the magnetic sector and increase separation between the ion beams, the electrostatic sector being used as a dispersive element following magnetic separation of the plurality of ion beams. Other apparatus and methods are provided.

  14. BEARS: Radioactive ion beams at LBNL

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Powell, J.; Guo, F.Q.; Haustein, P.E.

    1998-07-01

    BEARS (Berkeley Experiments with Accelerated Radioactive Species) is an initiative to develop a radioactive ion-beam capability at Lawrence Berkeley National Laboratory. The aim is to produce isotopes at an existing medical cyclotron and to accelerate them at the 88 inch Cyclotron. To overcome the 300-meter physical separation of these two accelerators, a carrier-gas transport system will be used. At the terminus of the capillary, the carrier gas will be separated and the isotopes will be injected into the 88 inch Cyclotron`s Electron Cyclotron Resonance (ECR) ion source. The first radioactive beams to be developed will include 20-min {sup 11}C andmore » 70-sec {sup 14}O, produced by (p,n) and (p,{alpha}) reactions on low-Z targets. A test program is currently being conducted at the 88 inch Cyclotron to develop the parts of the BEARS system. Preliminary results of these tests lead to projections of initial {sup 11}C beams of up to 2.5 {times} 10{sup 7} ions/sec and {sup 14}O beams of 3 {times} 10{sup 5} ions/sec.« less

  15. Formation of silicon nanowire packed films from metallurgical-grade silicon powder using a two-step metal-assisted chemical etching method.

    PubMed

    Ouertani, Rachid; Hamdi, Abderrahmen; Amri, Chohdi; Khalifa, Marouan; Ezzaouia, Hatem

    2014-01-01

    In this work, we use a two-step metal-assisted chemical etching method to produce films of silicon nanowires shaped in micrograins from metallurgical-grade polycrystalline silicon powder. The first step is an electroless plating process where the powder was dipped for few minutes in an aqueous solution of silver nitrite and hydrofluoric acid to permit Ag plating of the Si micrograins. During the second step, corresponding to silicon dissolution, we add a small quantity of hydrogen peroxide to the plating solution and we leave the samples to be etched for three various duration (30, 60, and 90 min). We try elucidating the mechanisms leading to the formation of silver clusters and silicon nanowires obtained at the end of the silver plating step and the silver-assisted silicon dissolution step, respectively. Scanning electron microscopy (SEM) micrographs revealed that the processed Si micrograins were covered with densely packed films of self-organized silicon nanowires. Some of these nanowires stand vertically, and some others tilt to the silicon micrograin facets. The thickness of the nanowire films increases from 0.2 to 10 μm with increasing etching time. Based on SEM characterizations, laser scattering estimations, X-ray diffraction (XRD) patterns, and Raman spectroscopy, we present a correlative study dealing with the effect of the silver-assisted etching process on the morphological and structural properties of the processed silicon nanowire films.

  16. Nitridation of silicon by nitrogen neutral beam

    NASA Astrophysics Data System (ADS)

    Hara, Yasuhiro; Shimizu, Tomohiro; Shingubara, Shoso

    2016-02-01

    Silicon nitridation was investigated at room temperature using a nitrogen neutral beam (NB) extracted at acceleration voltages of less than 100 V. X-ray photoelectron spectroscopy (XPS) analysis confirmed the formation of a Si3N4 layer on a Si (1 0 0) substrate when the acceleration voltage was higher than 20 V. The XPS depth profile indicated that nitrogen diffused to a depth of 36 nm for acceleration voltages of 60 V and higher. The thickness of the silicon nitrided layer increased with the acceleration voltages from 20 V to 60 V. Cross-sectional transmission electron microscopy (TEM) analysis indicated a Si3N4 layer thickness of 3.1 nm was obtained at an acceleration voltage of 100 V. Moreover, it was proved that the nitrided silicon layer formed by the nitrogen NB at room temperature was effective as the passivation film in the wet etching process.

  17. Optics of ion beams for the neutral beam injection system on HL-2A Tokamak.

    PubMed

    Zou, G Q; Lei, G J; Cao, J Y; Duan, X R

    2012-07-01

    The ion beam optics for the neutral beam injection system on HL-2A Tokomak is studied by two- dimensional numerical simulation program firstly, where the emitting surface is taken at 100 Debye lengths from the plasma electrode. The mathematical formulation, computation techniques are described. Typical ion orbits, equipotential contours, and emittance diagram are shown. For a fixed geometry electrode, the effect of plasma density, plasma potential and plasma electron temperature on ion beam optics is examined, and the calculation reliability is confirmed by experimental results. In order to improve ion beam optics, the application of a small pre-acceleration voltage (∼100 V) between the plasma electrode and the arc discharge anode is reasonable, and a lower plasma electron temperature is desired. The results allow optimization of the ion beam optics in the neutral beam injection system on HL-2A Tokomak and provide guidelines for designing future neutral beam injection system on HL-2M Tokomak.

  18. Metal-assisted chemical etch porous silicon formation method

    DOEpatents

    Li, Xiuling; Bohn, Paul W.; Sweedler, Jonathan V.

    2004-09-14

    A thin discontinuous layer of metal such as Au, Pt, or Au/Pd is deposited on a silicon surface. The surface is then etched in a solution including HF and an oxidant for a brief period, as little as a couple seconds to one hour. A preferred oxidant is H.sub.2 O.sub.2. Morphology and light emitting properties of porous silicon can be selectively controlled as a function of the type of metal deposited, Si doping type, silicon doping level, and/or etch time. Electrical assistance is unnecessary during the chemical etching of the invention, which may be conducted in the presence or absence of illumination.

  19. Structural and optical properties of silicon layers with InSb and InAs nanocrystals formed by ion-beam synthesis

    NASA Astrophysics Data System (ADS)

    Komarov, F.; Vlasukova, L.; Greben, M.; Milchanin, O.; Zuk, J.; Wesch, W.; Wendler, E.; Togambaeva, A.

    2013-07-01

    We have studied the formation of InSb and InAs precipitates with sizes of several nanometers in Si and SiO2/Si by means of implantation of (Sb + In) or (As + In) ions with energies from 170 to 350 keV and fluencies from 2.8 to 3.5 × 1016 cm-2 at 500 °C and subsequent annealing at 1050-1100 °C for 3-30 min. RBS, TEM/TED, RS and PL techniques were employed to characterize the implanted layers. A broad band in the region of 1.2-1.6 μm has been registered in the low-temperature PL spectra of both (Sb + In) and (As + In) implanted and annealed silicon crystals. It was shown that structural and optical properties of oxidized silicon crystals strongly depend on type of implanted species in silicon crystals.

  20. A Lunar-Based Spacecraft Propulsion Concept - The Ion Beam Sail

    NASA Technical Reports Server (NTRS)

    Brown, Ian G.; Lane, John E.; Youngquist, Robert C.

    2006-01-01

    We describe a concept for spacecraft propulsion by means of an energetic ion beam, with the ion source fixed at the spacecraft starting point (e.g., a lunar-based ion beam generator) and not onboard the vessel. This approach avoids the substantial mass penalty associated with the onboard ion source and power supply hardware, and vastly more energetic ion beam systems can be entertained. We estimate the ion beam parameters required for various scenarios, and consider some of the constraints limiting the concept. We find that the "ion beam sail' approach can be viable and attractive for journey distances not too great, for example within the Earth-Moon system, and could potentially provide support for journeys to the inner planets.

  1. Microfabricated Ion Beam Drivers for Magnetized Target Fusion

    NASA Astrophysics Data System (ADS)

    Persaud, Arun; Seidl, Peter; Ji, Qing; Ardanuc, Serhan; Miller, Joseph; Lal, Amit; Schenkel, Thomas

    2015-11-01

    Efficient, low-cost drivers are important for Magnetized Target Fusion (MTF). Ion beams offer a high degree of control to deliver the required mega joules of driver energy for MTF and they can be matched to several types of magnetized fuel targets, including compact toroids and solid targets. We describe an ion beam driver approach based on the MEQALAC concept (Multiple Electrostatic Quadrupole Array Linear Accelerator) with many beamlets in an array of micro-fabricated channels. The channels consist of a lattice of electrostatic quadrupoles (ESQ) for focusing and of radio-frequency (RF) electrodes for ion acceleration. Simulations with particle-in-cell and beam envelope codes predict >10x higher current densities compared to state-of-the-art ion accelerators. This increase results from dividing the total ion beam current up into many beamlets to control space charge forces. Focusing elements can be biased taking advantage of high breakdown electric fields in sub-mm structures formed using MEMS techniques (Micro-Electro-Mechanical Systems). We will present results on ion beam transport and acceleration in MEMS based beamlets. Acknowledgments: This work is supported by the U.S. DOE under Contract No. DE-AC02-05CH11231.

  2. Spectroscopic properties and radiation damage investigation of a diamond based Schottky diode for ion-beam therapy microdosimetry

    NASA Astrophysics Data System (ADS)

    Verona, C.; Magrin, G.; Solevi, P.; Grilj, V.; Jakšić, M.; Mayer, R.; Marinelli, Marco; Verona-Rinati, G.

    2015-11-01

    In this work, a detailed analysis of the properties of a novel microdosimeter based on a synthetic single crystal diamond is reported. Focused ion microbeams were used to investigate the device spectropscopic properties as well as the induced radiation damage effects. A diamond based Schottky diode was fabricated by chemical vapor deposition with a very thin detecting region, about 400 nm thick (approximately 1.4 μm water equivalent thickness), corresponding to the typical size in microdosimetric measurements. A 200 × 200 μm2 square metallic contact was patterned on the diamond surface by standard photolithography to define the sensitive area. Experimental measurements were carried out at the Ruder Bo\\vskovic' Institute microbeam facility using 4 MeV carbon and 5 MeV silicon ions. Ion beam induced charge maps were employed to characterize the microdosimeter response in terms of its charge collection properties. A stable response with no evidence of polarization or memory effects was observed up to the maximum investigated ion beam flux of about 1.7 × 109 ions.cm-2.s-1. A homogeneity of the response about 6% was found over the sensitive region with a well-defined confinement of the response within the active area. Tests of the radiation damage effect were performed by selectively irradiating small areas of the device with different ion fluences, up to about 1012 ions/cm2. An exponential decrease of the charge collection efficiency was observed with a characteristic decay constant of about 4.8 MGy and 1 MGy for C and Si ions, respectively. The experimental data were analyzed by means of GEANT4 Monte Carlo simulations. A direct correlation between the diamond damaging effect and the Non Ionizing Energy Loss (NIEL) fraction was found. In particular, an exponential decay of the charge collection efficiency with an exponential decay as a function of NIEL is observed, with a characteristic constant of about 9.3 kGy-NIEL for both carbon and silicon ions.

  3. Nitrogen incorporation in carbon nitride films produced by direct and dual ion-beam sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Abrasonis, G.; Gago, R.; Jimenez, I.

    2005-10-01

    Carbon (C) and carbon nitride (CN{sub x}) films were grown on Si(100) substrates by direct ion-beam sputtering (IBS) of a carbon target at different substrate temperatures (room temperature-450 deg. C) and Ar/N{sub 2} sputtering gas mixtures. Additionally, the effect of concurrent nitrogen-ion assistance during the growth of CN{sub x} films by IBS was also investigated. The samples were analyzed by elastic recoil detection analysis (ERDA) and x-ray absorption near-edge spectroscopy (XANES). The ERDA results showed that significant nitrogen amount (up to 20 at. %) was incorporated in the films, without any other nitrogen source but the N{sub 2}-containing sputtering gas.more » The nitrogen concentration is proportional to the N{sub 2} content in the sputtering beam and no saturation limit is reached under the present working conditions. The film areal density derived from ERDA revealed a decrease in the amount of deposited material at increasing growth temperature, with a correlation between the C and N losses. The XANES results indicate that N atoms are efficiently incorporated into the carbon network and can be found in different bonding environments, such as pyridinelike, nitrilelike, graphitelike, and embedded N{sub 2} molecules. The contribution of molecular and pyridinelike nitrogen decreases when the temperature increases while the contribution of the nitrilelike nitrogen increases. The concurrent nitrogen ion assistance resulted in the significant increase of the nitrogen content in the film but it induced a further reduction of the deposited material. Additionally, the assisting ions inhibited the formation of the nitrilelike configurations while promoting nitrogen environments in graphitelike positions. The nitrogen incorporation and release mechanisms are discussed in terms of film growth precursors, ion bombardment effects, and chemical sputtering.« less

  4. Microchip and wedge ion funnels and planar ion beam analyzers using same

    DOEpatents

    Shvartsburg, Alexandre A; Anderson, Gordon A; Smith, Richard D

    2012-10-30

    Electrodynamic ion funnels confine, guide, or focus ions in gases using the Dehmelt potential of oscillatory electric field. New funnel designs operating at or close to atmospheric gas pressure are described. Effective ion focusing at such pressures is enabled by fields of extreme amplitude and frequency, allowed in microscopic gaps that have much higher electrical breakdown thresholds in any gas than the macroscopic gaps of present funnels. The new microscopic-gap funnels are useful for interfacing atmospheric-pressure ionization sources to mass spectrometry (MS) and ion mobility separation (IMS) stages including differential IMS or FAIMS, as well as IMS and MS stages in various configurations. In particular, "wedge" funnels comprising two planar surfaces positioned at an angle and wedge funnel traps derived therefrom can compress ion beams in one dimension, producing narrow belt-shaped beams and laterally elongated cuboid packets. This beam profile reduces the ion density and thus space-charge effects, mitigating the adverse impact thereof on the resolving power, measurement accuracy, and dynamic range of MS and IMS analyzers, while a greater overlap with coplanar light or particle beams can benefit spectroscopic methods.

  5. Towards ion beam therapy based on laser plasma accelerators.

    PubMed

    Karsch, Leonhard; Beyreuther, Elke; Enghardt, Wolfgang; Gotz, Malte; Masood, Umar; Schramm, Ulrich; Zeil, Karl; Pawelke, Jörg

    2017-11-01

    Only few ten radiotherapy facilities worldwide provide ion beams, in spite of their physical advantage of better achievable tumor conformity of the dose compared to conventional photon beams. Since, mainly the large size and high costs hinder their wider spread, great efforts are ongoing to develop more compact ion therapy facilities. One promising approach for smaller facilities is the acceleration of ions on micrometre scale by high intensity lasers. Laser accelerators deliver pulsed beams with a low pulse repetition rate, but a high number of ions per pulse, broad energy spectra and high divergences. A clinical use of a laser based ion beam facility requires not only a laser accelerator providing beams of therapeutic quality, but also new approaches for beam transport, dosimetric control and tumor conformal dose delivery procedure together with the knowledge of the radiobiological effectiveness of laser-driven beams. Over the last decade research was mainly focused on protons and progress was achieved in all important challenges. Although currently the maximum proton energy is not yet high enough for patient irradiation, suggestions and solutions have been reported for compact beam transport and dose delivery procedures, respectively, as well as for precise dosimetric control. Radiobiological in vitro and in vivo studies show no indications of an altered biological effectiveness of laser-driven beams. Laser based facilities will hardly improve the availability of ion beams for patient treatment in the next decade. Nevertheless, there are possibilities for a need of laser based therapy facilities in future.

  6. Intense ion beam diagnostics for ICF

    NASA Astrophysics Data System (ADS)

    Yasuike, K.; Cuneo, M. E.; Wenger, D. F.; Bailey, J. E.; Hanson, D. L.; Mehlhorn, T. A.; Imasaki, K.; Nakai, S.; Mima, K.

    1998-11-01

    Development of diagnostic methods for high intensity ion beams for ICF is crucial for understanding the ion diode physics. At Osaka University, an arrayed pinhole camera (APC) diagnostic method had been developed to measure the proton beams with an energy of 1 MeV and a J_i. of 100 A/cm^2. on Reiden-SHVS. The APC measures spatial distributions of the beam divergence in r and θ drection and the intensity distribution. An ion image detector capable to acquire a whole temporal evolution within a shot is necessary to measure the higher intensity beams. A fast scintillator with photo-multiplier tubes has been chosen as the image detector. The detector is being tested on a single pinhole camera using a Lithium beam with a particle energy of 5 MeV, a J_i. of 0.5-1 kA/cm^2. and duration of 50 ns, which are very close to the parameters required from ICF, on the SABRE at Sandia National Labs. We will present the diagnostic design and preliminary experiments from SABRE and also present the experimental results from Reiden-SHVS.

  7. Method and apparatus for efficient photodetachment and purification of negative ion beams

    DOEpatents

    Beene, James R [Oak Ridge, TN; Liu, Yuan [Knoxville, TN; Havener, Charles C [Knoxville, TN

    2008-02-26

    Methods and apparatus are described for efficient photodetachment and purification of negative ion beams. A method of purifying an ion beam includes: inputting the ion beam into a gas-filled multipole ion guide, the ion beam including a plurality of ions; increasing a laser-ion interaction time by collisional cooling the plurality of ions using the gas-filled multipole ion guide, the plurality of ions including at least one contaminant; and suppressing the at least one contaminant by selectively removing the at least one contaminant from the ion beam by electron photodetaching at least a portion of the at least one contaminant using a laser beam.

  8. Surface modification using low energy ground state ion beams

    NASA Technical Reports Server (NTRS)

    Chutjian, Ara (Inventor); Hecht, Michael H. (Inventor); Orient, Otto J. (Inventor)

    1990-01-01

    A method of effecting modifications at the surfaces of materials using low energy ion beams of known quantum state, purity, flux, and energy is presented. The ion beam is obtained by bombarding ion-generating molecules with electrons which are also at low energy. The electrons used to bombard the ion generating molecules are separated from the ions thus obtained and the ion beam is directed at the material surface to be modified. Depending on the type of ion generating molecules used, different ions can be obtained for different types of surface modifications such as oxidation and diamond film formation. One area of application is in the manufacture of semiconductor devices from semiconductor wafers.

  9. Long-pulse beam acceleration of MeV-class H(-) ion beams for ITER NB accelerator.

    PubMed

    Umeda, N; Kashiwagi, M; Taniguchi, M; Tobari, H; Watanabe, K; Dairaku, M; Yamanaka, H; Inoue, T; Kojima, A; Hanada, M

    2014-02-01

    In order to realize neutral beam systems in International Thermonuclear Experimental Reactor whose target is to produce a 1 MeV, 200 A/m(2) during 3600 s D(-) ion beam, the electrostatic five-stages negative ion accelerator so-called "MeV accelerator" has been developed at Japan Atomic Energy Agency. To extend pulse length, heat load of the acceleration grids was reduced by controlling the ion beam trajectory. Namely, the beam deflection due to the residual magnetic field of filter magnet was suppressed with the newly developed extractor with a 0.5 mm off-set aperture displacement. The new extractor improved the deflection angle from 6 mrad to 1 mrad, resulting in the reduction of direct interception of negative ions from 23% to 15% of the total acceleration power, respectively. As a result, the pulse length of 130 A/m(2), 881 keV H(-) ion beam has been successfully extended from a previous value of 0.4 s to 8.7 s. This is the first long pulse negative ion beam acceleration over 100 MW/m(2).

  10. DUHOCAMIS: a dual hollow cathode ion source for metal ion beams.

    PubMed

    Zhao, W J; Müller, M W O; Janik, J; Liu, K X; Ren, X T

    2008-02-01

    In this paper we describe a novel ion source named DUHOCAMIS for multiply charged metal ion beams. This ion source is derived from the hot cathode Penning ion gauge ion source (JINR, Dubna, 1957). A notable characteristic is the modified Penning geometry in the form of a hollow sputter electrode, coaxially positioned in a compact bottle-magnetic field along the central magnetic line of force. The interaction of the discharge geometry with the inhomogeneous but symmetrical magnetic field enables this device to be operated as hollow cathode discharge and Penning discharge as well. The main features of the ion source are the very high metal ion efficiency (up to 25%), good operational reproducibility, flexible and efficient operations for low charged as well as highly charged ions, compact setup, and easy maintenance. For light ions, e.g., up to titanium, well-collimated beams in the range of several tens of milliamperes of pulsed ion current (1 ms, 10/s) have been reliably performed in long time runs.

  11. Spatial structure of ion beams in an expanding plasma

    NASA Astrophysics Data System (ADS)

    Aguirre, E. M.; Scime, E. E.; Thompson, D. S.; Good, T. N.

    2017-12-01

    We report spatially resolved perpendicular and parallel, to the magnetic field, ion velocity distribution function (IVDF) measurements in an expanding argon helicon plasma. The parallel IVDFs, obtained through laser induced fluorescence (LIF), show an ion beam with v ≈ 8000 m/s flowing downstream and confined to the center of the discharge. The ion beam is measurable for tens of centimeters along the expansion axis before the LIF signal fades, likely a result of metastable quenching of the beam ions. The parallel ion beam velocity slows in agreement with expectations for the measured parallel electric field. The perpendicular IVDFs show an ion population with a radially outward flow that increases with distance from the plasma axis. Structures aligned to the expanding magnetic field appear in the DC electric field, the electron temperature, and the plasma density in the plasma plume. These measurements demonstrate that at least two-dimensional and perhaps fully three-dimensional models are needed to accurately describe the spontaneous acceleration of ion beams in expanding plasmas.

  12. Prototyping of beam position monitor for medium energy beam transport section of RAON heavy ion accelerator

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jang, Hyojae, E-mail: lkcom@ibs.re.kr; Jin, Hyunchang; Jang, Ji-Ho

    2016-02-15

    A heavy ion accelerator, RAON is going to be built by Rare Isotope Science Project in Korea. Its target is to accelerate various stable ions such as uranium, proton, and xenon from electron cyclotron resonance ion source and some rare isotopes from isotope separation on-line. The beam shaping, charge selection, and modulation should be applied to the ions from these ion sources because RAON adopts a superconducting linear accelerator structure for beam acceleration. For such treatment, low energy beam transport, radio frequency quadrupole, and medium energy beam transport (MEBT) will be installed in injector part of RAON accelerator. Recently, developmentmore » of a prototype of stripline beam position monitor (BPM) to measure the position of ion beams in MEBT section is under way. In this presentation, design of stripline, electromagnetic (EM) simulation results, and RF measurement test results obtained from the prototyped BPM will be described.« less

  13. Formation of silicon nanowire packed films from metallurgical-grade silicon powder using a two-step metal-assisted chemical etching method

    PubMed Central

    2014-01-01

    In this work, we use a two-step metal-assisted chemical etching method to produce films of silicon nanowires shaped in micrograins from metallurgical-grade polycrystalline silicon powder. The first step is an electroless plating process where the powder was dipped for few minutes in an aqueous solution of silver nitrite and hydrofluoric acid to permit Ag plating of the Si micrograins. During the second step, corresponding to silicon dissolution, we add a small quantity of hydrogen peroxide to the plating solution and we leave the samples to be etched for three various duration (30, 60, and 90 min). We try elucidating the mechanisms leading to the formation of silver clusters and silicon nanowires obtained at the end of the silver plating step and the silver-assisted silicon dissolution step, respectively. Scanning electron microscopy (SEM) micrographs revealed that the processed Si micrograins were covered with densely packed films of self-organized silicon nanowires. Some of these nanowires stand vertically, and some others tilt to the silicon micrograin facets. The thickness of the nanowire films increases from 0.2 to 10 μm with increasing etching time. Based on SEM characterizations, laser scattering estimations, X-ray diffraction (XRD) patterns, and Raman spectroscopy, we present a correlative study dealing with the effect of the silver-assisted etching process on the morphological and structural properties of the processed silicon nanowire films. PMID:25349554

  14. Advanced Sulfur-Silicon Full Cell Architecture for Lithium Ion Batteries.

    PubMed

    Ye, Rachel; Bell, Jeffrey; Patino, Daisy; Ahmed, Kazi; Ozkan, Mihri; Ozkan, Cengiz S

    2017-12-08

    Lithium-ion batteries are crucial to the future of energy storage. However, the energy density of current lithium-ion batteries is insufficient for future applications. Sulfur cathodes and silicon anodes have garnered a lot of attention in the field due their high capacity potential. Although recent developments in sulfur and silicon electrodes show exciting results in half cell formats, neither electrode can act as a lithium source when put together into a full cell format. Current methods toward incorporating lithium in sulfur-silicon full cells involves prelithiating silicon or using lithium sulfide. These methods however, complicate material processing and creates safety hazards. Herein, we present a novel full cell battery architecture that bypasses the issues associated with current methods. This battery architecture gradually integrates controlled amounts of pure lithium into the system by allowing lithium the access to external circuit. A high specific energy density of 350 Wh/kg after 250 cycles at C/10 was achieved using this method. This work should pave the way for future researches into sulfur-silicon full cells.

  15. Monte Carlo study of si diode response in electron beams.

    PubMed

    Wang, Lilie L W; Rogers, David W O

    2007-05-01

    Silicon semiconductor diodes measure almost the same depth-dose distributions in both photon and electron beams as those measured by ion chambers. A recent study in ion chamber dosimetry has suggested that the wall correction factor for a parallel-plate ion chamber in electron beams changes with depth by as much as 6%. To investigate diode detector response with respect to depth, a silicon diode model is constructed and the water/silicon dose ratio at various depths in electron beams is calculated using EGSnrc. The results indicate that, for this particular diode model, the diode response per unit water dose (or water/diode dose ratio) in both 6 and 18 MeV electron beams is flat within 2% versus depth, from near the phantom surface to the depth of R50 (with calculation uncertainty <0.3%). This suggests that there must be some other correction factors for ion chambers that counter-balance the large wall correction factor at depth in electron beams. In addition, the beam quality and field-size dependence of the diode model are also calculated. The results show that the water/diode dose ratio remains constant within 2% over the electron energy range from 6 to 18 MeV. The water/diode dose ratio does not depend on field size as long as the incident electron beam is broad and the electron energy is high. However, for a very small beam size (1 X 1 cm(2)) and low electron energy (6 MeV), the water/diode dose ratio may decrease by more than 2% compared to that of a broad beam.

  16. Ion implantation reduces radiation sensitivity of metal oxide silicon /MOS/ devices

    NASA Technical Reports Server (NTRS)

    1971-01-01

    Implanting nitrogen ions improves hardening of silicon oxides 30 percent to 60 percent against ionizing radiation effects. Process reduces sensitivity, but retains stability normally shown by interfaces between silicon and thermally grown oxides.

  17. Surface microroughness of ion-beam etched optical surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Savvides, N.

    2005-03-01

    Ion-beam etching (IBE) and ion-beam figuring techniques using low-energy ion-beam sources have been applied for more than ten years in the fabrication and finishing of extremely smooth high-performance optics. We used optical interferometric techniques and atomic force microscopy to study the evolution of the surface root-mean-square (rms) microroughness, Rq, as a function of depth of a material removed (0-3000 nm) by a broad ion-beam source (Ar{sup +} ions of energy 600 eV and ion current density of 1 mA cm{sup -2}). Highly polished samples of fused silica and Zerodur (Rq{approx}3.5 A) showed a small decrease in microroughness (to 2.5 A)more » after 3000-nm IBE removal while an ultrapolished single-crystal sapphire sample (Rq{approx}1 A rms) retained its very low microroughness during IBE. Power spectral density functions over the spatial frequency interval of measurement (f=5x10{sup -3}-25 {mu}m{sup -1}) indicate that the IBE surfaces have minimal subsurface damage and low optical scatter.« less

  18. Excitation of Ion Cyclotron Waves by Ion and Electron Beams in Compensated-current System

    NASA Astrophysics Data System (ADS)

    Xiang, L.; Wu, D. J.; Chen, L.

    2018-04-01

    Ion cyclotron waves (ICWs) can play important roles in the energization of plasma particles. Charged particle beams are ubiquitous in space, and astrophysical plasmas and can effectively lead to the generation of ICWs. Based on linear kinetic theory, we consider the excitation of ICWs by ion and electron beams in a compensated-current system. We also investigate the competition between reactive and kinetic instabilities. The results show that ion and electron beams both are capable of generating ICWs. For ICWs driven by ion beams, there is a critical beam velocity, v bi c , and critical wavenumber, k z c , for a fixed beam density; the reactive instability dominates the growth of ICWs when the ion-beam velocity {v}{bi}> {v}{bi}c and the wavenumber {k}z< {k}zc, and the maximal growth rate is reached at {k}z≃ 2{k}zc/3 for a given {v}{bi}> {v}{bi}c. For the slow ion beams with {v}{bi}< {v}{bi}c, the kinetic instability can provide important growth rates of ICWs. On the other hand, ICWs driven by electron beams are excited only by the reactive instability, but require a critical velocity, {v}{be}c\\gg {v}{{A}} (the Alfvén velocity). In addition, the comparison between the approximate analytical results based on the kinetic theory and the exact numerical calculation based on the fluid model demonstrates that the reactive instabilities can well agree quantitatively with the numerical results by the fluid model. Finally, some possible applications of the present results to ICWs observed in the solar wind are briefly discussed.

  19. Ion beam microtexturing and enhanced surface diffusion

    NASA Technical Reports Server (NTRS)

    Robinson, R. S.

    1982-01-01

    Ion beam interactions with solid surfaces are discussed with particular emphasis on microtexturing induced by the deliberate deposition of controllable amounts of an impurity material onto a solid surface while simultaneously sputtering the surface with an ion beam. Experimental study of the optical properties of microtextured surfaces is described. Measurements of both absorptance as a function of wavelength and emissivity are presented. A computer code is described that models the sputtering and ion reflection processes involved in microtexture formation.

  20. High resolution energy analyzer for broad ion beam characterization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kanarov, V.; Hayes, A.; Yevtukhov, R.

    2008-09-15

    Characterization of the ion energy distribution function (IEDF) of low energy high current density ion beams by conventional retarding field and deflection type energy analyzers is limited due to finite ion beam emittance and beam space charge spreading inside the analyzer. These deficiencies are, to a large extent, overcome with the recent development of the variable-focusing retarding field energy analyzer (RFEA), which has a cylindrical focusing electrode preceding the planar retarding grid. The principal concept of this analyzer is conversion of a divergent charged particle beam into a quasiparallel beam before analyzing it by the planar retarding field. This allowsmore » analysis of the beam particle total kinetic energy distribution with greatly improved energy resolution. Whereas this concept was first applied to analyze 5-10 keV pulsed electron beams, the present authors have adapted it to analyze the energy distribution of a low energy ({<=}1 KeV) broad ion beam. In this paper we describe the RFEA design, which was modified from the original, mainly as required by the specifics of broad ion beam energy analysis, and the device experimental characterization and modeling results. Among the modifications, an orifice electrode placed in front of the RFEA provides better spatial resolution of the broad ion beam ion optics emission region and reduces the beam plasma density in the vicinity of analyzer entry. An electron repeller grid placed in front of the RFEA collector was found critical for suppressing secondary electrons, both those incoming to the collector and those released from its surface, and improved energy spectrum measurement repeatability and accuracy. The use of finer mesh single- and double-grid retarding structures reduces the retarding grid lens effect and improves the analyzer energy resolution and accuracy of the measured spectrum mean energy. However, additional analyzer component and configuration improvements did not further change the

  1. Computers and the design of ion beam optical systems

    NASA Astrophysics Data System (ADS)

    White, Nicholas R.

    Advances in microcomputers have made it possible to maintain a library of advanced ion optical programs which can be used on inexpensive computer hardware, which are suitable for the design of a variety of ion beam systems including ion implanters, giving excellent results. This paper describes in outline the steps typically involved in designing a complete ion beam system for materials modification applications. Two computer programs are described which, although based largely on algorithms which have been in use for many years, make possible detailed beam optical calculations using microcomputers, specifically the IBM PC. OPTICIAN is an interactive first-order program for tracing beam envelopes through complex optical systems. SORCERY is a versatile program for solving Laplace's and Poisson's equations by finite difference methods using successive over-relaxation. Ion and electron trajectories can be traced through these potential fields, and plots of beam emittance obtained.

  2. Development of an energy analyzer as diagnostic of beam-generated plasma in negative ion beam systems

    NASA Astrophysics Data System (ADS)

    Sartori, E.; Carozzi, G.; Veltri, P.; Spolaore, M.; Cavazzana, R.; Antoni, V.; Serianni, G.

    2017-08-01

    The measurement of the plasma potential and the energy spectrum of secondary particles in the drift region of a negative ion beam offers an insight into beam-induced plasma formation and beam transport in low pressure gasses. Plasma formation in negative-ion beam systems, and the characteristics of such a plasma are of interest especially for space charge compensation, plasma formation in neutralizers, and the development of improved schemes of beam-induced plasma neutralisers for future fusion devices. All these aspects have direct implications in the ITER Heating Neutral Beam and the operation of the prototypes, SPIDER and MITICA, and also have important role in the conceptual studies for NBI systems of DEMO, while at present experimental data are lacking. In this paper we present the design and development of an ion energy analyzer to measure the beam plasma formation and space charge compensation in negative ion beams. The diagnostic is a retarding field energy analyzer (RFEA), and will measure the transverse energy spectra of plasma molecular ions. The calculations that supported the design are reported, and a method to interpret the measurements in negative ion beam systems is also proposed. Finally, the experimental results of the first test in a magnetron plasma are presented.

  3. Accelerating Radioactive Ion Beams With REX-ISOLDE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ames, F.; Emhofer, S.; Habs, D.

    2003-08-26

    The post accelerator REX-ISOLDE is installed at the ISOLDE facility at CERN, where a broad variety of radioactive ions can be addressed. Since the end of 2001 beams at the final energy of 2.2 MeV/u are available. REX-ISOLDE uses a unique system of beam bunching and charge breeding. First a Penning trap accumulates and bunches the ions, which are delivered as a quasi-continuous beam from the ISOLDE target-ion-source, and then an electron beam ion source (EBIS) charge-breeds them to a mass-to-charge ratio below 4.5. This enables a very compact design for the following LINAC, consisting of a 4 rod RFQ,more » an IH structure and three 7-gap-resonators. The later ones allow a variation of the final energy between 0.8 and 2.2 MeV/u. Although the machine is still in the commissioning phase, first physics experiments have been done with neutron rich Na and Mg isotopes and 9Li. A total efficiency of several percent has already been obtained.« less

  4. Heavy ion beam-ionosphere interactions - Electron acceleration

    NASA Technical Reports Server (NTRS)

    Kaufmann, R. L.; Arnoldy, R. L.; Moore, T. E.; Kintner, P. M.; Cahill, L. J., Jr.

    1985-01-01

    Moore et al. (1982) described a number of unexpected effects which were observed during the first Argon Release Controlled Study (ARCS 1, or rocket flight 29:014). The present paper provides a description of detailed analyses of the interaction of the argon beam with the ionosphere. An important feature of the considered test was that all detectors and the Ar(+) gun remained attached to the rocket throughout the flight. It is pointed out that the most dramatic effect of ion gun operation on ARCS 1 involved large changes in the fluxes of electrons with energies below about 600 eV. The observations are discussed, taking into account the distribution functions, azimuth dependence, and electron and ion trajectories. Attention is given to the perpendicular ion beam, the parallel ion beam, the acceleration of downgoing and upgoing electrons, and aspects of wave generation.

  5. Comparison of mechanical characteristics of focused ion beam fabricated silicon nanowires

    NASA Astrophysics Data System (ADS)

    Ina, Ginnosuke; Fujii, Tatsuya; Kozeki, Takahiro; Miura, Eri; Inoue, Shozo; Namazu, Takahiro

    2017-06-01

    In this study, we investigate the effects of focused ion beam (FIB)-induced damage and specimen size on the mechanical properties of Si nanowires (NWs) by a microelectromechanical system (MEMS)-based tensile testing technique. By an FIB fabrication technique, three types of Si NWs, which are as-FIB-fabricated, annealed, and FIB-implanted NWs, are prepared. A sacrificial-oxidized NW is also prepared to compare the mechanical properties of these FIB-based NWs. The quasi-static uniaxial tensile tests of all the NWs are conducted by scanning electron microscopy (SEM). The fabrication process and specimen size dependences on Young’s modulus and fracture strength are observed. Annealing is effective for improving the Young’s modulus of the FIB-damaged Si. Transmission electron microscopy (TEM) suggests that the mechanism behind the process dependence on the mechanical characteristics is related to the crystallinity of the FIB-damaged portion.

  6. Temperature measurements during high flux ion beam irradiations

    DOE PAGES

    Crespillo, Miguel L.; Graham, Joseph T.; Zhang, Yanwen; ...

    2016-02-16

    A systematic study of the ion beam heating effect was performed in a temperature range of –170 to 900 °C using a 10 MeV Au 3+ ion beam and a Yttria stabilized Zirconia (YSZ) sample at a flux of 5.5 × 10 12 cm –2 s –1. Different geometric configurations of beam, sample, thermocouple positioning, and sample holder were compared to understand the heat/charge transport mechanisms responsible for the observed temperature increase. The beam heating exhibited a strong dependence on the background (initial) sample temperature with the largest temperature increases occurring at cryogenic temperatures and decreasing with increasing temperature. Comparisonmore » with numerical calculations suggests that the observed heating effect is, in reality, a predominantly electronic effect and the true temperature rise is small. Furthermore, a simple model was developed to explain this electronic effect in terms of an electrostatic potential that forms during ion irradiation. Such an artificial beam heating effect is potentially problematic in thermostated ion irradiation and ion beamanalysis apparatus, as the operation of temperature feedback systems can be significantly distorted by this effect.« less

  7. Potential biomedical applications of ion beam technology

    NASA Technical Reports Server (NTRS)

    Banks, B. A.; Weigand, A. J.; Babbush, C. A.; Vankampen, C. L.

    1976-01-01

    Electron bombardment ion thrusters used as ion sources have demonstrated a unique capability to vary the surface morphology of surgical implant materials. The microscopically rough surface texture produced by ion beam sputtering of these materials may result in improvements in the biological response and/or performance of implanted devices. Control of surface roughness may result in improved attachment of the implant to soft tissue, hard tissue, bone cement, or components deposited from blood. Potential biomedical applications of ion beam texturing discussed include: vascular prostheses, artificial heart pump diaphragms, pacemaker fixation, percutaneous connectors, orthopedic pros-thesis fixtion, and dental implants.

  8. Potential biomedical applications of ion beam technology

    NASA Technical Reports Server (NTRS)

    Banks, B. A.; Weigand, A. J.; Van Kampen, C. L.; Babbush, C. A.

    1976-01-01

    Electron bombardment ion thrusters used as ion sources have demonstrated a unique capability to vary the surface morphology of surgical implant materials. The microscopically rough surface texture produced by ion beam sputtering of these materials may result in improvements in the biological response and/or performance of implanted devices. Control of surface roughness may result in improved attachment of the implant to soft tissue, hard tissue, bone cement, or components deposited from blood. Potential biomedical applications of ion beam texturing discussed include: vascular prostheses, artificial heart pump diaphragms, pacemaker fixation, percutaneous connectors, orthopedic prosthesis fixation, and dental implants.

  9. Modeling silicon diode energy response factors for use in therapeutic photon beams.

    PubMed

    Eklund, Karin; Ahnesjö, Anders

    2009-10-21

    Silicon diodes have good spatial resolution, which makes them advantageous over ionization chambers for dosimetry in fields with high dose gradients. However, silicon diodes overrespond to low-energy photons, that are more abundant in scatter which increase with large fields and larger depths. We present a cavity-theory-based model for a general response function for silicon detectors at arbitrary positions within photon fields. The model uses photon and electron spectra calculated from fluence pencil kernels. The incident photons are treated according to their energy through a bipartition of the primary beam photon spectrum into low- and high-energy components. Primary electrons from the high-energy component are treated according to Spencer-Attix cavity theory. Low-energy primary photons together with all scattered photons are treated according to large cavity theory supplemented with an energy-dependent factor K(E) to compensate for energy variations in the electron equilibrium. The depth variation of the response for an unshielded silicon detector has been calculated for 5 x 5 cm(2), 10 x 10 cm(2) and 20 x 20 cm(2) fields in 6 and 15 MV beams and compared with measurements showing that our model calculates response factors with deviations less than 0.6%. An alternative method is also proposed, where we show that one can use a correlation with the scatter factor to determine the detector response of silicon diodes with an error of less than 3% in 6 MV and 15 MV photon beams.

  10. Beam halo collimation in heavy ion synchrotrons

    NASA Astrophysics Data System (ADS)

    Strašík, I.; Prokhorov, I.; Boine-Frankenheim, O.

    2015-08-01

    This paper presents a systematic study of the halo collimation of ion beams from proton up to uranium in synchrotrons. The projected Facility for Antiproton and Ion Research synchrotron SIS100 is used as a reference case. The concepts are separated into fully stripped (e.g., 238U92+ ) and partially stripped (e.g., 238U28+ ) ion collimation. An application of the two-stage betatron collimation system, well established for proton accelerators, is intended also for fully stripped ions. The two-stage system consists of a primary collimator (a scattering foil) and secondary collimators (bulky absorbers). Interaction of the particles with the primary collimator (scattering, momentum losses, and nuclear interactions) was simulated by using fluka. Particle-tracking simulations were performed by using mad-x. Finally, the dependence of the collimation efficiency on the primary ion species was determined. The influence of the collimation system adjustment, lattice imperfections, and beam parameters was estimated. The concept for the collimation of partially stripped ions employs a thin stripping foil in order to change their charge state. These ions are subsequently deflected towards a dump location using a beam optical element. The charge state distribution after the stripping foil was obtained from global. The ions were tracked by using mad-x.

  11. Fracture Tests of Etched Components Using a Focused Ion Beam Machine

    NASA Technical Reports Server (NTRS)

    Kuhn, Jonathan, L.; Fettig, Rainer K.; Moseley, S. Harvey; Kutyrev, Alexander S.; Orloff, Jon; Powers, Edward I. (Technical Monitor)

    2000-01-01

    Many optical MEMS device designs involve large arrays of thin (0.5 to 1 micron components subjected to high stresses due to cyclic loading. These devices are fabricated from a variety of materials, and the properties strongly depend on size and processing. Our objective is to develop standard and convenient test methods that can be used to measure the properties of large numbers of witness samples, for every device we build. In this work we explore a variety of fracture test configurations for 0.5 micron thick silicon nitride membranes machined using the Reactive Ion Etching (RIE) process. Testing was completed using an FEI 620 dual focused ion beam milling machine. Static loads were applied using a probe. and dynamic loads were applied through a piezo-electric stack mounted at the base of the probe. Results from the tests are presented and compared, and application for predicting fracture probability of large arrays of devices are considered.

  12. Preliminary result of rapid solenoid for controlling heavy-ion beam parameters of laser ion source

    DOE PAGES

    Okamura, M.; Sekine, M.; Ikeda, S.; ...

    2015-03-13

    To realize a heavy ion inertial fusion driver, we have studied a possibility of laser ion source (LIS). A LIS can provide high current high brightness heavy ion beams, however it was difficult to manipulate the beam parameters. To overcome the issue, we employed a pulsed solenoid in the plasma drift section and investigated the effect of the solenoid field on singly charged iron beams. The rapid ramping magnetic field could enhance limited time slice of the current and simultaneously the beam emittance changed accordingly. This approach may also useful to realize an ion source for HIF power plant.

  13. New ion source for KSTAR neutral beam injection system.

    PubMed

    Kim, Tae-Seong; Jeong, Seung Ho; In, Sang-Ryul

    2012-02-01

    The neutral beam injection system (NBI-1) of the KSTAR tokamak can accommodate three ion sources; however, it is currently equipped with only one prototype ion source. In the 2010 and 2011 KSTAR campaigns, this ion source supplied deuterium neutral beam power of 0.7-1.6 MW to the KSTAR plasma with a beam energy of 70-100 keV. A new ion source will be prepared for the 2012 KSTAR campaign with a much advanced performance compared with the previous one. The newly designed ion source has a very large transparency (∼56%) without deteriorating the beam optics, which is designed to deliver a 2 MW injection power of deuterium beams at 100 keV. The plasma generator of the ion source is of a horizontally cusped bucket type, and the whole inner wall, except the cathode filaments and plasma grid side, functions as an anode. The accelerator assembly consists of four multi-circular aperture grids made of copper and four electrode flanges made of aluminum alloy. The electrodes are insulated using PEEK. The ion source will be completed and tested in 2011.

  14. First spatial separation of a heavy ion isomeric beam with a multiple-reflection time-of-flight mass spectrometer

    NASA Astrophysics Data System (ADS)

    Dickel, T.; Plaß, W. R.; Ayet San Andres, S.; Ebert, J.; Geissel, H.; Haettner, E.; Hornung, C.; Miskun, I.; Pietri, S.; Purushothaman, S.; Reiter, M. P.; Rink, A.-K.; Scheidenberger, C.; Weick, H.; Dendooven, P.; Diwisch, M.; Greiner, F.; Heiße, F.; Knöbel, R.; Lippert, W.; Moore, I. D.; Pohjalainen, I.; Prochazka, A.; Ranjan, M.; Takechi, M.; Winfield, J. S.; Xu, X.

    2015-05-01

    211Po ions in the ground and isomeric states were produced via 238U projectile fragmentation at 1000 MeV/u. The 211Po ions were spatially separated in flight from the primary beam and other reaction products by the fragment separator FRS. The ions were energy-bunched, slowed-down and thermalized in a gas-filled cryogenic stopping cell (CSC). They were then extracted from the CSC and injected into a high-resolution multiple-reflection time-of-flight mass spectrometer (MR-TOF-MS). The excitation energy of the isomer and, for the first time, the isomeric-to-ground state ratio were determined from the measured mass spectrum. In the subsequent experimental step, the isomers were spatially separated from the ions in the ground state by an ion deflector and finally collected with a silicon detector for decay spectroscopy. This pioneering experimental result opens up unique perspectives for isomer-resolved studies. With this versatile experimental method new isomers with half-lives longer than a few milliseconds can be discovered and their decay properties can be measured with highest sensitivity and selectivity. These experiments can be extended to studies with isomeric beams in nuclear reactions.

  15. Water-assisted pulsed Er:YAG laser interaction with silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Jaehun; Ki, Hyungson, E-mail: hski@unist.ac.kr

    2015-07-07

    Silicon is virtually transparent to the Er:YAG laser with a wavelength of 2.94 μm. In this study, we report that moderately doped silicon (1–10 Ω cm) can be processed by a pulsed Er:YAG laser with a pulse duration of 350 μs and a peak laser intensity of 1.7 × 10{sup 5} W/cm{sup 2} by applying a thin water layer on top of silicon as a light absorbing medium. In this way, water is heated first by strongly absorbing the laser energy and then heats up the silicon wafer indirectly. As the silicon temperature rises, the free carrier concentration and therefore the absorption coefficient of silicon willmore » increase significantly, which may enable the silicon to get directly processed by the Er:YAG laser when the water is vaporized completely. We also believe that the change in surface morphology after melting could contribute to the increase in the laser beam absorptance. It was observed that 525 nm-thick p-type wafer specimens were fully penetrated after 15 laser pulses were irradiated. Bright yellow flames were observed during the process, which indicates that the silicon surface reached the melting point.« less

  16. Numerical study of neutron beam divergence in a beam-fusion scenario employing laser driven ions

    NASA Astrophysics Data System (ADS)

    Alejo, A.; Green, A.; Ahmed, H.; Robinson, A. P. L.; Cerchez, M.; Clarke, R.; Doria, D.; Dorkings, S.; Fernandez, J.; McKenna, P.; Mirfayzi, S. R.; Naughton, K.; Neely, D.; Norreys, P.; Peth, C.; Powell, H.; Ruiz, J. A.; Swain, J.; Willi, O.; Borghesi, M.; Kar, S.

    2016-09-01

    The most established route to create a laser-based neutron source is by employing laser accelerated, low atomic-number ions in fusion reactions. In addition to the high reaction cross-sections at moderate energies of the projectile ions, the anisotropy in neutron emission is another important feature of beam-fusion reactions. Using a simple numerical model based on neutron generation in a pitcher-catcher scenario, anisotropy in neutron emission was studied for the deuterium-deuterium fusion reaction. Simulation results are consistent with the narrow-divergence (∼ 70 ° full width at half maximum) neutron beam recently served in an experiment employing multi-MeV deuteron beams of narrow divergence (up to 30° FWHM, depending on the ion energy) accelerated by a sub-petawatt laser pulse from thin deuterated plastic foils via the Target Normal Sheath Acceleration mechanism. By varying the input ion beam parameters, simulations show that a further improvement in the neutron beam directionality (i.e. reduction in the beam divergence) can be obtained by increasing the projectile ion beam temperature and cut-off energy, as expected from interactions employing higher power lasers at upcoming facilities.

  17. Generation of multicomponent ion beams by a vacuum arc ion source with compound cathode.

    PubMed

    Savkin, K P; Yushkov, Yu G; Nikolaev, A G; Oks, E M; Yushkov, G Yu

    2010-02-01

    This paper presents the results of time-of-flight mass spectrometry studies of the elemental and mass-to-charge state compositions of metal ion beams produced by a vacuum arc ion source with compound cathode (WC-Co(0.5), Cu-Cr(0.25), Ti-Cu(0.1)). We found that the ion beam composition agrees well with the stoichiometric composition of the cathode material from which the beam is derived, and the maximum ion charge state of the different plasma components is determined by the ionization capability of electrons within the cathode spot plasma, which is common to all components. The beam mass-to-charge state spectrum from a compound cathode features a greater fraction of multiply charged ions for those materials with lower electron temperature in the vacuum arc cathode spot, and a smaller fraction for those with higher electron temperature within the spot. We propose a potential diagram method for determination of attainable ion charge states for all components of the compound cathodes.

  18. Methods and apparatus for altering material using ion beams

    DOEpatents

    Bloomquist, Douglas D.; Buchheit, Rudy; Greenly, John B.; McIntyre, Dale C.; Neau, Eugene L.; Stinnett, Regan W.

    1996-01-01

    A method and apparatus for treating material surfaces using a repetitively pulsed ion beam. In particular, a method of treating magnetic material surfaces in order to reduce surface defects, and produce amorphous fine grained magnetic material with properties that can be tailored by adjusting treatment parameters of a pulsed ion beam. In addition to a method of surface treating materials for wear and corrosion resistance using pulsed particle ion beams.

  19. Production of highly charged ion beams with SECRALa)

    NASA Astrophysics Data System (ADS)

    Sun, L. T.; Zhao, H. W.; Lu, W.; Zhang, X. Z.; Feng, Y. C.; Li, J. Y.; Cao, Y.; Guo, X. H.; Ma, H. Y.; Zhao, H. Y.; Shang, Y.; Ma, B. H.; Wang, H.; Li, X. X.; Jin, T.; Xie, D. Z.

    2010-02-01

    Superconducting electron cyclotron resonance ion source with advanced design in Lanzhou (SECRAL) is an all-superconducting-magnet electron cyclotron resonance ion source (ECRIS) for the production of intense highly charged ion beams to meet the requirements of the Heavy Ion Research Facility in Lanzhou (HIRFL). To further enhance the performance of SECRAL, an aluminum chamber has been installed inside a 1.5 mm thick Ta liner used for the reduction of x-ray irradiation at the high voltage insulator. With double-frequency (18+14.5 GHz) heating and at maximum total microwave power of 2.0 kW, SECRAL has successfully produced quite a few very highly charged Xe ion beams, such as 10 e μA of Xe37+, 1 e μA of Xe43+, and 0.16 e μA of Ne-like Xe44+. To further explore the capability of the SECRAL in the production of highly charged heavy metal ion beams, a first test run on bismuth has been carried out recently. The main goal is to produce an intense Bi31+ beam for HIRFL accelerator and to have a feel how well the SECRAL can do in the production of very highly charged Bi beams. During the test, though at microwave power less than 3 kW, more than 150 e μA of Bi31+, 22 e μA of Bi41+, and 1.5 e μA of Bi50+ have been produced. All of these results have again demonstrated the great capability of the SECRAL source. This article will present the detailed results and brief discussions to the production of highly charged ion beams with SECRAL.

  20. Phonon-Assisted Optical Absorption in Silicon from First Principles

    NASA Astrophysics Data System (ADS)

    Noffsinger, Jesse; Kioupakis, Emmanouil; Van de Walle, Chris G.; Louie, Steven G.; Cohen, Marvin L.

    2012-04-01

    The phonon-assisted interband optical absorption spectrum of silicon is calculated at the quasiparticle level entirely from first principles. We make use of the Wannier interpolation formalism to determine the quasiparticle energies, as well as the optical transition and electron-phonon coupling matrix elements, on fine grids in the Brillouin zone. The calculated spectrum near the onset of indirect absorption is in very good agreement with experimental measurements for a range of temperatures. Moreover, our method can accurately determine the optical absorption spectrum of silicon in the visible range, an important process for optoelectronic and photovoltaic applications that cannot be addressed with simple models. The computational formalism is quite general and can be used to understand the phonon-assisted absorption processes in general.

  1. Ion-beam apparatus and method for analyzing and controlling integrated circuits

    DOEpatents

    Campbell, A.N.; Soden, J.M.

    1998-12-01

    An ion-beam apparatus and method for analyzing and controlling integrated circuits are disclosed. The ion-beam apparatus comprises a stage for holding one or more integrated circuits (ICs); a source means for producing a focused ion beam; and a beam-directing means for directing the focused ion beam to irradiate a predetermined portion of the IC for sufficient time to provide an ion-beam-generated electrical input signal to a predetermined element of the IC. The apparatus and method have applications to failure analysis and developmental analysis of ICs and permit an alteration, control, or programming of logic states or device parameters within the IC either separate from or in combination with applied electrical stimulus to the IC for analysis thereof. Preferred embodiments of the present invention including a secondary particle detector and an electron floodgun further permit imaging of the IC by secondary ions or electrons, and allow at least a partial removal or erasure of the ion-beam-generated electrical input signal. 4 figs.

  2. Ion-beam apparatus and method for analyzing and controlling integrated circuits

    DOEpatents

    Campbell, Ann N.; Soden, Jerry M.

    1998-01-01

    An ion-beam apparatus and method for analyzing and controlling integrated circuits. The ion-beam apparatus comprises a stage for holding one or more integrated circuits (ICs); a source means for producing a focused ion beam; and a beam-directing means for directing the focused ion beam to irradiate a predetermined portion of the IC for sufficient time to provide an ion-beam-generated electrical input signal to a predetermined element of the IC. The apparatus and method have applications to failure analysis and developmental analysis of ICs and permit an alteration, control, or programming of logic states or device parameters within the IC either separate from or in combination with applied electrical stimulus to the IC for analysis thereof. Preferred embodiments of the present invention including a secondary particle detector and an electron floodgun further permit imaging of the IC by secondary ions or electrons, and allow at least a partial removal or erasure of the ion-beam-generated electrical input signal.

  3. Synthesis and electrochemical characterization of Silicon clathrates as anode materials for Lithium ion batteries

    NASA Astrophysics Data System (ADS)

    Raghavan, Rahul

    Novel materials for Li-ion batteries is one of the principle thrust areas for current research in energy storage, more so than most, considering its widespread use in portable electronic gadgets and plug-in electric and hybrid cars. One of the major limiting factors in a Li-ion battery's energy density is the low specific capacities of the active materials in the electrodes. In the search for high-performance anode materials for Li-ion batteries, many alternatives to carbonaceous materials have been studied. Both cubic and amorphous silicon can reversibly alloy with lithium and have a theoretical capacity of 3500 mAh/g, making silicon a potential high density anode material. However, a large volume expansion of 300% occurs due to changes in the structure during lithium insertion, often leading to pulverization of the silicon. To this end, a class of silicon based cage compounds called clathrates are studied for electrochemical reactivity with lithium. Silicon-clathrates consist of silicon covalently bonded in cage structures comprised of face sharing Si20, Si24 and/or Si28 clusters with guest ions occupying the interstitial positions in the polyhedra. Prior to this, silicon clathrates have been studied primarily for their superconducting and thermoelectric properties. In this work, the synthesis and electrochemical characterization of two categories of silicon clathrates - Type-I silicon clathrate with aluminum framework substitution and barium guest ions (Ba8AlxSi46-x) and Type-II silicon clathrate with sodium guest ions (Nax Si136), are explored. The Type-I clathrate, Ba8AlxSi46-x consists of an open framework of aluminium and silicon, with barium (guest) atoms occupying the interstitial positions. X-ray diffraction studies have shown that a crystalline phase of clathrate is obtained from synthesis, which is powdered to a fine particle size to be used as the anode material in a Li-ion battery. Electrochemical measurements of these type of clathrates have shown

  4. Investigation of the mechanism of impurity assisted nanoripple formation on Si induced by low energy ion beam erosion

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koyiloth Vayalil, Sarathlal, E-mail: sarathlal.koyilothvayalil@desy.de; UGC-DAE Consortium for Scientific Research, University Campus, Khandwa Road, Indore 452017; Gupta, Ajay

    A detailed mechanism of the nanoripple pattern formation on Si substrates generated by the simultaneous incorporation of pure Fe impurities at low energy (1 keV) ion beam erosion has been studied. To understand and clarify the mechanism of the pattern formation, a comparative analysis of the samples prepared for various ion fluence values using two complimentary methods for nanostructure analysis, atomic force microscopy, and grazing incidence small angle x-ray scattering has been done. We observed that phase separation of the metal silicide formed during the erosion does not precede the ripple formation. It rather concurrently develops along with the ripple structure.more » Our work is able to differentiate among various models existing in the literature and provides an insight into the mechanism of pattern formation under ion beam erosion with impurity incorporation.« less

  5. High brilliance negative ion and neutral beam source

    DOEpatents

    Compton, Robert N.

    1991-01-01

    A high brilliance mass selected (Z-selected) negative ion and neutral beam source having good energy resolution. The source is based upon laser resonance ionization of atoms or molecules in a small gaseous medium followed by charge exchange through an alkali oven. The source is capable of producing microampere beams of an extremely wide variety of negative ions, and milliampere beams when operated in the pulsed mode.

  6. Kinked silicon nanowires-enabled interweaving electrode configuration for lithium-ion batteries.

    PubMed

    Sandu, Georgiana; Coulombier, Michael; Kumar, Vishank; Kassa, Hailu G; Avram, Ionel; Ye, Ran; Stopin, Antoine; Bonifazi, Davide; Gohy, Jean-François; Leclère, Philippe; Gonze, Xavier; Pardoen, Thomas; Vlad, Alexandru; Melinte, Sorin

    2018-06-28

    A tri-dimensional interweaving kinked silicon nanowires (k-SiNWs) assembly, with a Ni current collector co-integrated, is evaluated as electrode configuration for lithium ion batteries. The large-scale fabrication of k-SiNWs is based on a procedure for continuous metal assisted chemical etching of Si, supported by a chemical peeling step that enables the reuse of the Si substrate. The kinks are triggered by a simple, repetitive etch-quench sequence in a HF and H 2 O 2 -based etchant. We find that the inter-locking frameworks of k-SiNWs and multi-walled carbon nanotubes exhibit beneficial mechanical properties with a foam-like behavior amplified by the kinks and a suitable porosity for a minimal electrode deformation upon Li insertion. In addition, ionic liquid electrolyte systems associated with the integrated Ni current collector repress the detrimental effects related to the Si-Li alloying reaction, enabling high cycling stability with 80% capacity retention (1695 mAh/g Si ) after 100 cycles. Areal capacities of 2.42 mAh/cm 2 (1276 mAh/g electrode ) can be achieved at the maximum evaluated thickness (corresponding to 1.3 mg Si /cm 2 ). This work emphasizes the versatility of the metal assisted chemical etching for the synthesis of advanced Si nanostructures for high performance lithium ion battery electrodes.

  7. Ultra-fast vapour-liquid-solid synthesis of Si nanowires using ion-beam implanted gallium as catalyst.

    PubMed

    Hetzel, Martin; Lugstein, Alois; Zeiner, Clemens; Wójcik, Tomasz; Pongratz, Peter; Bertagnolli, Emmerich

    2011-09-30

    The feasibility of gallium as a catalyst for vapour-liquid-solid (VLS) nanowire (NW) growth deriving from an implantation process in silicon by a focused ion beam (FIB) is investigated. Si(100) substrates are subjected to FIB implantation of gallium ions with various ion fluence rates. NW growth is performed in a hot wall chemical vapour deposition (CVD) reactor at temperatures between 400 and 500 °C with 2% SiH(4)/He as precursor gas. This process results in ultra-fast growth of (112)- and (110)-oriented Si-NWs with a length of several tens of micrometres. Further investigation by transmission electron microscopy indicates the presence of a NW core-shell structure: while the NW core yields crystalline structuring, the shell consists entirely of amorphous material.

  8. Dual-beam focused ion beam/electron microscopy processing and metrology of redeposition during ion-surface 3D interactions, from micromachining to self-organized picostructures.

    PubMed

    Moberlychan, Warren J

    2009-06-03

    Focused ion beam (FIB) tools have become a mainstay for processing and metrology of small structures. In order to expand the understanding of an ion impinging a surface (Sigmund sputtering theory) to our processing of small structures, the significance of 3D boundary conditions must be realized. We consider ion erosion for patterning/lithography, and optimize yields using the angle of incidence and chemical enhancement, but we find that the critical 3D parameters are aspect ratio and redeposition. We consider focused ion beam sputtering for micromachining small holes through membranes, but we find that the critical 3D considerations are implantation and redeposition. We consider ion beam self-assembly of nanostructures, but we find that control of the redeposition by ion and/or electron beams enables the growth of nanostructures and picostructures.

  9. Experimental investigation of the response of an amorphous silicon EPID to intensity modulated radiotherapy beams.

    PubMed

    Greer, Peter B; Vial, Philip; Oliver, Lyn; Baldock, Clive

    2007-11-01

    The aim of this work was to experimentally determine the difference in response of an amorphous silicon (a-Si) electronic portal imaging device (EPID) to the open and multileaf collimator (MLC) transmitted beam components of intensity modulated radiation therapy (IMRT) beams. EPID dose response curves were measured for open and MLC transmitted (MLCtr) 10 x 10 cm2 beams at central axis and with off axis distance using a shifting field technique. The EPID signal was obtained by replacing the flood-field correction with a pixel sensitivity variation matrix correction. This signal, which includes energy-dependent response, was then compared to ion-chamber measurements. An EPID calibration method to remove the effect of beam energy variations on EPID response was developed for IMRT beams. This method uses the component of open and MLCtr fluence to an EPID pixel calculated from the MLC delivery file and applies separate radially dependent calibration factors for each component. The calibration procedure does not correct for scatter differences between ion chamber in water measurements and EPID response; these must be accounted for separately with a kernel-based approach or similar method. The EPID response at central axis for the open beam was found to be 1.28 +/- 0.03 of the response for the MLCtr beam, with the ratio increasing to 1.39 at 12.5 cm off axis. The EPID response to MLCtr radiation did not change with off-axis distance. Filtering the beam with copper plates to reduce the beam energy difference between open and MLCtr beams was investigated; however, these were not effective at reducing EPID response differences. The change in EPID response for uniform sliding window IMRT beams with MLCtr dose components from 0.3% to 69% was predicted to within 2.3% using the separate EPID response calibration factors for each dose component. A clinical IMRT image calibrated with this method differed by nearly 30% in high MLCtr regions from an image calibrated with an open

  10. Ion Beam Characterization of a NEXT Multi-Thruster Array Plume

    NASA Technical Reports Server (NTRS)

    Pencil, Eric J.; Foster, John E.; Patterson, Michael J.; Diaz, Esther M.; Van Noord, Jonathan L.; McEwen, Heather K.

    2006-01-01

    Three operational, engineering model, 7-kW ion thrusters and one instrumented, dormant thruster were installed in a cluster array in a large vacuum facility at NASA Glenn Research Center. A series of engineering demonstration tests were performed to evaluate the system performance impacts of operating various multiple-thruster configurations in an array. A suite of diagnostics was installed to investigate multiple-thruster operation impact on thruster performance and life, thermal interactions, and alternative system modes and architectures. The ion beam characterization included measuring ion current density profiles and ion energy distribution with Faraday probes and retarding potential analyzers, respectively. This report focuses on the ion beam characterization during single thruster operation, multiple thruster operation, various neutralizer configurations, and thruster gimbal articulation. Comparison of beam profiles collected during single and multiple thruster operation demonstrated the utility of superimposing single engine beam profiles to predict multi-thruster beam profiles. High energy ions were detected in the region 45 off the thruster axis, independent of thruster power, number of operating thrusters, and facility background pressure, which indicated that the most probable ion energy was not effected by multiple-thruster operation. There were no significant changes to the beam profiles collected during alternate thruster-neutralizer configurations, therefore supporting the viability of alternative system configuration options. Articulation of one thruster shifted its beam profile, whereas the beam profile of a stationary thruster nearby did not change, indicating there were no beam interactions which was consistent with the behavior of a collisionless beam expansion.

  11. Monte Carlo simulations of nanoscale focused neon ion beam sputtering.

    PubMed

    Timilsina, Rajendra; Rack, Philip D

    2013-12-13

    A Monte Carlo simulation is developed to model the physical sputtering of aluminum and tungsten emulating nanoscale focused helium and neon ion beam etching from the gas field ion microscope. Neon beams with different beam energies (0.5-30 keV) and a constant beam diameter (Gaussian with full-width-at-half-maximum of 1 nm) were simulated to elucidate the nanostructure evolution during the physical sputtering of nanoscale high aspect ratio features. The aspect ratio and sputter yield vary with the ion species and beam energy for a constant beam diameter and are related to the distribution of the nuclear energy loss. Neon ions have a larger sputter yield than the helium ions due to their larger mass and consequently larger nuclear energy loss relative to helium. Quantitative information such as the sputtering yields, the energy-dependent aspect ratios and resolution-limiting effects are discussed.

  12. Electron cyclotron resonance ion source experience at the Heidelberg Ion Beam Therapy Centera)

    NASA Astrophysics Data System (ADS)

    Winkelmann, T.; Cee, R.; Haberer, T.; Naas, B.; Peters, A.; Scheloske, S.; Spädtke, P.; Tinschert, K.

    2008-02-01

    Radiotherapy with heavy ions is an upcoming cancer treatment method with to date unparalleled precision. It associates higher control rates particularly for radiation resistant tumor species with reduced adverse effects compared to conventional photon therapy. The accelerator beam lines and structures of the Heidelberg Ion Beam Therapy Center (HIT) have been designed under the leadership of GSI, Darmstadt with contributions of the IAP Frankfurt. Currently, the accelerator is under commissioning, while the injector linac has been completed. When the patient treatment begins in 2008, HIT will be the first medical heavy ion accelerator in Europe. This presentation will provide an overview about the project, with special attention given to the 14.5GHz electron cyclotron resonance (ECR) ion sources in operation with carbon, hydrogen, helium, and oxygen, and the experience of one year of continuous operation. It also displays examples for beam emittances, measured in the low energy beam transport. In addition to the outlook of further developments at the ECR ion sources for a continuously stable operation, this paper focuses on some of the technical processings of the past year.

  13. Neutralization of an ion beam from the end-Hall ion source by a plasma electron source based on a discharge in crossed E × H fields

    NASA Astrophysics Data System (ADS)

    Dostanko, A. P.; Golosov, D. A.

    2009-10-01

    The possibility of using a plasma electron source (PES) with a discharge in crossed E × H field for compensating the ion beam from an end-Hall ion source (EHIS) is analyzed. The PES used as a neutralizer is mounted in the immediate vicinity of the EHIS ion generation and acceleration region at 90° to the source axis. The behavior of the discharge and emission parameters of the EHIS is determined for operation with a filament neutralizer and a plasma electron source. It is found that the maximal discharge current from the ion source attains a value of 3.8 A for operation with a PES and 4 A for operation with a filament compensator. It is established that the maximal discharge current for the ion source strongly depends on the working gas flow rate for low flow rates (up to 10 ml/min) in the EHIS; for higher flow rates, the maximum discharge current in the EHIS depends only on the emissivity of the PES. Analysis of the emission parameters of EHISs with filament and plasma neutralizers shows that the ion beam current and the ion current density distribution profile are independent of the type of the electron source and the ion current density can be as high as 0.2 mA/cm2 at a distance of 25 cm from the EHIS anode. The balance of currents in the ion source-electron source system is considered on the basis of analysis of operation of EHISs with various sources of electrons. It is concluded that the neutralization current required for operation of an ion source in the discharge compensation mode must be equal to or larger than the discharge current of the ion source. The use of PES for compensating the ion beam from an end-Hall ion source proved to be effective in processes of ion-assisted deposition of thin films using reactive gases like O2 or N2. The application of the PES technique makes it possible to increase the lifetime of the ion-assisted deposition system by an order of magnitude (the lifetime with a Ti cathode is at least 60 h and is limited by the

  14. The Electron Beam Ion Source (EBIS)

    ScienceCinema

    Brookhaven Lab

    2017-12-09

    Brookhaven National Lab has successfully developed a new pre-injector system, called the Electron Beam Ion Source, for the Relativistic Heavy Ion Collider (RHIC) and NASA Space Radiation Laboratory science programs. The first of several planned improvemen

  15. Investigation of MeV-Cu implantation and channeling effects into porous silicon formation

    NASA Astrophysics Data System (ADS)

    Ahmad, M.; Naddaf, M.

    2011-11-01

    P-type (1 1 1) silicon wafers were implanted by copper ions (2.5 MeV) in channeling and random directions using ion beam accelerator of the Atomic Energy Commission of Syria (AECS). The effect of implantation direction on formation process of porous silicon (PS) using electrochemical etching method has been investigated using scanning electron microscope (SEM) and photoluminescence (PL) techniques. SEM observations revealed that the size, shape and density of the formed pores are highly affected by the direction of beam implantation. This in turn is seen to influence the PL behavior of the PS.

  16. Spatial Studies of Ion Beams in an Expanding Plasma

    NASA Astrophysics Data System (ADS)

    Aguirre, Evan; Good, Timothy; Scime, Earl; Thompson, Derek

    2017-10-01

    We report spatially resolved perpendicular and parallel ion velocity distribution function (IVDF) measurements in an expanding argon helicon plasma. The parallel IVDFs, obtained through laser induced fluorescence (LIF), show an ion beam with v 8 km/s flowing downstream that is confined to the center of the discharge. The ion beam is confined to within a few centimeters radially and is measurable for tens of centimeters axially before the LIF signal fades, likely a result of metastable quenching of the beam ions. The axial ion beam velocity slows in agreement with collisional processes. The perpendicular IVDFs show an ion population with a radially outward flow that increases with radial location. The DC electric field, electron temperature, and the plasma density in the double layer plume are all consistent with magnetic field aligned structures. The upstream and downstream electric field measurements show clear evidence of an ion hole that maps along the magnetic field at the edge of the plasma. Current theories and simulations of double layers, which are one-dimensional, completely miss these critically important two-dimensional features.

  17. A comparative study on low-energy ion beam and neutralized beam modifications of naked DNA and biological effect on mutation

    NASA Astrophysics Data System (ADS)

    Sarapirom, S.; Thongkumkoon, P.; Prakrajang, K.; Anuntalabhochai, S.; Yu, L. D.

    2012-02-01

    DNA conformation change or damage induced by low-energy ion irradiation has been of great interest owing to research developments in ion beam biotechnology and ion beam application in biomedicine. Mechanisms involved in the induction of DNA damage may account for effect from implanting ion charge. In order to check this effect, we used both ion beam and neutralized beam at keV energy to bombard naked DNA. Argon or nitrogen ion beam was generated and extracted from a radiofrequency (RF) ion source and neutralized by microwave-driven plasma in the beam path. Plasmid DNA pGFP samples were irradiated with the ion or neutralized beam in vacuum, followed by gel electrophoresis to observe changes in the DNA conformations. It was revealed that the ion charge played a certain role in inducing DNA conformation change. The subsequent DNA transfer into bacteria Escherichia coli ( E. coli) for mutation analysis indicated that the charged ion beam induced DNA change had high potential in mutation induction while neutralized beam did not. The intrinsic reason was attributed to additional DNA deformation and contortion caused by ion charge exchange effect so that the ion beam induced DNA damage could hardly be completely repaired, whereas the neutralized beam induced DNA change could be more easily recoverable owing to absence of the additional DNA deformation and contortion.

  18. Electrical shielding box measurement of the negative hydrogen beam from Penning ion gauge ion source.

    PubMed

    Wang, T; Yang, Z; Dong, P; long, J D; He, X Z; Wang, X; Zhang, K Z; Zhang, L W

    2012-06-01

    The cold-cathode Penning ion gauge (PIG) type ion source has been used for generation of negative hydrogen (H(-)) ions as the internal ion source of a compact cyclotron. A novel method called electrical shielding box dc beam measurement is described in this paper, and the beam intensity was measured under dc extraction inside an electrical shielding box. The results of the trajectory simulation and dc H(-) beam extraction measurement were presented. The effect of gas flow rate, magnetic field strength, arc current, and extraction voltage were also discussed. In conclusion, the dc H(-) beam current of about 4 mA from the PIG ion source with the puller voltage of 40 kV and arc current of 1.31 A was extrapolated from the measurement at low extraction dc voltages.

  19. FTIR study of silicon carbide amorphization by heavy ion irradiations

    NASA Astrophysics Data System (ADS)

    Costantini, Jean-Marc; Miro, Sandrine; Pluchery, Olivier

    2017-03-01

    We have measured at room temperature (RT) the Fourier-transform infra-red (FTIR) absorption spectra of ion-irradiated thin epitaxial films of cubic silicon carbide (3C-SiC) with 1.1 µm thickness on a 500 µm thick (1 0 0) silicon wafer substrate. Irradiations were carried out at RT with 2.3 MeV 28Si+ ions and 3.0 MeV 84Kr+ ions for various fluences in order to induce amorphization of the SiC film. Ion projected ranges were adjusted to be slightly larger than the film thickness so that the whole SiC layers were homogeneously damaged. FTIR spectra of virgin and irradiated samples were recorded for various incidence angles from normal incidence to Brewster’s angle. We show that the amorphization process in ion-irradiated 3C-SiC films can be monitored non-destructively by FTIR absorption spectroscopy without any major interference of the substrate. The compared evolutions of TO and LO peaks upon ion irradiation yield valuable information on the damage process. Complementary test experiments were also performed on virgin silicon nitride (Si3N4) self-standing films for similar conditions. Asymmetrical shapes were found for TO peaks of SiC, whereas Gaussian profiles are found for LO peaks. Skewed Gaussian profiles, with a standard deviation depending on wave number, were used to fit asymmetrical peaks for both materials. A new methodology for following the amorphization process is proposed on the basis of the evolution of fitted IR absorption peak parameters with ion fluence. Results are discussed with respect to Rutherford backscattering spectrometry channeling and Raman spectroscopy analysis.

  20. Cleaning techniques for intense ion beam sources

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Menge, P.R.; Cuneo, M.E.; Bailey, J.E.

    Generation of high power lithium ion beams on the SABRE (1TW) and PBFA-X (20 TW) accelerators have been limited by the parallel acceleration of contaminant ions. during the beam pulse lithium is replaced by protons and carbon ions. This replacement is accompanied by rapid impedance decay of the diode. The contaminant hydrogen and carbon is believed to originate from impurity molecules on the surface and in the bulk of the lithium ion source and its substrate material. Cleaning techniques designed to remove hydrocarbons from the ion source have been employed with some success in test stand experiments and on SABRE.more » The test stand experiments have shown that a lithium fluoride (LiF) ion source film can accrue dozens of hydrocarbon monolayers on its surface while sitting in vacuum. Application of 13.5 MHz RF discharge cleaning with 90% Ar/10% O{sub 2} can significantly reduce the surface hydrocarbon layers on the LiF film. On SABRE, combinations of RF discharge cleaning, anode heating, layering gold between the source film (LiF) and its substrate, and cryogenic cathode cooling produced an increase by a factor of 1.5--2 in the quantity of high energy lithium in the ion beam. A corresponding decrease in protons and carbon ions was also observed. Cleaning experiments on PBFA-X are underway. New designs of contamination resistant films and Li ion sources are currently being investigated.« less

  1. Studies on ion scattering and sputtering processes relevant to ion beam sputter deposition of multicomponent thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Auciello, O.; Ameen, M.S.; Kingon, A.I.

    1989-01-01

    Results from computer simulation and experiments on ion scattering and sputtering processes in ion beam sputter deposition of high Tc superconducting and ferroelectric thin films are presented. It is demonstrated that scattering of neutralized ions from the targets can result in undesirable erosion of, and inert gas incorporation in, the growing films, depending on the ion/target atom ass ratio and ion beam angle of incidence/target/substrate geometry. The studies indicate that sputtering Kr{sup +} or Xe{sup +} ions is preferable to the most commonly used Ar{sup +} ions, since the undesirable phenomena mentioned above are minimized for the first two ions.more » These results are used to determine optimum sputter deposition geometry and ion beam parameters for growing multicomponent oxide thin films by ion beam sputter-deposition. 10 refs., 5 figs.« less

  2. Effect of Ion Flux (Dose Rate) in Source-Drain Extension Ion Implantation for 10-nm Node FinFET and Beyond on 300/450mm Platforms

    NASA Astrophysics Data System (ADS)

    Shen, Ming-Yi

    The improvement of wafer equipment productivity has been a continuous effort of the semiconductor industry. Higher productivity implies lower product price, which economically drives more demand from the market. This is desired by the semiconductor manufacturing industry. By raising the ion beam current of the ion implanter for 300/450mm platforms, it is possible to increase the throughput of the ion implanter. The resulting dose rate can be comparable to the performance of conventional ion implanters or higher, depending on beam current and beam size. Thus, effects caused by higher dose rate must be investigated further. One of the major applications of ion implantation (I/I) is source-drain extension (SDE) I/I for the silicon FinFET device. This study investigated the dose rate effects on the material properties and device performance of the 10-nm node silicon FinFET. In order to gain better understanding of the dose rate effects, the dose rate study is based on Synopsys Technology CAD (TCAD) process and device simulations that are calibrated and validated using available structural silicon fin samples. We have successfully shown that the kinetic monte carlo (KMC) I/I simulation can precisely model both the silicon amorphization and the arsenic distribution in the fin by comparing the KMC simulation results with TEM images. The results of the KMC I/I simulation show that at high dose rate more activated arsenic dopants were in the source-drain extension (SDE) region. This finding matches with the increased silicon amorphization caused by the high dose-rate I/I, given that the arsenic atoms could be more easily activated by the solid phase epitaxial regrowth process. This increased silicon amorphization led to not only higher arsenic activation near the spacer edge, but also less arsenic atoms straggling into the channel. Hence, it is possible to improve the throughput of the ion implanter when the dopants are implanted at high dose rate if the same doping level

  3. ARCS 3 ionospheric artificial argon ion beam injections - Waves near the heavy ion gyrofrequencies

    NASA Technical Reports Server (NTRS)

    Erlandson, R. E.; Cahill, L. J., Jr.; Kaufmann, R. L.; Arnoldy, R. L.; Pollock, C. J.

    1989-01-01

    Low-frequency electric field data below the proton gyrofrequency are presented for the duration of the argon ion beam experiment conducted as part of the Argon Release for Controlled Studies (ARCS) program. An argon ion beam was injected from the subpayload antiparallel or perpendicular to the magnetic field at altitudes from 250 to 405 km. During the injections, the wave spectra were broadband near the subpayload and narrow-band near heavy ion gyrofrequencies at perpendicular separation distances between 42 and 254 m. It is suggested that the narrow-band waves are associated with both the perpendicular argon ion beam and an unexpected flux of low-energy ions which peaked in energy near 15 eV and pitch angle near 90 deg with respect to the magnetic field.

  4. Compact electrostatic beam optics for multi-element focused ion beams: simulation and experiments.

    PubMed

    Mathew, Jose V; Bhattacharjee, Sudeep

    2011-01-01

    Electrostatic beam optics for a multi-element focused ion beam (MEFIB) system comprising of a microwave multicusp plasma (ion) source is designed with the help of two widely known and commercially available beam simulation codes: AXCEL-INP and SIMION. The input parameters to the simulations are obtained from experiments carried out in the system. A single and a double Einzel lens system (ELS) with and without beam limiting apertures (S) have been investigated. For a 1 mm beam at the plasma electrode aperture, the rms emittance of the focused ion beam is found to reduce from ∼0.9 mm mrad for single ELS to ∼0.5 mm mrad for a double ELS, when S of 0.5 mm aperture size is employed. The emittance can be further improved to ∼0.1 mm mrad by maintaining S at ground potential, leading to reduction in beam spot size (∼10 μm). The double ELS design is optimized for different electrode geometrical parameters with tolerances of ±1 mm in electrode thickness, electrode aperture, inter electrode distance, and ±1° in electrode angle, providing a robust design. Experimental results obtained with the double ELS for the focused beam current and spot size, agree reasonably well with the simulations.

  5. Advancement of highly charged ion beam production by superconducting ECR ion source SECRAL (invited)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sun, L., E-mail: sunlt@impcas.ac.cn; Lu, W.; Zhang, W. H.

    2016-02-15

    At Institute of Modern Physics (IMP), Chinese Academy of Sciences (CAS), the superconducting Electron Cyclotron Resonance (ECR) ion source SECRAL (Superconducting ECR ion source with Advanced design in Lanzhou) has been put into operation for about 10 years now. It has been the main working horse to deliver intense highly charged heavy ion beams for the accelerators. Since its first plasma at 18 GHz, R&D work towards more intense highly charged ion beam production as well as the beam quality investigation has never been stopped. When SECRAL was upgraded to its typical operation frequency 24 GHz, it had already showedmore » its promising capacity of very intense highly charged ion beam production. And it has also provided the strong experimental support for the so called scaling laws of microwave frequency effect. However, compared to the microwave power heating efficiency at 18 GHz, 24 GHz microwave heating does not show the ω{sup 2} scale at the same power level, which indicates that microwave power coupling at gyrotron frequency needs better understanding. In this paper, after a review of the operation status of SECRAL with regard to the beam availability and stability, the recent study of the extracted ion beam transverse coupling issues will be discussed, and the test results of the both TE{sub 01} and HE{sub 11} modes will be presented. A general comparison of the performance working with the two injection modes will be given, and a preliminary analysis will be introduced. The latest results of the production of very intense highly charged ion beams, such as 1.42 emA Ar{sup 12+}, 0.92 emA Xe{sup 27+}, and so on, will be presented.« less

  6. BEARS: Radioactive Ion Beams at Berkeley

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Powell, J.; Joosten, R.; Donahue, C.A.

    2000-03-14

    A light-isotope radioactive ion beam capability has been added to the 88-Inch Cyclotron at Lawrence Berkeley National Laboratory by coupling to the production cyclotron of the Berkeley Isotope Facility. The connection required the development and construction of a 350 m gas transport system between the two accelerators as well as automated cryogenic separation of the produced activity. The first beam developed, {sup 11}C, has been successfully accelerated with an on-target intensity of 1 x 10{sup 8} ions/sec at energies of around 10 MeV/u.

  7. A review of studies on ion thruster beam and charge-exchange plasmas

    NASA Technical Reports Server (NTRS)

    Carruth, M. R., Jr.

    1982-01-01

    Various experimental and analytical studies of the primary beam and charge-exchange plasmas of ion thrusters are reviewed. The history of plasma beam research is recounted, emphasizing experiments on beam neutralization, expansion of the beam, and determination of beam parameters such as electron temperature, plasma density, and plasma potential. The development of modern electron bombardment ion thrusters is treated, detailing experimental results. Studies on charge-exchange plasma are discussed, showing results such as the relationship between neutralizer emission current and plasma beam potential, ion energies as a function of neutralizer bias, charge-exchange ion current collected by an axially moving Faraday cup-RPA for 8-cm and 30-cm ion thrusters, beam density and potential data from a 15-cm ion thruster, and charge-exchange ion flow around a 30-cm thruster. A 20-cm thruster electrical configuration is depicted and facility effects are discussed. Finally, plasma modeling is covered in detail for plasma beam and charge-exchange plasma.

  8. Beam ion susceptibility to loss in NSTX-U plasmas

    NASA Astrophysics Data System (ADS)

    Darrow, Douglass; Fredrickson, Eric; Podesta, Mario; Liu, Deyong; White, Roscoe

    2016-10-01

    NSTX-U has operated with three additional neutral beam sources whose tangency radii of 1.1, 1.2, and 1.3 m are significantly larger than the 0.5, 0.6, and 0.7 m tangency radii of the neutral beams previously used in NSTX. These latter beams have also be retained for NSTX-U. Here, we present an estimate of the susceptibility of the beam ions from all the various sources to loss under a range of NSTX-U plasma conditions. This estimation is based upon TRANSP calculations of beam ion deposition in phase space, and the location of the FLR-corrected loss boundary in that phase space. Since losses are often observed at the injection energy, a simple measure of loss susceptibility is the change in canonical toroidal momentum required to move beam ions from their deposition point to the loss boundary, as a function of magnetic moment. To augment this simple estimate, we intend to report some associated transport coefficients of beam ions due to AE activity. Work supported by U.S. DOE DE-AC0209CH11466, DE-FG02-06ER54867, and DE-FG03-02ER54681.

  9. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kruse, J. E.; Doundoulakis, G.; Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well asmore » numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.« less

  10. Rhenium ion beam for implantation into semiconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kulevoy, T. V.; Seleznev, D. N.; Alyoshin, M. E.

    2012-02-15

    At the ion source test bench in Institute for Theoretical and Experimental Physics the program of ion source development for semiconductor industry is in progress. In framework of the program the Metal Vapor Vacuum Arc ion source for germanium and rhenium ion beam generation was developed and investigated. It was shown that at special conditions of ion beam implantation it is possible to fabricate not only homogenous layers of rhenium silicides solid solutions but also clusters of this compound with properties of quantum dots. At the present moment the compound is very interesting for semiconductor industry, especially for nanoelectronics andmore » nanophotonics, but there is no very developed technology for production of nanostructures (for example quantum sized structures) with required parameters. The results of materials synthesis and exploration are presented.« less

  11. First experimental-based characterization of oxygen ion beam depth dose distributions at the Heidelberg Ion-Beam Therapy Center

    NASA Astrophysics Data System (ADS)

    Kurz, C.; Mairani, A.; Parodi, K.

    2012-08-01

    Over the last decades, the application of proton and heavy-ion beams to external beam radiotherapy has rapidly increased. Due to the favourable lateral and depth dose profile, the superposition of narrow ion pencil beams may enable a highly conformal dose delivery to the tumour, with better sparing of the surrounding healthy tissue in comparison to conventional radiation therapy with photons. To fully exploit the promised clinical advantages of ion beams, an accurate planning of the patient treatments is required. The clinical treatment planning system (TPS) at the Heidelberg Ion-Beam Therapy Center (HIT) is based on a fast performing analytical algorithm for dose calculation, relying, among others, on laterally integrated depth dose distributions (DDDs) simulated with the FLUKA Monte Carlo (MC) code. Important input parameters of these simulations need to be derived from a comparison of the simulated DDDs with measurements. In this work, the first measurements of 16O ion DDDs at HIT are presented with a focus on the determined Bragg peak positions and the understanding of factors influencing the shape of the distributions. The measurements are compared to different simulation approaches aiming to reproduce the acquired data at best. A simplified geometrical model is first used to optimize important input parameters, not known a priori, in the simulations. This method is then compared to a more realistic, but also more time-consuming simulation approach better accounting for the experimental set-up and the measuring process. The results of this work contributed to a pre-clinical oxygen ion beam database, which is currently used by a research TPS for corresponding radio-biological cell experiments. A future extension to a clinical database used by the clinical TPS at HIT is foreseen. As a side effect, the performed investigations showed that the typical water equivalent calibration approach of experimental data acquired with water column systems leads to slight

  12. Beam dynamics in heavy ion induction LINACS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Smith, L.

    1981-10-01

    Interest in the use of an induction linac to accelerate heavy ions for the purpose of providing the energy required to initiate an inertially confined fusion reaction has stimulated a theoretical effort to investigate various beam dynamical effects associated with high intensity heavy ion beams. This paper presents a summary of the work that has been done so far; transverse, longitudinal and coupled longitudinal transverse effects are discussed.

  13. Characterization of high explosive particles using cluster secondary ion mass spectrometry.

    PubMed

    Gillen, Greg; Mahoney, Christine; Wight, Scott; Lareau, Richard

    2006-01-01

    The use of secondary ion mass spectrometry (SIMS) for the detection and spatially resolved analysis of individual high explosive particles is described. A C(8) (-) carbon cluster primary ion beam was used in a commercial SIMS instrument to analyze samples of high explosives dispersed as particles on silicon substrates. In comparison with monatomic primary ion bombardment, the carbon cluster primary ion beam was found to greatly enhance characteristic secondary ion signals from the explosive compounds while causing minimal beam-induced degradation. The resistance of these compounds to degradation under ion bombardment allows explosive particles to be analyzed under high primary ion dose bombardment (dynamic SIMS) conditions, facilitating the rapid acquisition of spatially resolved molecular information. The use of cluster SIMS combined with computer control of the sample stage position allows for the automated identification and counting of explosive particle distributions on silicon surfaces. This will be useful for characterizing the efficiency of transfer of particulates in trace explosive detection portal collectors and/or swipes utilized for ion mobility spectrometry applications.

  14. Apparatus for reduction of selected ion intensities in confined ion beams

    DOEpatents

    Eiden, Gregory C.; Barinaga, Charles J.; Koppenaal, David W.

    2001-01-01

    An apparatus for producing an ion beam having an increased proportion of analyte ions compared to carrier gas ions is disclosed. Specifically, the apparatus has an ion trap or a collision cell containing a reagent gas wherein the reagent gas accepts charge from the analyte ions thereby selectively neutralizing the carrier gas ions. Also disclosed is the collision cell as employed in various locations within analytical instruments including an inductively coupled plasma mass spectrometer.

  15. Fast neutral beam ion source coupled to a Fourier transform ion cyclotron resonance mass spectrometer

    NASA Astrophysics Data System (ADS)

    Hill, Nicholas C.; Limbach, Patrick A.; Shomo, Ronald E., II; Marshall, Alan G.; Appelhans, Anthony D.; Delmore, James E.

    1991-11-01

    The coupling of an autoneutralizing SF-6 fast ion-beam gun to a Fourier transform ion cyclotron resonance (FT/ICR) mass spectrometer is described. The fast neutral beam provides for secondary-ion-type FT/ICR mass analysis [e.g., production of abundant pseudomolecular (M+H)+ ions] of involatile samples without the need for external ion injection, since ions are formed at the entrance to the ICR ion trap. The design, construction, and testing of the hybrid instrument are described. The feasibility of the experiment (for both broadband and high-resolution FT/ICR positive-ion mass spectra) is demonstrated with tetra-butylammonium bromide and a Tylenol■ sample. The ability to analyze high molecular weight polymers with high mass resolution is demonstrated for Teflon■. All of the advantages of the fast neutral beam ion source previously demonstrated with quadrupole mass analysis are preserved, and the additional advantages of FT/ICR mass analysis (e.g., high mass resolving power, ion trapping) are retained.

  16. Three dimensional reconstruction of therapeutic carbon ion beams in phantoms using single secondary ion tracks

    NASA Astrophysics Data System (ADS)

    Reinhart, Anna Merle; Spindeldreier, Claudia Katharina; Jakubek, Jan; Martišíková, Mária

    2017-06-01

    Carbon ion beam radiotherapy enables a very localised dose deposition. However, even small changes in the patient geometry or positioning errors can significantly distort the dose distribution. A live, non-invasive monitoring system of the beam delivery within the patient is therefore highly desirable, and could improve patient treatment. We present a novel three-dimensional method for imaging the beam in the irradiated object, exploiting the measured tracks of single secondary ions emerging under irradiation. The secondary particle tracks are detected with a TimePix stack—a set of parallel pixelated semiconductor detectors. We developed a three-dimensional reconstruction algorithm based on maximum likelihood expectation maximization. We demonstrate the applicability of the new method in the irradiation of a cylindrical PMMA phantom of human head size with a carbon ion pencil beam of {226} MeV u-1. The beam image in the phantom is reconstructed from a set of nine discrete detector positions between {-80}^\\circ and {50}^\\circ from the beam axis. Furthermore, we demonstrate the potential to visualize inhomogeneities by irradiating a PMMA phantom with an air gap as well as bone and adipose tissue surrogate inserts. We successfully reconstructed a three-dimensional image of the treatment beam in the phantom from single secondary ion tracks. The beam image corresponds well to the beam direction and energy. In addition, cylindrical inhomogeneities with a diameter of {2.85} cm and density differences down to {0.3} g cm-3 to the surrounding material are clearly visualized. This novel three-dimensional method to image a therapeutic carbon ion beam in the irradiated object does not interfere with the treatment and requires knowledge only of single secondary ion tracks. Even with detectors with only a small angular coverage, the three-dimensional reconstruction of the fragmentation points presented in this work was found to be feasible.

  17. Three dimensional reconstruction of therapeutic carbon ion beams in phantoms using single secondary ion tracks.

    PubMed

    Reinhart, Anna Merle; Spindeldreier, Claudia Katharina; Jakubek, Jan; Martišíková, Mária

    2017-06-21

    Carbon ion beam radiotherapy enables a very localised dose deposition. However, even small changes in the patient geometry or positioning errors can significantly distort the dose distribution. A live, non-invasive monitoring system of the beam delivery within the patient is therefore highly desirable, and could improve patient treatment. We present a novel three-dimensional method for imaging the beam in the irradiated object, exploiting the measured tracks of single secondary ions emerging under irradiation. The secondary particle tracks are detected with a TimePix stack-a set of parallel pixelated semiconductor detectors. We developed a three-dimensional reconstruction algorithm based on maximum likelihood expectation maximization. We demonstrate the applicability of the new method in the irradiation of a cylindrical PMMA phantom of human head size with a carbon ion pencil beam of [Formula: see text] MeV u -1 . The beam image in the phantom is reconstructed from a set of nine discrete detector positions between [Formula: see text] and [Formula: see text] from the beam axis. Furthermore, we demonstrate the potential to visualize inhomogeneities by irradiating a PMMA phantom with an air gap as well as bone and adipose tissue surrogate inserts. We successfully reconstructed a three-dimensional image of the treatment beam in the phantom from single secondary ion tracks. The beam image corresponds well to the beam direction and energy. In addition, cylindrical inhomogeneities with a diameter of [Formula: see text] cm and density differences down to [Formula: see text] g cm -3 to the surrounding material are clearly visualized. This novel three-dimensional method to image a therapeutic carbon ion beam in the irradiated object does not interfere with the treatment and requires knowledge only of single secondary ion tracks. Even with detectors with only a small angular coverage, the three-dimensional reconstruction of the fragmentation points presented in this work was

  18. Computational Evaluation of Amorphous Carbon Coating for Durable Silicon Anodes for Lithium-Ion Batteries

    PubMed Central

    Hwang, Jeongwoon; Ihm, Jisoon; Lee, Kwang-Ryeol; Kim, Seungchul

    2015-01-01

    We investigate the structural, mechanical, and electronic properties of graphite-like amorphous carbon coating on bulky silicon to examine whether it can improve the durability of the silicon anodes of lithium-ion batteries using molecular dynamics simulations and ab-initio electronic structure calculations. Structural models of carbon coating are constructed using molecular dynamics simulations of atomic carbon deposition with low incident energies (1–16 eV). As the incident energy decreases, the ratio of sp2 carbons increases, that of sp3 decreases, and the carbon films become more porous. The films prepared with very low incident energy contain lithium-ion conducting channels. Also, those films are electrically conductive to supplement the poor conductivity of silicon and can restore their structure after large deformation to accommodate the volume change during the operations. As a result of this study, we suggest that graphite-like porous carbon coating on silicon will extend the lifetime of the silicon anodes of lithium-ion batteries. PMID:28347087

  19. Use of low energy hydrogen ion implants in high efficiency crystalline silicon solar cells

    NASA Technical Reports Server (NTRS)

    Fonash, S. J.; Singh, R.

    1985-01-01

    This program is a study of the use of low energy hydrogen ion implantation for high efficiency crystalline silicon solar cells. The first quarterly report focuses on two tasks of this program: (1) an examination of the effects of low energy hydrogen implants on surface recombination speed; and (2) an examination of the effects of hydrogen on silicon regrowth and diffusion in silicon. The first part of the project focussed on the measurement of surface properties of hydrogen implanted silicon. Low energy hydrogen ions when bombarded on the silicon surface will create structural damage at the surface, deactivate dopants and introduce recombination centers. At the same time the electrically active centers such as dangling bonds will be passivated by these hydrogen ions. Thus hydrogen is expected to alter properties such as the surface recombination velocity, dopant profiles on the emitter, etc. In this report the surface recombination velocity of a hydrogen emplanted emitter was measured.

  20. Computational Evaluation of Amorphous Carbon Coating for Durable Silicon Anodes for Lithium-Ion Batteries.

    PubMed

    Hwang, Jeongwoon; Ihm, Jisoon; Lee, Kwang-Ryeol; Kim, Seungchul

    2015-10-13

    We investigate the structural, mechanical, and electronic properties of graphite-like amorphous carbon coating on bulky silicon to examine whether it can improve the durability of the silicon anodes of lithium-ion batteries using molecular dynamics simulations and ab-initio electronic structure calculations. Structural models of carbon coating are constructed using molecular dynamics simulations of atomic carbon deposition with low incident energies (1-16 eV). As the incident energy decreases, the ratio of sp ² carbons increases, that of sp ³ decreases, and the carbon films become more porous. The films prepared with very low incident energy contain lithium-ion conducting channels. Also, those films are electrically conductive to supplement the poor conductivity of silicon and can restore their structure after large deformation to accommodate the volume change during the operations. As a result of this study, we suggest that graphite-like porous carbon coating on silicon will extend the lifetime of the silicon anodes of lithium-ion batteries.

  1. Analysis of Neutron Production in Passively Scattered Ion-Beam Therapy.

    PubMed

    Heo, Seunguk; Yoo, Seunghoon; Song, Yongkeun; Kim, Eunho; Shin, Jaeik; Han, Soorim; Jung, Wongyun; Nam, Sanghee; Lee, Rena; Lee, Kitae; Cho, Sungho

    2017-07-01

    A new treatment facility for heavy ion therapy since 2010 was constructed. In the broad beam, a range shifter, ridge filter and multi leaf collimator (MLC) for the generation of the spread-out Bragg peak is used. In this case, secondary neutrons produced by the interactions of the ion field with beam-modifying devices (e.g. double-scattering system, beam shaping collimators and range compensators) are very important for patient safety. Therefore, these components must be carefully examined in the context of secondary neutron yield and associated secondary cancer risk. In this article, Monte Carlo simulation has been carried out with the FLUktuierende KAskade particle transport code, the fluence and distribution of neutron generation and the neutron dose equivalent from the broad beam components are compared using carbon and proton beams. As a result, it is confirmed that the yield of neutron production using a carbon beam from all components of the broad beam was higher than using a proton beam. The ambient dose by neutrons per heavy ion and proton ion from the MLC surface was 0.12-0.18 and 0.0067-0.0087 pSv, respectively, which shows that heavy ions generate more neutrons than protons. However, ambient dose per treatment 2 Gy, which means physical dose during treatment by ion beam, is higher than carbon beam because proton therapy needs more beam flux to make 2-Gy prescription dose. Therefore, the neutron production from the MLC, which is closed to the patient, is a very important parameter for patient safety. © The Author 2016. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  2. Correlation of ion and beam current densities in Kaufman thrusters.

    NASA Technical Reports Server (NTRS)

    Wilbur, P. J.

    1973-01-01

    In the absence of direct impingement erosion, electrostatic thruster accelerator grid lifetime is defined by the charge exchange erosion that occurs at peak values of the ion beam current density. In order to maximize the thrust from an engine with a specified grid lifetime, the ion beam current density profile should therefore be as flat as possible. Knauer (1970) has suggested this can be achieved by establishing a radial plasma uniformity within the thruster discharge chamber; his tests with the radial field thruster provide an example of uniform plasma properties within the chamber and a flat ion beam profile occurring together. It is shown that, in particular, the ion density profile within the chamber determines the beam current density profile, and that a uniform ion density profile at the screen grid end of the discharge chamber should lead to a flat beam current density profile.

  3. Ion beam sputtering of fluoropolymers. [etching polymer films and target surfaces

    NASA Technical Reports Server (NTRS)

    Sovey, J. S.

    1978-01-01

    Ion beam sputter processing rates as well as pertinent characteristics of etched targets and films are described. An argon ion beam source was used to sputter etch and deposit the fluoropolymers PTFE, FEP, and CTFE. Ion beam energy, current density, and target temperature were varied to examine effects on etch and deposition rates. The ion etched fluoropolymers yield cone or spire-like surface structures which vary depending upon the type of polymer, ion beam power density, etch time, and target temperature. Sputter target and film characteristics documented by spectral transmittance measurements, X-ray diffraction, ESCA, and SEM photomicrographs are included.

  4. Carbon Cryogel Silicon Composite Anode Materials for Lithium Ion Batteries

    NASA Technical Reports Server (NTRS)

    Woodworth James; Baldwin, Richard; Bennett, William

    2010-01-01

    A variety of materials are under investigation for use as anode materials in lithium-ion batteries, of which, the most promising are those containing silicon. 10 One such material is a composite formed via the dispersion of silicon in a resorcinol-formaldehyde (RF) gel followed by pyrolysis. Two silicon-carbon composite materials, carbon microspheres and nanofoams produced from nano-phase silicon impregnated RF gel precursors have been synthesized and investigated. Carbon microspheres are produced by forming the silicon-containing RF gel into microspheres whereas carbon nano-foams are produced by impregnating carbon fiber paper with the silicon containing RF gel to create a free standing electrode. 1-4,9 Both materials have demonstrated their ability to function as anodes and utilize the silicon present in the material. Stable reversible capacities above 400 mAh/g for the bulk material and above 1000 mAh/g of Si have been observed.

  5. First test of BNL electron beam ion source with high current density electron beam

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pikin, Alexander, E-mail: pikin@bnl.gov; Alessi, James G., E-mail: pikin@bnl.gov; Beebe, Edward N., E-mail: pikin@bnl.gov

    A new electron gun with electrostatic compression has been installed at the Electron Beam Ion Source (EBIS) Test Stand at BNL. This is a collaborative effort by BNL and CERN teams with a common goal to study an EBIS with electron beam current up to 10 A, current density up to 10,000 A/cm{sup 2} and energy more than 50 keV. Intensive and pure beams of heavy highly charged ions with mass-to-charge ratio < 4.5 are requested by many heavy ion research facilities including NASA Space Radiation Laboratory (NSRL) at BNL and HIE-ISOLDE at CERN. With a multiampere electron gun, themore » EBIS should be capable of delivering highly charged ions for both RHIC facility applications at BNL and for ISOLDE experiments at CERN. Details of the electron gun simulations and design, and the Test EBIS electrostatic and magnetostatic structures with the new electron gun are presented. The experimental results of the electron beam transmission are given.« less

  6. In situ electrostatic characterisation of ion beams in the region of ion acceleration

    NASA Astrophysics Data System (ADS)

    Bennet, Alexander; Charles, Christine; Boswell, Rod

    2018-02-01

    In situ and ex situ techniques have been used to measure directional ion beams created by a sharp axial potential drop in low pressure expanding plasmas. Although Retarding Field Energy Analysers (RFEAs) are the most convenient technique to measure the ion velocities and plasma potentials along with the plasma density, they are bulky and are contained in a grounded shield that may perturb the electric potential profile of the expanding plasma. In principle, ex situ techniques produce a more reliable measurement and Laser Induced Fluorescence spectroscopy (LIF) has previously been used to characterise the spatial velocity profile of ion beams in the same region of acceleration for a range of pressures. Here, satisfactory agreement between the ion velocity profiles measured by LIF and RFEA techniques has allowed the RFEA method to be confidently used to probe the ion beam characteristics in the regions of high gradients in plasma density and DC electric fields which have previously proven difficult.

  7. Stopping characteristics of boron and indium ions in silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Veselov, D. S., E-mail: DSVeselov@mephi.ru; Voronov, Yu. A.

    2016-12-15

    The mean range and its standard deviation are calculated for boron ions implanted into silicon with energies below 10 keV. Similar characteristics are calculated for indium ions with energies below 200 keV. The obtained results are presented in tabular and graphical forms. These results may help in the assessment of conditions of production of integrated circuits with nanometer-sized elements.

  8. Mechanisms of material removal and mass transport in focused ion beam nanopore formation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Das, Kallol, E-mail: das7@illinois.edu; Johnson, Harley T., E-mail: htj@illinois.edu; Freund, Jonathan B., E-mail: jbfreund@illinois.edu

    2015-02-28

    Despite the widespread use of focused ion beam (FIB) processing as a material removal method for applications ranging from electron microscope sample preparation to nanopore processing for DNA sequencing, the basic material removal mechanisms of FIB processing are not well understood. We present the first complete atomistic simulation of high-flux FIB using large-scale parallel molecular dynamics (MD) simulations of nanopore fabrication in freestanding thin films. We focus on the root mechanisms of material removal and rearrangement and describe the role of explosive boiling in forming nanopores. FIB nanopore fabrication is typically understood to occur via sputter erosion. This can bemore » shown to be the case in low flux systems, where individual ion impacts are sufficiently separated in time that they may be considered as independent events. But our detailed MD simulations show that in high flux FIB processing, above a threshold level at which thermal effects become significant, the primary mechanism of material removal changes to a significantly accelerated, thermally dominated process. Under these conditions, the target is heated by the ion beam faster than heat is conducted away by the material, leading quickly to melting, and then continued heating to nearly the material critical temperature. This leads to explosive boiling of the target material with spontaneous bubble formation and coalescence. Mass is rapidly rearranged at the atomistic scale, and material removal occurs orders of magnitude faster than would occur by simple sputtering. While the phenomenology is demonstrated computationally in silicon, it can be expected to occur at lower beam fluxes in other cases where thermal conduction is suppressed due to material properties, geometry, or ambient thermal conditions.« less

  9. One-dimensional/two-dimensional hybridization for self-supported binder-free silicon-based lithium ion battery anodes.

    PubMed

    Wang, Bin; Li, Xianglong; Luo, Bin; Jia, Yuying; Zhi, Linjie

    2013-02-21

    A unique silicon-based anode for lithium ion batteries is developed via the facile hybridization of one-dimensional silicon nanowires and two-dimensional graphene sheets. The resulting paper-like film holds advantages highly desirable for not only accommodating the volume change of silicon, but also facilitating the fast transport of electron and lithium ions.

  10. Programmable growth of branched silicon nanowires using a focused ion beam.

    PubMed

    Jun, Kimin; Jacobson, Joseph M

    2010-08-11

    Although significant progress has been made in being able to spatially define the position of material layers in vapor-liquid-solid (VLS) grown nanowires, less work has been carried out in deterministically defining the positions of nanowire branching points to facilitate more complicated structures beyond simple 1D wires. Work to date has focused on the growth of randomly branched nanowire structures. Here we develop a means for programmably designating nanowire branching points by means of focused ion beam-defined VLS catalytic points. This technique is repeatable without losing fidelity allowing multiple rounds of branching point definition followed by branch growth resulting in complex structures. The single crystal nature of this approach allows us to describe resulting structures with linear combinations of base vectors in three-dimensional (3D) space. Finally, by etching the resulting 3D defined wire structures branched nanotubes were fabricated with interconnected nanochannels inside. We believe that the techniques developed here should comprise a useful tool for extending linear VLS nanowire growth to generalized 3D wire structures.

  11. Iron oxide shell coating on nano silicon prepared from the sand for lithium-ion battery application

    NASA Astrophysics Data System (ADS)

    Furquan, Mohammad; Vijayalakshmi, S.; Mitra, Sagar

    2018-05-01

    Elemental silicon, due to its high specific capacity (4200 mAh g-1) and non-toxicity is expected to be an attractive anode material for Li-ion battery. But its huge expansion volume (> 300 %) during charging of battery, leads to pulverization and cracking in the silicon particles and causes sudden failure of the Li-ion battery. In this work, we have designed yolk-shell type morphology of silicon, prepared from carbon coated silicon nanoparticles soaked in aqueous solution of ferric nitrate and potassium hydroxide. The soaked silicon particles were dried and finally calcined at 800 °C for 30 minutes. The product obtained is deprived of carbon and has a kind of yolk-shell morphology of nano silicon with iron oxide coating (Si@Iron oxide). This material has been tested for half-cell lithium-ion battery configuration. The discharge capacity is found to be ≈ 600 mAh g-1 at a current rate of 1.0 A g-1 for 200 cycles. It has shown a stable performance as anode for Li-ion battery application.

  12. Development of a simple, low cost, indirect ion beam fluence measurement system for ion implanters, accelerators

    NASA Astrophysics Data System (ADS)

    Suresh, K.; Balaji, S.; Saravanan, K.; Navas, J.; David, C.; Panigrahi, B. K.

    2018-02-01

    We developed a simple, low cost user-friendly automated indirect ion beam fluence measurement system for ion irradiation and analysis experiments requiring indirect beam fluence measurements unperturbed by sample conditions like low temperature, high temperature, sample biasing as well as in regular ion implantation experiments in the ion implanters and electrostatic accelerators with continuous beam. The system, which uses simple, low cost, off-the-shelf components/systems and two distinct layers of in-house built softwarenot only eliminates the need for costly data acquisition systems but also overcomes difficulties in using properietry software. The hardware of the system is centered around a personal computer, a PIC16F887 based embedded system, a Faraday cup drive cum monitor circuit, a pair of Faraday Cups and a beam current integrator and the in-house developed software include C based microcontroller firmware and LABVIEW based virtual instrument automation software. The automatic fluence measurement involves two important phases, a current sampling phase lasting over 20-30 seconds during which the ion beam current is continuously measured by intercepting the ion beam and the averaged beam current value is computed. A subsequent charge computation phase lasting 700-900 seconds is executed making the ion beam to irradiate the samples and the incremental fluence received by the sampleis estimated usingthe latest averaged beam current value from the ion beam current sampling phase. The cycle of current sampling-charge computation is repeated till the required fluence is reached. Besides simplicity and cost-effectiveness, other important advantages of the developed system include easy reconfiguration of the system to suit customisation of experiments, scalability, easy debug and maintenance of the hardware/software, ability to work as a standalone system. The system was tested with different set of samples and ion fluences and the results were verified using

  13. Ion-beam doping of GaAs with low-energy (100 eV) C + using combined ion-beam and molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Iida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV-30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C+) irradiation during MBE growth of GaAs was carried out at substrate temperatures Tg between 500 and 590 °C. C+-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. CAs acceptor-related emissions such as ``g,'' [g-g], and [g-g]β are observed and their spectra are significantly changed with increasing C+ beam current density Ic. PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for Tg as low as 500 °C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C+ with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  14. Ion-beam doping of GaAs with low-energy (100 eV) C(+) using combined ion-beam and molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-Ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV - 30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C(+)) irradiation during MBE growth of GaAs was carried out at substrate temperatures T(sub g) between 500 and 590 C. C(+)-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. C(sub As) acceptor-related emissions such as 'g', (g-g), and (g-g)(sub beta) are observed and their spectra are significantly changed with increasing C(+) beam current density I(sub c). PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for T(sub g) as low as 500 C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C(+) with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  15. Nanostructured silicon membranes for control of molecular transport.

    PubMed

    Srijanto, Bernadeta R; Retterer, Scott T; Fowlkes, Jason D; Doktycz, Mitchel J

    2010-11-01

    A membrane that allows selective transport of molecular species requires precise engineering on the nanoscale. Membrane permeability can be tuned by controlling the physical structure and surface chemistry of the pores. Here, a combination of electron beam and optical lithography, along with cryogenic deep reactive ion etching, has been used to fabricate silicon membranes that are physically robust, have uniform pore sizes, and are directly integrated into a microfluidic network. Additional reductions in pore size were achieved using plasma enhanced chemical vapor deposition and atomic layer deposition of silicon dioxide to coat membrane surfaces. Cross sectioning of the membranes using focused ion beam milling was used to determine the physical shape of the membrane pores before and after coating. Functional characterization of the membranes was performed by using quantitative fluorescence microscopy to document the transport of molecular species across the membrane.

  16. Investigation of ion-beam machining methods for replicated x-ray optics

    NASA Technical Reports Server (NTRS)

    Drueding, Thomas W.

    1996-01-01

    The final figuring step in the fabrication of an optical component involves imparting a specified contour onto the surface. This can be expensive and time consuming step. The recent development of ion beam figuring provides a method for performing the figuring process with advantages over standard mechanical methods. Ion figuring has proven effective in figuring large optical components. The process of ion beam figuring removes material by transferring kinetic energy from impinging neutral particles. The process utilizes a Kaufman type ion source, where a plasma is generated in a discharge chamber by controlled electric potentials. Charged grids extract and accelerate ions from the chamber. The accelerated ions form a directional beam. A neutralizer outside the accelerator grids supplies electrons to the positive ion beam. It is necessary to neutralize the beam to prevent charging workpieces and to avoid bending the beam with extraneous electro-magnetic fields. When the directed beam strikes the workpiece, material sputters in a predicable manner. The amount and distribution of material sputtered is a function of the energy of the beam, material of the component, distance from the workpiece, and angle of incidence of the beam. The figuring method described here assumes a constant beam removal, so that the process can be represented by a convolution operation. A fixed beam energy maintains a constant sputtering rate. This temporally and spatially stable beam is held perpendicular to the workpiece at a fixed distance. For non-constant removal, corrections would be required to model the process as a convolution operation. Specific figures (contours) are achieved by rastering the beam over the workpiece at varying velocities. A unique deconvolution is performed, using series-derivative solution developed for the system, to determine these velocities.

  17. Laser characterization of the depth profile of complex refractive index of PMMA implanted with 50 keV silicon ions

    NASA Astrophysics Data System (ADS)

    Stefanov, Ivan L.; Stoyanov, Hristiyan Y.; Petrova, Elitza; Russev, Stoyan C.; Tsutsumanova, Gichka G.; Hadjichristov, Georgi B.

    2013-03-01

    The depth profile of the complex refractive index of silicon ion (Si+) implanted polymethylmethacrylate (PMMA) is studied, in particular PMMA implanted with Si+ ions accelerated to a relatively low energy of 50 keV and at a fluence of 3.2 × 1015 cm-2. The ion-modified material with nano-clustered structure formed in the near(sub)surface layer of a thickness of about 100 nm is optically characterized by simulation based on reflection ellipsometry measurements at a wavelength of 632.8 nm (He-Ne laser). Being of importance for applications of ion-implanted PMMA in integrated optics, optoelectronics and optical communications, the effect of the index depth profile of Si+-implanted PMMA on the profile of the reflected laser beam due to laser-induced thermo-lensing in reflection is also analyzed upon illumination with a low power cw laser (wavelength 532 nm, optical power 10 - 50 mW).

  18. Method for reduction of selected ion intensities in confined ion beams

    DOEpatents

    Eiden, Gregory C.; Barinaga, Charles J.; Koppenaal, David W.

    1998-01-01

    A method for producing an ion beam having an increased proportion of analyte ions compared to carrier gas ions is disclosed. Specifically, the method has the step of addition of a charge transfer gas to the carrier analyte combination that accepts charge from the carrier gas ions yet minimally accepts charge from the analyte ions thereby selectively neutralizing the carrier gas ions. Also disclosed is the method as employed in various analytical instruments including an inductively coupled plasma mass spectrometer.

  19. Method for reduction of selected ion intensities in confined ion beams

    DOEpatents

    Eiden, G.C.; Barinaga, C.J.; Koppenaal, D.W.

    1998-06-16

    A method for producing an ion beam having an increased proportion of analyte ions compared to carrier gas ions is disclosed. Specifically, the method has the step of addition of a charge transfer gas to the carrier analyte combination that accepts charge from the carrier gas ions yet minimally accepts charge from the analyte ions thereby selectively neutralizing the carrier gas ions. Also disclosed is the method as employed in various analytical instruments including an inductively coupled plasma mass spectrometer. 7 figs.

  20. Nuclear Structure Studies with Stable and Radioactive Beams: The SPES radioactive ion beam project

    NASA Astrophysics Data System (ADS)

    de Angelis, G.; SPES Collaboration; Prete, G.; Andrighetto, A.; Manzolaro, M.; Corradetti, S.; Scarpa, D.; Rossignoli, M.; Monetti, A.; Lollo, M.; Calderolla, M.; Vasquez, J.; Zafiropoulos, D.; Sarchiapone, L.; Benini, D.; Favaron, P.; Rigato, M.; Pegoraro, R.; Maniero, D.; Calabretta, L.; Comunian, M.; Maggiore, M.; Lombardi, A.; Piazza, L.; Porcellato, A. M.; Roncolato, C.; Bisoffi, G.; Pisent, A.; Galatà, A.; Giacchini, M.; Bassato, G.; Canella, S.; Gramegna, F.; Valiente, J.; Bermudez, J.; Mastinu, P. F.; Esposito, J.; Wyss, J.; Russo, A.; Zanella, S.

    2015-04-01

    A new Radioactive Ion Beam (RIB) facility (SPES) is presently under construction at the Legnaro National Laboratories of INFN. The SPES facility is based on the ISOL method using an UCx Direct Target able to sustain a power of 10 kW. The primary proton beam is provided by a high current Cyclotron accelerator with energy of 35-70 MeV and a beam current of 0.2-0.5 mA. Neutron-rich radioactive ions are produced by proton induced fission on an Uranium target at an expected fission rate of the order of 1013 fissions per second. After ionization and selection the exotic isotopes are re-accelerated by the ALPI superconducting LINAC at energies of 10A MeV for masses in the region A=130 amu. The expected secondary beam rates are of the order of 107 - 109 pps. Aim of the SPES facility is to deliver high intensity radioactive ion beams of neutron rich nuclei for nuclear physics research as well as to be an interdisciplinary research centre for radio-isotopes production for medicine and for neutron beams.

  1. Maskless micro-ion-beam reduction lithography system

    DOEpatents

    Leung, Ka-Ngo; Barletta, William A.; Patterson, David O.; Gough, Richard A.

    2005-05-03

    A maskless micro-ion-beam reduction lithography system is a system for projecting patterns onto a resist layer on a wafer with feature size down to below 100 nm. The MMRL system operates without a stencil mask. The patterns are generated by switching beamlets on and off from a two electrode blanking system or pattern generator. The pattern generator controllably extracts the beamlet pattern from an ion source and is followed by a beam reduction and acceleration column.

  2. Amorphization and reduction of thermal conductivity in porous silicon by irradiation with swift heavy ions

    NASA Astrophysics Data System (ADS)

    Newby, Pascal J.; Canut, Bruno; Bluet, Jean-Marie; Gomès, Séverine; Isaiev, Mykola; Burbelo, Roman; Termentzidis, Konstantinos; Chantrenne, Patrice; Fréchette, Luc G.; Lysenko, Vladimir

    2013-07-01

    In this article, we demonstrate that the thermal conductivity of nanostructured porous silicon is reduced by amorphization and also that this amorphous phase in porous silicon can be created by swift (high-energy) heavy ion irradiation. Porous silicon samples with 41%-75% porosity are irradiated with 110 MeV uranium ions at six different fluences. Structural characterisation by micro-Raman spectroscopy and SEM imaging show that swift heavy ion irradiation causes the creation of an amorphous phase in porous Si but without suppressing its porous structure. We demonstrate that the amorphization of porous silicon is caused by electronic-regime interactions, which is the first time such an effect is obtained in crystalline silicon with single-ion species. Furthermore, the impact on the thermal conductivity of porous silicon is studied by micro-Raman spectroscopy and scanning thermal microscopy. The creation of an amorphous phase in porous silicon leads to a reduction of its thermal conductivity, up to a factor of 3 compared to the non-irradiated sample. Therefore, this technique could be used to enhance the thermal insulation properties of porous Si. Finally, we show that this treatment can be combined with pre-oxidation at 300 °C, which is known to lower the thermal conductivity of porous Si, in order to obtain an even greater reduction.

  3. Amorphization and reduction of thermal conductivity in porous silicon by irradiation with swift heavy ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Newby, Pascal J.; Institut Interdisciplinaire d'Innovation Technologique; Canut, Bruno

    2013-07-07

    In this article, we demonstrate that the thermal conductivity of nanostructured porous silicon is reduced by amorphization and also that this amorphous phase in porous silicon can be created by swift (high-energy) heavy ion irradiation. Porous silicon samples with 41%-75% porosity are irradiated with 110 MeV uranium ions at six different fluences. Structural characterisation by micro-Raman spectroscopy and SEM imaging show that swift heavy ion irradiation causes the creation of an amorphous phase in porous Si but without suppressing its porous structure. We demonstrate that the amorphization of porous silicon is caused by electronic-regime interactions, which is the first timemore » such an effect is obtained in crystalline silicon with single-ion species. Furthermore, the impact on the thermal conductivity of porous silicon is studied by micro-Raman spectroscopy and scanning thermal microscopy. The creation of an amorphous phase in porous silicon leads to a reduction of its thermal conductivity, up to a factor of 3 compared to the non-irradiated sample. Therefore, this technique could be used to enhance the thermal insulation properties of porous Si. Finally, we show that this treatment can be combined with pre-oxidation at 300 Degree-Sign C, which is known to lower the thermal conductivity of porous Si, in order to obtain an even greater reduction.« less

  4. Radiochromic film diagnostics for laser-driven ion beams

    NASA Astrophysics Data System (ADS)

    Kaufman, J.; Margarone, Daniele; Candiano, Giacomo; Kim, I. Jong; Jeong, Tae Moon; Pšikal, Jan; Romano, F.; Cirrone, P.; Scuderi, V.; Korn, Georg

    2015-05-01

    Radiochromic film (RCF) based multichannel diagnostics utilizes the concept of a stack detector comprised of alternating layers of RCFs and shielding aluminium layers. An algorithm based on SRIM simulations is used to correct the accumulated dose. Among the standard information that can be obtained is the maximum ion energy and to some extend the beam energy spectrum. The main area where this detector shines though is the geometrical characterization of the beam. Whereas other detectors such as Thomson parabola spectrometer or Faraday cups detect only a fraction of the outburst cone, the RCF stack placed right behind the target absorbs the whole beam. A complete 2D and to some extend 3D imprint of the ion beam allows us to determine parameters such as divergence or beam center shift with respect to the target normal. The obvious drawback of such diagnostics is its invasive character. But considering that only a few successful shots (2-3) are needed per one kind of target to perform the analysis, the drawbacks are acceptable. In this work, we present results obtained with the RCF diagnostics using both conventional accelerators and laser-driven ion beams during 2 experimental campaigns.

  5. Intense highly charged ion beam production and operation with a superconducting electron cyclotron resonance ion source

    NASA Astrophysics Data System (ADS)

    Zhao, H. W.; Sun, L. T.; Guo, J. W.; Lu, W.; Xie, D. Z.; Hitz, D.; Zhang, X. Z.; Yang, Y.

    2017-09-01

    The superconducting electron cyclotron resonance ion source with advanced design in Lanzhou (SECRAL) is a superconducting-magnet-based electron cyclotron resonance ion source (ECRIS) for the production of intense highly charged heavy ion beams. It is one of the best performing ECRISs worldwide and the first superconducting ECRIS built with an innovative magnet to generate a high strength minimum-B field for operation with heating microwaves up to 24-28 GHz. Since its commissioning in 2005, SECRAL has so far produced a good number of continuous wave intensity records of highly charged ion beams, in which recently the beam intensities of 40Ar+ and 129Xe26+ have, for the first time, exceeded 1 emA produced by an ion source. Routine operations commenced in 2007 with the Heavy Ion accelerator Research Facility in Lanzhou (HIRFL), China. Up to June 2017, SECRAL has been providing more than 28,000 hours of highly charged heavy ion beams to the accelerator demonstrating its great capability and reliability. The great achievement of SECRAL is accumulation of numerous technical advancements, such as an innovative magnetic system and an efficient double-frequency (24 +18 GHz ) heating with improved plasma stability. This article reviews the development of SECRAL and production of intense highly charged ion beams by SECRAL focusing on its unique magnet design, source commissioning, performance studies and enhancements, beam quality and long-term operation. SECRAL development and its performance studies representatively reflect the achievements and status of the present ECR ion source, as well as the ECRIS impacts on HIRFL.

  6. Electrically Active Defects In Solar Cells Based On Amorphous Silicon/Crystalline Silicon Heterojunction After Irradiation By Heavy Xe Ions

    NASA Astrophysics Data System (ADS)

    Harmatha, Ladislav; Mikolášek, Miroslav; Stuchlíková, L'ubica; Kósa, Arpád; Žiška, Milan; Hrubčín, Ladislav; Skuratov, Vladimir A.

    2015-11-01

    The contribution is focused on the diagnostics of structures with a heterojunction between amorphous and crystalline silicon prepared by HIT (Heterojunction with an Intrinsic Thin layer) technology. The samples were irradiated by Xe ions with energy 167 MeV and doses from 5 × 108 cm-2 to 5 × 1010 cm-2. Radiation defects induced in the bulk of Si and at the hydrogenated amorphous silicon and crystalline silicon (a-Si:H/c-Si) interface were identified by Deep Level Transient Spectroscopy (DLTS). Radiation induced A-centre traps, boron vacancy traps and different types of divacancies with a high value of activation energy were observed. With an increased fluence of heavy ions the nature and density of the radiation induced defects was changed.

  7. First-principles simulations of transition metal ions in silicon as potential quantum bits

    NASA Astrophysics Data System (ADS)

    Ma, He; Seo, Hosung; Galli, Giulia

    Optically active spin defects in semiconductors have gained increasing attention in recent years for use as potential solid-state quantum bits (or qubits). Examples include the nitrogen-vacancy center in diamond, transition metal impurities, and rare earth ions. In this talk, we present first-principles theoretical results on group 6 transition metal ion (Chromium, Molybdenum and Tungsten) impurities in silicon, and we investigate their potential use as qubits. We used density functional theory (DFT) to calculate defect formation energies and we found that transition metal ions have lower formation energies at interstitial than substitutional sites. We also computed the electronic structure of the defects with particular attention to the position of the defect energy levels with respect to the silicon band edges. Based on our results, we will discuss the possibility of implementing qubits in silicon using group 6 transition metal ions. This work is supported by the National Science Foundation (NSF) through the University of Chicago MRSEC under Award Number DMR-1420709.

  8. Ion beam machining error control and correction for small scale optics.

    PubMed

    Xie, Xuhui; Zhou, Lin; Dai, Yifan; Li, Shengyi

    2011-09-20

    Ion beam figuring (IBF) technology for small scale optical components is discussed. Since the small removal function can be obtained in IBF, it makes computer-controlled optical surfacing technology possible to machine precision centimeter- or millimeter-scale optical components deterministically. Using a small ion beam to machine small optical components, there are some key problems, such as small ion beam positioning on the optical surface, material removal rate, ion beam scanning pitch control on the optical surface, and so on, that must be seriously considered. The main reasons for the problems are that it is more sensitive to the above problems than a big ion beam because of its small beam diameter and lower material ratio. In this paper, we discuss these problems and their influences in machining small optical components in detail. Based on the identification-compensation principle, an iterative machining compensation method is deduced for correcting the positioning error of an ion beam with the material removal rate estimated by a selected optimal scanning pitch. Experiments on ϕ10 mm Zerodur planar and spherical samples are made, and the final surface errors are both smaller than λ/100 measured by a Zygo GPI interferometer.

  9. Spectroscopic investigations of beam-plasma interactions in an ion plume

    NASA Technical Reports Server (NTRS)

    Ruyten, W. M.; Friedly, V. J.; Peng, X.; Celenza, J. A.; Keefer, D.

    1993-01-01

    We report the results of spectroscopic investigations of beam-plasma interactions in the plume from a 3 cm ion source operated on argon. Ion-electron, ion-neutral, and electron-neutral scattering are identified by studying the dependence of neutral and ion emission intensities on chamber pressure and mass flow rate, and by analyzing the emission lineshapes at a non-orthogonal angle to the plume axis. Through the Doppler shift, we are able to separate contributions from fast beam ions and fast charge-exchange neutrals on the one hand, and of slow neutrals and slow ions on the other. We discuss the application of this new technique to the characterization of beam plasma interactions in the downstream region of ion thruster engines, and its potential for identifying the processes which lead to grid erosion.

  10. New silicon architectures by gold-assisted chemical etching.

    PubMed

    Mikhael, Bechelany; Elise, Berodier; Xavier, Maeder; Sebastian, Schmitt; Johann, Michler; Laetitia, Philippe

    2011-10-01

    Silicon nanowires (SiNWs) were produced by nanosphere lithography and metal assisted chemical etching. The combination of these methods allows the morphology and organization control of Si NWs on a large area. From the investigation of major parameters affecting the etching such as doping type, doping concentration of the substrate, we demonstrate the formation of new Si architectures consisting of organized Si NW arrays formed on a micro/mesoporous silicon layer with different thickness. These investigations will allow us to better understand the mechanism of Si etching to enable a wide range of applications such as molecular sensing, and for thermoelectric and photovoltaic devices. © 2011 American Chemical Society

  11. Applications of ion beam technology

    NASA Technical Reports Server (NTRS)

    Gelerinter, E.; Spielberg, N.

    1980-01-01

    Wire adhesion in steel belted radial tires; carbon fibers and composite; cold welding, brazing, and fabrication; hydrogen production, separation, and storage; membrane use; catalysis; sputtering and texture; and ion beam implantation are discussed.

  12. Semi-empirical calculations for the ranges of fast ions in silicon

    NASA Astrophysics Data System (ADS)

    Belkova, Yu. A.; Teplova, Ya. A.

    2018-04-01

    A semi-empirical method is proposed to calculate the ion ranges in energy region E = 0.025-10 MeV/nucleon. The dependence of ion ranges on the projectile nuclear charge, mass and velocity is analysed. The calculations presented for ranges of ions with nuclear charges Z = 2-10 in silicon are compared with SRIM results and experimental data.

  13. Means for obtaining a metal ion beam from a heavy-ion cyclotron source

    DOEpatents

    Hudson, E.D.; Mallory, M.L.

    1975-08-01

    A description is given of a modification to a cyclotron ion source used in producing a high intensity metal ion beam. A small amount of an inert support gas maintains the usual plasma arc, except that it is necessary for the support gas to have a heavy mass, e.g., xenon or krypton as opposed to neon. A plate, fabricated from the metal (or anything that can be sputtered) to be ionized, is mounted on the back wall of the ion source arc chamber and is bombarded by returning energetic low-charged gas ions that fail to cross the initial accelerating gap between the ion source and the accelerating electrode. Some of the atoms that are dislodged from the plate by the returning gas ions become ionized and are extracted as a useful beam of heavy ions. (auth)

  14. First heavy ion beam tests with a superconducting multigap CH cavity

    NASA Astrophysics Data System (ADS)

    Barth, W.; Aulenbacher, K.; Basten, M.; Busch, M.; Dziuba, F.; Gettmann, V.; Heilmann, M.; Kürzeder, T.; Miski-Oglu, M.; Podlech, H.; Rubin, A.; Schnase, A.; Schwarz, M.; Yaramyshev, S.

    2018-02-01

    Very compact accelerating-focusing structures, as well as short focusing periods, high accelerating gradients and short drift spaces are strongly required for superconducting (sc) accelerator sections operating at low and medium energies for continuous wave (cw) heavy ion beams. To keep the GSI-super heavy element (SHE) program competitive on a high level and even beyond, a standalone sc cw linac (Helmholtz linear accelerator) in combination with the GSI high charge state injector (HLI), upgraded for cw operation, is envisaged. Recently the first linac section (financed by Helmholtz Institute Mainz (HIM) and GSI) as a demonstration of the capability of 217 MHz multigap crossbar H-mode structures (CH) has been commissioned and extensively tested with heavy ion beam from the HLI. The demonstrator setup reached acceleration of heavy ions up to the design beam energy. The required acceleration gain was achieved with heavy ion beams even above the design mass to charge ratio at high beam intensity and full beam transmission. This paper presents systematic beam measurements with varying rf amplitudes and phases of the CH cavity, as well as phase space measurements for heavy ion beams with different mass to charge ratio. The worldwide first and successful beam test with a superconducting multigap CH cavity is a milestone of the R&D work of HIM and GSI in collaboration with IAP in preparation of the HELIAC project and other cw-ion beam applications.

  15. Advances in Heavy Ion Beam Probe Technology and Operation on MST

    NASA Astrophysics Data System (ADS)

    Demers, D. R.; Connor, K. A.; Schoch, P. M.; Radke, R. J.; Anderson, J. K.; Craig, D.; den Hartog, D. J.

    2003-10-01

    A technique to map the magnetic field of a plasma via spectral imaging is being developed with the Heavy Ion Beam Probe on the Madison Symmetric Torus. The technique will utilize two-dimensional images of the ion beam in the plasma, acquired by two CCD cameras, to generate a three-dimensional reconstruction of the beam trajectory. This trajectory, and the known beam ion mass, energy and charge-state, will be used to determine the magnetic field of the plasma. A suitable emission line has not yet been observed since radiation from the MST plasma is both broadband and intense. An effort to raise the emission intensity from the ion beam by increasing beam focus and current has been undertaken. Simulations of the accelerator ion optics and beam characteristics led to a technique, confirmed by experiment, that achieves a narrower beam and marked increase in ion current near the plasma surface. The improvements arising from these simulations will be discussed. Realization of the magnetic field mapping technique is contingent upon accurate reconstruction of the beam trajectory from the camera images. Simulations of two camera CCD images, including the interior of MST, its various landmarks and beam trajectories have been developed. These simulations accept user input such as camera locations, resolution via pixellization and noise. The quality of the images simulated with these and other variables will help guide the selection of viewing port pairs, image size and camera specifications. The results of these simulations will be presented.

  16. A large ion beam device for laboratory solar wind studies

    NASA Astrophysics Data System (ADS)

    Ulibarri, Zach; Han, Jia; Horányi, Mihály; Munsat, Tobin; Wang, Xu; Whittall-Scherfee, Guy; Yeo, Li Hsia

    2017-11-01

    The Colorado Solar Wind Experiment is a new device constructed at the Institute for Modeling Plasma, Atmospheres, and Cosmic Dust at the University of Colorado. A large cross-sectional Kaufman ion source is used to create steady state plasma flow to model the solar wind in an experimental vacuum chamber. The plasma beam has a diameter of 12 cm at the source, ion energies of up to 1 keV, and ion flows of up to 0.1 mA/cm2. Chamber pressure can be reduced to 4 × 10-5 Torr under operating conditions to suppress ion-neutral collisions and create a monoenergetic ion beam. The beam profile has been characterized by a Langmuir probe and an ion energy analyzer mounted on a two-dimensional translation stage. The beam profile meets the requirements for planned experiments that will study solar wind interaction with lunar magnetic anomalies, the charging and dynamics of dust in the solar wind, plasma wakes and refilling, and the wakes of topographic features such as craters or boulders. This article describes the technical details of the device, initial operation and beam characterization, and the planned experiments.

  17. A prototype scintillating fibre beam profile monitor for Ion Therapy beams

    NASA Astrophysics Data System (ADS)

    Leverington, B. D.; Dziewiecki, M.; Renner, L.; Runze, R.

    2018-05-01

    A prototype plastic scintillating fibre based beam profile monitor was tested at the Heidelberg Ion Therapy Centre/Heidelberg Ionenstrahl Therapiezentrum (HIT) in 2016 to determine its beam property reconstruction performance and the feasibility of further developing an expanded system. At HIT protons, helium, carbon, and oxygen ions are available for therapy and experiments. The beam can be scanned in two dimensions using fast deflection magnets. A tracking system is used to monitor beam position and to adjust scanning magnet currents online. A new detector system with a finer granularity and without the drift time delay of the current MWPC system with a similar amount of material along the beamline would prove valuable in patient treatment. The sensitive detector components in the tested prototype detector are double-clad Kuraray SCSF-78MJ scintillating fibres with a diameter of 0.250 mm wound as a thin multi-layer ribbon. The scintillation light is detected at the end of the ribbon with Hamamatsu S11865-64 photodiode arrays with a pitch of 0.8 mm. Commercial or readily available readout electronics have been used to evaluate the system feasibility. The results shown in this paper include the linearity with respect to beam intensity, the RMS of the beam intensity as measured by two planes, along with the RMS of the mean position, and the measured beam width RMS. The Signal-to-Noise ratio of the current system is also measured as an indicator of potential performance. Additionally, the non-linear light yield of the scintillating fibres as measured by the photodiode arrays is compared to two models which describe the light yield as a function of the ion stopping power and Lorentz β.

  18. Investigations of a flat-panel detector for quality assurance measurements in ion beam therapy.

    PubMed

    Hartmann, Bernadette; Telsemeyer, Julia; Huber, Lucas; Ackermann, Benjamin; Jäkel, Oliver; Martišíková, Mária

    2012-01-07

    Increased accuracy in radiation delivery to a patient provided by scanning particle beams leads to high demands on quality assurance (QA). To meet the requirements, an extensive quality assurance programme has been implemented at the Heidelberg Ion Beam Therapy Center. Currently, high-resolution radiographic films are used for beam spot position measurements and homogeneity measurements for scanned fields. However, given that using this film type is time and equipment demanding, considerations have been made to replace the radiographic films in QA by another appropriate device. In this study, the suitability of the flat-panel detector RID 256 L based on amorphous silicon was investigated as an alternative method. The currently used radiographic films were taken as a reference. Investigations were carried out for proton and carbon ion beams. The detectors were irradiated simultaneously to allow for a direct comparison. The beam parameters (e.g. energy, focus, position) currently used in the daily QA procedures were applied. Evaluation of the measurements was performed using newly implemented automatic routines. The results for the flat-panel detector were compared to the standard radiographic films. Additionally, a field with intentionally decreased homogeneity was applied to test the detector's sensitivities toward possible incorrect scan parameters. For the beam position analyses, the flat-panel detector results showed good agreement with radiographic films. For both detector types, deviations between measured and planned spot distances were found to be below 1% (1 mm). In homogeneously irradiated fields, the flat-panel detector showed a better dose response homogeneity than the currently used radiographic film. Furthermore, the flat-panel detector is sensitive to field irregularities. The flat-panel detector was found to be an adequate replacement for the radiographic film in QA measurements. In addition, it saves time and equipment because no post

  19. The beam diagnostic instruments in Beijing radioactive ion-beam facilities isotope separator on-line

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ma, Y., E-mail: yjma@ciae.ac.cn; Cui, B.; Ma, R.

    The beam diagnostic instruments for Beijing Radioactive Ion-beam Facilities Isotope Separator On-Line are introduced [B. Q. Cui, Z. H. Peng, Y. J. Ma, R. G. Ma, B. Tang, T. Zhang, and W. S. Jiang, Nucl. Instrum. Methods 266, 4113 (2008); T. J. Zhang, X. L. Guan, and B. Q. Cui, in Proceedings of APAC 2004, Gyeongju, Korea, 2004, http://www.jacow.org , p. 267]. For low intensity ion beam [30–300 keV/1 pA–10 μA], the beam profile monitor, the emittance measurement unit, and the analyzing slit will be installed. For the primary proton beam [100 MeV/200 μA], the beam profile scanner will bemore » installed. For identification of the nuclide, a beam identification unit will be installed. The details of prototype of the beam diagnostic units and some experiment results will be described in this article.« less

  20. Targets used in the production of radioactive ion beams at the HRIBF

    NASA Astrophysics Data System (ADS)

    Stracener, D. W.; Alton, G. D.; Auble, R. L.; Beene, J. R.; Mueller, P. E.; Bilheux, J. C.

    2004-03-01

    Radioactive ion beams are produced at the Holifield Radioactive Ion Beam Facility using the Isotope Separation On-Line (ISOL) technique where the atoms are produced in a thick target, transported to an ion source, ionized, and extracted from the ion source to form an ion beam. These radioactive ion beams are then accelerated to energies of a few MeV per nucleon and delivered to experimental stations for use in nuclear physics and nuclear astrophysics experiments. At the heart of this facility is the RIB production target, where the radioactive nuclei are produced using beams of light ions (p, d, 3He, α) to induce nuclear reactions in the target nuclei. Several target materials have been developed and used successfully, including Al 2O 3, HfO 2, SiC, CeS, liquid Ge, liquid Ni, and a low-density matrix of uranium carbide. The details of these targets and some of the target developments that led to the delivery of high-quality radioactive ion beams are discussed in this paper.

  1. A low cost ion beam profile monitor

    NASA Astrophysics Data System (ADS)

    Godfrey, L.; Hoyes, G. G.; Pairsuwan, W.

    1990-09-01

    An intercepting multiwire ion beam profile monitor, of thickness 0.9 cm and active area 5 × 5 cm, has been developed for use with the low-intensity deuteron beamline at the Fast Neutron Research Facility (FNRF), Chiang Mai University. It has been used to optimise the transport of a continuous ion beam of current up to 200 μA and kinetic energy up to 140 keV. The monitor enables the determination of the two-dimensional beam profile using closely-spaced samples at 1.5 mm, and the measurement of relative beam current. The design incorporates low material and labour costs, elimination of the need for commercial vacuum feedthroughs, a minimal amount of devoted electronics with no need for preamplifiers, and permits quick insertion of the monitors, wherever needed along the beamline, with minimum disruption to neighbouring elements.

  2. Silver Film Surface Modification by Ion Bombardment Decreases Surface Plasmon Resonance Absorption.

    PubMed

    Fryauf, David M; Diaz Leon, Juan J; Phillips, Andrew C; Kobayashi, Nobuhiko P

    2017-05-10

    Silver thin films covered with dielectric films serving as protective coatings are desired for telescope mirrors, but durable coatings have proved elusive. As part of an effort to develop long-lived protected-silver mirrors, silver thin films were deposited by electron beam evaporation using a physical vapor deposition system at the University of California Observatories Astronomical Coatings Lab. The silver films were later covered with a stack of dielectric films utilizing silicon nitride and titanium dioxide deposited by ion-assisted electron beam evaporation to fabricate protected mirrors. In-situ argon ion bombardment was introduced after silver deposition and prior to the deposition of dielectric films to assess its effects on the performance of the mirrors. We found that ion bombardment of the silver influenced surface morphology and reflectivity, and these effects correlated with time between silver deposition and ion bombardment. The overall reflectivity at wavelengths in the range of 350-800 nm was found to improve due to ion bombardment, which was qualitatively interpreted as a result of decreased surface plasmon resonance coupling. We suggest that the observed decrease in coupling is caused by silver grain boundary pinning due to ion bombardment suppressing silver surface diffusion, forming smoother silver-dielectric interfaces.

  3. Ion beam processing of surgical materials

    NASA Astrophysics Data System (ADS)

    Williams, James M.; Buchanan, Raymond A.; Lee, In-Seop

    1989-02-01

    Ion beam processing has now achieved a secure place in surface treatment of biomaterials. This development is largely a result of the success of the process for wear prevention of orthopedic Ti-alloy in rubbing contact with ultrahigh molecular-weight polyethylene. Basic contributions of the authors in this area, together with other pertinent literature will be reviewed. Research in ion beam processing of biomaterials is turning to other areas. Among these, bioelectronics is considered to be a promising area for further effort. Pertinent experiments on effects of implantation of iridium into titanium and Ti-6Al-4V alloy on corrosion and charge injection properties are presented.

  4. Using neutral beams as a light ion beam probe (invited)

    DOE PAGES

    Chen, Xi; Heidbrink, William W.; Van Zeeland, Michael A.; ...

    2014-08-05

    By arranging the particle first banana orbits to pass near a distant detector, the light ion beam probe (LIBP) utilizes orbital deflection to probe internal fields and field fluctuations. The LIBP technique takes advantage of 1) the in situ, known source of fast ions created by beam-injected neutral particles that naturally ionize near the plasma edge, and 2) various commonly available diagnostics as its detector. These born trapped particles can traverse the plasma core on their inner banana leg before returning to the plasma edge. Orbital displacements (the forces on fast ions) caused by internal instabilities or edge perturbing fieldsmore » appear as modulated signal at an edge detector. Adjustments in the q-profile and plasma shape that determine the first orbit, as well as the relative position of the source and detector, enable studies under a wide variety of plasma conditions. This diagnostic technique can be used to probe the impact on fast ions of various instabilities, e.g. Alfvén eigenmodes (AEs) and neoclassical tearing modes, and of externally-imposed 3D fields, e.g. magnetic perturbations. To date, displacements by AEs and by externally applied resonant magnetic perturbation fields have been measured using a fast ion loss detector. Comparisons with simulations are shown. Additionally, nonlinear interactions between fast ions and independent AE waves are revealed by this technique.« less

  5. Using neutral beams as a light ion beam probe (invited)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Xi, E-mail: chenxi@fusion.gat.com; Heidbrink, W. W.; Van Zeeland, M. A.

    By arranging the particle first banana orbits to pass near a distant detector, the light ion beam probe (LIBP) utilizes orbital deflection to probe internal fields and field fluctuations. The LIBP technique takes advantage of (1) the in situ, known source of fast ions created by beam-injected neutral particles that naturally ionize near the plasma edge and (2) various commonly available diagnostics as its detector. These born trapped particles can traverse the plasma core on their inner banana leg before returning to the plasma edge. Orbital displacements (the forces on fast ions) caused by internal instabilities or edge perturbing fieldsmore » appear as modulated signal at an edge detector. Adjustments in the q-profile and plasma shape that determine the first orbit, as well as the relative position of the source and detector, enable studies under a wide variety of plasma conditions. This diagnostic technique can be used to probe the impact on fast ions of various instabilities, e.g., Alfvén eigenmodes (AEs) and neoclassical tearing modes, and of externally imposed 3D fields, e.g., magnetic perturbations. To date, displacements by AEs and by externally applied resonant magnetic perturbation fields have been measured using a fast ion loss detector. Comparisons with simulations are shown. In addition, nonlinear interactions between fast ions and independent AE waves are revealed by this technique.« less

  6. Using neutral beams as a light ion beam probe (invited)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Xi; Heidbrink, William W.; Van Zeeland, Michael A.

    By arranging the particle first banana orbits to pass near a distant detector, the light ion beam probe (LIBP) utilizes orbital deflection to probe internal fields and field fluctuations. The LIBP technique takes advantage of 1) the in situ, known source of fast ions created by beam-injected neutral particles that naturally ionize near the plasma edge, and 2) various commonly available diagnostics as its detector. These born trapped particles can traverse the plasma core on their inner banana leg before returning to the plasma edge. Orbital displacements (the forces on fast ions) caused by internal instabilities or edge perturbing fieldsmore » appear as modulated signal at an edge detector. Adjustments in the q-profile and plasma shape that determine the first orbit, as well as the relative position of the source and detector, enable studies under a wide variety of plasma conditions. This diagnostic technique can be used to probe the impact on fast ions of various instabilities, e.g. Alfvén eigenmodes (AEs) and neoclassical tearing modes, and of externally-imposed 3D fields, e.g. magnetic perturbations. To date, displacements by AEs and by externally applied resonant magnetic perturbation fields have been measured using a fast ion loss detector. Comparisons with simulations are shown. Additionally, nonlinear interactions between fast ions and independent AE waves are revealed by this technique.« less

  7. Axial energy spread measurements of an accelerated positive ion beam

    NASA Astrophysics Data System (ADS)

    Lee, Y.; Gough, R. A.; Kunkel, W. B.; Leung, K. N.; Perkins, L. T.; Pickard, D. S.; Sun, L.; Vujic, J.; Williams, M. D.; Wutte, D.; Mondelli, Alfred A.; Stengl, Gerhard

    1997-01-01

    A multicusp ion source has been designed for use in ion projection lithography. Longitudinal energy spreads of the extracted positive hydrogen ion beam have been studied using a retarding field energy analyzer. It has been found that the filament-discharge multicusp ion source can deliver a beam with an energy spread less than 3 eV which is required for the ALG-1000 machine. The multicusp ion source can also deliver the current required for the application.

  8. Characterization of Si p-i-n diode for scanning transmission ion microanalysis of biological samples

    NASA Astrophysics Data System (ADS)

    Devès, G.; Matsuyama, S.; Barbotteau, Y.; Ishii, K.; Ortega, R.

    2006-05-01

    The performance of a silicon p-i-n diode (Hamamatsu S1223-01) for the detection of charged particles was investigated and compared with the response of a standard passivated implanted planar silicon (PIPS) detector. The photodiode was characterized by ion beam induced charge collection with a micrometer spatial resolution using proton and alpha particle beams in the 1-3MeV energy range. Results indicate that homogeneity, energy resolution, and reproducibility of detection of charged particles enable the use of the low cost silicon p-i-n device as a replacement of conventional PIPS detector during scanning transmission ion microanalysis experiments. The Si p-i-n diode detection setup was successfully applied to scanning transmission ion microscopy determination of subcellular compartments on human cancer cultured cells.

  9. Silicon based nano-architectures for high power lithium-ion battery anodes

    NASA Astrophysics Data System (ADS)

    Krishnan, Rahul

    Lithium-ion batteries have now become an inseparable part of modern day society as the power source for several portable electronics like cell phones, digital cameras and laptops. Their high energy density compared with other electrochemical battery systems has been their most attractive feature. This has lead to a great interest in developing lithium-ion batteries for hybrid and all-electric vehicles. Eventually such vehicles will help drastically reduce the carbon footprint making the environment cleaner and healthier. In spite of their high energy density, Li-ion batteries are known to have poor power densities. This forms a major limitation in their deployment as a power source on vehicles. Electric vehicles need power sources that can provide both high energy and power densities. This requires the development of anode, cathode and electrolyte materials that would transform the capabilities of existing Li-ion batteries. Among anode materials silicon has received great attention because of its very large theoretical capacity of ˜4200 mAh/g based on the alloy Li22Si5. It should be noted that storage of charge in the anode occurs through the alloying of Li with the host anode material. However, the large specific capacity of silicon also results in a ˜400% volume expansion which could lead to pulverization and delamination reducing the cycle life of the electrode. These failure processes are exacerbated at high rates making it extremely difficult to use silicon for high-power Li-ion battery anodes. The major research thrust supporting this Ph.D. thesis involved exploring silicon based nano-architectures that would provide high energy and power densities over a long cycle life. The key technique used to design different nano-architectures was DC Magnetron sputtering with oblique angle deposition. The main development of this research was a functionally strain graded Carbon-Aluminum-Silicon nanoscoop architecture for high-power Li-ion battery anodes. This

  10. The electronic stopping powers and angular energy-loss dependence of helium and lithium ions in the silicon crystal

    NASA Astrophysics Data System (ADS)

    Mikšová, R.; Macková, A.; Malinský, P.

    2017-09-01

    We have measured the electronic stopping powers of helium and lithium ions in the channelling direction of the Si〈1 0 0〉 crystal. The energy range used (2.0-8.0 MeV) was changed by 200 and 400-keV steps. The ratio α between the channelling and random stopping powers was determined as a function of the angle for 2, 3 and 4 MeV 4He+ ions and for 3 and 6 MeV 7Li+,2+ ions. The measurements were carried out using the Rutherford backscattering spectrometry in the channelling mode (RBS-C) in a silicon-on-insulator material. The experimental channelling stopping-power values measured in the channelling direction were then discussed in the frame of the random energy stopping predictions calculated using SRIM-2013 code and the theoretical unitary convolution approximation (UCA) model. The experimental channelling stopping-power values decrease with increasing ion energy. The stopping-power difference between channelled and randomly moving ions increases with the enhanced initial ion energy. The ratio between the channelling and random ion stopping powers α as a function of the ion beam incoming angle for 2, 3 and 4 MeV He+ ions and for 3 and 6 MeV Li+,2+ ions was observed in the range 0.5-1.

  11. Discrimination of ionic species from broad-beam ion sources

    NASA Technical Reports Server (NTRS)

    Anderson, J. R.

    1993-01-01

    The performance of a broad-beam, three-grid, ion extraction system incorporating radio frequency (RF) mass discrimination was investigated experimentally. This testing demonstrated that the system, based on a modified single-stage Bennett mass spectrometer, can discriminate between ionic species having about a 2-to-1 mass ratio while producing a broad-beam of ions with low kinetic energy (less than 15 eV). Testing was conducted using either argon and krypton ions or atomic and diatomic oxygen ions. A simple one-dimensional model, which ignores magnetic field and space-charge effects, was developed to predict the species separation capabilities as well as the kinetic energies of the extracted ions. The experimental results correlated well with the model predictions. This RF mass discrimination system can be used in applications where both atomic and diatomic ions are produced, but a beam of only one of the species is desired. An example of such an application is a 5 eV atomic oxygen source. This source would produce a beam of atomic oxygen with 5 eV kinetic energy, which would be directed onto a material specimen, to simulate the interaction between the surface of a satellite and the rarefied atmosphere encountered in low-Earth orbit.

  12. Arc-based smoothing of ion beam intensity on targets

    DOE PAGES

    Friedman, Alex

    2012-06-20

    Manipulating a set of ion beams upstream of a target, makes it possible to arrange a smoother deposition pattern, so as to achieve more uniform illumination of the target. A uniform energy deposition pattern is important for applications including ion-beam-driven high energy density physics and heavy-ion beam-driven inertial fusion energy (“heavy-ion fusion”). Here, we consider an approach to such smoothing that is based on rapidly “wobbling” each of the beams back and forth along a short arc-shaped path, via oscillating fields applied upstream of the final pulse compression. In this technique, uniformity is achieved in the time-averaged sense; this ismore » sufficient provided the beam oscillation timescale is short relative to the hydrodynamic timescale of the target implosion. This work builds on two earlier concepts: elliptical beams applied to a distributed-radiator target [D. A. Callahan and M. Tabak, Phys. Plasmas 7, 2083 (2000)] and beams that are wobbled so as to trace a number of full rotations around a circular or elliptical path [R. C. Arnold et al., Nucl. Instrum. Methods 199, 557 (1982)]. Here, we describe the arc-based smoothing approach and compare it to results obtainable using an elliptical-beam prescription. In particular, we assess the potential of these approaches for minimization of azimuthal asymmetry, for the case of a ring of beams arranged on a cone. We also found that, for small numbers of beams on the ring, the arc-based smoothing approach offers superior uniformity. In contrast with the full-rotation approach, arc-based smoothing remains usable when the geometry precludes wobbling the beams around a full circle, e.g., for the X-target [E. Henestroza, B. G. Logan, and L. J. Perkins, Phys. Plasmas 18, 032702 (2011)] and some classes of distributed-radiator targets.« less

  13. Development of a negative ion-based neutral beam injector in Novosibirsk.

    PubMed

    Ivanov, A A; Abdrashitov, G F; Anashin, V V; Belchenko, Yu I; Burdakov, A V; Davydenko, V I; Deichuli, P P; Dimov, G I; Dranichnikov, A N; Kapitonov, V A; Kolmogorov, V V; Kondakov, A A; Sanin, A L; Shikhovtsev, I V; Stupishin, N V; Sorokin, A V; Popov, S S; Tiunov, M A; Belov, V P; Gorbovsky, A I; Kobets, V V; Binderbauer, M; Putvinski, S; Smirnov, A; Sevier, L

    2014-02-01

    A 1000 keV, 5 MW, 1000 s neutral beam injector based on negative ions is being developed in the Budker Institute of Nuclear Physics, Novosibirsk in collaboration with Tri Alpha Energy, Inc. The innovative design of the injector features the spatially separated ion source and an electrostatic accelerator. Plasma or photon neutralizer and energy recuperation of the remaining ion species is employed in the injector to provide an overall energy efficiency of the system as high as 80%. A test stand for the beam acceleration is now under construction. A prototype of the negative ion beam source has been fabricated and installed at the test stand. The prototype ion source is designed to produce 120 keV, 1.5 A beam.

  14. Experimental observation of ion beams in the Madison Helicon eXperiment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wiebold, Matt; Sung, Yung-Ta; Scharer, John E.

    2011-06-15

    Argon ion beams up to E{sub b} = 165 eV at P{sub rf} = 500 W are observed in the Madison Helicon eXperiment (MadHeX) helicon source with a magnetic nozzle. A two-grid retarding potential analyzer (RPA) is used to measure the ion energy distribution, and emissive and rf-filtered Langmuir probes measure the plasma potential, electron density, and temperature. The supersonic ion beam (M = v{sub i}/c{sub s} up to 5) forms over tens of Debye lengths and extends spatially for a few ion-neutral charge-exchange mean free paths. The parametric variation of the ion beam energy is explored, including flow rate,more » rf power, and magnetic field dependence. The beam energy is equal to the difference in plasma potentials in the Pyrex chamber and the grounded expansion chamber. The plasma potential in the expansion chamber remains near the predicted eV{sub p} {approx} 5kT{sub e} for argon, but the upstream potential is much higher, likely due to wall charging, resulting in accelerated ion beam energies E{sub b} = e[V{sub beam} - V{sub plasma}] > 10kT{sub e}.« less

  15. Improvements for extending the time between maintenance periods for the Heidelberg ion beam therapy center (HIT) ion sources.

    PubMed

    Winkelmann, Tim; Cee, Rainer; Haberer, Thomas; Naas, Bernd; Peters, Andreas; Schreiner, Jochen

    2014-02-01

    The clinical operation at the Heidelberg Ion Beam Therapy Center (HIT) started in November 2009; since then more than 1600 patients have been treated. In a 24/7 operation scheme two 14.5 GHz electron cyclotron resonance ion sources are routinely used to produce protons and carbon ions. The modification of the low energy beam transport line and the integration of a third ion source into the therapy facility will be shown. In the last year we implemented a new extraction system at all three sources to enhance the lifetime of extraction parts and reduce preventive and corrective maintenance. The new four-electrode-design provides electron suppression as well as lower beam emittance. Unwanted beam sputtering effects which typically lead to contamination of the insulator ceramics and subsequent high-voltage break-downs are minimized by the beam guidance of the new extraction system. By this measure the service interval can be increased significantly. As a side effect, the beam emittance can be reduced allowing a less challenging working point for the ion sources without reducing the effective beam performance. This paper gives also an outlook to further enhancements at the HIT ion source testbench.

  16. Sponge-like reduced graphene oxide/silicon/carbon nanotube composites for lithium ion batteries

    NASA Astrophysics Data System (ADS)

    Fang, Menglu; Wang, Zhao; Chen, Xiaojun; Guan, Shiyou

    2018-04-01

    Three-dimensional sponge-like reduced graphene oxide/silicon/carbon nanotube composites were synthesized by one-step hydrothermal self-assembly using silicon nanoparticles, graphene oxide and amino modified carbon nanotubes to develop high-performance anode materials of lithium ion batteries. Scanning electron microscopy and transmission electron microscopy images show the structure of composites that Silicon nanoparticles are coated with reduced graphene oxide while amino modified carbon nanotubes wrap around the reduced graphene oxide in the composites. When applied to lithium ion battery, these composites exhibit high initial specific capacity of 2552 mA h/g at a current density of 0.05 A/g. In addition, reduced graphene oxide/silicon/carbon nanotube composites also have better cycle stability than bare Silicon nanoparticles electrode with the specific capacity of 1215 mA h/g after 100 cycles. The three-dimension sponge-like structure not only ensures the electrical conductivity but also buffers the huge volume change, which has broad potential application in the field of battery.

  17. NSUF Ion Beam Investment Options Workshop Report

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Heidrich, Brenden John

    2016-03-01

    The workshop that generated this data was convened to develop a set of recommendations (a priority list) for possible funding in the area of US domestic ion beam irradiation capabilities for nuclear energy-focused RD&D. The results of this workshop were intended for use by the Department of Energy - Office of Nuclear Energy (DOE-NE) for consideration of support for these facilities. The workshop considered, as part of the initial potential future support discussions, input submitted through the Office of Nuclear Energy Request for Information (RFI) (DE-SOL-0008318, April 13, 2015), but welcomed discussion (and presentation) of other options, whether specific ormore » general in scope. Input from users, including DOE-NE program interests and needs for ion irradiation RD&D were also included. Participants were selected from various sources: RFI respondents, NEUP/NEET infrastructure applicants, universities with known expertise in nuclear engineering and materials science and other developed sources. During the three days from March 22-24, 2016, the workshop was held at the Idaho National Laboratory Meeting Center in the Energy Innovation Laboratory at 775 University Drive, Idaho Falls, ID 83401. Thirty-one members of the ion beam community attended the workshop, including 15 ion beam facilities, six representatives of Office of Nuclear Energy R&D programs, an industry representative from EPRI and the chairs of the NSUF User’s Organization and the NSUF Scientific Review Board. Another four ion beam users were in attendance acting as advisors to the process, but did not participate in the options assessment. Three members of the sponsoring agency, the Office of Science and Technology Innovation (NE-4) also attended the workshop.« less

  18. Development of Bipolar Pulse Accelerator for Pulsed Ion Beam Implantation to Semiconductor

    NASA Astrophysics Data System (ADS)

    Masugata, Katsumi; Kawahara, Yoshihiro; Mitsui, Chihiro; Kitamura, Iwao; Takahashi, Takakazu; Tanaka, Yasunori; Tanoue, Hisao; Arai, Kazuo

    2002-12-01

    To improve the purity of the ion beams new type of pulsed power ion accelerator named "bipolar pulse accelerator" was proposed. The accelerator consists of two acceleration gaps (an ion source gap and a post acceleration gap) and a drift tube, and a bipolar pulse is applied to the drift tube to accelerate the beam. In the accelerator intended ions are selectively accelerated and the purity of the ion beam is enhanced. As the first step of the development of the accelerator, a Br-type magnetically insulated acceleration gap is developed. The gap has an ion source of coaxial gas puff plasma gun on the grounded anode and a negative pulse is applied to the cathode to accelerate the ion beam. By using the plasma gun, ion source plasma (nitrogen) of current density around 100 A/cm2 is obtained. In the paper, the experimental results of the evaluation of the ion beam and the characteristics of the gap are shown with the principle and the design concept of the proposed accelerator.

  19. Studies of Ion Beam Charge Neutralization by Ferroelectric Plasma Sources

    NASA Astrophysics Data System (ADS)

    Stepanov, A.; Gilson, E. P.; Grisham, L.; Davidson, R. C.

    2013-10-01

    Space-charge forces limit the possible transverse compression of high perveance ion beams that are used in ion-beam-driven high energy density physics applications; the minimum radius to which a beam can be focused is an increasing function of perveance. The limit can be overcome if a plasma is introduced in the beam path between the focusing element and the target in order to neutralize the space charge of the beam. This concept has been implemented on the Neutralized Drift Compression eXperiment (NDCX) at LBNL using Ferroelectric Plasma Sources (FEPS). In our experiment at PPPL, we propagate a perveance-dominated ion beam through a FEPS to study the effect of the neutralizing plasma on the beam envelope and its evolution in time. A 30-60 keV space-charge-dominated Argon beam is focused with an Einzel lens into a FEPS located at the beam waist. The beam is intercepted downstream from the FEPS by a movable Faraday cup that provides time-resolved 2D current density profiles of the beam spot on target. We report results on: (a) dependence of charge neutralization on FEPS plasma density; (b) effects on beam emittance, and (c) time evolution of the beam envelope after the FEPS pulse. Research supported by the U.S. Department of Energy.

  20. On- and off-line monitoring of ion beam treatment

    NASA Astrophysics Data System (ADS)

    Parodi, Katia

    2016-02-01

    Ion beam therapy is an emerging modality for high precision radiation treatment of cancer. In comparison to conventional radiation sources (photons, electrons), ion beams feature major dosimetric advantages due to their finite range with a localized dose deposition maximum, the Bragg peak, which can be selectively adjusted in depth. However, due to several sources of treatment uncertainties, full exploitation of these dosimetric advantages in clinical practice would require the possibility to visualize the stopping position of the ions in vivo, ideally in real-time. To this aim, different imaging methods have been proposed and investigated, either pre-clinically or even clinically, based on the detection of prompt or delayed radiation following nuclear interaction of the beam with the irradiated tissue. However, the chosen or ad-hoc developed instrumentation has often relied on technologies originally conceived for different applications, thus compromising on the achievable performances for the sake of cost-effectiveness. This contribution will review major examples of used instrumentation and related performances, identifying the most promising detector developments for next generation devices especially dedicated to on-line monitoring of ion beam treatment. Moreover, it will propose an original combination of different techniques in a hybrid detection scheme, aiming to make the most of complementary imaging methods and open new perspectives of image guidance for improved precision of ion beam therapy.

  1. Ion beam synthesis of Au nanoparticles embedded nano-composite glass

    NASA Astrophysics Data System (ADS)

    Varma, Ranjana S.; Kothari, D. C.; Kumar, Ravi; Kumar, P.; Santra, S. S.; Thomas, R. G.

    2013-02-01

    Ion beam mixing using low energy (LE) ion beams (100 keV Ar+) has been used to form Au nanoparticles in the near-surface region of fused silica glasses. Effect of swift heavy ion (SHI) irradiation (with 120 MeV Ag9+), on the nanoparticles has been studied. Diffusion length of Au after the beam mixing and the irradiation has been found to be 14nm. SHI irradiation causes the increase in the size of the nanoparticles, reduction in size-distribution and increase in number density.

  2. Low-cost carbon-silicon nanocomposite anodes for lithium ion batteries.

    PubMed

    Badi, Nacer; Erra, Abhinay Reddy; Hernandez, Francisco C Robles; Okonkwo, Anderson O; Hobosyan, Mkhitar; Martirosyan, Karen S

    2014-01-01

    The specific energy of the existing lithium ion battery cells is limited because intercalation electrodes made of activated carbon (AC) materials have limited lithium ion storage capacities. Carbon nanotubes, graphene, and carbon nanofibers are the most sought alternatives to replace AC materials but their synthesis cost makes them highly prohibitive. Silicon has recently emerged as a strong candidate to replace existing graphite anodes due to its inherently large specific capacity and low working potential. However, pure silicon electrodes have shown poor mechanical integrity due to the dramatic expansion of the material during battery operation. This results in high irreversible capacity and short cycle life. We report on the synthesis and use of carbon and hybrid carbon-silicon nanostructures made by a simplified thermo-mechanical milling process to produce low-cost high-energy lithium ion battery anodes. Our work is based on an abundant, cost-effective, and easy-to-launch source of carbon soot having amorphous nature in combination with scrap silicon with crystalline nature. The carbon soot is transformed in situ into graphene and graphitic carbon during mechanical milling leading to superior elastic properties. Micro-Raman mapping shows a well-dispersed microstructure for both carbon and silicon. The fabricated composites are used for battery anodes, and the results are compared with commercial anodes from MTI Corporation. The anodes are integrated in batteries and tested; the results are compared to those seen in commercial batteries. For quick laboratory assessment, all electrochemical cells were fabricated under available environment conditions and they were tested at room temperature. Initial electrochemical analysis results on specific capacity, efficiency, and cyclability in comparison to currently available AC counterpart are promising to advance cost-effective commercial lithium ion battery technology. The electrochemical performance observed for

  3. Low-cost carbon-silicon nanocomposite anodes for lithium ion batteries

    PubMed Central

    2014-01-01

    The specific energy of the existing lithium ion battery cells is limited because intercalation electrodes made of activated carbon (AC) materials have limited lithium ion storage capacities. Carbon nanotubes, graphene, and carbon nanofibers are the most sought alternatives to replace AC materials but their synthesis cost makes them highly prohibitive. Silicon has recently emerged as a strong candidate to replace existing graphite anodes due to its inherently large specific capacity and low working potential. However, pure silicon electrodes have shown poor mechanical integrity due to the dramatic expansion of the material during battery operation. This results in high irreversible capacity and short cycle life. We report on the synthesis and use of carbon and hybrid carbon-silicon nanostructures made by a simplified thermo-mechanical milling process to produce low-cost high-energy lithium ion battery anodes. Our work is based on an abundant, cost-effective, and easy-to-launch source of carbon soot having amorphous nature in combination with scrap silicon with crystalline nature. The carbon soot is transformed in situ into graphene and graphitic carbon during mechanical milling leading to superior elastic properties. Micro-Raman mapping shows a well-dispersed microstructure for both carbon and silicon. The fabricated composites are used for battery anodes, and the results are compared with commercial anodes from MTI Corporation. The anodes are integrated in batteries and tested; the results are compared to those seen in commercial batteries. For quick laboratory assessment, all electrochemical cells were fabricated under available environment conditions and they were tested at room temperature. Initial electrochemical analysis results on specific capacity, efficiency, and cyclability in comparison to currently available AC counterpart are promising to advance cost-effective commercial lithium ion battery technology. The electrochemical performance observed for

  4. Selective Isobar Suppression for Accelerator Mass Spectrometry and Radioactive Ion Beam Science

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Galindo-Uribarri, Alfredo; Havener, Charles C; Lewis, Thomas L.

    2010-01-01

    Several applications of AMS will benefit from pushing further the detection limits of AMS isotopes. A new method of selective isobar suppression by photodetachment in a radio-frequency quadrupole ion cooler is being developed at HRIBF with a two-fold purpose: (1) increasing the AMS sensitivity for certain isotopes of interest and (2) purifying radioactive ion beams for nuclear science. The potential of suppressing the 36S contaminants in a 36Cl beam using this method has been explored with stable S- and Cl- ions and a Nd:YLF laser. In the study, the laser beam was directed along the experiment's beam line and throughmore » a RF quadrupole ion cooler. Negative 32S and 35Cl ions produced by a Cs sputter ion source were focused into the ion cooler where they were slowed by collisions with He buffer gas; this increased the interaction time between the negative ion beam and the laser beam. As a result, suppression of S- by a factor of 3000 was obtained with about 2.5 W average laser power in the cooler while no reduction in Cl- current was observed.« less

  5. Six-beam homodyne laser Doppler vibrometry based on silicon photonics technology.

    PubMed

    Li, Yanlu; Zhu, Jinghao; Duperron, Matthieu; O'Brien, Peter; Schüler, Ralf; Aasmul, Soren; de Melis, Mirko; Kersemans, Mathias; Baets, Roel

    2018-02-05

    This paper describes an integrated six-beam homodyne laser Doppler vibrometry (LDV) system based on a silicon-on-insulator (SOI) full platform technology, with on-chip photo-diodes and phase modulators. Electronics and optics are also implemented around the integrated photonic circuit (PIC) to enable a simultaneous six-beam measurement. Measurement of a propagating guided elastic wave in an aluminum plate (speed ≈ 909 m/s @ 61.5 kHz) is demonstrated.

  6. Ion beam applications research. A summary of Lewis Research Center Programs

    NASA Technical Reports Server (NTRS)

    Banks, B. A.

    1981-01-01

    A summary of the ion beam applications research (IBAR) program organized to enable the development of materials, products, and processes through the nonpropulsive application of ion thruster technology is given. Specific application efforts utilizing ion beam sputter etching, deposition, and texturing are discussed as well as ion source and component technology applications.

  7. Nanopore fabrication and characterization by helium ion microscopy

    NASA Astrophysics Data System (ADS)

    Emmrich, D.; Beyer, A.; Nadzeyka, A.; Bauerdick, S.; Meyer, J. C.; Kotakoski, J.; Gölzhäuser, A.

    2016-04-01

    The Helium Ion Microscope (HIM) has the capability to image small features with a resolution down to 0.35 nm due to its highly focused gas field ionization source and its small beam-sample interaction volume. In this work, the focused helium ion beam of a HIM is utilized to create nanopores with diameters down to 1.3 nm. It will be demonstrated that nanopores can be milled into silicon nitride, carbon nanomembranes, and graphene with well-defined aspect ratio. To image and characterize the produced nanopores, helium ion microscopy and high resolution scanning transmission electron microscopy were used. The analysis of the nanopores' growth behavior allows inferring on the profile of the helium ion beam.

  8. Performance test of electron cyclotron resonance ion sources for the Hyogo Ion Beam Medical Center

    NASA Astrophysics Data System (ADS)

    Sawada, K.; Sawada, J.; Sakata, T.; Uno, K.; Okanishi, K.; Harada, H.; Itano, A.; Higashi, A.; Akagi, T.; Yamada, S.; Noda, K.; Torikoshi, M.; Kitagawa, A.

    2000-02-01

    Two electron cyclotron resonance (ECR) ion sources were manufactured for the accelerator facility at the Hyogo Ion Beam Medical Center. H2+, He2+, and C4+ were chosen as the accelerating ions because they have the highest charge to mass ratio among ion states which satisfy the required intensity and quality. The sources have the same structure as the 10 GHz ECR source at the Heavy Ion Medical Accelerator in Chiba except for a few improvements in the magnetic structure. Their performance was investigated at the Sumitomo Heavy Industries factory before shipment. The maximum intensity was 1500 μA for H2+, 1320 μA for He2+, and 580 μA for C4+ at the end of the ion source beam transport line. These are several times higher than required. Sufficient performance was also observed in the flatness and long-term stability of the pulsed beams. These test results satisfy the requirements for medical use.

  9. Large area multiarc ion beam source {open_quote}MAIS{close_quote}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Engelko, V.; Giese, H.; Schalk, S.

    1996-12-31

    A pulsed large area intense ion beam source is described, in which the ion emitting plasma is built up by an array of individual discharge units, homogeneously distributed over the surface of a common discharge electrode. A particularly advantageous feature of the source is that for plasma generation and subsequent acceleration of the ions only one common energy supply is necessary. This allows to simplify the source design and provides inherent synchronization of plasma production and ion extraction. The homogeneity of the plasma density was found to be superior to plasma sources using plasma expanders. Originally conceived for the productionmore » of proton beams, the source can easily be modified for the production of beams composed of carbon and metal ions or mixed ion species. Results of investigations of the source performance for the production of a proton beam are presented. The maximum beam current achieved to date is of the order of 100 A, with a particle kinetic energy of 15 - 30 keV and a pulse length in the range of 10 {mu}s.« less

  10. Electron cooling of a bunched ion beam in a storage ring

    NASA Astrophysics Data System (ADS)

    Zhao, He; Mao, Lijun; Yang, Jiancheng; Xia, Jiawen; Yang, Xiaodong; Li, Jie; Tang, Meitang; Shen, Guodong; Ma, Xiaoming; Wu, Bo; Wang, Geng; Ruan, Shuang; Wang, Kedong; Dong, Ziqiang

    2018-02-01

    A combination of electron cooling and rf system is an effective method to compress the beam bunch length in storage rings. A simulation code based on multiparticle tracking was developed to calculate the bunched ion beam cooling process, in which the electron cooling, intrabeam scattering (IBS), ion beam space-charge field, transverse and synchrotron motion are considered. Meanwhile, bunched ion beam cooling experiments have been carried out in the main cooling storage ring (CSRm) of the Heavy Ion Research Facility in Lanzhou, to investigate the minimum bunch length obtained by the cooling method, and study the dependence of the minimum bunch length on beam and machine parameters. The experiments show comparable results to those from simulation. Based on these simulations and experiments, we established an analytical model to describe the limitation of the bunch length of the cooled ion beam. It is observed that the IBS effect is dominant for low intensity beams, and the space-charge effect is much more important for high intensity beams. Moreover, the particles will not be bunched for much higher intensity beam. The experimental results in CSRm show a good agreement with the analytical model in the IBS dominated regime. The simulation work offers us comparable results to those from the analytical model both in IBS dominated and space-charge dominated regimes.

  11. LET and ion-species dependence for cell killing and mutation induction in normal human fibroblasts.

    PubMed

    Tsuruoka, Chizuru; Suzuki, Masao; Fujitaka, Kazunobu

    2003-10-01

    We have been studying LET and ion species dependence of RBE values in cell killing and mutation induction. Normal human skin fibroblasts were irradiated with heavy-ion beams such as carbon (290 Mev/u and 135 Mev/u), neon (230 Mev/u and 400 Mev/u), silicon (490 Mev/u) and iron (500 Mev/u) ion beams, generated by Heavy Ion Medical Accelerator in Chiba (HIMAC) at National Institute of Radiological Sciences (NIRS). Cell killing effect was detected as reproductive cell death using a colony formation assay. Mutation induction in hprt locus was detected to measure 6-thioguanine resistant colonies. The RBE-LET curves of cell killing and mutation induction were different each ion beam. So, we plotted RBE for cell killing and mutation induction as function of Z*2/beta2 instead of LET. RBE-Z*2/beta2 curves of cell killing indicated that the discrepancy of RBE-LET curves was reconciled each ion species. But RBE-Z*2/beta2 curves of mutation induction didn't corresponded between carbon- and silicon-ion beams. These results suggested that different biological endpoints may be suitable for different physical parameter, which represent the track structure of energy deposition of ion beams.

  12. MO-A-213AB-11: First Experimental Test of Secondary Ion Tracking for the Assessment of Beam Range in a Patient-Like Phantom.

    PubMed

    Martisikova, M; Jakubek, J; Gwosch, K; Hartmann, B; Telsemeyer, J; Soukup, P; Granja, C; Pospisil, S; Jaekel, O

    2012-06-01

    Radiation therapy with ion beams provides highly conformal dose distributions. Therefore, monitoring the dose delivery within the patient in a non- invasive way is desired. The clinically available method based on tissue activation measurements with a PET-camera shows limitations due to the low induced activities and biological washout of the activated nuclei. The prompt production of secondary ions is supposed to be less influenced by biological processes. This contribution investigates the feasibility of beam range monitoring in a patient-like geometry containing realistic tissue inhomogeneities. The experiments were performed at the Heidelberg Ion-Beam Therapy Center in Germany using carbon ion beams of 213 and 250MeV/u. Static pencil beams (FWHM of 6mm) were applied to the skull base and brain regions of a head phantom containing real bones. The emerging secondary ions were registered by the silicon detector Timepix. It was developed by the Medipix Collaboration and provides 256×256 pixels with 55um pitch. To determine the direction of the particles, a multi-layered detector (3D voxel detector, J.Jakubek etal. JINST6 C12010) was employed. The contribution of K. Gwosch etal. addresses the performance of this method in a homogeneous phantom. In the 3D distributions of the measured secondary ions clear differences between the application of lower and higher energies were observed. This Result was achieved in both brain (homogeneous) and skull base regions (containing inhomogeneities). Differences between the energies could be observed with the detector positioned on the occipital side as well as on the facial side of the head. We performed the first experiments towards beam range monitoring in a patient-like geometry exploiting tracking of prompt secondary ions with a small detector prototype. Despite the inherent tissue inhomogeneities, we found sensitivity on the beam range in both brain and skull base. Research carried out in frame of the Medipix Collaboration

  13. Evaluation of ion-implanted-silicon detectors for use in intraoperative positron-sensitive probes.

    PubMed

    Raylman, R R; Wahl, R L

    1996-11-01

    The continuing development of probes for use with beta (positron and electron) emitting radionuclides may result in more complete excision of tracer-avid tumors. Perhaps one of the most promising radiopharmaceuticals for this task is 18F-labeled-Fluoro-2-Deoxy-D-Glucose (FDG). This positron-emitting agent has been demonstrated to be avidly and rapidly absorbed by many human cancers. We have investigated the use of ion-implanted-silicon detectors in intraoperative positron-sensitive surgical probes for use with FDG. These detectors possess very high positron detection efficiency, while the efficiency for 511 keV photon detection is low. The spatial resolution, as well as positron and annihilation photon detection sensitivity, of an ion-implanted-silicon detector used with 18F was measured at several energy thresholds. In addition, the ability of the device to detect the presence of relatively small amounts of FDG during surgery was evaluated by simulating a surgical field in which some tumor was left intact following lesion excision. The performance of the ion-implanted-silicon detector was compared to the operating characteristics of a positron-sensitive surgical probe which utilizes plastic scintillator. In all areas of performance the ion-implanted-silicon detector proved superior to the plastic scintillator-based probe. At an energy threshold of 14 keV positron sensitivity measured for the ion-implanted-silicon detector was 101.3 cps/kBq, photon sensitivity was 7.4 cps/kBq. In addition, spatial resolution was found to be relatively unaffected by the presence of distant sources of annihilation photon flux. Finally, the detector was demonstrated to be able to localize small amounts of FDG in a simulated tumor bed; indicating that this device has promise as a probe to aid in FDG-guided surgery.

  14. Steady-State Ion Beam Modeling with MICHELLE

    NASA Astrophysics Data System (ADS)

    Petillo, John

    2003-10-01

    There is a need to efficiently model ion beam physics for ion implantation, chemical vapor deposition, and ion thrusters. Common to all is the need for three-dimensional (3D) simulation of volumetric ion sources, ion acceleration, and optics, with the ability to model charge exchange of the ion beam with a background neutral gas. The two pieces of physics stand out as significant are the modeling of the volumetric source and charge exchange. In the MICHELLE code, the method for modeling the plasma sheath in ion sources assumes that the electron distribution function is a Maxwellian function of electrostatic potential over electron temperature. Charge exchange is the process by which a neutral background gas with a "fast" charged particle streaming through exchanges its electron with the charged particle. An efficient method for capturing this is essential, and the model presented is based on semi-empirical collision cross section functions. This appears to be the first steady-state 3D algorithm of its type to contain multiple generations of charge exchange, work with multiple species and multiple charge state beam/source particles simultaneously, take into account the self-consistent space charge effects, and track the subsequent fast neutral particles. The solution used by MICHELLE is to combine finite element analysis with particle-in-cell (PIC) methods. The basic physics model is based on the equilibrium steady-state application of the electrostatic particle-in-cell (PIC) approximation employing a conformal computational mesh. The foundation stems from the same basic model introduced in codes such as EGUN. Here, Poisson's equation is used to self-consistently include the effects of space charge on the fields, and the relativistic Lorentz equation is used to integrate the particle trajectories through those fields. The presentation will consider the complexity of modeling ion thrusters.

  15. Study on chemical mechanical polishing of silicon wafer with megasonic vibration assisted.

    PubMed

    Zhai, Ke; He, Qing; Li, Liang; Ren, Yi

    2017-09-01

    Chemical mechanical polishing (CMP) is the primary method to realize the global planarization of silicon wafer. In order to improve this process, a novel method which combined megasonic vibration to assist chemical mechanical polishing (MA-CMP) is developed in this paper. A matching layer structure of polishing head was calculated and designed. Silicon wafers are polished by megasonic assisted chemical mechanical polishing and traditional chemical mechanical polishing respectively, both coarse polishing and precision polishing experiments were carried out. With the use of megasonic vibration, the surface roughness values Ra reduced from 22.260nm to 17.835nm in coarse polishing, and the material removal rate increased by approximately 15-25% for megasonic assisted chemical mechanical polishing relative to traditional chemical mechanical polishing. Average Surface roughness values Ra reduced from 0.509nm to 0.387nm in precision polishing. The results show that megasonic assisted chemical mechanical polishing is a feasible method to improve polishing efficiency and surface quality. The material removal and finishing mechanisms of megasonic vibration assisted polishing are investigated too. Copyright © 2017 Elsevier B.V. All rights reserved.

  16. Multiaperture ion beam extraction from gas-dynamic electron cyclotron resonance source of multicharged ions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sidorov, A.; Dorf, M.; Zorin, V.

    2008-02-15

    Electron cyclotron resonance ion source with quasi-gas-dynamic regime of plasma confinement (ReGIS), constructed at the Institute of Applied Physics, Russia, provides opportunities for extracting intense and high-brightness multicharged ion beams. Despite the short plasma lifetime in a magnetic trap of a ReGIS, the degree of multiple ionization may be significantly enhanced by the increase in power and frequency of the applied microwave radiation. The present work is focused on studying the intense beam quality of this source by the pepper-pot method. A single beamlet emittance measured by the pepper-pot method was found to be {approx}70 {pi} mm mrad, and themore » total extracted beam current obtained at 14 kV extraction voltage was {approx}25 mA. The results of the numerical simulations of ion beam extraction are found to be in good agreement with experimental data.« less

  17. Nanophotonic production, modulation and switching of ions by silicon microcolumn arrays

    DOEpatents

    Vertes, Akos; Walker, Bennett N.

    2013-09-10

    The production and use of silicon microcolumn arrays that harvest light from a laser pulse to produce ions are described. The systems of the present invention seem to behave like a quasi-periodic antenna array with ion yields that show profound dependence on the plane of laser light polarization and the angle of incidence. By providing photonic ion sources, this enables enhanced control of ion production on a micro/nano scale and direct integration with miniaturized analytical devices.

  18. Nanophotonic production, modulation and switching of ions by silicon microcolumn arrays

    DOEpatents

    Vertes, Akos; Walker, Bennett N

    2015-04-07

    The production and use of silicon microcolumn arrays that harvest light from a laser pulse to produce ions are described. The systems of the present invention seem to behave like a quasi-periodic antenna array with ion yields that show profound dependence on the plane of laser light polarization and the angle of incidence. By providing photonic ion sources, this enables enhanced control of ion production on a micro/nano scale and direct integration with miniaturized analytical devices.

  19. Nanophotonic production, modulation and switching of ions by silicon microcolumn arrays

    DOEpatents

    Vertes, Akos [Reston, VA; Walker, Bennett N [Washington, DC

    2012-02-07

    The production and use of silicon microcolumn arrays that harvest light from a laser pulse to produce ions are described. The systems of the present invention seem to behave like a quasi-periodic antenna array with ion yields that show profound dependence on the plane of laser light polarization and the angle of incidence. By providing photonic ion sources, this enables enhanced control of ion production on a micro/nano scale and direct integration with miniaturized analytical devices.

  20. Low-temperature technique of thin silicon ion implanted epitaxial detectors

    NASA Astrophysics Data System (ADS)

    Kordyasz, A. J.; Le Neindre, N.; Parlog, M.; Casini, G.; Bougault, R.; Poggi, G.; Bednarek, A.; Kowalczyk, M.; Lopez, O.; Merrer, Y.; Vient, E.; Frankland, J. D.; Bonnet, E.; Chbihi, A.; Gruyer, D.; Borderie, B.; Ademard, G.; Edelbruck, P.; Rivet, M. F.; Salomon, F.; Bini, M.; Valdré, S.; Scarlini, E.; Pasquali, G.; Pastore, G.; Piantelli, S.; Stefanini, A.; Olmi, A.; Barlini, S.; Boiano, A.; Rosato, E.; Meoli, A.; Ordine, A.; Spadaccini, G.; Tortone, G.; Vigilante, M.; Vanzanella, E.; Bruno, M.; Serra, S.; Morelli, L.; Guerzoni, M.; Alba, R.; Santonocito, D.; Maiolino, C.; Cinausero, M.; Gramegna, F.; Marchi, T.; Kozik, T.; Kulig, P.; Twaróg, T.; Sosin, Z.; Gaşior, K.; Grzeszczuk, A.; Zipper, W.; Sarnecki, J.; Lipiński, D.; Wodzińska, H.; Brzozowski, A.; Teodorczyk, M.; Gajewski, M.; Zagojski, A.; Krzyżak, K.; Tarasiuk, K. J.; Khabanowa, Z.; Kordyasz, Ł.

    2015-02-01

    A new technique of large-area thin ion implanted silicon detectors has been developed within the R&D performed by the FAZIA Collaboration. The essence of the technique is the application of a low-temperature baking process instead of high-temperature annealing. This thermal treatment is performed after B+ ion implantation and Al evaporation of detector contacts, made by using a single adjusted Al mask. Extremely thin silicon pads can be therefore obtained. The thickness distribution along the X and Y directions was measured for a prototype chip by the energy loss of α-particles from 241Am (< E α > = 5.5 MeV). Preliminary tests on the first thin detector (area ≈ 20 × 20 mm2) were performed at the INFN-LNS cyclotron in Catania (Italy) using products emitted in the heavy-ion reaction 84Kr ( E = 35 A MeV) + 112Sn. The ΔE - E ion identification plot was obtained using a telescope consisting of our thin ΔE detector (21 μm thick) followed by a typical FAZIA 510 μm E detector of the same active area. The charge distribution of measured ions is presented together with a quantitative evaluation of the quality of the Z resolution. The threshold is lower than 2 A MeV depending on the ion charge.

  1. The Heidelberg compact electron beam ion traps

    NASA Astrophysics Data System (ADS)

    Micke, P.; Kühn, S.; Buchauer, L.; Harries, J. R.; Bücking, T. M.; Blaum, K.; Cieluch, A.; Egl, A.; Hollain, D.; Kraemer, S.; Pfeifer, T.; Schmidt, P. O.; Schüssler, R. X.; Schweiger, Ch.; Stöhlker, T.; Sturm, S.; Wolf, R. N.; Bernitt, S.; Crespo López-Urrutia, J. R.

    2018-06-01

    Electron beam ion traps (EBITs) are ideal tools for both production and study of highly charged ions (HCIs). In order to reduce their construction, maintenance, and operation costs, we have developed a novel, compact, room-temperature design, the Heidelberg Compact EBIT (HC-EBIT). Four already commissioned devices operate at the strongest fields (up to 0.86 T) reported for such EBITs using permanent magnets, run electron beam currents up to 80 mA, and energies up to 10 keV. They demonstrate HCI production, trapping, and extraction of pulsed Ar16+ bunches and continuous 100 pA ion beams of highly charged Xe up to charge state 29+, already with a 4 mA, 2 keV electron beam. Moreover, HC-EBITs offer large solid-angle ports and thus high photon count rates, e.g., in x-ray spectroscopy of dielectronic recombination in HCIs up to Fe24+, achieving an electron-energy resolving power of E/ΔE > 1500 at 5 keV. Besides traditional on-axis electron guns, we have also implemented a novel off-axis gun for laser, synchrotron, and free-electron laser applications, offering clear optical access along the trap axis. We report on its first operation at a synchrotron radiation facility demonstrating the resonant photoexcitation of highly charged oxygen.

  2. Silicon decorated cone shaped carbon nanotube clusters for lithium ion battery anodes.

    PubMed

    Wang, Wei; Ruiz, Isaac; Ahmed, Kazi; Bay, Hamed Hosseini; George, Aaron S; Wang, Johnny; Butler, John; Ozkan, Mihrimah; Ozkan, Cengiz S

    2014-08-27

    In this work, we report the synthesis of an three-dimensional (3D) cone-shape CNT clusters (CCC) via chemical vapor deposition (CVD) with subsequent inductively coupled plasma (ICP) treatment. An innovative silicon decorated cone-shape CNT clusters (SCCC) is prepared by simply depositing amorphous silicon onto CCC via magnetron sputtering. The seamless connection between silicon decorated CNT cones and graphene facilitates the charge transfer in the system and suggests a binder-free technique of preparing lithium ion battery (LIB) anodes. Lithium ion batteries based on this novel 3D SCCC architecture demonstrates high reversible capacity of 1954 mAh g(-1) and excellent cycling stability (>1200 mAh g(-1) capacity with ≈ 100% coulombic efficiency after 230 cycles). © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Zeolite-Templated Mesoporous Silicon Particles for Advanced Lithium-Ion Battery Anodes.

    PubMed

    Kim, Nahyeon; Park, Hyejeong; Yoon, Naeun; Lee, Jung Kyoo

    2018-04-24

    For the practical use of high-capacity silicon anodes in high-energy lithium-based batteries, key issues arising from the large volume change of silicon during cycling must be addressed by the facile structural design of silicon. Herein, we discuss the zeolite-templated magnesiothermic reduction synthesis of mesoporous silicon (mpSi) (mpSi-Y, -B, and -Z derived from commercial zeolite Y, Beta, and ZSM-5, respectively) microparticles having large pore volume (0.4-0.5 cm 3 /g), wide open pore size (19-31 nm), and small primary silicon particles (20-35 nm). With these appealing mpSi particle structural features, a series of mpSi/C composites exhibit outstanding performance including excellent cycling stabilities for 500 cycles, high specific and volumetric capacities (1100-1700 mAh g -1 and 640-1000 mAh cm -3 at 100 mA g -1 ), high Coulombic efficiencies (approximately 100%), and remarkable rate capabilities, whereas conventional silicon nanoparticles (SiNP)/C demonstrate limited cycle life. These enhanced electrochemical responses of mpSi/C composites are further manifested by low impedance build-up, high Li ion diffusion rate, and small electrode thickness changes after cycling compared with those of SiNP/C composite. In addition to the outstanding electrochemical properties, the low-cost materials and high-yield processing make the mpSi/C composites attractive candidates for high-performance and high-energy Li-ion battery anodes.

  4. High dose-rate irradiation of materials with pulsed ion beams at NDCX-II

    NASA Astrophysics Data System (ADS)

    Seidl, Peter; Treffert, F.; Ji, Q.; Ludewigt, B.; Persaud, A.; Kong, X.; de Leon, S. J.; Dowling, E.; Waldron, W. L.; Schenkel, T.; Barnard, J. J.; Friedman, A.; Grote, D. P.; Stepanov, A.; Gilson, E. P.; Kaganovich, I. D.

    2017-10-01

    Charged particle radiation effects in materials is important for the design of fusion plasma facing components. Also, radiation effects in semiconductor devices are of interest for many applications such as detectors and space electronics. We present results from radiation effects studies with intense pulses of helium ions that impinged on thin samples at the induction linac at Berkeley Lab (Neutralized Drift Compression Experiment-II). Intense bunches of 1.2 MeV He+ ions with peak currents of 2 A, 1-mm beam spot radius and 2-30 ns FWHM duration create controlled high instantaneous dose rates enabling the exploration of collective damage effects. We use in-situ diagnostics to monitor transient effects due to rapid heating and the ionization and damage cascade dynamics. For tin, single pulses deposit sufficient energy in the foil to drive phase transitions. A new Thomson parabola to measures ion energy loss and charge state distributions following transmission of a few micron thick samples. In silicon, ion pulses induce free electron densities of order 1021 cm-3. Supported by the Office of Science of the US DOE under contracts DE-AC0205CH11231, DE-AC52-07NA27344 and DE-AC02-09CH11466 and by the China Scholarship Council.

  5. Evaluation of the radiation hazard for ion-beam analysis with MeV external proton beams (X-IBA)

    NASA Astrophysics Data System (ADS)

    Hofsäss, Hans

    2018-07-01

    MeV ion beams which are extracted into air or He atmosphere are used in many labs for proton-induced X-ray emission (PIXE), proton induced gamma ray emission (PIGE) or Rutherford backscattering (RBS) to analyze samples which are difficult or impossible to handle in vacuum. When MeV proton beams are extracted into air through thin Kapton foils or nowadays thin silicon nitride membranes, the protons will interact with air, as well as elements present in the analyzed samples. Typically the range of MeV protons in air is several cm, in Helium atmosphere several 10 cm and in human skin around 100 μm. Besides the severe radiation hazard in case of a direct exposure of skin with protons, there are a manifold of nuclear reactions or inelastic proton scattering processes which may cause activation of air and target materials but also prompt radiation. The radiation hazard associated with the direct and scattered beam, nuclear reaction products and radionuclide production in air have been discussed in a publication by Doyle et al. in 1991 which was used as a reference in several later publications. I have reevaluated the radiation hazards for external proton beams with up to 4.5 MeV using proton reaction cross sections taken from the JANIS book of proton induced cross sections. The radionuclide production in air is about 3 orders of magnitude lower compared to values given in the 1991 publication. Radionuclide production as well as generation of prompt alpha, gamma and neutron radiation in target materials for elements up to molybdenum is also evaluated.

  6. Aberration of a negative ion beam caused by space charge effect.

    PubMed

    Miyamoto, K; Wada, S; Hatayama, A

    2010-02-01

    Aberrations are inevitable when the charged particle beams are extracted, accelerated, transmitted, and focused with electrostatic and magnetic fields. In this study, we investigate the aberration of a negative ion accelerator for a neutral beam injector theoretically, especially the spherical aberration caused by the negative ion beam expansion due to the space charge effect. The negative ion current density profiles with the spherical aberration are compared with those without the spherical aberration. It is found that the negative ion current density profiles in a log scale are tailed due to the spherical aberration.

  7. Solution-grown silicon nanowires for lithium-ion battery anodes.

    PubMed

    Chan, Candace K; Patel, Reken N; O'Connell, Michael J; Korgel, Brian A; Cui, Yi

    2010-03-23

    Composite electrodes composed of silicon nanowires synthesized using the supercritical fluid-liquid-solid (SFLS) method mixed with amorphous carbon or carbon nanotubes were evaluated as Li-ion battery anodes. Carbon coating of the silicon nanowires using the pyrolysis of sugar was found to be crucial for making good electronic contact to the material. Using multiwalled carbon nanotubes as the conducting additive was found to be more effective for obtaining good cycling behavior than using amorphous carbon. Reversible capacities of 1500 mAh/g were observed for 30 cycles.

  8. Increasing the Extracted Beam Current Density in Ion Thrusters

    NASA Astrophysics Data System (ADS)

    Arthur, Neil Anderson

    Ion thrusters have seen application on space science missions and numerous satellite missions. Ion engines offer higher electrical efficiency and specific impulse capability coupled with longer demonstrated lifetime as compared to other space propulsion technologies. However, ion engines are considered to have low thrust. This work aims to address the low thrust conception; whereby improving ion thruster performance and thrust density will lead to expanded mission capabilities for ion thruster technology. This goal poses a challenge because the mechanism for accelerating ions, the ion optics, is space charge limited according to the Child-Langmuir law-there is a finite number of ions that can be extracted through the grids for a given voltage. Currently, ion thrusters operate at only 40% of this limit, suggesting there is another limit artificially constraining beam current. Experimental evidence suggests the beam current can become source limited-the ion density within the plasma is not large enough to sustain high beam currents. Increasing the discharge current will increase ion density, but ring cusp ion engines become anode area limited at high discharge currents. The ring cusp magnetic field increases ionization efficiency but limits the anode area available for electron collection. Above a threshold current, the plasma becomes unstable. Increasing the engine size is one approach to increasing the operational discharge current, ion density, and thus the beam current, but this presents engineering challenges. The ion optics are a pair of closely spaced grids. As the engine diameter increases, it becomes difficult to maintain a constant grid gap. Span-to-gap considerations for high perveance optics limit ion engines to 50 cm in diameter. NASA designed the annular ion engine to address the anode area limit and scale-up problems by changing the discharge chamber geometry. The annular engine provides a central mounting structure for the optics, allowing the beam

  9. Hollow structure formation of intense ion beams with sharp edge in background plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hu, Zhang-Hu; Wang, You-Nian, E-mail: ynwang@dlut.edu.cn

    The transport of intense ion beams with sharp radial beam edge in plasmas has been studied with two-dimensional electromagnetic particle simulations. The initial solid beam evolves into a hollow beam due to the nonlinear sharp transverse force peak in the regions of beam edge. The magnitude and nonlinearity of this peak are enhanced as the ion beam travels further into the plasma, due to the self-consistent interactions between the beam ions and the plasma electrons. This structure formation is shown to be independent on the beam radius.

  10. A pepper-pot emittance meter for low-energy heavy-ion beams

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kremers, H. R.; Beijers, J. P. M.; Brandenburg, S.

    2013-02-15

    A novel emittance meter has been developed to measure the four-dimensional, transverse phase-space distribution of a low-energy ion beam using the pepper-pot technique. A characteristic feature of this instrument is that the pepper-pot plate, which has a linear array of holes in the vertical direction, is scanned horizontally through the ion beam. This has the advantage that the emittance can also be measured at locations along the beam line where the beam has a large horizontal divergence. A set of multi-channel plates, scintillation screen, and ccd camera is used as a position-sensitive ion detector allowing a large range of beammore » intensities that can be handled. This paper describes the design, construction, and operation of the instrument as well as the data analysis used to reconstruct the four-dimensional phase-space distribution of an ion beam. Measurements on a 15 keV He{sup +} beam are used as an example.« less

  11. Computer simulations of electromagnetic cool ion beam instabilities. [in near earth space

    NASA Technical Reports Server (NTRS)

    Gary, S. P.; Madland, C. D.; Schriver, D.; Winske, D.

    1986-01-01

    Electromagnetic ion beam instabilities driven by cool ion beams at propagation parallel or antiparallel to a uniform magnetic field are studied using computer simulations. The elements of linear theory applicable to electromagnetic ion beam instabilities and the simulations derived from a one-dimensional hybrid computer code are described. The quasi-linear regime of the right-hand resonant ion beam instability, and the gyrophase bunching of the nonlinear regime of the right-hand resonant and nonresonant instabilities are examined. It is detected that in the quasi-linear regime the instability saturation is due to a reduction in the beam core relative drift speed and an increase in the perpendicular-to-parallel beam temperature; in the nonlinear regime the instabilities saturate when half the initial beam drift kinetic energy density is converted to fluctuating magnetic field energy density.

  12. Ion beam production and study of radioactive isotopes with the laser ion source at ISOLDE

    NASA Astrophysics Data System (ADS)

    Fedosseev, Valentin; Chrysalidis, Katerina; Day Goodacre, Thomas; Marsh, Bruce; Rothe, Sebastian; Seiffert, Christoph; Wendt, Klaus

    2017-08-01

    At ISOLDE the majority of radioactive ion beams are produced using the resonance ionization laser ion source (RILIS). This ion source is based on resonant excitation of atomic transitions by wavelength tunable laser radiation. Since its installation at the ISOLDE facility in 1994, the RILIS laser setup has been developed into a versatile remotely operated laser system comprising state-of-the-art solid state and dye lasers capable of generating multiple high quality laser beams at any wavelength in the range of 210-950 nm. A continuous programme of atomic ionization scheme development at CERN and at other laboratories has gradually increased the number of RILIS-ionized elements. At present, isotopes of 40 different elements have been selectively laser-ionized by the ISOLDE RILIS. Studies related to the optimization of the laser-atom interaction environment have yielded new laser ion source types: the laser ion source and trap and the versatile arc discharge and laser ion source. Depending on the specific experimental requirements for beam purity or versatility to switch between different ionization mechanisms, these may offer a favourable alternative to the standard hot metal cavity configuration. In addition to its main purpose of ion beam production, the RILIS is used for laser spectroscopy of radioisotopes. In an ongoing experimental campaign the isotope shifts and hyperfine structure of long isotopic chains have been measured by the extremely sensitive in-source laser spectroscopy method. The studies performed in the lead region were focused on nuclear deformation and shape coexistence effects around the closed proton shell Z = 82. The paper describes the functional principles of the RILIS, the current status of the laser system and demonstrated capabilities for the production of different ion beams including the high-resolution studies of short-lived isotopes and other applications of RILIS lasers for ISOLDE experiments. This article belongs to the Focus on

  13. Negative ion beam development at Cadarache (invited)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Simonin, A.; Bucalossi, J.; Desgranges, C.

    1996-03-01

    Neutral beam injection (NBI) is one of the candidates for plasma heating and current drive in the new generation of large magnetic fusion devices (ITER). In order to produce the required deuterium atom beams with energies of 1 MeV and powers of tens of MW, negative D{sup {minus}} ion beams are required. For this purpose, multiampere D{sup {minus}} beam production and 1 MeV electrostatic acceleration is being studied at Cadarache. The SINGAP experiment, a 1 MeV 0.1 A D{sup {minus}} multisecond beam accelerator facility, has recently started operation. It is equipped with a Pagoda ion source, a multiaperture 60 keVmore » preaccelerator and a 1 MV 120 mA power supply. The particular feature of SINGAP is that the postaccelerator merges the 60 keV beamlets, aiming at accelerating the whole beam to 1 MeV in a single gap. The 1 MV level was obtained in less than 2 weeks, the accumulated voltage on-time of being {approximately}22 min. A second test bed MANTIS, is devoted to the development of multiampere D{sup {minus}} sources. It is capable of driving discharges with current up to 2500 A at arc voltages up to 150 V. A large multicusp source has been tested in pure volume and cesiated operation. With cesium seeding, an accelerated D{sup {minus}} beam current density of up to 5.2 mA/cm{sup 2} (2 A of D{sup {minus}}) was obtained. A modification of the extractor is underway in order to improve this performance. A 3D Monte Carlo code has been developed to simulate the negative ion transport in magnetized plasma sources and optimize magnetic field configuration of the large area D{sup {minus}} sources. {copyright} {ital 1996 American Institute of Physics.}« less

  14. Short-pulse, compressed ion beams at the Neutralized Drift Compression Experiment

    DOE PAGES

    Seidl, P. A.; Barnard, J. J.; Davidson, R. C.; ...

    2016-05-01

    We have commenced experiments with intense short pulses of ion beams on the Neutralized Drift Compression Experiment (NDCX-II) at Lawrence Berkeley National Laboratory, with 1-mm beam spot size within 2.5 ns full-width at half maximum. The ion kinetic energy is 1.2 MeV. To enable the short pulse duration and mm-scale focal spot radius, the beam is neutralized in a 1.5-meter-long drift compression section following the last accelerator cell. A short-focal-length solenoid focuses the beam in the presence of the volumetric plasma that is near the target. In the accelerator, the line-charge density increases due to the velocity ramp imparted onmore » the beam bunch. The scientific topics to be explored are warm dense matter, the dynamics of radiation damage in materials, and intense beam and beam-plasma physics including select topics of relevance to the development of heavy-ion drivers for inertial fusion energy. Below the transition to melting, the short beam pulses offer an opportunity to study the multi-scale dynamics of radiation-induced damage in materials with pump-probe experiments, and to stabilize novel metastable phases of materials when short-pulse heating is followed by rapid quenching. First experiments used a lithium ion source; a new plasma-based helium ion source shows much greater charge delivered to the target.« less

  15. Optical and electrical properties of ion beam textured Kapton and Teflon

    NASA Technical Reports Server (NTRS)

    Mirtich, M. J.; Sovey, J. S.

    1977-01-01

    Results are given for ion beam texturing of polyimide (Kapton) and fluorinated ethylene propylene (Teflon) by means of a 30-cm diam electron bombardment argon ion source. Ion beam-textured Kapton and Teflon surfaces are evaluated for various beam energies, current densities, and exposure times. The optical properties and sheet resistance are measured after each exposure. Provided in the paper are optical spectral data, resistivity measurements, calculated absorptance and emittance measurements, and surface structure SEM micrographs for various exposures to argon ions. It is found that Kapton becomes conducting and Teflon nonconducting when ion beam-textured. Textured Kapton exhibits large changes in the transmittance and solar absorptance, but only slight changes in reflectance. Surface texturing of Teflon may allow better adherence of subsequent sputtered metallic films for a high absorptance value. The results are valuable in spacecraft charging applications.

  16. Silicon Framework Allotropes for Li-ion and Na-ion Batteries: New Insight for a Reversible Capacity.

    NASA Astrophysics Data System (ADS)

    Marzouk, Asma; Soto, Fernando; Burgos, Juan; Balbuena, Perla; El-Mellouhi, Fadwa

    Silicon has the capacity to host a large amount of Li which makes it an attractive anode material despite suffering from swelling problem leading to irreversible capacity loss. The possibility of an easy extraction of Na atoms from Si24Na4 inspired us to adopt the Si24 as an anode material for Lithium-ion and sodium-ion Batteries. Using DFT, we evaluate the specific capacity and the intercalation potential of Si24 allotrope. Enhanced capacities are sought by designing a new silicon allotrope. We demonstrated that these Si24 allotropes show a negligible volume expansion and conserve their periodic structures after the maximum insertion/disinsertion of the ions which is crucial to prevent the capacity loss during cycling. DFT and ab-initio molecular dynamics (AIMD) studies give insights on the most probable surface adsorption and reaction sites, lithiation and sodiation, as well as initial stages of SEI formation and ionic diffusion. Qatar National Research Fund (QNRF) (NPRP 7-162-2-077).

  17. Ion beam microtexturing of surfaces

    NASA Technical Reports Server (NTRS)

    Robinson, R. S.

    1981-01-01

    Some recent work in surface microtecturing by ion beam sputtering is described. The texturing is accomplished by deposition of an impurity onto a substrate while simultaneously bombarding it with an ion beam. A summary of the theory regarding surface diffusion of impurities and the initiation of cone formation is provided. A detailed experimental study of the time-development of individual sputter cones is described. A quasi-liquid coating was observed that apparently reduces the sputter rate of the body of a cone compared to the bulk material. Experimental measurements of surface diffusion activation energies are presented for a variety of substrate-seed combinations and range from about 0.3 eV to 1.2 eV. Observations of apparent crystal structure in sputter cones are discussed. Measurements of the critical temperature for cone formation are also given along with a correlation of critical temperature with substrate sputter rate.

  18. Room-temperature bonding of epitaxial layer to carbon-cluster ion-implanted silicon wafers for CMOS image sensors

    NASA Astrophysics Data System (ADS)

    Koga, Yoshihiro; Kadono, Takeshi; Shigematsu, Satoshi; Hirose, Ryo; Onaka-Masada, Ayumi; Okuyama, Ryousuke; Okuda, Hidehiko; Kurita, Kazunari

    2018-06-01

    We propose a fabrication process for silicon wafers by combining carbon-cluster ion implantation and room-temperature bonding for advanced CMOS image sensors. These carbon-cluster ions are made of carbon and hydrogen, which can passivate process-induced defects. We demonstrated that this combination process can be used to form an epitaxial layer on a carbon-cluster ion-implanted Czochralski (CZ)-grown silicon substrate with a high dose of 1 × 1016 atoms/cm2. This implantation condition transforms the top-surface region of the CZ-grown silicon substrate into a thin amorphous layer. Thus, an epitaxial layer cannot be grown on this implanted CZ-grown silicon substrate. However, this combination process can be used to form an epitaxial layer on the amorphous layer of this implanted CZ-grown silicon substrate surface. This bonding wafer has strong gettering capability in both the wafer-bonding region and the carbon-cluster ion-implanted projection range. Furthermore, this wafer inhibits oxygen out-diffusion to the epitaxial layer from the CZ-grown silicon substrate after device fabrication. Therefore, we believe that this bonding wafer is effective in decreasing the dark current and white-spot defect density for advanced CMOS image sensors.

  19. Final project report for NEET pulsed ion beam project

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kucheyev, S. O.

    The major goal of this project was to develop and demonstrate a novel experimental approach to access the dynamic regime of radiation damage formation in nuclear materials. In particular, the project exploited a pulsed-ion-beam method in order to gain insight into defect interaction dynamics by measuring effective defect interaction time constants and defect diffusion lengths. This project had the following four major objectives: (i) the demonstration of the pulsed ion beam method for a prototypical nuclear ceramic material, SiC; (ii) the evaluation of the robustness of the pulsed beam method from studies of defect generation rate effects; (iii) the measurementmore » of the temperature dependence of defect dynamics and thermally activated defect-interaction processes by pulsed ion beam techniques; and (iv) the demonstration of alternative characterization techniques to study defect dynamics. As we describe below, all these objectives have been met.« less

  20. A new multidimensional diagnostic method for measuring the properties of intense ion beams

    NASA Astrophysics Data System (ADS)

    Yasuike, Kazuhito; Miyamoto, Shuji; Nakai, Sadao

    1996-02-01

    A new arrayed pinhole camera (APC) diagnostic method for intense ion beams has been developed. The APC diagnostic technique permits the acquisition of the angular divergences and the ion fluxes of high intensity ion beams, in one shot, with a spatial resolution on the source of better than 1 mm and an effective angular divergence resolution of better than 10 mrad. A prototype time integrated APC has been designed and evaluated. The demonstration experiments have been performed on a Reiden-IV, 1 MV and 1 Ω pulsed power machine [1 T W (tera-watt or trillion watts)]. Proton beams of 0.7 MeV, with a pulse duration of ˜50 ns and an ion current density of about 100 A/cm2, were generated in an applied-Br type ion diode source using paraffin-filled grooves. These experimental results show that the APC can measure nonuniformities in the ion beam intensity generated from the ion source and the dependence of beam angular divergence on ion beam intensity.