Sample records for kapitza resistance

  1. Kapitza resistance of Si/SiO2 interface

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bowen Deng; Aleksandr Chenatynskiy; Marat Khafizov

    2014-02-01

    A phonon wave packet dynamics method is used to characterize the Kapitza resistance of a Si/SiO2 interface in a Si/SiO2/Si heterostructure. By varying the thickness of SiO2 layer sandwiched between two Si layers, we determine the Kapitza resistance for the Si/SiO2 interface from both wave packet dynamics and a direct, non-equilibrium molecular dynamics approach. The good agreement between the two methods indicates that they have each captured the anharmonic phonon scatterings at the interface. Moreover, detailed analysis provides insights as to how individual phonon mode scatters at the interface and their contribution to the Kapitza resistance.

  2. Kapitza thermal resistance studied by high-frequency photothermal radiometry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Horny, Nicolas; Chirtoc, Mihai; Hamaoui, Georges

    2016-07-18

    Kapitza thermal resistance is determined using high-frequency photothermal radiometry (PTR) extended for modulation up to 10 MHz. Interfaces between 50 nm thick titanium coatings and silicon or stainless steel substrates are studied. In the used configuration, the PTR signal is not sensitive to the thermal conductivity of the film nor to its optical absorption coefficient, thus the Kapitza resistance is directly determined from single thermal parameter fits. Results of thermal resistances show the significant influence of the nature of the substrate, as well as of the presence of free electrons at the interface.

  3. Kapitza resistance at segregated boundaries in β-SiC

    NASA Astrophysics Data System (ADS)

    Goel, Nipun; Webb, Edmund, III; Oztekin, Alparslan; Rickman, Jeffrey; Neti, Sudhakar

    Silicon Carbide is a candidate material for high-temperature thermoelectric applications for harvesting waste heat associated with exhaust from automotive and furnaces as well hot surfaces in solar towers and power electronics. However, for SiC to be a viable thermoelectric material, its thermoelectric figure of merit must be improved significantly. In this talk we examine the role of grain-boundary segregation on phononic thermal transport, an important factor in determining the figure of merit, via non-equilibrium molecular dynamics simulations. In particular, we consider the role of dopant concentration and dopant/matrix interactions on the enhancement of the Kapitza resistance of symmetric tilt grain boundaries. We find that the calculated resistance depends on the segregation profile, with increases of more than a factor of 50 (relative to an unsegregated boundary) at the highest dopant concentrations. Finally, we relate the calculated phonon density of states to changes in the Kapitza resistance.

  4. Steady-state and second-sound measurements of Kapitza resistance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Katerberg, James Alan

    1980-01-01

    Published steady-state (dc) and second-sound (ac) measurements of the Kapitza resistance (R K) have differed in reports of the temperature dependence of R K. The two types of measurements were also seen to conflict on the measured effects of sample damage on the magnitude of R K. To resolve these differences, measurements of R K have been made using both techniques on the same sample, during the same experimental run. Our measurements, made on copper-liquid helium interfaces from 1.1 to 2.1 K, show excellent agreement between the dc and ac results. No evidence is seen for a frequency-dependent Kapitza resistance.more » Our measurements show an increase in R K when the sample is damaged, agreeing with published ac measurements, but disagreeing with published dc measurements. The temperature dependence of R K in our measurements is approximately T -3 from 1.5 to 2.1 K, in agreement with published dc measurements. A T -4 dependence has been seen in the published ac experiments. In our experiments, a T -4 dependence is observed only when second sound is coupled from the generating cavity to the helium bath.« less

  5. Thermal conductivity and Kapitza resistance of epoxy resin fiberglass tape at superfluid helium temperature

    NASA Astrophysics Data System (ADS)

    Baudouy, B.; Polinski, J.

    2009-03-01

    The system of materials composed of fiberglass epoxy resin impregnated tape constitutes in many cases the electrical insulation for "dry"-type superconducting accelerator magnet such as Nb 3Sn magnets. Nb 3Sn magnet technology is still under development in a few programs to reach higher magnetic fields than what NbTi magnets can produce. The European program, Next European Dipole (NED), is one of such programs and it aims to develop and construct a 15 T class Nb 3Sn magnet mainly for upgrading the Large Hardron Collider. Superfluid helium is considered as one possible coolant and since the magnet has been designed with a "dry" insulation, the thermal conductivity and the Kapitza resistance of the electrical insulation are the key properties that must be know for the thermal design of such a magnet. Accordingly, property measurements of the epoxy resin fiberglass tape insulation system developed for the NED project was carried out in superfluid helium. Four sheets with thicknesses varying from 40 to 300 μm have been tested in a steady-state condition. The determined thermal conductivity, k, is [(25.8 ± 2.8) · T - (12.2 ± 4.9)] × 10 -3 W m -1 K -1 and the Kapitza resistance is given by R K = (1462 ± 345) · T(-1.86 ± 0.41) × 10 -6 Km 2 W -1 in the temperature range of 1.55-2.05 K.

  6. Optical Kapitza pendulum

    NASA Astrophysics Data System (ADS)

    Jones, Philip H.; Smart, Thomas J.; Richards, Christopher J.; Cubero, David

    2016-09-01

    The Kapitza pendulum is the paradigm for the phenomenon of dynamical stabilization, whereby an otherwise unstable system achieves a stability that is induced by fast modulation of a control parameter. In the classic, macroscopic Kapitza pendulum, a rigid pendulum is stabilized in the upright, inverted pendulum using a particle confined in a ring-shaped optical trap, subject to a drag force via fluid flow and driven via oscillating the potential in a direction parallel to the fluid flow. In the regime of vanishing Reynold's number with high-frequency driving the inverted pendulum is no longer stable, but new equilibrium positions appear that depend on the amplitude of driving. As the driving frequency is decreased a yet different behavior emerges where stability of the pendulum depends also on the details of the pendulum hydrodynamics. We present a theory for the observed induced stability of the overdamped pendulum based on the separation of timescales in the pendulum motion as formulated by Kapitza, but with the addition of a viscous drag. Excellent agreement is found between the predicted behavior from the analytical theory and the experimental results across the range of pendulum driving frequencies. We complement these results with Brownian motion simulations, and we characterize the stabilized pendulum by both time- and frequency-domain analyses of the pendulum Brownian motion.

  7. High Specific Heat Dielectrics and Kapitza Resistance at Dielectric Boundaries.

    DTIC Science & Technology

    1985-09-30

    CsI rods. S. The results of the Kapitza measurements are shown in Fig. 1 for both interfaces. The methodology consisted of establishing a reservoir...measurements, however, and can be used as a check on the methodology . For instance, in this case the thermal conductivity of both copper pieces was...to Eq. (5). fherfmal- to be localized (i.e., this excitation does not carry hea0. conductivity data at the higher temperatures on both Using smoothied

  8. Lyapunov stability analysis for the generalized Kapitza pendulum

    NASA Astrophysics Data System (ADS)

    Druzhinina, O. V.; Sevastianov, L. A.; Vasilyev, S. A.; Vasilyeva, D. G.

    2017-12-01

    In this work generalization of Kapitza pendulum whose suspension point moves in the vertical and horizontal planes is made. Lyapunov stability analysis of the motion for this pendulum subjected to excitation of periodic driving forces and stochastic driving forces that act in the vertical and horizontal planes has been studied. The numerical study of the random motion for generalized Kapitza pendulum under stochastic driving forces has made. It is shown the existence of stable quasi-periodic motion for this pendulum.

  9. Inter-layer and intra-layer heat transfer in bilayer/monolayer graphene van der Waals heterostructure: Is there a Kapitza resistance analogous?

    NASA Astrophysics Data System (ADS)

    Rajabpour, Ali; Fan, Zheyong; Vaez Allaei, S. Mehdi

    2018-06-01

    Van der Waals heterostructures have exhibited interesting physical properties. In this paper, heat transfer in hybrid coplanar bilayer/monolayer (BL-ML) graphene, as a model layered van der Waals heterostructure, was studied using non-equilibrium molecular dynamics (MD) simulations. The temperature profile and inter- and intra-layer heat fluxes of the BL-ML graphene indicated that, there is no fully developed thermal equilibrium between layers and the drop in the average temperature profile at the step-like BL-ML interface is not attributable to the effect of Kapitza resistance. By increasing the length of the system up to 1 μm in the studied MD simulations, the thermally non-equilibrium region was reduced to a small area near the step-like interface. All MD results were compared to a continuum model and a good match was observed between the two approaches. Our results provide a useful understanding of heat transfer in nano- and micro-scale layered materials and van der Waals heterostructures.

  10. Comparison between reverse Brayton and Kapitza based LNG boil-off gas reliquefaction system using exergy analysis

    NASA Astrophysics Data System (ADS)

    Kochunni, Sarun Kumar; Chowdhury, Kanchan

    2017-02-01

    LNG boil-off gas (BOG) reliquefaction systems in LNG carrier ships uses refrigeration devices which are based on reverse Brayton, Claude, Kapitza (modified Claude) or Cascade cycles. Some of these refrigeration devices use nitrogen as the refrigerants and hence nitrogen storage vessels or nitrogen generators needs to be installed in LNG carrier ships which consume space and add weight to the carrier. In the present work, a new configuration based on Kapitza liquefaction cycle which uses BOG itself as working fluid is proposed and has been compared with Reverse Brayton Cycle (RBC) on sizes of heat exchangers and compressor operating parameters. Exergy analysis is done after simulating at steady state with Aspen Hysys 8.6® and the comparison between RBC and Kapitza may help designers to choose reliquefaction system with appropriate process parameters and sizes of equipment. With comparable exergetic efficiency as that of an RBC, a Kaptiza system needs only BOG compressor without any need of nitrogen gas.

  11. Thermal flux limited electron Kapitza conductance in copper-niobium multilayers

    DOE PAGES

    Cheaito, Ramez; Hattar, Khalid Mikhiel; Gaskins, John T.; ...

    2015-03-05

    The interplay between the contributions of electron thermal flux and interface scattering to the Kapitza conductance across metal-metal interfaces through measurements of thermal conductivity of copper-niobium multilayers was studied. Thermal conductivities of copper-niobium multilayer films of period thicknesses ranging from 5.4 to 96.2 nm and sample thicknesses ranging from 962 to 2677 nm are measured by time-domain thermoreflectance over a range of temperatures from 78 to 500 K. The Kapitza conductances between the Cu and Nb interfaces in multilayer films are determined from the thermal conductivities using a series resistor model and are in good agreement with the electron diffusemore » mismatch model. The results for the thermal boundary conductance between Cu and Nb are compared to literature values for the thermal boundary conductance across Al-Cu and Pd-Ir interfaces, and demonstrate that the interface conductance in metallic systems is dictated by the temperature derivative of the electron energy flux in the metallic layers, rather than electron mean free path or scattering processes at the interface.« less

  12. Solid-Liquid Interface Thermal Resistance Affects the Evaporation Rate of Droplets from a Surface: A Study of Perfluorohexane on Chromium Using Molecular Dynamics and Continuum Theory.

    PubMed

    Han, Haoxue; Schlawitschek, Christiane; Katyal, Naman; Stephan, Peter; Gambaryan-Roisman, Tatiana; Leroy, Frédéric; Müller-Plathe, Florian

    2017-05-30

    We study the role of solid-liquid interface thermal resistance (Kapitza resistance) on the evaporation rate of droplets on a heated surface by using a multiscale combination of molecular dynamics (MD) simulations and analytical continuum theory. We parametrize the nonbonded interaction potential between perfluorohexane (C 6 F 14 ) and a face-centered-cubic solid surface to reproduce the experimental wetting behavior of C 6 F 14 on black chromium through the solid-liquid work of adhesion (quantity directly related to the wetting angle). The thermal conductances between C 6 F 14 and (100) and (111) solid substrates are evaluated by a nonequilibrium molecular dynamics approach for a liquid pressure lower than 2 MPa. Finally, we examine the influence of the Kapitza resistance on evaporation of droplets in the vicinity of a three-phase contact line with continuum theory, where the thermal resistance of liquid layer is comparable with the Kapitza resistance. We determine the thermodynamic conditions under which the Kapitza resistance plays an important role in correctly predicting the evaporation heat flux.

  13. Dynamical stability of a many-body Kapitza pendulum

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Citro, Roberta, E-mail: citro@sa.infn.it; Dalla Torre, Emanuele G., E-mail: emanuele.dalla-torre@biu.ac.il; Department of Physics, Harvard University, Cambridge, MA 02138

    We consider a many-body generalization of the Kapitza pendulum: the periodically-driven sine–Gordon model. We show that this interacting system is dynamically stable to periodic drives with finite frequency and amplitude. This finding is in contrast to the common belief that periodically-driven unbounded interacting systems should always tend to an absorbing infinite-temperature state. The transition to an unstable absorbing state is described by a change in the sign of the kinetic term in the Floquet Hamiltonian and controlled by the short-wavelength degrees of freedom. We investigate the stability phase diagram through an analytic high-frequency expansion, a self-consistent variational approach, and amore » numeric semiclassical calculation. Classical and quantum experiments are proposed to verify the validity of our results.« less

  14. Communication: Is a coarse-grained model for water sufficient to compute Kapitza conductance on non-polar surfaces?

    PubMed

    Ardham, Vikram Reddy; Leroy, Frédéric

    2017-10-21

    Coarse-grained models have increasingly been used in large-scale particle-based simulations. However, due to their lack of degrees of freedom, it is a priori unlikely that they straightforwardly represent thermal properties with the same accuracy as their atomistic counterparts. We take a first step in addressing the impact of liquid coarse-graining on interfacial heat conduction by showing that an atomistic and a coarse-grained model of water may yield similar values of the Kapitza conductance on few-layer graphene with interactions ranging from hydrophobic to mildly hydrophilic. By design the water models employed yield similar liquid layer structures on the graphene surfaces. Moreover, they share common vibration properties close to the surfaces and thus couple with the vibrations of graphene in a similar way. These common properties explain why they yield similar Kapitza conductance values despite their bulk thermal conductivity differing by more than a factor of two.

  15. Evidence for thermal boundary resistance effects on superconducting radiofrequency cavity performances

    NASA Astrophysics Data System (ADS)

    Palmieri, Vincenzo; Rossi, Antonio Alessandro; Stark, Sergey Yu; Vaglio, Ruggero

    2014-08-01

    The majority of the literature on superconducting cavities for particle accelerators concentrates on the interaction of a radiofrequency (RF) electromagnetic field with a superconductor cooled in liquid helium, generally either at a fixed temperature of 4.2 K or 1.8 K, basing the analysis of experimental results on the assumption that the superconductor is at the same temperature as the infinite reservoir of liquid helium. Only a limited number of papers have extended their analysis to the more complex overall system composed of an RF field, a superconductor and liquid helium. Only a few papers have analyzed, for example, the problem of the Kapitza resistance, i.e. the thermal boundary resistance between the superconductor and the superfluid helium. Among them, the general conclusion is that the Kapitza resistance, one of the most controversial and less understood topics in physics, is generally negligible, or not relevant for the performance enhancement of cavities. In our work presented here, studying the performance of 6 GHz niobium (Nb) test cavities, we have discovered and studied a new effect consisting of an abrupt change in the surface resistance versus temperature at the superfluid helium lambda transition Tλ. This abrupt change (or ‘jump’) clearly appears when the RF measurement of a cavity is performed at constant power rather than at a constant field. We have correlated this jump to a change in the thermal exchange regime across the lambda transition, and, through a simple thermal model and further reasonable assumptions, we have calculated the thermal boundary resistance between niobium and liquid helium in the temperature range between 4.2 K and 1.8 K. We find that the absolute values of the thermal resistance both above and below the lambda point are fully compatible with the data reported in the literature for heat transfer to pool boiling helium I (HeI) above Tλ and for the Kapitza interface resistance (below Tλ) between a polished metal

  16. Role of fluttering dislocations in the thermal interface resistance between a silicon crystal and plastic solid 4He

    NASA Astrophysics Data System (ADS)

    Amrit, Jay; Ramiere, Aymeric; Volz, Sebastian

    2018-01-01

    A quantum solid (solid 4He) in contact with a classical solid defines a new class of interfaces. In addition to its quantum nature, solid 4He is indeed a very plastic medium. We examine the thermal interface resistance upon solidification of superfluid 4He in contact with a silicon crystal surface (111) and show that dislocations play a crucial role in the thermal interface transport. The growth of solid 4He and the measurements are conducted at the minimum of the melting curve of helium (0.778 K and ˜25 bar ). The results display a first-order transition in the Kapitza resistance from a value of RK ,L=(80 ±8 ) c m2K /W at a pressure of 24.5 bar to a value of RK ,S=(41.7 ±8 ) c m2K /W after the formation of solid helium at ˜25.2 bar . The drop in RK ,S is only of a factor of ˜2 , although transverse phonon modes in solid 4He now participate in heat transmission at the interface. We provide an explanation for the measured RK ,S by considering the interaction of thermal phonons with vibrating dislocations in solid 4He. We demonstrate that this mechanism, also called fluttering, induces a thermal resistance RF l∝NdT-6 , where T is the temperature and Nd is the density of dislocations. We estimate that for dislocation densities on the order of ˜107c m-2 , RF l predominates over the boundary resistance RK ,S. These fundamental findings shed light on the role of dislocations and provide a quantitative explanation for previous experiments which showed no measurable change in the Kapitza resistance between Cu and superfluid 4He upon solidification of the latter. This demonstrates the possibility of using dislocations as an additional means to tailor thermal resistances at interfaces, formed especially with a plastic material.

  17. Role of thermal resistance on the performance of superconducting radio frequency cavities

    DOE PAGES

    Dhakal, Pashupati; Ciovati, Gianluigi; Myneni, Ganapati Rao

    2017-03-07

    Thermal stability is an important parameter for the operation of the superconducting radio frequency (SRF) cavities used in particle accelerators. The rf power dissipated on the inner surface of the cavities is conducted to the helium bath cooling the outer cavity surface and the equilibrium temperature of the inner surface depends on the thermal resistance. In this manuscript, we present the results of direct measurements of thermal resistance on 1.3 GHz single cell SRF cavities made from high purity large-grain and fine-grain niobium as well as their rf performance for different treatments applied to outer cavity surface in order tomore » investigate the role of the Kapitza resistance to the overall thermal resistance and to the SRF cavity performance. The results show no significant impact of the thermal resistance to the SRF cavity performance after chemical polishing, mechanical polishing or anodization of the outer cavity surface. Temperature maps taken during the rf test show nonuniform heating of the surface at medium rf fields. Calculations of Q 0(B p) curves using the thermal feedback model show good agreement with experimental data at 2 and 1.8 K when a pair-braking term is included in the calculation of the Bardeen-Cooper-Schrieffer surface resistance. In conclusion, these results indicate local intrinsic nonlinearities of the surface resistance, rather than purely thermal effects, to be the main cause for the observed field dependence of Q 0(B p).« less

  18. Role of thermal resistance on the performance of superconducting radio frequency cavities

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dhakal, Pashupati; Ciovati, Gianluigi; Myneni, Ganapati Rao

    Thermal stability is an important parameter for the operation of the superconducting radio frequency (SRF) cavities used in particle accelerators. The rf power dissipated on the inner surface of the cavities is conducted to the helium bath cooling the outer cavity surface and the equilibrium temperature of the inner surface depends on the thermal resistance. In this manuscript, we present the results of direct measurements of thermal resistance on 1.3 GHz single cell SRF cavities made from high purity large-grain and fine-grain niobium as well as their rf performance for different treatments applied to outer cavity surface in order tomore » investigate the role of the Kapitza resistance to the overall thermal resistance and to the SRF cavity performance. The results show no significant impact of the thermal resistance to the SRF cavity performance after chemical polishing, mechanical polishing or anodization of the outer cavity surface. Temperature maps taken during the rf test show nonuniform heating of the surface at medium rf fields. Calculations of Q 0(B p) curves using the thermal feedback model show good agreement with experimental data at 2 and 1.8 K when a pair-braking term is included in the calculation of the Bardeen-Cooper-Schrieffer surface resistance. In conclusion, these results indicate local intrinsic nonlinearities of the surface resistance, rather than purely thermal effects, to be the main cause for the observed field dependence of Q 0(B p).« less

  19. Measurement of the Heat Capacity of He-II Under a Heat Current Near the Lambda Transition

    NASA Technical Reports Server (NTRS)

    Harter, Alexa W.; Lee, Richard A. M.; Chui, Talso C. P.; Goodstein, David L.

    2000-01-01

    We present preliminary measurements of the heat capacity of superfluid helium-4 under an applied heat current near the lambda transition. The calorimeter is a standard cylindrical thermal conductivity cell with a 0.6 mm gap between two copper endplates. The sidewall is made of stainless steel. A heat current density in the range of 1 to 4 microW/sq cm is applied through the helium sample while a pulse method is used to measure the heat capacity. Temperature changes are recorded with high-resolution thermometers (HRTs) located on the top and bottom endplates. Corrections are made to the readings of the HRTs to account for the Kapitza boundary resistance and the anomalous Kapitza boundary resistance. After the corrections, both the top and the bottom HRTs. give the same heat capacity values. The heat capacity is found to be much larger than the prediction of recent theories. We also plotted our data on a scaled plot to test the prediction of scaling by the theories. The result and its interpretation will be presented. The cell height was deliberately made to be thin to reduce the effects of gravity. Nonetheless, gravity is expected to have significant effects on the heat capacity data in the temperature range of our measurement. A space experiment would remove this unwanted gravity effect and allow the true physics to be examined. Moreover, in the absence of gravity, a deeper cell can be used allowing HRTs to be mounted on to the sidewall providing direct measurements of the helium temperature, unaffected by the anomalous Kapitza boundary resistance.

  20. Thermal boundary resistance between liquid helium and silver sinter at low temperatures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Voncken, A.P.J.; Koenig, R.; Pobell, F.

    1996-10-01

    The authors present measurements of the thermal coupling between Ag sinter (nominal grain size {approx} 700{angstrom}) and superfluid {sup 3}He-B at p=0.3, 10, and 20 bar as well as a phase-separated {sup 3}He-{sup 4}He mixture at p=0.5 bar in the submillikelvin regime. In order to analyze the data of the pure {sup 3}He-B sample with respect to different contributions to the thermal resistance, a one-dimensional model for the heat flow in the sinter is presented. As a result it is shown that the thermal conductivity of the liquid in the sinter has to be taken into account to extract themore » temperature and pressure dependence of the boundary resistance in the confining geometry of the sinter. Depending on the value of this thermal conductivity, a boundary resistance proportional to T{sup {minus}2} or T{sup {minus}3} is found. Moreover, it is shown that a pressure dependence of the boundary resistance might be explained by a pressure dependence of the thermal conductivity of the liquid in the sinter. The data on the phase-separated mixture are equally well described by a T{sup {minus}2}- and a T{sup {minus}3}-dependence of the boundary resistance. The authors point out that a common problem in most measurements of the Kapitza resistance performed so far is the small temperature interval investigated, which usually does not allow a definite conclusion concerning the temperature dependence.« less

  1. Apparatus and method for characterizing thin film and interfaces using an optical heat generator and detector

    DOEpatents

    Maris, H.J.; Stoner, R.J.

    1998-05-05

    An optical heat generation and detection system generates a first non-destructive pulsed beam of electromagnetic radiation that is directed upon a sample containing at least one interface between similar or dissimilar materials. The first pulsed beam of electromagnetic radiation, a pump beam, produces a non-uniform temperature change within the sample. A second non-destructive pulsed beam of electromagnetic radiation, a probe beam, is also directed upon the sample. Physical and chemical properties of the materials, and of the interface, are measured by observing changes in a transient optical response of the sample to the probe beam, as revealed by a time dependence of changes in, by example, beam intensity, direction, or state of polarization. The system has increased sensitivity to interfacial properties including defects, contaminants, chemical reactions and delaminations, as compared to conventional non-destructive, non-contact techniques. One feature of this invention is a determination of a Kapitza resistance at the interface, and the correlation of the determined Kapitza resistance with a characteristic of the interface, such as roughness, delamination, the presence of contaminants, etc. 31 figs.

  2. Apparatus and method for characterizing thin film and interfaces using an optical heat generator and detector

    DOEpatents

    Maris, Humphrey J; Stoner, Robert J

    1998-01-01

    An optical heat generation and detection system generates a first non-destructive pulsed beam of electromagnetic radiation that is directed upon a sample containing at least one interface between similar or dissimilar materials. The first pulsed beam of electromagnetic radiation, a pump beam (21a), produces a non-uniform temperature change within the sample. A second non-destructive pulsed beam of electromagnetic radiation, a probe beam (21b), is also directed upon the sample. Physical and chemical properties of the materials, and of the interface, are measured by observing changes in a transient optical response of the sample to the probe beam, as revealed by a time dependence of changes in, by example, beam intensity, direction, or state of polarization. The system has increased sensitivity to interfacial properties including defects, contaminants, chemical reactions and delaminations, as compared to conventional non-destructive, non-contact techniques. One feature of this invention is a determination of a Kapitza resistance at the interface, and the correlation of the determined Kapitza resistance with a characteristic of the interface, such as roughness, delamination, the presence of contaminants, etc.

  3. High Specific Heat Dielectrics and Kapitza Resistance at Dielectric Boundaries.

    DTIC Science & Technology

    1984-09-12

    RD-i4S476 AT DIELECTRIC BOUND..(U) WESTINGHOUSE RESEARCH AND DEVELOPMENT CENTER PITTSBURGH PA P Wd ECKELS ET AL. UNCASIFID12 SEP 84 84-9C9- KAPIT -Ri...measurement of the specific heat • and thermal conductivity of the anCd/, d 4 spinels and of several (The structure heavy metal hal tes in the t...included the measurement of the spe- cific heat and thermal conductivity of the CdCr 2O4 and ZnCr2O4 spinels and of several CsCI structure heavy metal

  4. Effects of Intergranular Gas Bubbles on Thermal Conductivity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    K. Chockalingam; Paul C. Millett; M. R. Tonks

    2012-11-01

    Model microstructures obtained from phase-field simulations are used to study the effective heat transfer across bicrys- tals with stationary grain boundary bubble populations. We find that the grain boundary coverage, irrespective of the intergranular bubble radii, is the most relevant parameter to the thermal resistance, which we use to derive effec- tive Kapitza resistances that are dependent on the grain boundary coverage and Kaptiza resistance of the intact grain boundary. We propose a model to predict thermal conductivity as a function of porosity, grain-size, Kaptiza resistance of the intact grain boundary, and grain boundary bubble coverage.

  5. Quantum Simulation and Quantum Sensing with Ultracold Strontium

    DTIC Science & Technology

    2015-09-18

    quantum Kapitza pendulum , a novel Floquet system which we are investigating using modulated optical lattices. We have proposed and are developing...another goal of our AFOSR YIP project. To this end, we have developed the first theoretical treatment of a lattice-based quantum Kapitza pendulum . We have...classical single-particle analogue of this phase occurs in a rigid pendulum with an oscillating support (known as a Kapitza pendu- lum [9]). To prepare for

  6. Temperature anisotropy at equilibrium reveals nonlocal entropic contributions to interfacial properties.

    PubMed

    Wilhelmsen, Øivind; Trinh, Thuat T; Lervik, Anders

    2018-01-01

    Density gradient theory for fluids has played a key role in the study of interfacial phenomena for a century. In this work, we revisit its fundamentals by examining the vapor-liquid interface of argon, represented by the cut and shifted Lennard-Jones fluid. The starting point has traditionally been a Helmholtz energy functional using mass densities as arguments. By using rather the internal energy as starting point and including the entropy density as an additional argument, following thereby the phenomenological approach from classical thermodynamics, the extended theory suggests that the configurational part of the temperature has different contributions from the parallel and perpendicular directions at the interface, even at equilibrium. We find a similar anisotropy by examining the configurational temperature in molecular dynamics simulations and obtain a qualitative agreement between theory and simulations. The extended theory shows that the temperature anisotropy originates in nonlocal entropic contributions, which are currently missing from the classical theory. The nonlocal entropic contributions discussed in this work are likely to play a role in the description of both equilibrium and nonequilibrium properties of interfaces. At equilibrium, they influence the temperature- and curvature-dependence of the surface tension. Across the vapor-liquid interface of the Lennard Jones fluid, we find that the maximum in the temperature anisotropy coincides precisely with the maximum in the thermal resistivity relative to the equimolar surface, where the integral of the thermal resistivity gives the Kapitza resistance. This links the temperature anisotropy at equilibrium to the Kapitza resistance of the vapor-liquid interface at nonequilibrium.

  7. Temperature anisotropy at equilibrium reveals nonlocal entropic contributions to interfacial properties

    NASA Astrophysics Data System (ADS)

    Wilhelmsen, Øivind; Trinh, Thuat T.; Lervik, Anders

    2018-01-01

    Density gradient theory for fluids has played a key role in the study of interfacial phenomena for a century. In this work, we revisit its fundamentals by examining the vapor-liquid interface of argon, represented by the cut and shifted Lennard-Jones fluid. The starting point has traditionally been a Helmholtz energy functional using mass densities as arguments. By using rather the internal energy as starting point and including the entropy density as an additional argument, following thereby the phenomenological approach from classical thermodynamics, the extended theory suggests that the configurational part of the temperature has different contributions from the parallel and perpendicular directions at the interface, even at equilibrium. We find a similar anisotropy by examining the configurational temperature in molecular dynamics simulations and obtain a qualitative agreement between theory and simulations. The extended theory shows that the temperature anisotropy originates in nonlocal entropic contributions, which are currently missing from the classical theory. The nonlocal entropic contributions discussed in this work are likely to play a role in the description of both equilibrium and nonequilibrium properties of interfaces. At equilibrium, they influence the temperature- and curvature-dependence of the surface tension. Across the vapor-liquid interface of the Lennard Jones fluid, we find that the maximum in the temperature anisotropy coincides precisely with the maximum in the thermal resistivity relative to the equimolar surface, where the integral of the thermal resistivity gives the Kapitza resistance. This links the temperature anisotropy at equilibrium to the Kapitza resistance of the vapor-liquid interface at nonequilibrium.

  8. Dynamics and Thermodynamics of Many Particle Cold Atom Systems

    DTIC Science & Technology

    2016-05-05

    Dynamical Stability of a Many-body Kapitza Pendulum , R. Citro, E. G. Dalla Torre, L. DÁlessio, A. Polkovnikov, M. Babadi, T. Oka, E. Demler, Annals...Kapitza Pendulum , R. Citro, E. G. Dalla Torre, L. DÁlessio, A. Polkovnikov, M. Babadi, T. Oka, E. Demler, Annals of Physics 360, 694-710 (2015). 17

  9. Multiscale Modeling of Thermal Conductivity of Polymer/Carbon Nanocomposites

    NASA Technical Reports Server (NTRS)

    Clancy, Thomas C.; Frankland, Sarah-Jane V.; Hinkley, Jeffrey A.; Gates, Thomas S.

    2010-01-01

    Molecular dynamics simulation was used to estimate the interfacial thermal (Kapitza) resistance between nanoparticles and amorphous and crystalline polymer matrices. Bulk thermal conductivities of the nanocomposites were then estimated using an established effective medium approach. To study functionalization, oligomeric ethylene-vinyl alcohol copolymers were chemically bonded to a single wall carbon nanotube. The results, in a poly(ethylene-vinyl acetate) matrix, are similar to those obtained previously for grafted linear hydrocarbon chains. To study the effect of noncovalent functionalization, two types of polyethylene matrices. -- aligned (extended-chain crystalline) vs. amorphous (random coils) were modeled. Both matrices produced the same interfacial thermal resistance values. Finally, functionalization of edges and faces of plate-like graphite nanoparticles was found to be only modestly effective in reducing the interfacial thermal resistance and improving the composite thermal conductivity

  10. Multiscale Modeling of Grain Boundaries in ZrB2: Structure, Energetics, and Thermal Resistance

    NASA Technical Reports Server (NTRS)

    Lawson, John W.; Daw, Murray S.; Squire, Thomas H.; Bauschlicher, Charles W., Jr.

    2012-01-01

    A combination of ab initio, atomistic and finite element methods (FEM) were used to investigate the structures, energetics and lattice thermal conductance of grain boundaries for the ultra high temperature ceramic ZrB2. Atomic models of idealized boundaries were relaxed using density functional theory. Information about bonding across the interfaces was determined from the electron localization function. The Kapitza conductance of larger scale versions of the boundary models were computed using non-equilibrium molecular dynamics. The interfacial thermal parameters together with single crystal thermal conductivities were used as parameters in microstructural computations. FEM meshes were constructed on top of microstructural images. From these computations, the effective thermal conductivity of the polycrystalline structure was determined.

  11. Flexural resonance mechanism of thermal transport across graphene-SiO2 interfaces

    NASA Astrophysics Data System (ADS)

    Ong, Zhun-Yong; Qiu, Bo; Xu, Shanglong; Ruan, Xiulin; Pop, Eric

    2018-03-01

    Understanding the microscopic mechanism of heat dissipation at the dimensionally mismatched interface between a two-dimensional (2D) crystal and its substrate is crucial for the thermal management of devices based on 2D materials. Here, we study the lattice contribution to thermal (Kapitza) transport at graphene-SiO2 interfaces using molecular dynamics (MD) simulations and non-equilibrium Green's functions (NEGF). We find that 78 percent of the Kapitza conductance is due to sub-20 THz flexural acoustic modes, and that a resonance mechanism dominates the interfacial phonon transport. MD and NEGF estimate the classical Kapitza conductance to be hK ≈ 10 to 16 MW K-1 m-2 at 300 K, respectively, consistent with existing experimental observations. Taking into account quantum mechanical corrections, this value is approximately 28% lower at 300 K. Our calculations also suggest that hK scales as T2 at low temperatures (T < 100 K) due to the linear frequency dependence of phonon transmission across the graphene-SiO2 interface at low frequencies. Our study sheds light on the role of flexural acoustic phonons in heat dissipation from graphene to its substrate.

  12. Modeling of Interfacial Modification Effects on Thermal Conductivity of Carbon Nanotube Composites

    NASA Technical Reports Server (NTRS)

    Clancy, Thomas C.; Gates, Thomas S.

    2006-01-01

    The effect of functionalization of carbon nanotubes on the thermal conductivity of nanocomposites has been studied using a multi-scale modeling approach. These results predict that grafting linear hydrocarbon chains to the surface of a single wall carbon nanotube with covalent chemical bonds should result in a significant increase in the thermal conductivity of these nanocomposites. This is due to the decrease in the interfacial thermal (Kapitza) resistance between the single wall carbon nanotube and the surrounding polymer matrix upon chemical functionalization. The nanocomposites studied here consist of single wall carbon nanotubes in a bulk poly(ethylene vinyl acetate) matrix. The nanotubes are functionalized by end-grafting linear hydrocarbon chains of varying length to the surface of the nanotube. The effect which this functionalization has on the interfacial thermal resistance is studied by molecular dynamics simulation. Interfacial thermal resistance values are calculated for a range of chemical grafting densities and with several chain lengths. These results are subsequently used in an analytical model to predict the resulting effect on the bulk thermal conductivity of the nanocomposite.

  13. Nonlocal thermal transport across embedded few-layer graphene sheets

    DOE PAGES

    Liu, Ying; Huxtable, Scott T.; Yang, Bao; ...

    2014-11-13

    Thermal transport across the interfaces between few-layer graphene sheets and soft materials exhibits intriguing anomalies when interpreted using the classical Kapitza model, e.g., the conductance of the same interface differs greatly for different modes of interfacial thermal transport. Using atomistic simulations, we show that such thermal transport follows a nonlocal flux-temperature drop constitutive law and is characterized jointly by a quasi-local conductance and a nonlocal conductance instead of the classical Kapitza conductance. Lastly, the nonlocal model enables rationalization of many anomalies of the thermal transport across embedded few-layer graphene sheets and should be used in studies of interfacial thermal transportmore » involving few-layer graphene sheets or other ultra-thin layered materials.« less

  14. Phonon thermal transport through tilt grain boundaries in strontium titanate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Zexi; Chen, Xiang; Yang, Shengfeng

    2014-08-21

    In this work, we perform nonequilibrium molecular dynamics simulations to study phonon scattering at two tilt grain boundaries (GBs) in SrTiO{sub 3}. Mode-wise energy transmission coefficients are obtained based on phonon wave-packet dynamics simulations. The Kapitza conductance is then quantified using a lattice dynamics approach. The obtained results of the Kapitza conductance of both GBs compare well with those obtained by the direct method, except for the temperature dependence. Contrary to common belief, the results of this work show that the optical modes in SrTiO{sub 3} contribute significantly to phonon thermal transport, accounting for over 50% of the Kapitza conductance.more » To understand the effect of the GB structural disorder on phonon transport, we compare the local phonon density of states of the atoms in the GB region with that in the single crystalline grain region. Our results show that the excess vibrational modes introduced by the structural disorder do not have a significant effect on phonon scattering at the GBs, but the absence of certain modes in the GB region appears to be responsible for phonon reflections at GBs. This work has also demonstrated phonon mode conversion and simultaneous generation of new modes. Some of the new modes have the same frequency as the initial wave packet, while some have the same wave vector but lower frequencies.« less

  15. Three-dimensional simulation of rivulet and film flows over an inclined plate: Effects of solvent properties and contact angle

    DOE PAGES

    Singh, Rajesh K.; Galvin, Janine E.; Sun, Xin

    2015-12-10

    We numerically investigated the film flow down an inclined plate using the volume of fluid (VOF) method. The flow simulations have been systematically carried out for a wide range of parameters, such as inlet size, inclination angle, contact angle, flow rates and solvent properties (viscosity and surface tension). Based on the simulation results, scaling theory is proposed for both interfacial area and for film thickness in terms of the Kapitza number (Ka).The Kapitza number is advantageous because it depends only on solvent properties. The Kapitza number decreases with increased solvent viscosity and is fixed for a given fluid. Here, tomore » investigate the effects of solvent properties on interfacial area a small inlet cross-section was used. The interfacial area decreases with increased value of Ka. The time to reach pseudo-steady state of rivulet is also observed to increase with decreasing Ka. For a fixed flow rate, the inlet cross-section has marginal effect on the interfacial area; however, the developed width of the rivulet remains unchanged. In addition to inlet size, flow rate and solvent properties, the impact of contact angle on film thickness and interfacial area was also investigated. The contact angle has negligible effect for a fully wetted plate, but it significantly affects the interfacial area of the rivulet. Finally, a scaling theory for interfacial area in terms of the contact angle and Ka is presented.« less

  16. Theoretical and Experimental Studies of Functionalized Carbon Nanotubes for Improved Thermal Conductivity

    NASA Astrophysics Data System (ADS)

    Kerr, Alexander; Burt, Timothy; Mullen, Kieran; Glatzhofer, Daniel; Houck, Matthew; Huang, Paul

    The use of carbon nanotubes (CNTs) to improve the thermal conductivity of composite materials is thwarted by their large thermal boundary resistance. We study how to overcome this Kapitza resistance by functionalizing CNTs with mixed molecular chains. Certain configurations of chains improve the transmission of thermal vibrations through our systems by decreasing phonon mismatch between the CNTs and their surrounding matrix. Through the calculation of vibrational normal modes and Green's functions, we develop a variety of computational metrics to compare the thermal conductivity (κ) of our systems. We show how different configurations of attached chains affect the samples' κ values by varying chain identity, chain length, number of chains, and heat driver behavior. We vary the parameters to maximize κ. To validate and optimize these metrics, we perform molecular dynamics simulations for comparison. We also present experimental results of composites enhanced with CNTs and make comparisons to the theory. We observe that some composites are thermally improved with the inclusion of CNTs, while others are scarcely changed, in agreement with theoretical models. This work was supported by NSF Grant DMR-1310407.

  17. Inelastic ponderomotive scattering of electrons at a high-intensity optical travelling wave in vacuum

    NASA Astrophysics Data System (ADS)

    Kozák, M.; Eckstein, T.; Schönenberger, N.; Hommelhoff, P.

    2018-02-01

    In the early days of quantum mechanics Kapitza and Dirac predicted that matter waves would scatter off the optical intensity grating formed by two counter-propagating light waves. This interaction, driven by the ponderomotive potential of the optical standing wave, was both studied theoretically and demonstrated experimentally for atoms and electrons. In the original version of the experiment, only the transverse momentum of particles was varied, but their energy and longitudinal momentum remained unchanged after the interaction. Here, we report on the generalization of the Kapitza-Dirac effect. We demonstrate that the energy of sub-relativistic electrons is strongly modulated on the few-femtosecond timescale via the interaction with a travelling wave created in vacuum by two colliding laser pulses at different frequencies. This effect extends the possibilities of temporal control of freely propagating particles with coherent light and can serve the attosecond ballistic bunching of electrons, or for the acceleration of neutral atoms or molecules by light.

  18. Non-parametric wall model and methods of identifying boundary conditions for moments in gas flow equations

    NASA Astrophysics Data System (ADS)

    Liao, Meng; To, Quy-Dong; Léonard, Céline; Monchiet, Vincent

    2018-03-01

    In this paper, we use the molecular dynamics simulation method to study gas-wall boundary conditions. Discrete scattering information of gas molecules at the wall surface is obtained from collision simulations. The collision data can be used to identify the accommodation coefficients for parametric wall models such as Maxwell and Cercignani-Lampis scattering kernels. Since these scattering kernels are based on a limited number of accommodation coefficients, we adopt non-parametric statistical methods to construct the kernel to overcome these issues. Different from parametric kernels, the non-parametric kernels require no parameter (i.e. accommodation coefficients) and no predefined distribution. We also propose approaches to derive directly the Navier friction and Kapitza thermal resistance coefficients as well as other interface coefficients associated with moment equations from the non-parametric kernels. The methods are applied successfully to systems composed of CH4 or CO2 and graphite, which are of interest to the petroleum industry.

  19. Pre-resistance-welding resistance check

    DOEpatents

    Destefan, Dennis E.; Stompro, David A.

    1991-01-01

    A preweld resistance check for resistance welding machines uses an open circuited measurement to determine the welding machine resistance, a closed circuit measurement to determine the parallel resistance of a workpiece set and the machine, and a calculation to determine the resistance of the workpiece set. Any variation in workpiece set or machine resistance is an indication that the weld may be different from a control weld.

  20. [Resistance risk, cross-resistance and biochemical resistance mechanism of Laodelphax striatellus to buprofezin].

    PubMed

    Mao, Xu-lian; Liu, Jin; Li, Xu-ke; Chi, Jia-jia; Liu, Yong-jie

    2016-01-01

    In order to investigate the resistance development law and biochemical resistance mechanism of Laodelphax striatellus to buprofezin, spraying rice seedlings was used to continuously screen resistant strains of L. striatellus and dipping rice seedlings was applied to determine the toxicity and cross-resistance of L. striatellus to insecticides. After 32-generation screening with buprofezin, L. striatellus developed 168.49 folds resistance and its reality heritability (h2) was 0.11. If the killing rate was 80%-90%, L. striatellus was expected to develop 10-fold resistance to buprofezin only after 5 to 6 generations breeding. Because the actual reality heritability of field populations was usually lower than that of the resistant strains, the production of field populations increasing with 10-fold resistance would need much longer time. The results of cross-resistance showed that resistant strain had high level cross-resistance with thiamethoxam and imidacloprid, low level cross-resistance with acetamiprid, and no cross-resistance with pymetrozine and chlorpyrifos. The activity of detoxification enzymes of different strains and the syergism of synergist were measured. The results showed that cytochrome P450 monooxygenase played a major role in the resistance of L. striatellus to buprofezin, the esterase played a minor role and the GSH-S-transferase had no effect. Therefore, L. striatellus would have high risk to develop resistance to buprofezin when used in the field and might be delayed by using pymetrozine and chlorpyrifos.

  1. Numerical studies of film formation in context of steel coating

    NASA Astrophysics Data System (ADS)

    Aniszewski, Wojciech; Zaleski, Stephane; Popinet, Stephane

    2017-11-01

    In this work, we present a detailed example of numerical study of film formation in the context of metal coating. Liquid metal is drawn from a reservoir onto a retracting solid sheet, forming a coating film characterized by phenomena such as longitudinal thickness variation (in 3D) or waves akin to that predicted by Kapitza and Kapitza (visible in two dimensions as well). While the industry standard configuration for Zinc coating is marked by coexistence of medium Capillary number (Ca = 0.03) and film Reynolds number above 1000, we present also parametric studies in order to establish more clearly to what degree does the numerical method influence film regimes obtained in the target configuration. The simulations have been performed using Basilisk, a grid-adapting, strongly optimized code derived from Gerris . Mesh adaptation allows for arbitrary precision in relevant regions such as the contact line or the meniscus, while a coarse grid is applied elsewhere. This adaptation strategy, as the results indicate, is the only realistic approach for numerical method to cover the wide range of necessary scales from the predicted film thickness (hundreds of microns) to the domain size (meters).

  2. [Resistance mechanisms and cross-resistance of phoxim-resistant Frankliniella occidentalis Pergande population].

    PubMed

    Wang, Sheng-Yin; Zhou, Xian-Hong; Zhang, An-Sheng; Li, Li-Li; Men, Xing-Yuan; Zhang, Si-Cong; Liu, Yong-Jie; Yu, Yi

    2012-07-01

    To understand the resistance risks of Frankliniella occidentalis Pergande against phoxim, this paper studied the resistance mechanisms of phoxim-resistant F. occidentalis population against phoxim and the cross-resistance of the population against other insecticides. The phoxim-resistant population had medium level cross-resistance to chlorpyrifos, lambda-cyhalothrin, and methomyl, low level cross-resistance to chlorfenapyr, imidacloprid, emamectin-benzoate, and spinosad, but no cross-resistance to acetamiprid and abamectin. The synergists piperonyl butoxide (PBO), s, s, s-tributyl phosphorotrithioate (DEF), and triphenyl phosphate (TPP) had significant synergism (P < 0.05) on the toxicity of phoxim to the resistant (XK), field (BJ), and susceptible (S) populations, while diethyl maleate (DEM) had no significant synergism to XK and S populations but had significant synergism to BJ population. As compared with S population, the XK and BJ populations had significantly increased activities of mixed-functional oxidases P450 (2.79-fold and 1.48-fold), b, (2.88-fold and 1.88-fold), O-demethylase (2.60-fold and 1.68-fold), and carboxylesterase (2.02-fold and 1.61-fold, respectively), and XK population had a significantly increased acetylcholine esterase activity (3.10-fold). Both XK and BJ population had an increased activity of glutathione S-transferases (1.11-fold and 1.20-fold, respectively), but the increment was not significant. The increased detoxification enzymes activities in F. occidentalis could play an important role in the resistance of the plant against phoxim.

  3. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Trang Nghiêm, T. T.; Chapuis, Pierre-Olivier

    The wave property of phonons is employed to explore the thermal transport across a finite periodic array of nano-scatterers such as circular and triangular holes. As thermal phonons are generated in all directions, we study their transmission through a single array for both normal and oblique incidences, using a linear dispersionless time-dependent acoustic frame in a two-dimensional system. Roughness effects can be directly considered within the computations without relying on approximate analytical formulae. Analysis by spatio-temporal Fourier transform allows us to observe the diffraction effects and the conversion of polarization. Frequency-dependent energy transmission coefficients are computed for symmetric and asymmetricmore » objects that are both subject to reciprocity. We demonstrate that the phononic array acts as an efficient thermal barrier by applying the theory of thermal boundary (Kapitza) resistances to arrays of smooth scattering holes in silicon for an exemplifying periodicity of 10 nm in the 5–100 K temperature range. It is observed that the associated thermal conductance has the same temperature dependence as that without phononic filtering.« less

  4. Ab initio parameterization of a charge optimized many-body forcefield for Si-SiO2: Validation and thermal transport in nanostructures.

    PubMed

    France-Lanord, Arthur; Soukiassian, Patrick; Glattli, Christian; Wimmer, Erich

    2016-03-14

    In an effort to extend the reach of current ab initio calculations to simulations requiring millions of configurations for complex systems such as heterostructures, we have parameterized the third-generation Charge Optimized Many-Body (COMB3) potential using solely ab initio total energies, forces, and stress tensors as input. The quality and the predictive power of the new forcefield are assessed by computing properties including the cohesive energy and density of SiO2 polymorphs, surface energies of alpha-quartz, and phonon densities of states of crystalline and amorphous phases of SiO2. Comparison with data from experiments, ab initio calculations, and molecular dynamics simulations using published forcefields including BKS (van Beest, Kramer, and van Santen), ReaxFF, and COMB2 demonstrates an overall improvement of the new parameterization. The computed temperature dependence of the thermal conductivity of crystalline alpha-quartz and the Kapitza resistance of the interface between crystalline Si(001) and amorphous silica is in excellent agreement with experiment, setting the stage for simulations of complex nanoscale heterostructures.

  5. Prediction of Material Properties of Nanostructured Polymer Composites Using Atomistic Simulations

    NASA Technical Reports Server (NTRS)

    Hinkley, J.A.; Clancy, T.C.; Frankland, S.J.V.

    2009-01-01

    Atomistic models of epoxy polymers were built in order to assess the effect of structure at the nanometer scale on the resulting bulk properties such as elastic modulus and thermal conductivity. Atomistic models of both bulk polymer and carbon nanotube polymer composites were built. For the bulk models, the effect of moisture content and temperature on the resulting elastic constants was calculated. A relatively consistent decrease in modulus was seen with increasing temperature. The dependence of modulus on moisture content was less consistent. This behavior was seen for two different epoxy systems, one containing a difunctional epoxy molecule and the other a tetrafunctional epoxy molecule. Both epoxy structures were crosslinked with diamine curing agents. Multifunctional properties were calculated with the nanocomposite models. Molecular dynamics simulation was used to estimate the interfacial thermal (Kapitza) resistance between the carbon nanotube and the surrounding epoxy matrix. These estimated values were used in a multiscale model in order to predict the thermal conductivity of a nanocomposite as a function of the nanometer scaled molecular structure.

  6. Dynamic stabilization of an optomechanical oscillator

    DTIC Science & Technology

    2014-10-20

    respectively. The proper frequency of the pendulum is ω0 = √ g/, where g is the gravitational acceleration and is the length of the pendulum . The...controlled experiments. In this paper we discuss one such situation, the dynamic stabilization of a mechanical system such as an inverted pendulum . The...quantumoptomechanics, macroscopic quantum system, dynamic stabilization, Kapitza pendulum REPORT DOCUMENTATION PAGE 11. SPONSOR/MONITOR’S REPORT NUMBER(S

  7. Development of Fire Resistant/Heat Resistant Sewing Thread

    DTIC Science & Technology

    2016-03-01

    Final 3. DATES COVERED (From - To) October 2014 – June 2015 4. TITLE AND SUBTITLE DEVELOPMENT OF FIRE RESISTANT /HEAT RESISTANT SEWING THREAD 5a...core to sheath ratio of 70:30 will offer a high performance, low cost sewing thread with required fire resistant /heat resistant properties. 15...Properties ............................................................................... 18        1      DEVELOPMENT OF  FIRE   RESISTANT /HEAT

  8. How "resistant" is artemisinin resistant malaria? - The risks of ambiguity using the term "resistant" malaria.

    PubMed

    Hanscheid, Thomas; Hardisty, David W

    2018-04-30

    In scientific discourse, few would consider the widely used term resistance as ambiguous. The definition and usage of the term antimicrobial resistance revolves around the concept that microorganisms change in ways that render antimicrobial medications clinically ineffective. Because artemisinins have become the cornerstone for antimalarial therapy, the widely used term artemisinin resistance in scientific literature is highly alarming. Naturally, many people will assume that artemisinin resistance must essentially be the same as antimicrobial resistance, which means it is clinically ineffective. However, this is incorrect, and the WHO defines artemisinin resistance differently to antimicrobial resistance as "partial/relative resistance". This means that parasite clearance times are increased but does not automatically mean that artemisinins have become clinically inefficacious. Is the ambiguous use of the term resistance justified and appropriate, although it might be misleading biomedical researchers, the media, policy makers and possibly attending physicians? Science is also about clear and unambiguous use of terminology, so that a message is accurately communicated and understood. Ambiguity can lead to misunderstandings, and misunderstandings can cause wrong actions; unnecessarily so. Copyright © 2018 Elsevier Ltd. All rights reserved.

  9. HIV resistance testing and detected drug resistance in Europe.

    PubMed

    Schultze, Anna; Phillips, Andrew N; Paredes, Roger; Battegay, Manuel; Rockstroh, Jürgen K; Machala, Ladislav; Tomazic, Janez; Girard, Pierre M; Januskevica, Inga; Gronborg-Laut, Kamilla; Lundgren, Jens D; Cozzi-Lepri, Alessandro

    2015-07-17

    To describe regional differences and trends in resistance testing among individuals experiencing virological failure and the prevalence of detected resistance among those individuals who had a genotypic resistance test done following virological failure. Multinational cohort study. Individuals in EuroSIDA with virological failure (>1 RNA measurement >500 on ART after >6 months on ART) after 1997 were included. Adjusted odds ratios (aORs) for resistance testing following virological failure and aORs for the detection of resistance among those who had a test were calculated using logistic regression with generalized estimating equations. Compared to 74.2% of ART-experienced individuals in 1997, only 5.1% showed evidence of virological failure in 2012. The odds of resistance testing declined after 2004 (global P < 0.001). Resistance was detected in 77.9% of the tests, NRTI resistance being most common (70.3%), followed by NNRTI (51.6%) and protease inhibitor (46.1%) resistance. The odds of detecting resistance were lower in tests done in 1997-1998, 1999-2000 and 2009-2010, compared to those carried out in 2003-2004 (global P < 0.001). Resistance testing was less common in Eastern Europe [aOR 0.72, 95% confidence interval (CI) 0.55-0.94] compared to Southern Europe, whereas the detection of resistance given that a test was done was less common in Northern (aOR 0.29, 95% CI 0.21-0.39) and Central Eastern (aOR 0.47, 95% CI 0.29-0.76) Europe, compared to Southern Europe. Despite a concurrent decline in virological failure and testing, drug resistance was commonly detected. This suggests a selective approach to resistance testing. The regional differences identified indicate that policy aiming to minimize the emergence of resistance is of particular relevance in some European regions, notably in the countries in Eastern Europe.

  10. Update on HIV resistance and resistance testing.

    PubMed

    Sebastian, Joseph; Faruki, Hawazin

    2004-01-01

    The introduction of highly active antiretroviral therapy, including a combination of antivirals directed at various steps in the viral life cycle, has led to significant decreases in morbidity and mortality associated with human immunodeficiency virus (HIV-1) infections. Despite the availability of numerous antivirals, many extensively treated patients gradually loose the ability to control viral replication because of development of antiviral resistance. Laboratory tests have been developed and validated to assist in recognizing such resistance and to help predict which antivirals may be more likely to control viral replication in a given patient. Both genotypic and phenotypic assays have been developed to assess HIV-1 antiviral resistance. The assay methodologies, including the advantages and disadvantages of each method, as well as the limitations of each method are reviewed. The ability to predict likely drug response from a genotype or a phenotype is continually evolving, and the more recently discovered mutation/drug resistance associations are discussed in terms of their implications for HIV resistance assays. To provide additional options for those who have developed resistance to all currently available drugs, new antivirals, such as the fusion inhibitors, are being developed. These new classes of antivirals block the HIV viral life cycle at sites other than reverse transcriptase and protease. Unique and novel resistance assays are being developed to measure HIV resistance to these new drugs. Copyright 2003 Wiley Periodicals, Inc.

  11. HIV-1 Drug Resistance and Resistance Testing

    PubMed Central

    Clutter, Dana S; Jordan, Michael R; Bertagnolio, Silvia; Shafer, Robert W

    2016-01-01

    The global scale-up of antiretroviral (ARV) therapy (ART) has led to dramatic reductions in HIV-1 mortality and incidence. However, HIV drug resistance (HIVDR) poses a potential threat to the long-term success of ART and is emerging as a threat to the elimination of AIDS as a public health problem by 2030. In this review we describe the genetic mechanisms, epidemiology, and management of HIVDR at both individual and population levels across diverse economic and geographic settings. To describe the genetic mechanisms of HIVDR, we review the genetic barriers to resistance for the most commonly used ARVs and describe the extent of cross-resistance between them. To describe the epidemiology of HIVDR, we summarize the prevalence and patterns of transmitted drug resistance (TDR) and acquired drug resistance (ADR) in both high-income and low- and middle-income countries (LMICs). We also review to two categories of HIVDR with important public health relevance: (i) pre-treatment drug resistance (PDR), a World Health Organization-recommended HIVDR surveillance metric and (ii) and pre-exposure prophylaxis (PrEP)-related drug resistance, a type of ADR that can impact clinical outcomes if present at the time of treatment initiation. To summarize the implications of HIVDR for patient management, we review the role of genotypic resistance testing and treatment practices in both high-income and LMIC settings. In high-income countries where drug resistance testing is part of routine care, such an understanding can help clinicians prevent virological failure and accumulation of further HIVDR on an individual level by selecting the most efficacious regimens for their patients. Although there is reduced access to diagnostic testing and to many ARVs in LMIC, understanding the scientific basis and clinical implications of HIVDR is useful in all regions in order to shape appropriate surveillance, inform treatment algorithms, and manage difficult cases. PMID:27587334

  12. Inheritance of Cry1F resistance, cross-resistance and frequency of resistant alleles in Spodoptera frugiperda (Lepidoptera: Noctuidae).

    PubMed

    Vélez, A M; Spencer, T A; Alves, A P; Moellenbeck, D; Meagher, R L; Chirakkal, H; Siegfried, B D

    2013-12-01

    Transgenic maize, Zea maize L., expressing the Cry1F protein from Bacillus thuringiensis has been registered for Spodoptera frugiperda (J. E. Smith) control since 2003. Unexpected damage to Cry1F maize was reported in 2006 in Puerto Rico and Cry1F resistance in S. frugiperda was documented. The inheritance of Cry1F resistance was characterized in a S. frugiperda resistant strain originating from Puerto Rico, which displayed >289-fold resistance to purified Cry1F. Concentration-response bioassays of reciprocal crosses of resistant and susceptible parental populations indicated that resistance is recessive and autosomal. Bioassays of the backcross of the F1 generation crossed with the resistant parental strain suggest that a single locus is responsible for resistance. In addition, cross-resistance to Cry1Aa, Cry1Ab, Cry1Ac, Cry1Ba, Cry2Aa and Vip3Aa was assessed in the Cry1F-resistant strain. There was no significant cross-resistance to Cry1Aa, Cry1Ba and Cry2Aa, although only limited effects were observed in the susceptible strain. Vip3Aa was highly effective against susceptible and resistant insects indicating no cross-resistance with Cry1F. In contrast, low levels of cross-resistance were observed for both Cry1Ab and Cry1Ac. Because the resistance is recessive and conferred by a single locus, an F1 screening assay was used to measure the frequency of Cry1F-resistant alleles from populations of Florida and Texas in 2010 and 2011. A total frequency of resistant alleles of 0.13 and 0.02 was found for Florida and Texas populations, respectively, indicating resistant alleles could be found in US populations, although there have been no reports of reduced efficacy of Cry1F-expressing plants.

  13. Calculation of Resistive Loads for Elastic Resistive Exercises.

    PubMed

    Picha, Kelsey; Uhl, Tim

    2018-03-14

    What is the correct resistive load to start resistive training with elastic resistance to gain strength? This question is typically answered by the clinician's best estimate and patient's level of discomfort without objective evidence. To determine the average level of resistance to initiate a strengthening routine with elastic resistance following isometric strength testing. Cohort. Clinical. 34 subjects (31 ± 13 y, 73 ± 17 kg, 170 ± 12 cm). The force produced was measured in Newtons (N) with an isometric dynamometer. The force distance was the distance from center of joint to location of force applied was measured in meters to calculate torque that was called "Test Torque" for the purposes of this report. This torque data was converted to "Exercise Load" in pounds based on the location where the resistance was applied, specifically the distance away from the center of rotation of the exercising limb. The average amount of exercise load as percentage of initial Test Torque for each individual for each exercise was recorded to determine what the average level of resistance that could be used for elastic resistance strengthening program. The percentage of initial test torque calculated for the exercise was recorded for each exercise and torque produced was normalized to body weight. The average percentage of maximal isometric force that was used to initiate exercises was 30 ± 7% of test torque. This provides clinicians with an objective target load to start elastic resistance training. Individual variations will occur but utilization of a load cell during elastic resistance provides objective documentation of exercise progression.

  14. Multilevel Resistance Programming in Conductive Bridge Resistive Memory

    NASA Astrophysics Data System (ADS)

    Mahalanabis, Debayan

    This work focuses on the existence of multiple resistance states in a type of emerging non-volatile resistive memory device known commonly as Programmable Metallization Cell (PMC) or Conductive Bridge Random Access Memory (CBRAM), which can be important for applications such as multi-bit memory as well as non-volatile logic and neuromorphic computing. First, experimental data from small signal, quasi-static and pulsed mode electrical characterization of such devices are presented which clearly demonstrate the inherent multi-level resistance programmability property in CBRAM devices. A physics based analytical CBRAM compact model is then presented which simulates the ion-transport dynamics and filamentary growth mechanism that causes resistance change in such devices. Simulation results from the model are fitted to experimental dynamic resistance switching characteristics. The model designed using Verilog-a language is computation-efficient and can be integrated with industry standard circuit simulation tools for design and analysis of hybrid circuits involving both CMOS and CBRAM devices. Three main circuit applications for CBRAM devices are explored in this work. Firstly, the susceptibility of CBRAM memory arrays to single event induced upsets is analyzed via compact model simulation and experimental heavy ion testing data that show possibility of both high resistance to low resistance and low resistance to high resistance transitions due to ion strikes. Next, a non-volatile sense amplifier based flip-flop architecture is proposed which can help make leakage power consumption negligible by allowing complete shutdown of power supply while retaining its output data in CBRAM devices. Reliability and energy consumption of the flip-flop circuit for different CBRAM low resistance levels and supply voltage values are analyzed and compared to CMOS designs. Possible extension of this architecture for threshold logic function computation using the CBRAM devices as re

  15. Resisting HRD's Resistance to Diversity

    ERIC Educational Resources Information Center

    Bierema, Laura L.

    2010-01-01

    Purpose: The purpose of this paper is to empirically illustrate how human resource development (HRD) resists and omits issues of diversity in academic programs, textbooks, and research; analyze the research on HRD and diversity over a ten-year period; discuss HRD's resistance to diversity; and offer some recommendations for a more authentic…

  16. Detection of resistance, cross-resistance, and stability of resistance to new chemistry insecticides in Bemisia tabaci (Homoptera: Aleyrodidae).

    PubMed

    Basit, Muhammad; Saeed, Shafqat; Saleem, Mushtaq Ahmad; Denholm, Ian; Shah, Maqbool

    2013-06-01

    Resistance levels in whitefly, Bemisia tabaci (Gennadius) collections from cotton and sunflower (up to four districts) for five neonicotinoids and two insect growth regulators (IGRs) were investigated for two consecutive years. Based on the LC50(s), all collections showed slight to moderate levels of resistance for the tested insecticides compared with the laboratory susceptible population. The data also indicated that cotton and sunflower collections had similar resistance levels. In comparison (four collections), Vehari collections showed higher resistance for acetamiprid, thiacloprid, and nitenpyram compared with those of others. Average resistance ratios for acetamiprid, thiacloprid, and nitenpyram ranged from 5- to 13-, 4- to 8-, and 9- to 13-fold, respectively. Multan and Vehari collections also exhibited moderate levels (9- to 16-fold) of resistance to buprofezin. Furthermore, toxicity of neonicotinoids against immature stages was equal to that of insect growth regulators. The data also suggested that resistance in the field populations was stable. After selection for four generations with bifenthrin (G1 to G4), resistance to bifenthrin increased to 14-fold compared with the laboratory susceptible population. Selection also increased resistance to fenpropathrin, lambdacyhalothrin, imidacloprid, acetamiprid, and diafenthuron. Cross-resistance and stability of resistance in the field populations is of some concern. Rotation of insecticides having no cross-resistance and targeting the control against immature stages may control the resistant insects, simultaneously reducing the selection pressure imposed.

  17. HIV-1 drug resistance and resistance testing.

    PubMed

    Clutter, Dana S; Jordan, Michael R; Bertagnolio, Silvia; Shafer, Robert W

    2016-12-01

    The global scale-up of antiretroviral (ARV) therapy (ART) has led to dramatic reductions in HIV-1 mortality and incidence. However, HIV drug resistance (HIVDR) poses a potential threat to the long-term success of ART and is emerging as a threat to the elimination of AIDS as a public health problem by 2030. In this review we describe the genetic mechanisms, epidemiology, and management of HIVDR at both individual and population levels across diverse economic and geographic settings. To describe the genetic mechanisms of HIVDR, we review the genetic barriers to resistance for the most commonly used ARVs and describe the extent of cross-resistance between them. To describe the epidemiology of HIVDR, we summarize the prevalence and patterns of transmitted drug resistance (TDR) and acquired drug resistance (ADR) in both high-income and low- and middle-income countries (LMICs). We also review to two categories of HIVDR with important public health relevance: (i) pre-treatment drug resistance (PDR), a World Health Organization-recommended HIVDR surveillance metric and (ii) and pre-exposure prophylaxis (PrEP)-related drug resistance, a type of ADR that can impact clinical outcomes if present at the time of treatment initiation. To summarize the implications of HIVDR for patient management, we review the role of genotypic resistance testing and treatment practices in both high-income and LMIC settings. In high-income countries where drug resistance testing is part of routine care, such an understanding can help clinicians prevent virological failure and accumulation of further HIVDR on an individual level by selecting the most efficacious regimens for their patients. Although there is reduced access to diagnostic testing and to many ARVs in LMIC, understanding the scientific basis and clinical implications of HIVDR is useful in all regions in order to shape appropriate surveillance, inform treatment algorithms, and manage difficult cases. Copyright © 2016 Elsevier B

  18. Resistance thermometer has linear resistance-temperature coefficient at low temperatures

    NASA Technical Reports Server (NTRS)

    Kuzyk, W.

    1966-01-01

    Resistance thermometer incorporating a germanium resistance element with a platinum resistance element in a wheatstone bridge circuit has a linear temperature-resistance coefficient over a range from approximately minus 140 deg C to approximately minus 253 deg C.

  19. Antibiotic Resistance

    MedlinePlus

    ... lives. But there is a growing problem of antibiotic resistance. It happens when bacteria change and become able ... resistant to several common antibiotics. To help prevent antibiotic resistance Don't use antibiotics for viruses like colds ...

  20. Insecticide resistance and resistance mechanisms in bed bugs, Cimex spp. (Hemiptera: Cimicidae).

    PubMed

    Dang, Kai; Doggett, Stephen L; Veera Singham, G; Lee, Chow-Yang

    2017-06-29

    The worldwide resurgence of bed bugs [both Cimex lectularius L. and Cimex hemipterus (F.)] over the past two decades is believed in large part to be due to the development of insecticide resistance. The transcriptomic and genomic studies since 2010, as well as morphological, biochemical and behavioral studies, have helped insecticide resistance research on bed bugs. Multiple resistance mechanisms, including penetration resistance through thickening or remodelling of the cuticle, metabolic resistance by increased activities of detoxification enzymes (e.g. cytochrome P450 monooxygenases and esterases), and knockdown resistance by kdr mutations, have been experimentally identified as conferring insecticide resistance in bed bugs. Other candidate resistance mechanisms, including behavioral resistance, some types of physiological resistance (e.g. increasing activities of esterases by point mutations, glutathione S-transferase, target site insensitivity including altered AChEs, GABA receptor insensitivity and altered nAChRs), symbiont-mediated resistance and other potential, yet undiscovered mechanisms may exist. This article reviews recent studies of resistance mechanisms and the genes governing insecticide resistance, potential candidate resistance mechanisms, and methods of monitoring insecticide resistance in bed bugs. This article provides an insight into the knowledge essential for the development of both insecticide resistance management (IRM) and integrated pest management (IPM) strategies for successful bed bug management.

  1. Extensive Drug Resistance Acquired During Treatment of Multidrug-Resistant Tuberculosis

    PubMed Central

    Cegielski, J. Peter; Dalton, Tracy; Yagui, Martin; Wattanaamornkiet, Wanpen; Volchenkov, Grigory V.; Via, Laura E.; Van Der Walt, Martie; Tupasi, Thelma; Smith, Sarah E.; Odendaal, Ronel; Leimane, Vaira; Kvasnovsky, Charlotte; Kuznetsova, Tatiana; Kurbatova, Ekaterina; Kummik, Tiina; Kuksa, Liga; Kliiman, Kai; Kiryanova, Elena V.; Kim, HeeJin; Kim, Chang-ki; Kazennyy, Boris Y.; Jou, Ruwen; Huang, Wei-Lun; Ershova, Julia; Erokhin, Vladislav V.; Diem, Lois; Contreras, Carmen; Cho, Sang Nae; Chernousova, Larisa N.; Chen, Michael P.; Caoili, Janice Campos; Bayona, Jaime; Akksilp, Somsak; Calahuanca, Gloria Yale; Wolfgang, Melanie; Viiklepp, Piret; Vasilieva, Irina A.; Taylor, Allison; Tan, Kathrine; Suarez, Carmen; Sture, Ingrida; Somova, Tatiana; Smirnova, Tatyana G.; Sigman, Erika; Skenders, Girts; Sitti, Wanlaya; Shamputa, Isdore C.; Riekstina, Vija; Pua, Kristine Rose; Therese, M.; Perez, C.; Park, Seungkyu; Norvaisha, Inga; Nemtsova, Evgenia S.; Min, Seonyeong; Metchock, Beverly; Levina, Klavdia; Lei, Yung-Chao; Lee, Jongseok; Larionova, Elena E.; Lancaster, Joey; Jeon, Doosoo; Jave, Oswaldo; Khorosheva, Tatiana; Hwang, Soo Hee; Huang, Angela Song-En; Gler, M. Tarcela; Dravniece, Gunta; Eum, Seokyong; Demikhova, Olga V.; Degtyareva, Irina; Danilovits, Manfred; Cirula, Anda; Cho, Eunjin; Cai, Ying; Brand, Jeanette; Bonilla, Cesar; Barry, Clifton E.; Asencios, Luis; Andreevskaya, Sofia N.; Akksilp, Rattanawadee

    2014-01-01

    Background. Increasing access to drugs for the treatment of multidrug-resistant (MDR) tuberculosis is crucial but could lead to increasing resistance to these same drugs. In 2000, the international Green Light Committee (GLC) initiative began to increase access while attempting to prevent acquired resistance. Methods. To assess the GLC's impact, we followed adults with pulmonary MDR tuberculosis from the start to the end of treatment with monthly sputum cultures, drug susceptibility testing, and genotyping. We compared the frequency and predictors of acquired resistance to second-line drugs (SLDs) in 9 countries that volunteered to participate, 5 countries that met GLC criteria, and 4 countries that did not apply to the GLC. Results. In total, 832 subjects were enrolled. Of those without baseline resistance to specific SLDs, 68 (8.9%) acquired extensively drug-resistant (XDR) tuberculosis, 79 (11.2%) acquired fluoroquinolone (FQ) resistance, and 56 (7.8%) acquired resistance to second-line injectable drugs (SLIs). The relative risk (95% confidence interval [CI]) of acquired resistance was lower at GLC-approved sites: 0.27 (.16–.47) for XDR tuberculosis, 0.28 (.17–.45) for FQ, and 0.15 (.06–.39) to 0.60 (.34–1.05) for 3 different SLIs. The risk increased as the number of potentially effective drugs decreased. Controlling for baseline drug resistance and differences between sites, the odds ratios (95% CIs) were 0.21 (.07–.62) for acquired XDR tuberculosis and 0.23 (.09–.59) for acquired FQ resistance. Conclusions. Treatment of MDR tuberculosis involves substantial risk of acquired resistance to SLDs, increasing as baseline drug resistance increases. The risk was significantly lower in programs documented by the GLC to meet specific standards. PMID:25057101

  2. Local measurement of thermal conductivity and diffusivity

    DOE PAGES

    Hurley, David H.; Schley, Robert S.; Khafizov, Marat; ...

    2015-12-01

    Simultaneous measurement of local thermal diffusivity and conductivity is demonstrated on a range of ceramic samples. This was accomplished by measuring the temperature field spatial profile of samples excited by an amplitude modulated continuous wave laser beam. A thin gold film is applied to the samples to ensure strong optical absorption and to establish a second boundary condition that introduces an expression containing the substrate thermal conductivity. The diffusivity and conductivity are obtained by comparing the measured phase profile of the temperature field to a continuum based model. A sensitivity analysis is used to identify the optimal film thickness formore » extracting the both substrate conductivity and diffusivity. Proof of principle studies were conducted on a range of samples having thermal properties that are representative of current and advanced accident tolerant nuclear fuels. It is shown that by including the Kapitza resistance as an additional fitting parameter, the measured conductivity and diffusivity of all the samples considered agree closely with literature values. Lastly, a distinguishing feature of this technique is that it does not require a priori knowledge of the optical spot size which greatly increases measurement reliability and reproducibility.« less

  3. Local measurement of thermal conductivity and diffusivity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hurley, David H.; Schley, Robert S.; Khafizov, Marat

    2015-12-15

    Simultaneous measurement of local thermal diffusivity and conductivity is demonstrated on a range of ceramic samples. This was accomplished by measuring the temperature field spatial profile of samples excited by an amplitude modulated continuous wave laser beam. A thin gold film is applied to the samples to ensure strong optical absorption and to establish a second boundary condition that introduces an expression containing the substrate thermal conductivity. The diffusivity and conductivity are obtained by comparing the measured phase profile of the temperature field to a continuum based model. A sensitivity analysis is used to identify the optimal film thickness formore » extracting the both substrate conductivity and diffusivity. Proof of principle studies were conducted on a range of samples having thermal properties that are representatives of current and advanced accident tolerant nuclear fuels. It is shown that by including the Kapitza resistance as an additional fitting parameter, the measured conductivity and diffusivity of all the samples considered agreed closely with the literature values. A distinguishing feature of this technique is that it does not require a priori knowledge of the optical spot size which greatly increases measurement reliability and reproducibility.« less

  4. Local measurement of thermal conductivity and diffusivity.

    PubMed

    Hurley, David H; Schley, Robert S; Khafizov, Marat; Wendt, Brycen L

    2015-12-01

    Simultaneous measurement of local thermal diffusivity and conductivity is demonstrated on a range of ceramic samples. This was accomplished by measuring the temperature field spatial profile of samples excited by an amplitude modulated continuous wave laser beam. A thin gold film is applied to the samples to ensure strong optical absorption and to establish a second boundary condition that introduces an expression containing the substrate thermal conductivity. The diffusivity and conductivity are obtained by comparing the measured phase profile of the temperature field to a continuum based model. A sensitivity analysis is used to identify the optimal film thickness for extracting the both substrate conductivity and diffusivity. Proof of principle studies were conducted on a range of samples having thermal properties that are representatives of current and advanced accident tolerant nuclear fuels. It is shown that by including the Kapitza resistance as an additional fitting parameter, the measured conductivity and diffusivity of all the samples considered agreed closely with the literature values. A distinguishing feature of this technique is that it does not require a priori knowledge of the optical spot size which greatly increases measurement reliability and reproducibility.

  5. Reconceptualizing resistance: sociology and the affective dimension of resistance.

    PubMed

    Hynes, Maria

    2013-12-01

    This paper re-examines the sociological study of resistance in light of growing interest in the concept of affect. Recent claims that we are witness to an 'affective turn' and calls for a 'new sociological empiricism' sensitive to affect indicate an emerging paradigm shift in sociology. Yet, mainstream sociological study of resistance tends to have been largely unaffected by this shift. To this end, this paper presents a case for the significance of affect as a lens by which to approach the study of resistance. My claim is not simply that the forms of actions we would normally recognize as resistance have an affective dimension. Rather, it is that the theory of affect broadens 'resistance' beyond the purview of the two dominant modes of analysis in sociology; namely, the study of macropolitical forms, on the one hand, and the micropolitics of everyday resistance on the other. This broadened perspective challenges the persistent assumption that ideological forms of power and resistance are the most pertinent to the contemporary world, suggesting that much power and resistance today is of a more affective nature. In making this argument, it is a Deleuzian reading of affect that is pursued, which opens up to a level of analysis beyond the common understanding of affect as emotion. I argue that an affective approach to resistance would pay attention to those barely perceptible transitions in power and mobilizations of bodily potential that operate below the conscious perceptions and subjective emotions of social actors. These affective transitions constitute a new site at which both power and resistance operate. © London School of Economics and Political Science 2013.

  6. HIV Resistance Testing

    MedlinePlus

    ... your medications, HIV will multiply more easily. More mutations will occur. Some of them could cause resistance. ... Genotypic resistance: The genetic code of HIV has mutations that are linked to drug resistance. Clinical resistance ...

  7. Antimicrobial Resistance Gene Transfer in Drug Resistant Acinetobacter Species

    USDA-ARS?s Scientific Manuscript database

    Abstract: Antibiotic resistance is rapidly developing into one of the most formidable challenges for healthcare providers and researchers alike. To combat the rapid evolution of resistance, it will be important to uncover different mechanisms that bacteria use to acquire drug resistance genes. Acine...

  8. Flow Visualization and Pattern Formation in Vertically Falling Liquid Films

    NASA Astrophysics Data System (ADS)

    Balakotaiah, Vemuri; Malamataris, Nikolaos

    2008-11-01

    Analytical results of a low-dimensional two equation h-q model and results of a direct numerical simulation of the transient two-dimensional Navier Stokes equations are presented for vertically falling liquid films along a solid wall. The numerical study aims at the elucidation of the hydrodynamics of the falling film. The analytical study aims at the calculation of the parameter space where pattern formation occurs for this flow. It has been found that when the wave amplitude exceeds a certain magnitude, flow reversal occurs in the film underneath the minimum of the waves [1]. The instantaneous vortical structures possess two hyperbolic points on the vertical wall and an elliptic point in the film. As the wave amplitude increases further, the elliptic point reaches the free surface of the film and two more hyperbolic points are formed in the free surface that replace the elliptic point. Between the two hyperbolic points on the free surface, the streamwise component of velocity is negative and the film is divided into asymmetric patterns of up and down flows. Depending on the value of the Kapitza number, these patterns are either stationary or oscillatory. Physical reasons for the influence of the Kapitza number on pattern formation are given. Movies are shown where the pattern formation is demonstrated. [1] N.A.Malamataris and V.Balakotaiah (2008), AIChE J., 54(7), p. 1725-1740

  9. Antimicrobial resistance determinant microarray for analysis of multi-drug resistant isolates

    NASA Astrophysics Data System (ADS)

    Taitt, Chris Rowe; Leski, Tomasz; Stenger, David; Vora, Gary J.; House, Brent; Nicklasson, Matilda; Pimentel, Guillermo; Zurawski, Daniel V.; Kirkup, Benjamin C.; Craft, David; Waterman, Paige E.; Lesho, Emil P.; Bangurae, Umaru; Ansumana, Rashid

    2012-06-01

    The prevalence of multidrug-resistant infections in personnel wounded in Iraq and Afghanistan has made it challenging for physicians to choose effective therapeutics in a timely fashion. To address the challenge of identifying the potential for drug resistance, we have developed the Antimicrobial Resistance Determinant Microarray (ARDM) to provide DNAbased analysis for over 250 resistance genes covering 12 classes of antibiotics. Over 70 drug-resistant bacteria from different geographic regions have been analyzed on ARDM, with significant differences in patterns of resistance identified: genes for resistance to sulfonamides, trimethoprim, chloramphenicol, rifampin, and macrolide-lincosamidesulfonamide drugs were more frequently identified in isolates from sources in Iraq/Afghanistan. Of particular concern was the presence of genes responsible for resistance to many of the last-resort antibiotics used to treat war traumaassociated infections.

  10. Plasma-resistivity-induced strong damping of the kinetic resistive wall mode.

    PubMed

    He, Yuling; Liu, Yueqiang; Liu, Yue; Hao, Guangzhou; Wang, Aike

    2014-10-24

    An energy-principle-based dispersion relation is derived for the resistive wall mode, which incorporates both the drift kinetic resonance between the mode and energetic particles and the resistive layer physics. The equivalence between the energy-principle approach and the resistive layer matching approach is first demonstrated for the resistive plasma resistive wall mode. As a key new result, it is found that the resistive wall mode, coupled to the favorable average curvature stabilization inside the resistive layer (as well as the toroidal plasma flow), can be substantially more stable than that predicted by drift kinetic theory with fast ion stabilization, but with the ideal fluid assumption. Since the layer stabilization becomes stronger with decreasing plasma resistivity, this regime is favorable for reactor scale, high-temperature fusion devices.

  11. Host Resistance

    USDA-ARS?s Scientific Manuscript database

    Concepts covered in this chapter (for an undergraduate text book) • Disease resistance is a crucial trait for any crop plant. • The degree of disease resistance varies within plant populations. Much of this variation has a genetic basis. • Plant disease resistance can be broadly categorized into s...

  12. Resistance diagnosis and the changing epidemiology of antibiotic resistance.

    PubMed

    McAdams, David

    2017-01-01

    Widespread adoption of point-of-care resistance diagnostics (POCRD) reduces ineffective antibiotic use but could increase overall antibiotic use. Indeed, in the context of a standard susceptible-infected epidemiological model with a single antibiotic, POCRD accelerates the rise of resistance in the disease-causing bacterial population. When multiple antibiotics are available, however, POCRD may slow the rise of resistance even as more patients receive antibiotic treatment, belying the conventional wisdom that antibiotics are "exhaustible resources" whose increased use necessarily promotes the rise of resistance. © 2017 New York Academy of Sciences.

  13. Multidrug-resistant, extensively drug-resistant and pandrug-resistant bacteria: an international expert proposal for interim standard definitions for acquired resistance.

    PubMed

    Magiorakos, A-P; Srinivasan, A; Carey, R B; Carmeli, Y; Falagas, M E; Giske, C G; Harbarth, S; Hindler, J F; Kahlmeter, G; Olsson-Liljequist, B; Paterson, D L; Rice, L B; Stelling, J; Struelens, M J; Vatopoulos, A; Weber, J T; Monnet, D L

    2012-03-01

    Many different definitions for multidrug-resistant (MDR), extensively drug-resistant (XDR) and pandrug-resistant (PDR) bacteria are being used in the medical literature to characterize the different patterns of resistance found in healthcare-associated, antimicrobial-resistant bacteria. A group of international experts came together through a joint initiative by the European Centre for Disease Prevention and Control (ECDC) and the Centers for Disease Control and Prevention (CDC), to create a standardized international terminology with which to describe acquired resistance profiles in Staphylococcus aureus, Enterococcus spp., Enterobacteriaceae (other than Salmonella and Shigella), Pseudomonas aeruginosa and Acinetobacter spp., all bacteria often responsible for healthcare-associated infections and prone to multidrug resistance. Epidemiologically significant antimicrobial categories were constructed for each bacterium. Lists of antimicrobial categories proposed for antimicrobial susceptibility testing were created using documents and breakpoints from the Clinical Laboratory Standards Institute (CLSI), the European Committee on Antimicrobial Susceptibility Testing (EUCAST) and the United States Food and Drug Administration (FDA). MDR was defined as acquired non-susceptibility to at least one agent in three or more antimicrobial categories, XDR was defined as non-susceptibility to at least one agent in all but two or fewer antimicrobial categories (i.e. bacterial isolates remain susceptible to only one or two categories) and PDR was defined as non-susceptibility to all agents in all antimicrobial categories. To ensure correct application of these definitions, bacterial isolates should be tested against all or nearly all of the antimicrobial agents within the antimicrobial categories and selective reporting and suppression of results should be avoided. © 2011 European Society of Clinical Microbiology and Infectious Diseases. No claim to original US government works.

  14. Etoxazole resistance in predatory mite Phytoseiulus persimilis A.-H. (Acari: Phytoseiidae): Cross-resistance, inheritance and biochemical resistance mechanisms.

    PubMed

    Yorulmaz Salman, Sibel; Aydınlı, Fatma; Ay, Recep

    2015-07-01

    Phytoseiulus persimilis of the family Phytoseiidae is an effective predatory mite species that is used to control pest mites. The LC50 and LC60 values of etoxazole were determined on P. persimilis using a leaf-disc method and spraying tower. A laboratory selection population designated ETO6 was found to have a 111.63-fold resistance to etoxazole following 6 selection cycles. This population developed low cross-resistance to spinosad, spiromesifen, acetamiprid, indoxacarb, chlorantraniliprole, milbemectin and moderate cross-resistance to deltamethrin. PBO, IBP and DEM synergised resistance 3.17-, 2.85- and 3.60-fold respectively. Crossing experiments revealed that etoxazole resistance in the ETO6 population was an intermediately dominant and polygenic. In addition, detoxifying enzyme activities were increased 2.71-fold for esterase, 3.09-fold for glutathione S-transferase (GST) and 2.76-fold for cytochrome P450 monooxygenase (P450) in the ETO6 population. Selection for etoxazole under laboratory conditions resulted in the development of etoxazole resistance in the predatory mite P. persimilis that are resistant to pesticides are considered valuable for use in resistance management programmes within integrated pest control strategies. Copyright © 2014 Elsevier Inc. All rights reserved.

  15. Insecticide resistance in house flies from the United States: Resistance levels and frequency of pyrethroid resistance alleles

    USDA-ARS?s Scientific Manuscript database

    Although insecticide resistance is a widespread problem for most insect pests, frequently the assessment of resistance occurs over a limited geographic range. Herein we report the first widespread survey of insecticide resistance ever undertaken for the house fly, Musca domestica, a major pest of a...

  16. Pneumococcal resistance to antibiotics.

    PubMed Central

    Klugman, K P

    1990-01-01

    The geographic distribution of pneumococci resistant to one or more of the antibiotics penicillin, erythromycin, trimethoprim-sulfamethoxazole, and tetracycline appears to be expanding, and there exist foci of resistance to chloramphenicol and rifampin. Multiply resistant pneumococci are being encountered more commonly and are more often community acquired. Factors associated with infection caused by resistant pneumococci include young age, duration of hospitalization, infection with a pneumococcus of serogroup 6, 19, or 23 or serotype 14, and exposure to antibiotics to which the strain is resistant. At present, the most useful drugs for the management of resistant pneumococcal infections are cefotaxime, ceftriaxone, vancomycin, and rifampin. If the strains are susceptible, chloramphenicol may be useful as an alternative, less expensive agent. Appropriate interventions for the control of resistant pneumococcal outbreaks include investigation of the prevalence of resistant strains, isolation of patients, possible treatment of carriers, and reduction of usage of antibiotics to which the strain is resistant. The molecular mechanisms of penicillin resistance are related to the structure and function of penicillin-binding proteins, and the mechanisms of resistance to other agents involved in multiple resistance are being elucidated. Recognition is increasing of the standard screening procedure for penicillin resistance, using a 1-microgram oxacillin disk. PMID:2187594

  17. Resistant mechanisms and molecular epidemiology of imipenem-resistant Acinetobacter baumannii.

    PubMed

    Xiao, Shu-Zhen; Chu, Hai-Qing; Han, Li-Zhong; Zhang, Zhe-Min; Li, Bing; Zhao, Lan; Xu, Liyun

    2016-09-01

    The aim of the study was to investigate the resistant mechanisms and homology of imipenem-resistant Acinetobacter baumannii (A. baumannii). A total of 46 non-duplicate imipenem‑resistant A. baumannii clinical isolates were collected from three tertiary hospitals between July, 2011 and June, 2012. The minimal inhibitory concentrations (MICs) of antimicrobial agents were determined using the agar dilution method. Phenylalanine‑arginine β-naphthylamide was used to detect the presence of the efflux pump-mediated resistant mechanism. Polymerase chain reaction was employed to amplify genes associated with drug resistance, including β‑lactamase genes, efflux pump genes and outer membrane protein gene CarO. A few amplicons were randomly selected and sequenced. Multilocus sequence analysis (MLST) was employed in typing A. baumanni. A. baumannii was resistant to imipenem, simultaneously showing resistance to several other antimicrobials. In addtition, 13 A. baumannii were found to mediate drug resistance through operation of the efflux pump. Of the various drug resistance genes tested, blaOXA‑51 was present in 46 isolates, blaOXA‑23 gene was present in 44 isolates and blaNDM gene was found in only one strain. Other drug resistant‑associated genes, including blaKPC, blaIMP, blaOXA-24, blaOXA‑58, blaSHV, blaGIM and blaVIM were not detected. Mutation of adeS and outer membrane protein gene CarO were found in a few of the imipenem‑resistant isolates. The MLST analysis revealed that all 46 clinical isolates were clustered into 11 genotypes and the most frequent genotype was ST208. In conclusion, β‑lactamase genes, genes involved in efflux pump and mutation of outer membrane protein encoding gene may be important in mediating imipenem resistance in A. baumannii. Of the 11 different genotypes, ST11 was shared by the majority of A. baumannii, which may be due to horizontal transfer of patients from hospitals.

  18. Resistance profiles and risk factors of resistant microorganisms in bacteraemia of abdominal origin.

    PubMed

    Martín Jaramago, J; Armero Ibáñez, R; Camarena Miñana, J J; Morales Suárez-Varela, M

    2017-11-01

    The presence of resistant microorganisms is a major cause of failure in initial empirical antimicrobial therapy. The objectives of this study are to determine the resistance profile of microorganisms that cause bacteraemia of abdominal origin and to identify whether the previous use of antibiotics and the place of acquisition of bacteraemia are risk factors associated with the presence of resistant organisms. A clinical, observational, epidemiological, retrospective cohort study was conducted with all the adult patients admitted to a university hospital from 2011-2013. Antimicrobial resistance profiles were described and a 95% confidence interval chi-square test was used to determine whether the variables studied were risk factors in the isolation of resistant microorganisms. Of the 1245 patients with bacteraemia, 212 (17%) presented bacteraemia of abdominal origin. The resistance profile highlights the incidence of methicillin resistant Staphylococcus aureus (50%), coagulase-negative staphylococci resistant to linezolid (20.58%), enterococci resistant to vancomycin (3.12%), Escherichia coli resistant to third-generation cephalosporins (9.9%) and fluoroquinolones (35.64%), Klebsiella pneumoniae resistant to third-generation cephalosporins (8.33%), Pseudomonas aeruginosa resistant to fluoroquinolones and carbapenem (25% and 25% respectively) and Acinetobacter baumanii resistant to fluoroquinolones and carbapenem (100% and 100% respectively), Candida albicans resistant to fluconazole (11.11%), single Candida krusei isolate resistant to fluconazole and Candida parapsilosis resistant to echinocandins (12.5%). In our study, previous use of antibiotics had a statistically significant association with the isolation of resistant microorganisms (P=.013) but not the place of acquisition of bacteraemia (P=.239). Establishing the incidence of resistant organisms can improve empirical antimicrobial therapy in patients with bacteraemia of abdominal origin. Previous use of

  19. Confirmation of resistance to herbicides and evaluation of resistance levels

    USDA-ARS?s Scientific Manuscript database

    As cases of resistance to herbicides escalate worldwide, there is increasing demand from growers to test for weed resistance and how to manage it. Scientists have developed resistance testing protocols for numerous herbicides and weed species. Growers need immediate answers and scientists are faced ...

  20. High chlorpyrifos resistance in Culex pipiens mosquitoes: strong synergy between resistance genes

    PubMed Central

    Alout, H; Labbé, P; Berthomieu, A; Makoundou, P; Fort, P; Pasteur, N; Weill, M

    2016-01-01

    We investigated the genetic determinism of high chlorpyrifos resistance (HCR), a phenotype first described in 1999 in Culex pipiens mosquitoes surviving chlorpyrifos doses ⩾1 mg l−1 and more recently found in field samples from Tunisia, Israel or Indian Ocean islands. Through chlorpyrifos selection, we selected several HCR strains that displayed over 10 000-fold resistance. All strains were homozygous for resistant alleles at two main loci: the ace-1 gene, with the resistant ace-1R allele expressing the insensitive G119S acetylcholinesterase, and a resistant allele of an unknown gene (named T) linked to the sex and ace-2 genes. We constructed a strain carrying only the T-resistant allele and studied its resistance characteristics. By crossing this strain with strains harboring different alleles at the ace-1 locus, we showed that the resistant ace-1R and the T alleles act in strong synergy, as they elicited a resistance 100 times higher than expected from a simple multiplicative effect. This effect was specific to chlorpyrifos and parathion and was not affected by synergists. We also examined how HCR was expressed in strains carrying other ace-1-resistant alleles, such as ace-1V or the duplicated ace-1D allele, currently spreading worldwide. We identified two major parameters that influenced the level of resistance: the number and the nature of the ace-1-resistant alleles and the number of T alleles. Our data fit a model that predicts that the T allele acts by decreasing chlorpyrifos concentration in the compartment targeted in insects. PMID:26463842

  1. [Analysis of drug resistance and drug resistance genes of imipenem-resistant Pseudomonas aeruginosa strains isolated from burn wards].

    PubMed

    Liu, Shuhua; Liu, Pinghong; Xue, Xiaodong; Chen, Zhaojun; Pei, Decui

    2014-02-01

    To analyze the drug resistance and drug resistance genes of imipenem-resistant Pseudomonas aeruginosa (IRPA) strains isolated from burn wards. From June 2011 to June 2012, 30 strains of IRPA were isolated from wound excretion, sputum, and venous catheter attachment from burn patients hospitalized in Guangzhou Hospital of Integrated Traditional Chinese and Western Medicine. Drug resistance of the IRPA to 12 antibiotics commonly used in clinic, including ceftazidime, amikacin, ciprofloxacin, etc., was tested with K-B paper agar disk diffusion method. Metallo-β-lactamase (MBL)-producing IRPA was detected by synergism test with imipenem-2-mercaptoethanol. Plasmid of IRPA was extracted, and it was inserted into competent cells, producing transformation strains (TSs). Drug resistance of TSs to imipenem and the MBL-producing TSs were detected. The genes blaIMP, blaVIM, blaOXA-1, blaOXA-2 and blaOXA-10 of IRPA and the TSs were detected by polymerase chain reaction. The drug resistance of IRPA producing MBL or OXA enzyme was summed up. The sensitive rates of the 30 strains of IRPA to the 12 antibiotics were equal to or above 60.0%. Six strains of MBL-producing IRPA were screened. Twenty-four TSs were resistant to imipenem, and 6 strains among them were MBL-producing positive. Among the 30 strains of IRPA, 6 strains and their corresponding TSs carried blaVIM; 20 strains and their corresponding TSs carried blaOXA-10; no strain was detected to carry blaIMP, blaOXA-1 or blaOXA-2. Two strains and their corresponding TSs were detected carrying both blaVIM and blaOXA-10. No significant difference of drug resistance was observed between strains producing only MBL or OXA enzyme, with the same high resistance to β-lactam antibiotics and some degree of sensitivity to aminoglycoside antibiotics. Strains producing enzymes MBL and OXA were all resistant to the 12 antibiotics. IRPA strains isolated from burn wards of Guangzhou Hospital of Integrated Traditional Chinese and Western

  2. Prevalence of Chlorhexidine-Resistant Methicillin-Resistant Staphylococcus aureus following Prolonged Exposure

    PubMed Central

    Millar, Eugene V.; Crawford, Katrina B.; Cui, Tianyuan; Lanier, Jeffrey B.; Tribble, David R.; Ellis, Michael W.

    2014-01-01

    Chlorhexidine has been increasingly utilized in outpatient settings to control methicillin-resistant Staphylococcus aureus (MRSA) outbreaks and as a component of programs for MRSA decolonization and prevention of skin and soft-tissue infections (SSTIs). The objective of this study was to determine the prevalence of chlorhexidine resistance in clinical and colonizing MRSA isolates obtained in the context of a community-based cluster-randomized controlled trial for SSTI prevention, during which 10,030 soldiers were issued chlorhexidine for body washing. We obtained epidemiological data on study participants and performed molecular analysis of MRSA isolates, including PCR assays for determinants of chlorhexidine resistance and high-level mupirocin resistance and pulsed-field gel electrophoresis (PFGE). During the study period, May 2010 to January 2012, we identified 720 MRSA isolates, of which 615 (85.4%) were available for molecular analysis, i.e., 341 clinical and 274 colonizing isolates. Overall, only 10 (1.6%) of 615 isolates were chlorhexidine resistant, including three from the chlorhexidine group and seven from nonchlorhexidine groups (P > 0.99). Five (1.5%) of the 341 clinical isolates and five (1.8%) of the 274 colonizing isolates harbored chlorhexidine resistance genes, and four (40%) of the 10 possessed genetic determinants for mupirocin resistance. All chlorhexidine-resistant isolates were USA300. The overall prevalence of chlorhexidine resistance in MRSA isolates obtained from our study participants was low. We found no association between extended chlorhexidine use and the prevalence of chlorhexidine-resistant MRSA isolates; however, continued surveillance is warranted, as this agent continues to be utilized for infection control and prevention efforts. PMID:24841265

  3. Mechanisms of Drug Resistance: Daptomycin Resistance

    PubMed Central

    Tran, Truc T.; Munita, Jose M.; Arias, Cesar A.

    2016-01-01

    Daptomycin (DAP) is a cyclic lipopeptide with in vitro activity against a variety of Gram-positive pathogens, including multidrug-resistant organisms. Since its introduction in clinical practice in 2003, DAP has become an important key front-line antibiotic for severe or deep-seated infections caused by Gram-positive organisms. Unfortunately, DAP-resistance (R) has been extensively documented in clinically important organisms such as Staphylococcus aureus, Enterococcus spp, and Streptococcus spp. Studies on the mechanisms of DAP-R in Bacillus subtilis and other Gram-positive bacteria indicate that the genetic pathways of DAP resistance are diverse and complex. However, a common phenomenon emerging from these mechanistic studies is that DAP-R is associated with important adaptive changes in cell wall and cell membrane homeostasis with critical changes in cell physiology. Findings related to these adaptive changes have offered novel insights into the genetics and molecular mechanisms of bacterial cell envelope stress response and the manner in which Gram-positive bacteria cope with the antimicrobial peptide attack and protect vital structures of the cell envelope such as the cell membrane. In this review, we will examine the most recent findings related to the molecular mechanisms of resistance to DAP in relevant Gram-positive pathogens and discuss the clinical implications for therapy against these important bacteria. PMID:26495887

  4. DIRProt: a computational approach for discriminating insecticide resistant proteins from non-resistant proteins.

    PubMed

    Meher, Prabina Kumar; Sahu, Tanmaya Kumar; Banchariya, Anjali; Rao, Atmakuri Ramakrishna

    2017-03-24

    Insecticide resistance is a major challenge for the control program of insect pests in the fields of crop protection, human and animal health etc. Resistance to different insecticides is conferred by the proteins encoded from certain class of genes of the insects. To distinguish the insecticide resistant proteins from non-resistant proteins, no computational tool is available till date. Thus, development of such a computational tool will be helpful in predicting the insecticide resistant proteins, which can be targeted for developing appropriate insecticides. Five different sets of feature viz., amino acid composition (AAC), di-peptide composition (DPC), pseudo amino acid composition (PAAC), composition-transition-distribution (CTD) and auto-correlation function (ACF) were used to map the protein sequences into numeric feature vectors. The encoded numeric vectors were then used as input in support vector machine (SVM) for classification of insecticide resistant and non-resistant proteins. Higher accuracies were obtained under RBF kernel than that of other kernels. Further, accuracies were observed to be higher for DPC feature set as compared to others. The proposed approach achieved an overall accuracy of >90% in discriminating resistant from non-resistant proteins. Further, the two classes of resistant proteins i.e., detoxification-based and target-based were discriminated from non-resistant proteins with >95% accuracy. Besides, >95% accuracy was also observed for discrimination of proteins involved in detoxification- and target-based resistance mechanisms. The proposed approach not only outperformed Blastp, PSI-Blast and Delta-Blast algorithms, but also achieved >92% accuracy while assessed using an independent dataset of 75 insecticide resistant proteins. This paper presents the first computational approach for discriminating the insecticide resistant proteins from non-resistant proteins. Based on the proposed approach, an online prediction server DIRProt has

  5. Multidrug-resistant tuberculosis.

    PubMed

    Zager, Ellen M; McNerney, Ruth

    2008-01-25

    With almost 9 million new cases each year, tuberculosis remains one of the most feared diseases on the planet. Led by the STOP-TB Partnership and WHO, recent efforts to combat the disease have made considerable progress in a number of countries. However, the emergence of mutated strains of Mycobacterium tuberculosis that are resistant to the major anti-tuberculosis drugs poses a deadly threat to control efforts. Multidrug-resistant tuberculosis (MDR-TB) has been reported in all regions of the world. More recently, extensively drug resistant-tuberculosis (XDR-TB) that is also resistant to second line drugs has emerged in a number of countries. To ensure that adequate resources are allocated to prevent the emergence and spread of drug resistance it is important to understand the scale of the problem. In this article we propose that current methods of describing the epidemiology of drug resistant tuberculosis are not adequate for this purpose and argue for the inclusion of population based statistics in global surveillance data. Whereas the prevalence of tuberculosis is presented as the proportion of individuals within a defined population having disease, the prevalence of drug resistant tuberculosis is usually presented as the proportion of tuberculosis cases exhibiting resistance to anti-tuberculosis drugs. Global surveillance activities have identified countries in Eastern Europe, the former Soviet Union and regions of China as having a high proportion of MDR-TB cases and international commentary has focused primarily on the urgent need to improve control in these settings. Other regions, such as sub-Saharan Africa have been observed as having a low proportion of drug resistant cases. However, if one considers the incidence of new tuberculosis cases with drug resistant disease in terms of the population then countries of sub-Saharan Africa have amongst the highest rates of transmitted MDR-TB in the world. We propose that inclusion of population based statistics in

  6. Resistant and Refractory Hypertension: Antihypertensive Treatment Resistance vs Treatment Failure

    PubMed Central

    Siddiqui, Mohammed; Dudenbostel, Tanja; Calhoun, David A.

    2017-01-01

    Resistant or difficult to treat hypertension is defined as high blood pressure that remains uncontrolled with 3 or more different antihypertensive medications, including a diuretic. Recent definitions also include controlled blood pressure with use of 4 or more medications as also being resistant to treatment. Recently, refractory hypertension, an extreme phenotype of antihypertensive treatment failure has been defined as hypertension uncontrolled with use of 5 or more antihypertensive agents, including a long-acting thiazide diuretic and a mineralocorticoid receptor antagonist. Patients with resistant vs refractory hypertension share similar characteristics and comorbidities, including obesity, African American race, female sex, diabetes, coronary heart disease, chronic kidney disease, and obstructive sleep apnea. Patients with refractory vs resistant hypertension tend to be younger and are more likely to have been diagnosed with congestive heart failure. Refractory hypertension might also differ from resistant hypertension in terms of underlying cause. Preliminary evidence suggests that refractory hypertension is more likely to be neurogenic in etiology (ie, heightened sympathetic tone), vs a volume-dependent hypertension that is more characteristic of resistant hypertension in general. PMID:26514749

  7. Extensively Drug-Resistant Tuberculosis: Principles of Resistance, Diagnosis, and Management.

    PubMed

    Wilson, John W; Tsukayama, Dean T

    2016-04-01

    Extensively drug-resistant (XDR) tuberculosis (TB) is an unfortunate by-product of mankind's medical and pharmaceutical ingenuity during the past 60 years. Although new drug developments have enabled TB to be more readily curable, inappropriate TB management has led to the emergence of drug-resistant disease. Extensively drug-resistant TB describes Mycobacterium tuberculosis that is collectively resistant to isoniazid, rifampin, a fluoroquinolone, and an injectable agent. It proliferates when established case management and infection control procedures are not followed. Optimized treatment outcomes necessitate time-sensitive diagnoses, along with expanded combinations and prolonged durations of antimicrobial drug therapy. The challenges to public health institutions are immense and most noteworthy in underresourced communities and in patients coinfected with human immunodeficiency virus. A comprehensive and multidisciplinary case management approach is required to optimize outcomes. We review the principles of TB drug resistance and the risk factors, diagnosis, and managerial approaches for extensively drug-resistant TB. Treatment outcomes, cost, and unresolved medical issues are also discussed. Copyright © 2016 Mayo Foundation for Medical Education and Research. Published by Elsevier Inc. All rights reserved.

  8. Antibiotics and Antibiotic Resistance

    MedlinePlus

    ... Medicine Safely Antibiotics and Antibiotic Resistance Antibiotics and Antibiotic Resistance Share Tweet Linkedin Pin it More sharing options ... throughout the world are becoming resistant to antibiotics. Antibiotic resistance has been called one of the world's most ...

  9. Cross-Resistance of UV- or Chlorine Dioxide-Resistant Echovirus 11 to Other Disinfectants

    PubMed Central

    Zhong, Qingxia; Carratalà, Anna; Ossola, Rachele; Bachmann, Virginie; Kohn, Tamar

    2017-01-01

    The emergence of waterborne viruses with resistance to disinfection has been demonstrated in the laboratory and in the environment. Yet, the implications of such resistance for virus control remain obscure. In this study we investigate if viruses with resistance to a given disinfection method exhibit cross-resistance to other disinfectants. Chlorine dioxide (ClO2)- or UV-resistant populations of echovirus 11 were exposed to five inactivating treatments (free chlorine, ClO2, UV radiation, sunlight, and heat), and the extent of cross-resistance was determined. The ClO2-resistant population exhibited cross-resistance to free chlorine, but to none of the other inactivating treatments tested. We furthermore demonstrated that ClO2 and free chlorine act by a similar mechanism, in that they mainly inhibit the binding of echovirus 11 to its host cell. As such, viruses with host binding mechanisms that can withstand ClO2 treatment were also better able to withstand oxidation by free chlorine. Conversely, the UV-resistant population was not significantly cross-resistant to any other disinfection treatment. Overall, our results indicate that viruses with resistance to multiple disinfectants exist, but that they can be controlled by inactivating methods that operate by a distinctly different mechanism. We therefore suggest to utilize two disinfection barriers that act by different mechanisms in order to control disinfection-resistant viruses. PMID:29046672

  10. Thermoelectric transport properties of nanostructured FeSb 2 and Ce-based heavy-fermions CeCu and CeAl 3

    NASA Astrophysics Data System (ADS)

    Pokharel, Mani R.

    Thermoelectric (TE) energy conversion is an all-solid-state technology which can convert waste thermal energy into useful electric power and cool ambience without using harmful gases like CFC. Due to their several advantages over traditional energy conversion technologies, thermoelectric generators (TEG) and coolers (TEC) have drawn enormous research efforts. The objective of this work is to find promising materials for thermoelectric cooling applications and optimize their thermoelectric performances. Finding a material with a good value for the thermoelectric figure-of-merit (ZT) at cryogenic temperatures, specifically below 77 K, has been of great interest. This work demonstrates that FeSb2 1, CeCu6 2 and CeAl3 3, all belonging to a class of materials with strongly correlated electron behavior; exhibit promising thermoelectric properties below 77 K. In general, ZT of a TE material can be increased using two basic approaches: lattice thermal conductivity reduction and power factor (PF) enhancement. The results of this study indicate that nanostructuring effectively decreases the thermal conductivity of FeSb2, CeCu6 and CeAl 3 leading to improved ZT. The approach of introducing point-defect scattering to further reduce the thermal conductivity is successfully implemented for Te-substituted FeSb2 nanostructured samples 4. A semiconductor/metal interface has long been proposed to exhibit enhanced thermoelectric properties. We use this technique by introducing Ag-nanoparticles in the host FeSb2 which further increases ZT by 70% 5. Additionally, a detailed investigation is made on the phonon-drag effect as a possible mechanism responsible for the large value of the Seebeck coefficient of FeSb2 6. We show that the phonon-drag mechanism contributes significantly to the large Seebeck effect in FeSb2 and hence this effect cannot be minor as was proposed in literatures previously. A model based on Kapitza-resistance and effective medium approach (EMA) is used to analyze

  11. Towards the Understanding of Resistance Mechanisms in Clinically Isolated Trimethoprim-resistant, Methicillin-resistant Staphylococcus aureus Dihydrofolate Reductase

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Frey, K.; Lombardo, M; Wright, D

    2010-01-01

    Resistance to therapeutics such as trimethoprim-sulfamethoxazole has become an increasing problem in strains of methicillin-resistant Staphylococcus aureus (MRSA). Clinically isolated trimethoprim-resistant strains reveal a double mutation, H30N/F98Y, in dihydrofolate reductase (DHFR). In order to develop novel and effective therapeutics against these resistant strains, we evaluated a series of propargyl-linked antifolate lead compounds for inhibition of the mutant enzyme. For the propargyl-linked antifolates, the F98Y mutation generates minimal (between 1.2- and 6-fold) losses of affinity and the H30N mutation generates greater losses (between 2.4- and 48-fold). Conversely, trimethoprim affinity is largely diminished by the F98Y mutation (36-fold) and is not affectedmore » by the H30N mutation. In order to elucidate a mechanism of resistance, we determined a crystal structure of a complex of this double mutant with a lead propargyl-linked antifolate. This structure suggests a resistance mechanism consistent both for the propargyl-linked class of antifolates and for trimethoprim that is based on the loss of a conserved water-mediated hydrogen bond.« less

  12. Multiple Resistance Evolution in Bipyridylium-Resistant Epilobium ciliatum After Recurrent Selection.

    PubMed

    Tahmasebi, Berhoz K; Alcántara-de la Cruz, Ricardo; Alcántara, Esteban; Torra, Joel; Domínguez-Valenzuela, José A; Cruz-Hipólito, Hugo E; Rojano-Delgado, Antonia M; De Prado, Rafael

    2018-01-01

    The use of herbicides with different modes of action is the primary strategy used to control weeds possessing resistance to a single mechanism of action (MOA). However, this practice can lead to selection for generalist resistance mechanisms and may cause resistance to all MOAs. In this research, we characterized the resistance to diquat/paraquat (bipyridiliums) in an Epilobium ciliatum biotype (R1) collected in an olive orchard from Chile, where alternatives herbicides (2,4-D, glyphosate, glufosinate, flazasulfuron and pyraflufen-ethyl) with different MOAs were used, but they have also showed failure in controlling this species. Because the resistance/susceptibility patterns of the R1 biotype to glufosinate, 2,4-D and pyraflufen-ethyl were not clear, a recurrent resistance selection was carried out in field and greenhouse using these herbicides on R1 plants for three generations (R2 biotype). One biotype that was never treated with herbicides (S) was included as control. Results indicated that the S biotype was controlled at the field dose of all herbicides tested. The biotype R1 exhibited resistance to diquat, paraquat and flazasulfuron and natural tolerance to glyphosate. The R2 biotype displayed resistance to glufosinate, 2,4-D and pyraflufen-ethyl with LD 50 (herbicide dose to kill 50% of plants) values higher than field doses in all assays. Physiological and biochemical studies determined the resistance to diquat of the R1 biotype, which was due to impaired translocation. The resistance to flazasulfuron in the R1 and R2 biotypes was confirmed by the low sensitivity of the acetolactate synthase (ALS) activity compared to the S biotype. The similar accumulation of shikimate in treated S, R1, and R2 plants with glyphosate supported the existence of innate tolerance to this herbicide in E. ciliatum . Resistance to glufosinate, 2,4-D and pyraflufen-ethyl in the R2 biotype, acquired after recurrent selection, was determined by low sensitivity of the glutamine

  13. Pyrethroid resistance and cross-resistance in the German cockroach, Blattella germanica (L).

    PubMed

    Wei, Y; Appel, A G; Moar, W J; Liu, N

    2001-11-01

    A German cockroach (Blatella germanica (L)) strain, Apyr-R, was collected from Opelika, Alabama after control failures with pyrethroid insecticides. Levels of resistance to permethrin and deltamethrin in Apyr-R (97- and 480-fold, respectively, compared with a susceptible strain, ACY) were partially or mostly suppressed by piperonyl butoxide (PBO) and S,S,S,-tributylphosphorotrithioate (DEF), suggesting that P450 monooxygenases and hydrolases are involved in resistance to these two pyrethroids in Apyr-R. However, incomplete suppression of pyrethroid resistance with PBO and DEF implies that one or more additional mechanisms are involved in resistance. Injection, compared with topical application, resulted in 43- and 48-fold increases in toxicity of permethrin in ACY and Apyr-R, respectively. Similarly, injection increased the toxicity of deltamethrin 27-fold in ACY and 28-fold in Apyr-R. These data indicate that cuticular penetration is one of the obstacles for the effectiveness of pyrethroids against German cockroaches. However, injection did not change the levels of resistance to either permethrin or deltamethrin, suggesting that a decrease in the rate of cuticular penetration may not play an important role in pyrethroid resistance in Apyr-R. Apyr-R showed cross-resistance to imidacloprid, with a resistance ratio of 10. PBO treatment resulted in no significant change in the toxicity of imidacloprid, implying that P450 monooxygenase-mediated detoxication is not the mechanism responsible for cross-resistance. Apyr-R showed no cross-resistance to spinosad, although spinosad had relatively low toxicity to German cockroaches compared with other insecticides tested in this study. This result further confirmed that the mode of action of spinosad to insects is unique. Fipronil, a relatively new insecticide, was highly toxic to German cockroaches, and the multi-resistance mechanisms in Apyr-R did not confer significant cross-resistance to this compound. Thus, we propose

  14. Resistance and cross-resistance profile of the diaryltriazine NNRTI and candidate microbicide UAMC01398.

    PubMed

    Ariën, Kevin K; Venkatraj, Muthusamy; Michiels, Johan; Joossens, Jurgen; Vereecken, Katleen; Van der Veken, Pieter; Heeres, Jan; De Winter, Hans; Heyndrickx, Leo; Augustyns, Koen; Vanham, Guido

    2016-05-01

    The resistance development, cross-resistance to other NNRTIs and the impact of resistance on viral replicative fitness were studied for the new and potent NNRTI UAMC01398. Resistance was selected by dose escalation and by single high-dose selection against a comprehensive panel of NNRTIs used as therapeutics and NNRTIs under investigation for pre-exposure prophylaxis of sexual HIV transmission. A panel of 27 site-directed mutants with single mutations or combinations of mutations involved in reverse transcriptase (RT) inhibitor-mediated resistance was developed and used to confirm resistance to UAMC01398. Cross-resistance to other NNRTIs was assessed, as well as susceptibility of UAMC01398-resistant HIV to diarylpyrimidine-resistant viruses. Finally, the impact of UAMC01398 resistance on HIV replicative fitness was studied. We showed that UAMC01398 has potent activity against dapivirine-resistant HIV, that at least four mutations in the RT are required in concert for resistance and that the resistance profile is similar to rilpivirine, both genotypically and phenotypically. Resistance development to UAMC01398 is associated with a severe fitness cost. These data, together with the enhanced safety profile and good solubility in aqueous gels, make UAMC01398 an excellent candidate for HIV topical prevention. © The Author 2016. Published by Oxford University Press on behalf of the British Society for Antimicrobial Chemotherapy. All rights reserved. For Permissions, please e-mail: journals.permissions@oup.com.

  15. Partner-Drug Resistance and Population Substructuring of Artemisinin-Resistant Plasmodium falciparum in Cambodia

    PubMed Central

    Parobek, Christian M.; Parr, Jonathan B.; Brazeau, Nicholas F.; Lon, Chanthap; Chaorattanakawee, Suwanna; Gosi, Panita; Barnett, Eric J.; Norris, Lauren D.; Meshnick, Steven R.; Spring, Michele D.; Lanteri, Charlotte A.; Bailey, Jeffrey A.; Saunders, David L.; Lin, Jessica T.

    2017-01-01

    Abstract Plasmodium falciparum in western Cambodia has developed resistance to artemisinin and its partner drugs, causing frequent treatment failure. Understanding this evolution can inform the deployment of new therapies. We investigated the genetic architecture of 78 falciparum isolates using whole-genome sequencing, correlating results to in vivo and ex vivo drug resistance and exploring the relationship between population structure, demographic history, and partner drug resistance. Principle component analysis, network analysis and demographic inference identified a diverse central population with three clusters of clonally expanding parasite populations, each associated with specific K13 artemisinin resistance alleles and partner drug resistance profiles which were consistent with the sequential deployment of artemisinin combination therapies in the region. One cluster displayed ex vivo piperaquine resistance and mefloquine sensitivity with a high rate of in vivo failure of dihydroartemisinin-piperaquine. Another cluster displayed ex vivo mefloquine resistance and piperaquine sensitivity with high in vivo efficacy of dihydroartemisinin-piperaquine. The final cluster was clonal and displayed intermediate sensitivity to both drugs. Variations in recently described piperaquine resistance markers did not explain the difference in mean IC90 or clinical failures between the high and intermediate piperaquine resistance groups, suggesting additional loci may be involved in resistance. The results highlight an important role for partner drug resistance in shaping the P. falciparum genetic landscape in Southeast Asia and suggest that further work is needed to evaluate for other mutations that drive piperaquine resistance. PMID:28854635

  16. Population Genetics Study of Isoniazid Resistance Mutations and Evolution of Multidrug-Resistant Mycobacterium tuberculosis†

    PubMed Central

    Hazbón, Manzour Hernando; Brimacombe, Michael; Bobadilla del Valle, Miriam; Cavatore, Magali; Guerrero, Marta Inírida; Varma-Basil, Mandira; Billman-Jacobe, Helen; Lavender, Caroline; Fyfe, Janet; García-García, Lourdes; León, Clara Inés; Bose, Mridula; Chaves, Fernando; Murray, Megan; Eisenach, Kathleen D.; Sifuentes-Osornio, José; Cave, M. Donald; Ponce de León, Alfredo; Alland, David

    2006-01-01

    The molecular basis for isoniazid resistance in Mycobacterium tuberculosis is complex. Putative isoniazid resistance mutations have been identified in katG, ahpC, inhA, kasA, and ndh. However, small sample sizes and related potential biases in sample selection have precluded the development of statistically valid and significant population genetic analyses of clinical isoniazid resistance. We present the first large-scale analysis of 240 alleles previously associated with isoniazid resistance in a diverse set of 608 isoniazid-susceptible and 403 isoniazid-resistant clinical M. tuberculosis isolates. We detected 12 mutant alleles in isoniazid-susceptible isolates, suggesting that these alleles are not involved in isoniazid resistance. However, mutations in katG, ahpC, and inhA were strongly associated with isoniazid resistance, while kasA mutations were associated with isoniazid susceptibility. Remarkably, the distribution of isoniazid resistance-associated mutations was different in isoniazid-monoresistant isolates from that in multidrug-resistant isolates, with significantly fewer isoniazid resistance mutations in the isoniazid-monoresistant group. Mutations in katG315 were significantly more common in the multidrug-resistant isolates. Conversely, mutations in the inhA promoter were significantly more common in isoniazid-monoresistant isolates. We tested for interactions among mutations and resistance to different drugs. Mutations in katG, ahpC, and inhA were associated with rifampin resistance, but only katG315 mutations were associated with ethambutol resistance. There was also a significant inverse association between katG315 mutations and mutations in ahpC or inhA and between mutations in kasA and mutations in ahpC. Our results suggest that isoniazid resistance and the evolution of multidrug-resistant strains are complex dynamic processes that may be influenced by interactions between genes and drug-resistant phenotypes. PMID:16870753

  17. Interplay Between Antibiotic Resistance and Virulence During Disease Promoted by Multidrug-Resistant Bacteria

    PubMed Central

    Geisinger, Edward

    2017-01-01

    Abstract Diseases caused by antibiotic-resistant bacteria in hospitals are the outcome of complex relationships between several dynamic factors, including bacterial pathogenicity, the fitness costs of resistance in the human host, and selective forces resulting from interventions such as antibiotic therapy. The emergence and fate of mutations that drive antibiotic resistance are governed by these interactions. In this review, we will examine how different forms of antibiotic resistance modulate bacterial fitness and virulence potential, thus influencing the ability of pathogens to evolve in the context of nosocomial infections. We will focus on 3 important multidrug-resistant pathogens that are notoriously problematic in hospitals: Pseudomonas aeruginosa, Acinetobacter baumannii, and Staphylococcus aureus. An understanding of how antibiotic resistance mutations shape the pathobiology of multidrug-resistant infections has the potential to drive novel strategies that can control the development and spread of drug resistance. PMID:28375515

  18. Resisting Mind Control.

    ERIC Educational Resources Information Center

    Anderson, Susan M.; Zimbardo, Philip G.

    1980-01-01

    Provides conceptual analyses of mind control techniques along with practical advice on how to resist these techniques. The authors stress that effective mind control stems more from everyday social relations than from exotic technological gimmicks. Suggestions are given for resisting persuasion, resisting systems, and challenging the system.…

  19. Cytokine profiling of docetaxel-resistant castration-resistant prostate cancer.

    PubMed

    Mahon, K L; Lin, H-M; Castillo, L; Lee, B Y; Lee-Ng, M; Chatfield, M D; Chiam, K; Breit, S N; Brown, D A; Molloy, M P; Marx, G M; Pavlakis, N; Boyer, M J; Stockler, M R; Daly, R J; Henshall, S M; Horvath, L G

    2015-04-14

    Docetaxel improves symptoms and survival in metastatic castration-resistant prostate cancer (CRPC). However, ∼50% of patients are chemoresistant. This study examined whether changes in cytokine levels predict for docetaxel resistance in vitro and in a clinical cohort. PC3 cells or their docetaxel-resistant subline (PC3Rx) were co-cultured with U937 monocytes, with and without docetaxel treatment, and cytokine levels were measured. The circulating levels of 28 cytokines were measured pre-/post cycle 1 of docetaxel from 55 men with CRPC, and compared with prostate-specific antigen (PSA) response. PC3Rx-U937 co-culture expressed more cytokines, chiefly markers of alternative macrophage differentiation, compared with PC3-U937 co-culture. Docetaxel treatment enhanced cytokine production by PC3Rx-U937 co-culture, while reducing cytokine levels in PC3-U937. In patients, changes in the levels of seven circulating cytokines (macrophage inhibitory cytokine 1 (MIC1), interleukin (IL)-1ra, IL-1β, IL-4, IL-6, IL-12 and IFNγ) after cycle 1 of docetaxel were associated with progressive disease (all P<0.05). The combination of changes in MIC1, IL-4 and IL-6 most strongly predicted PSA response (P=0.002). In vitro studies suggest docetaxel resistance is mediated, at least in part, by cytokines induced by the interaction between the docetaxel-resistant tumour cells and macrophages. Early changes in circulating cytokine levels were associated with docetaxel resistance in CRPC patients. When considered together, these data suggest a significant role for the inflammatory response and macrophages in the development of docetaxel resistance in CRPC.

  20. Cross-resistance and Inheritance of Resistance to Emamectin Benzoate in Spodoptera exigua (Lepidoptera: Noctuidae).

    PubMed

    Che, Wunan; Huang, Jianlei; Guan, Fang; Wu, Yidong; Yang, Yihua

    2015-08-01

    Beet armyworm, Spodoptera exigua (Hübner), is a worldwide pest of many crops. Chemical insecticides are heavily used for its control in China, and serious resistance has been evolved in the field to a variety of insecticides including emamectin benzoate. Through repeated backcrossing to a susceptible strain (WH-S) and selection with emamectin benzoate, the trait conferring resistance to emamectin benzoate in a field-collected population of S. exigua (moderately resistant to emamectin benzoate and strongly resistant to pyrethroids and indoxacarb) was introgressed into WH-S to generate a near-isogenic resistant strain (WH-EB). Compared with WH-S, the WH-EB strain developed a 1,110-fold resistance to emamectin benzoate and a high level of cross-resistance to abamectin (202-fold), with low levels of cross-resistance to cypermethrin (10-fold) and chlorfluazuron (7-fold), but no cross-resistance to representatives of another six different classes of insecticides (chlorantraniliprole, chlorfenapyr, indoxacarb, spinosad, tebufenozide, and chlorpyrifos). Resistance to emamectin benzoate in WH-EB was autosomal, incompletely dominant, and polygenic. Limited cross-resistance in WH-EB indicates that emamectin benzoate can be rotated with other classes of insecticides to which it does not show cross-resistance to delay the evolution of resistance in S. exigua. The incompletely dominant nature of resistance in S. exigua may explain the rapid evolution of resistance to emamectin benzoate in the field, and careful deployment of this chemical within a resistance management program should be considered. © The Authors 2015. Published by Oxford University Press on behalf of Entomological Society of America. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  1. Resistance to lambda-cyhalothrin in Spanish field populations of Ceratitis capitata and metabolic resistance mediated by P450 in a resistant strain.

    PubMed

    Arouri, Rabeh; Le Goff, Gaelle; Hemden, Hiethem; Navarro-Llopis, Vicente; M'saad, Mariem; Castañera, Pedro; Feyereisen, René; Hernández-Crespo, Pedro; Ortego, Félix

    2015-09-01

    The withdrawal of malathion in the European Union in 2009 resulted in a large increase in lambda-cyhalothrin applications for the control of the Mediterranean fruit fly, Ceratitis capitata, in Spanish citrus crops. Spanish field populations of C. capitata have developed resistance to lambda-cyhalothrin (6-14-fold), achieving LC50 values (129-287 ppm) higher than the recommended concentration for field treatments (125 ppm). These results contrast with the high susceptibility to lambda-cyhalothrin found in three Tunisian field populations. We have studied the mechanism of resistance in the laboratory-selected resistant strain W-1Kλ (205-fold resistance). Bioassays with synergists showed that resistance was almost completely suppressed by the P450 inhibitor PBO. The study of the expression of 53 P450 genes belonging to the CYP4, CYP6, CYP9 and CYP12 families in C. capitata revealed that CYP6A51 was overexpressed (13-18-fold) in the resistant strain. The W-1Kλ strain also showed high levels of cross-resistance to etofenprox (240-fold) and deltamethrin (150-fold). Field-evolved resistance to lambda-cyhalothrin has been found in C. capitata. Metabolic resistance mediated by P450 appears to be the main resistance mechanism in the resistant strain W-1Kλ. The levels of cross-resistance found may compromise the effectiveness of other pyrethroids for the control of this species. © 2014 Society of Chemical Industry. © 2014 Society of Chemical Industry.

  2. Resistant hypertension.

    PubMed

    Wei, Fang-Fei; Zhang, Zhen-Yu; Huang, Qi-Fang; Yang, Wen-Yi; Staessen, Jan A

    2018-06-15

    The publication of the first non-randomised proof-of-concept trial of renal denervation as a treatment modality in treatment-resistant hypertension set the stage for a search for novel devices with the expectation that technology would reduce the burden of hypertension by reducing or eliminating the costly and lifelong use of blood pressure lowering medications. As we demonstrate in this review, this idea so attractive to manufacturers and invasive cardiologists and radiologists overlooked decades of careful pathophysiological research in a disease, which still remains enigmatic but remains the major cause of cardiovascular mortality worldwide. To make our point, we first reviewed the prevalence and risks associated with treatment-resistant hypertension. Next, we highlighted the key points required for the diagnosis of treatment-resistant hypertension, including the recording of the ambulatory blood pressure and the assessment of adherence to medication. Finally, we summarised new insights in the management of treatment-resistant hypertension by medication and devices and in the future research. Throughout our review, we focused on new evidence became available since 2013. Our conclusion is that optimising medical treatment based on simple algorithms remains the state of the art in treatment-resistant hypertension.

  3. From multidrug-resistant to extensively drug-resistant tuberculosis in Lisbon, Portugal: the stepwise mode of resistance acquisition.

    PubMed

    Perdigão, João; Macedo, Rita; Silva, Carla; Machado, Diana; Couto, Isabel; Viveiros, Miguel; Jordao, Luisa; Portugal, Isabel

    2013-01-01

    The development and transmission of extensively drug-resistant (XDR) tuberculosis (TB) constitutes a serious threat to the effective control of TB in several countries. Here, in an attempt to further elucidate the dynamics of the acquisition of resistance to second-line drugs and investigate an eventual role for eis promoter mutations in aminoglycoside resistance, we have studied a set of multidrug-resistant (MDR)/XDR-TB isolates circulating in Lisbon, Portugal. Forty-four MDR-TB or XDR-TB isolates were genotyped and screened for mutations in genes associated with second-line drug resistance, namely tlyA, gyrA, rrs and eis. The most prevalent mutations found in each gene were Ins755GT in tlyA, A1401G in rrs, G-10A in eis and S91P in gyrA. Additionally, two genetic clusters were found in this study: Lisboa3 and Q1. The characteristic mutational profile found among recent XDR-TB circulating in Lisbon was also found in MDR-TB strains isolated in the 1990s. Also investigated was the resistance level conferred by eis G-10A mutations, revealing that eis G-10A mutations may result in amikacin resistance undetectable by widely used phenotypic assays. The analysis of the distribution of the mutations found by genetic clustering showed that in the Q1 cluster, two mutations, gyrA D94A and rrs A1401G, were enough to ensure development of XDR-TB from an MDR strain. Moreover, in the Lisboa3 cluster it was possible to elaborate a model in which the development of low-level kanamycin resistance was at the origin of the emergence of XDR-TB strains that can be discriminated by tlyA mutations.

  4. [Resistance risk and resistance stability of Frankliniella occidentalis to imidacloprid, emamectin benzoate, and phoxim].

    PubMed

    Wang, Sheng-Yin; Yu, Yi; Liu, Yong-Jie; Ma, Jing-Yu

    2012-12-01

    In order to effectively control the damage of Frankliniella occidentalis (Pergande), Phaseolus vuglaris was dipped with imidacloprid, phoxim, and emamectin benzoate, respectively to select the resistance populations of F. occidentalis from its susceptible population, and the resistance inheritance and resistance risk were analyzed with the resistance reality heredity. After 32, 32, and 24 generations' selection, the F. occidentalis populations obtained 13.8-fold, 29.4-fold and 39.0-fold resistance to imidacloprid, phoxim, and emamectin benzoate, respectively. The resistance reality heritability to imidacloprid, phoxim, and emamectin benzoate was 0.112, 0.166, and 0.259, respectively. The resistance development rate to emamectin benzoate was the fastest, followed by to phoxim, and to imidacloprid. The higher the resistance levels of the selected populations, the lower the differences between the larva and adult susceptibility to imidacloprid, phoxim, and emamectin benzoate. Stopping selection for 12 continuous generations, the resistance level of the selected resistance populations to imidacloprid, phoxim, and emamectin benzoate had definite decline, but it was difficult to regain the original susceptibility. F. occidentalis had a greater potential to gain high level resistance to imidacloprid, phoxim, and emamectin benzoate. Compared with the resistance of F. occidentalis to phoxim and emamectin benzoate, the resistance to imidacloprid increased slower and decreased faster, and thus, imidacloprid was more appropriate to control F. occidentalis in practice.

  5. Resistance Selection and Characterization of Chlorantraniliprole Resistance in Plutella xylostella (Lepidoptera: Plutellidae).

    PubMed

    Liu, Xia; Wang, Hong-Yan; Ning, Yu-Bo; Qiao, Kang; Wang, Kai-Yun

    2015-08-01

    The diamondback moth, Plutella xylostella (L.), is considered one of the most damaging lepidopteran pests, and it has developed resistance to all conventional insecticide classes in the field. Chlorantraniliprole is the first commercial insecticide that belongs to the new chemical class of diamide insecticides. But, P. xylostella have already shown resistance to chlorantraniliprole in China. After 52 generations of selection with chlorantraniliprole, ∼48.17-fold resistance was observed. The resistant strain showed cross-resistance to flubendiamide (7.29-fold), abamectin (6.11-fold), and cyantraniliprole (3.31-fold). Quantitative real-time polymerase chain reaction analysis showed that the expression of the ryanodine receptor gene was higher in the resistant strain than that in the susceptible strain. Enzyme assays indicated that cytochrome P450 activity in the resistant strain was 4.26 times higher compared with the susceptible strain, whereas no difference was seen for glutathione-S-transferase and esterase. Moreover, the toxicity of chlorantraniliprole in the resistant strain could be synergized by piperonyl butoxide, but not by diethyl maleate, and S,S,S-tributyl phosphorothioate. These results can serve as an important base for guiding the use of insecticide in field and delaying the development of pests that are resistant to the insecticides. © The Authors 2015. Published by Oxford University Press on behalf of Entomological Society of America. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  6. Modelling vemurafenib resistance in melanoma reveals a strategy to forestall drug resistance

    PubMed Central

    Thakur, Meghna Das; Salangsang, Fernando; Landman, Allison S.; Sellers, William R.; Pryer, Nancy K.; Levesque, Mitchell P.; Dummer, Reinhard; McMahon, Martin; Stuart, Darrin D.

    2014-01-01

    Mutational activation of BRAF is the most prevalent genetic alteration in human melanoma, with ≥ 50% of tumours expressing the BRAF(V600E) oncoprotein1,2. Moreover, the marked tumour regression and improved survival of late-stage BRAF-mutated melanoma patients in response to treatment with vemurafenib demonstrates the essential role of oncogenic BRAF in melanoma maintenance3,4. However, as most patients relapse with lethal drug-resistant disease, understanding and preventing mechanism(s) of resistance is critical to providing improved therapy5. Here we investigate the cause and consequences of vemurafenib resistance using two independently derived primary human melanoma xeno-graft models in which drugresistanceisselected by continuous vemurafenib administration. In one of these models, resistant tumours show continued dependency on BRAF(V600E) → MEK → ERK signalling owing to elevated BRAF(V600E) expression. Most importantly, we demonstrate that vemurafenib-resistant melanomas become drug dependent for their continued proliferation, such that cessation of drug administration leads to regression of established drug-resistant tumours. We further demonstrate that a discontinuous dosing strategy, which exploits the fitness disadvantage displayed by drug-resistant cells in the absence of the drug, forestalls the onset of lethal drug-resistant disease. These data highlight the concept that drug-resistant cells may also display drug dependency, such that altered dosing may prevent the emergence of lethal drug resistance. Such observations may contribute to sustaining the durability of the vemurafenib response with the ultimate goal of curative therapy for the subset of melanoma patients with BRAF mutations. PMID:23302800

  7. Targeting Antibiotic Resistance

    PubMed Central

    Chellat, Mathieu F.; Raguž, Luka

    2016-01-01

    Abstract Finding strategies against the development of antibiotic resistance is a major global challenge for the life sciences community and for public health. The past decades have seen a dramatic worldwide increase in human‐pathogenic bacteria that are resistant to one or multiple antibiotics. More and more infections caused by resistant microorganisms fail to respond to conventional treatment, and in some cases, even last‐resort antibiotics have lost their power. In addition, industry pipelines for the development of novel antibiotics have run dry over the past decades. A recent world health day by the World Health Organization titled “Combat drug resistance: no action today means no cure tomorrow” triggered an increase in research activity, and several promising strategies have been developed to restore treatment options against infections by resistant bacterial pathogens. PMID:27000559

  8. Resistance pattern of multi-drug resistant strains of Mycobacterium tuberculosis and characteristics of patients with multi-drug resistant tuberculosis.

    PubMed

    Moisoiu, Adriana; Mitran, Cristina Iulia; Mitran, Mãdãlina Irina; Huhu, Mihaela Roxana; Ioghen, Octavian Costin; Gheorghe, Adelina-Silvana; Tampa, Mircea; Georgescu, Simona Roxana; Popa, Mircea Ioan

    2016-01-01

    Multi-drug resistant tuberculosis (MDR-TB) is a major concern in the medical community. Knowledge about the drug resistance pattern of Mycobacterium tuberculosis strains plays an essential role in the management of the disease. We conducted a retrospective, 3-year study (2009-2011), in an urban area. We collected data on the drug resistance for 497 M. tuberculosis strains, isolated from patients with pulmonary TB. Among the 497 strains, we identified 158 MDR strains. Eighty medical recorders of patients infected with MDR strains were available and we included those patients in the study group. Of the 497 analysed strains, 8% were resistant to a single anti-TB drug. We identified 5.2% polyresistant drug strains, the most frequent combination being INH+EMB (1.4%). Of the 158 MDR strains identified (31.8%), over 60% were resistant to all first line anti-TB drugs tested. Most of them presented resistance to STM (86.1%) and EMB (67.7%). With respect to second line anti-TB drugs resistance to KM (23.4%) was the most common, followed by OFX (8.2%). With respect to the patients with MDR-TB, a percentage of 61.2% of them had a history of anti-TB treatment. Regarding lifestyle habits, 61.2% of the patients were smokers and 18.8% were abusing alcohol. Out of 51 patients, for whom information was available regarding their occupation, only 33.3 % were employees. MDR strains of Mycobacterium tuberculosis display an increased resistance to first line anti-TB drugs. Extension of resistance to second line anti-TB drugs narrows the therapeutic options. Knowledge of MDR-TB risk factors is imperative for the correct and rapid initiation of the treatment.

  9. Emergence of multidrug-resistant, extensively drug-resistant and untreatable gonorrhea

    PubMed Central

    Unemo, Magnus; Nicholas, Robert A

    2013-01-01

    The new superbug Neisseria gonorrhoeae has retained resistance to antimicrobials previously recommended for first-line treatment and has now demonstrated its capacity to develop resistance to the extended-spectrum cephalosporin, ceftriaxone, the last remaining option for first-line empiric treatment of gonorrhea. An era of untreatable gonorrhea may be approaching, which represents an exceedingly serious public health problem. Herein, we review the evolution, origin and spread of antimicrobial resistance and resistance determinants (with a focus on extended-spectrum cephalosporins) in N. gonorrhoeae, detail the current situation regarding verified treatment failures with extended-spectrum cephalosporins and future treatment options, and highlight essential actions to meet the large public health challenge that arises with the possible emergence of untreatable gonorrhea. Essential actions include: implementing action/response plans globally and nationally; enhancing surveillance of gonococcal antimicrobial resistance, treatment failures and antimicrobial use/misuse; and improving prevention, early diagnosis and treatment of gonorrhea. Novel treatment strategies, antimicrobials (or other compounds) and, ideally, a vaccine must be developed. PMID:23231489

  10. REVIEWS OF TOPICAL PROBLEMS: Application of cybernetic methods in physics

    NASA Astrophysics Data System (ADS)

    Fradkov, Aleksandr L.

    2005-02-01

    Basic aspects of the subject and methodology for a new and rapidly developing area of research that has emerged at the intersection of physics and control theory (cybernetics) and emphasizes the application of cybernetic methods to the study of physical systems are reviewed. Speed-gradient and Hamiltonian solutions for energy control problems in conservative and dissipative systems are presented. Application examples such as the Kapitza pendulum, controlled overcoming of a potential barrier, and controlling coupled oscillators and molecular systems are presented. A speed-gradient approach to modeling the dynamics of physical systems is discussed.

  11. Incidence of Foscarnet Resistance and Cidofovir Resistance in Patients Treated for Cytomegalovirus Retinitis

    PubMed Central

    Jabs, Douglas A.; Enger, Cheryl; Forman, Michael; Dunn, J. P.; Retinitis, for The Cytomegalovirus; Group, Viral Resistance Study

    1998-01-01

    Cytomegalovirus (CMV) retinitis is a common opportunistic infection in patients with AIDS. With long-term therapy for CMV retinitis, resistant CMV may develop. In a prospective study of 122 patients with CMV retinitis, 2.4 and 0.8% of patients had foscarnet-resistant blood culture isolates (50% inhibitory concentration [IC50], >400 μM) and urine culture isolates, respectively, at diagnosis of CMV retinitis prior to treatment, whereas 4.1 and 6.6% had cidofovir-resistant (IC50, >2 μM) blood and urine culture isolates, respectively. Patients were treated according to best medical judgement. Of 44 foscarnet-treated patients, 26% had a resistant blood or urine culture isolate by 6 months of treatment and 37% had a resistant isolate by 9 months; of 13 cidofovir-treated patients, 29% had a resistant blood or urine culture isolate by 3 months of therapy. The probabilities of developing foscarnet resistance while on foscarnet and developing cidofovir resistance while on cidofovir were not significantly different from that for developing ganciclovir resistance while on ganciclovir (odds ratios, 1.87 [P = 0.19] and 2.28 [P = 0.15], respectively). PMID:9736542

  12. Mechanisms of Antibiotic Resistance

    PubMed Central

    Munita, Jose M.; Arias, Cesar A.

    2015-01-01

    Emergence of resistance among the most important bacterial pathogens is recognized as a major public health threat affecting humans worldwide. Multidrug-resistant organisms have emerged not only in the hospital environment but are now often identified in community settings, suggesting that reservoirs of antibiotic-resistant bacteria are present outside the hospital. The bacterial response to the antibiotic “attack” is the prime example of bacterial adaptation and the pinnacle of evolution. “Survival of the fittest” is a consequence of an immense genetic plasticity of bacterial pathogens that trigger specific responses that result in mutational adaptations, acquisition of genetic material or alteration of gene expression producing resistance to virtually all antibiotics currently available in clinical practice. Therefore, understanding the biochemical and genetic basis of resistance is of paramount importance to design strategies to curtail the emergence and spread of resistance and devise innovative therapeutic approaches against multidrug-resistant organisms. In this chapter, we will describe in detail the major mechanisms of antibiotic resistance encountered in clinical practice providing specific examples in relevant bacterial pathogens. PMID:27227291

  13. Unpacking 'Artemisinin Resistance'.

    PubMed

    Wang, Jigang; Xu, Chengchao; Lun, Zhao-Rong; Meshnick, Steven R

    2017-06-01

    Artemisinin and its derivatives, in combination with partner drugs, are currently the most effective treatments for malaria parasite infection. Even though artemisinin has been widely used for decades, its mechanism of action had remained controversial until recently. Artemisinin combination therapies (ACTs) have recently been found to be losing efficacy in Southeast Asia. This 'artemisinin resistance', defined by a delayed parasite clearance time, has been associated with several genetic mutations. As with any other drug resistance phenotype, resistance can best be understood based on its mechanism of action. Recently, it was demonstrated that artemisinin attacks multiple parasitic targets, suggesting that mutations in drug targets are unlikely to cause high-level artemisinin resistance. These findings will help us to better understand the mechanisms of artemisinin resistance and suggest protocol modifications that may improve the efficacy of ACTs. Copyright © 2017 Elsevier Ltd. All rights reserved.

  14. Effect of low temperature baking on niobium cavities

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Peter Kneisel; Ganapati Myneni; William Lanford

    A low temperature (100 C-150 C) ''in situ'' baking under ultra-high vacuum has been successfully applied as final preparation of niobium RF cavities by several laboratories over the last few years. The benefits reported consist mainly of an improvement of the cavity quality factor and a recovery from the so-called ''Q-drop'' without field emission at high field. A series of experiments with a CEBAF single cell cavity have been carried out at Jefferson Lab to carefully investigate the effect of baking at progressively higher temperatures for a fixed time on all the relevant material parameters. Measurements of the cavity qualitymore » factor in the temperature range 1.37K-280K and resonant frequency shift between 6K-9.3K provide information about the surface resistance, energy gap, penetration depth and mean free path. The experimental data have been analyzed with the complete BCS theory of superconductivity using a modified version of the computer code originally written by J. Halbritter [1] . Small niobium samples inserted in the cavity during its surface preparation were analyzed with respect to their hydrogen content with a Nuclear Reaction Analysis (NRA). The single cell cavity has been tested at three different temperatures before and after baking to gain some insight on thermal conductivity and Kapitza resistance and the data are compared with different models. This paper describes the results from these experiments and comments on the existing models to explain the effect of baking on the performance of niobium RF cavities.« less

  15. Development of torodial magnetic thermometry to study new phenomena associated with the superfluid transition in liquid sup 4 He

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Duncan, R.V.

    1988-01-01

    A new type of paramagnetic susceptibility thermometry called toroidal magnetic thermometry (TMT) has been developed. These TMT thermometers have a thermal resolution of five nanoKelvin near the {sup 4}He superfluid transition temperature T{lambda} = 2.172K, making TMT roughly a factor of fifty times better in resolution than conventional germanium resistance thermometry which is commercially available. The dramatic improvement in thermal resolution provided by TMT has been used to observe new phenomena associated with the superfluid transition in pure liquid {sup 4}He. Such phenomena include a component of the thermal boundary (Kapitza) resistance R{sub K} which is singular at the superfluidmore » transition temperature T{lambda}. In addition to the boundary effects described above, these TMT thermometers have been used to detect the depression of T{lambda} be a heat current Q flowing through the liquid helium. When these values of {Delta}T{lambda}(Q) were used to calculate the depression of the superfluid density {Delta}{rho}{sub s}(Q) the results agreed well with a prediction based on the theory of Ginzburg and Pitaevskii. The calibration of the TMT thermometers provide high-resolution measurements of the a.c. paramagnetic susceptibility of their magnetic salt: Copper ammonium bromide (CAB). These calibration parameters, together with power dissipation data near the CAB Curie temperature {Tc} = 1.79K, provide information on the magnetic critical behavior of this nearly ideal Heisenberg ferromagnet. Throughout the detailed description of the TMT design, aspects of the CAB magnetic critical phenomena are discussed.« less

  16. Resistivity analysis

    DOEpatents

    Bruce, Michael R [Austin, TX; Bruce, Victoria J [Austin, TX; Ring, Rosalinda M [Austin, TX; Cole, Edward Jr I [Albuquerque, NM; Hawkins, Charles F [Albuquerque, NM; Tangyungong, Paiboon [Albuquerque, NM

    2006-06-13

    According to an example embodiment of the present invention a semiconductor die having a resistive electrical connection is analyzed. Heat is directed to the die as the die is undergoing a state-changing operation to cause a failure due to suspect circuitry. The die is monitored, and a circuit path that electrically changes in response to the heat is detected and used to detect that a particular portion therein of the circuit is resistive. In this manner, the detection and localization of a semiconductor die defect that includes a resistive portion of a circuit path is enhanced.

  17. Thiamethoxam Resistance in the House Fly, Musca domestica L.: Current Status, Resistance Selection, Cross-Resistance Potential and Possible Biochemical Mechanisms.

    PubMed

    Khan, Hafiz Azhar Ali; Akram, Waseem; Iqbal, Javaid; Naeem-Ullah, Unsar

    2015-01-01

    The house fly, Musca domestica L., is an important ectoparasite with the ability to develop resistance to insecticides used for their control. Thiamethoxam, a neonicotinoid, is a relatively new insecticide and effectively used against house flies with a few reports of resistance around the globe. To understand the status of resistance to thiamethoxam, eight adult house fly strains were evaluated under laboratory conditions. In addition, to assess the risks of resistance development, cross-resistance potential and possible biochemical mechanisms, a field strain of house flies was selected with thiamethoxam in the laboratory. The results revealed that the field strains showed varying level of resistance to thiamethoxam with resistance ratios (RR) at LC50 ranged from 7.66-20.13 folds. Continuous selection of the field strain (Thia-SEL) for five generations increased the RR from initial 7.66 fold to 33.59 fold. However, resistance declined significantly when the Thia-SEL strain reared for the next five generations without exposure to thiamethoxam. Compared to the laboratory susceptible reference strain (Lab-susceptible), the Thia-SEL strain showed cross-resistance to imidacloprid. Synergism tests revealed that S,S,S-tributylphosphorotrithioate (DEF) and piperonyl butoxide (PBO) produced synergism of thiamethoxam effects in the Thia-SEL strain (2.94 and 5.00 fold, respectively). In addition, biochemical analyses revealed that the activities of carboxylesterase (CarE) and mixed function oxidase (MFO) in the Thia-SEL strain were significantly higher than the Lab-susceptible strain. It seems that metabolic detoxification by CarE and MFO was a major mechanism for thiamethoxam resistance in the Thia-SEL strain of house flies. The results could be helpful in the future to develop an improved control strategy against house flies.

  18. Thiamethoxam Resistance in the House Fly, Musca domestica L.: Current Status, Resistance Selection, Cross-Resistance Potential and Possible Biochemical Mechanisms

    PubMed Central

    Khan, Hafiz Azhar Ali; Akram, Waseem; Iqbal, Javaid; Naeem-Ullah, Unsar

    2015-01-01

    The house fly, Musca domestica L., is an important ectoparasite with the ability to develop resistance to insecticides used for their control. Thiamethoxam, a neonicotinoid, is a relatively new insecticide and effectively used against house flies with a few reports of resistance around the globe. To understand the status of resistance to thiamethoxam, eight adult house fly strains were evaluated under laboratory conditions. In addition, to assess the risks of resistance development, cross-resistance potential and possible biochemical mechanisms, a field strain of house flies was selected with thiamethoxam in the laboratory. The results revealed that the field strains showed varying level of resistance to thiamethoxam with resistance ratios (RR) at LC50 ranged from 7.66-20.13 folds. Continuous selection of the field strain (Thia-SEL) for five generations increased the RR from initial 7.66 fold to 33.59 fold. However, resistance declined significantly when the Thia-SEL strain reared for the next five generations without exposure to thiamethoxam. Compared to the laboratory susceptible reference strain (Lab-susceptible), the Thia-SEL strain showed cross-resistance to imidacloprid. Synergism tests revealed that S,S,S-tributylphosphorotrithioate (DEF) and piperonyl butoxide (PBO) produced synergism of thiamethoxam effects in the Thia-SEL strain (2.94 and 5.00 fold, respectively). In addition, biochemical analyses revealed that the activities of carboxylesterase (CarE) and mixed function oxidase (MFO) in the Thia-SEL strain were significantly higher than the Lab-susceptible strain. It seems that metabolic detoxification by CarE and MFO was a major mechanism for thiamethoxam resistance in the Thia-SEL strain of house flies. The results could be helpful in the future to develop an improved control strategy against house flies. PMID:25938578

  19. Cross-resistance of bisultap resistant strain of Nilaparvata lugens and its biochemical mechanism.

    PubMed

    Ling, Shanfeng; Zhang, Runjie

    2011-02-01

    The resistant (R) strain of the planthopper Nilaparvata lugens (Stål) selected for bisultap resistance displayed 7.7-fold resistance to bisultap and also had cross-resistance to nereistoxin (monosultap, thiocyclam, and cartap), chlorpyrifos, dimethoate, and malathion but no cross-resistance to buprofezin, imidacloprid, and fipronil. To find out the biochemical mechanism of resistance to bisultap, biochemical assay was done. The results showed that cytochrome P450 monooxygenases (P450) activity in R strain was 2.71-fold that in susceptible strain (S strain), in which the changed activity for general esterase (EST) was 1.91 and for glutathione S-transferases only 1.32. Piperonyl butoxide (PBO) could significantly inhibit P450 activity (percentage of inhibition [PI]: 37.31%) in the R strain, with ESTs PI = 16.04% by triphenyl phosphate (TPP). The results also demonstrated that diethyl maleate had no synergism with bisultap. However, PBO displayed significant synergism in three different strains, and the synergism increased with resistance (S strain 1.42, Lab strain, 2.24 and R strain, 3.23). TPP also showed synergism for three strains, especially in R strain (synergistic ratio = 2.47). An in vitro biochemical study and in vivo synergistic study indicated that P450 might be play important role in the biochemical mechanism of bisultap resistance and that esterase might be the important factor of bisultap resistance. Acetylcholinesterase (AChE) insensitivity play important role in bisultap resistance. We suggest that buprofezin, imidacloprid, and fipronil could be used in resistance management programs for N. lugens via alternation and rotation with bisultap.

  20. Passive Gas-Gap Heat Switches for Use in Adiabatic Demagnetization Refrigerators

    NASA Technical Reports Server (NTRS)

    Shirron, P. J.; Canavan, E. R.; DiPirro, M. J.; Jackson, M.; Panek, J.; Tuttle, J. G.; Krebs, Carolyn (Technical Monitor)

    2001-01-01

    We have designed, built, and tested a gas gap heat switch that works passively, without the need for a separate, thermally activated getter. This switch uses He-3 condensed as a thin film on alternating plates of copper. The switch is thermally conductive at temperatures above about 0.2 K, and is insulating if either end of the switch is below about 0.15 K. The "on" conductance (7 mW/K at 0.25K) is limited by the surface area and gap between the copper leaves, the saturated vapor pressure of the He-3, and the Kapitza boundary resistance between the He-3 and the copper. The "off" conductance is determined by the helium containment shell which physically supports the two conductive ends. We have also designed and are building passive gas gap heat switches which will passively turn off near 1 K and 4 K. For these switches we rely on the rapidly changing vapor pressure of He-4 above neon or copper substrates, respectively, when the coverage is less than one monolayer. The different binding energies of the He-4 to the neon or copper give rise to the different temperatures where the switches transition between the on and off states.

  1. Dilution Refrigerator for Nuclear Refrigeration and Cryogenic Thermometry Studies

    NASA Astrophysics Data System (ADS)

    Nakagawa, Hisashi; Hata, Tohru

    2014-07-01

    This study explores the design and construction of an ultra-low temperature facility in order to realize the Provisional low-temperature scale from 0.9 mK to 1 K (PLTS-2000) in Japan, to disseminate its use through calibration services, and to study thermometry at low temperatures below 1 K. To this end, a dilution refrigerator was constructed in-house that has four sintered silver discrete heat exchangers for use as a precooling stage of a copper nuclear demagnetization stage. A melting curve thermometer attached to the mixing chamber flange could be cooled continuously to 4.0 mK using the refrigerator. The dependence of minimum temperatures on circulation rates can be explained by the calculation of Frossati's formula based on a perfect continuous counterflow heat exchanger model, assuming that the Kapitza resistance has a temperature dependence. Residual heat leakage to the mixing chamber was estimated to be around 86 nW. A nuclear demagnetization cryostat with a nuclear stage containing an effective amount of copper (51 mol in a 9 T magnetic field) is under construction, and we will presently start to work toward the realization of the PLTS-2000. In this article, the design and performance of the dilution refrigerator are reported.

  2. Radiation coloration resistant glass

    DOEpatents

    Tomozawa, M.; Watson, E.B.; Acocella, J.

    1986-11-04

    A radiation coloration resistant glass is disclosed which is used in a radiation environment sufficient to cause coloration in most forms of glass. The coloration resistant glass includes higher proportions by weight of water and has been found to be extremely resistant to color change when exposed to such radiation levels. The coloration resistant glass is free of cerium oxide and has more than about 0.5% by weight water content. Even when exposed to gamma radiation of more than 10[sup 7] rad, the coloration resistant glass does not lose transparency. 3 figs.

  3. Radiation coloration resistant glass

    DOEpatents

    Tomozawa, Minoru; Watson, E. Bruce; Acocella, John

    1986-01-01

    A radiation coloration resistant glass is disclosed which is used in a radiation environment sufficient to cause coloration in most forms of glass. The coloration resistant glass includes higher proportions by weight of water and has been found to be extremely resistant to color change when exposed to such radiation levels. The coloration resistant glass is free of cerium oxide and has more than about 0.5% by weight water content. Even when exposed to gamma radiation of more than 10.sup.7 rad, the coloration resistant glass does not lose transparency.

  4. Corrosion-resistant metal surfaces

    DOEpatents

    Sugama, Toshifumi [Wading River, NY

    2009-03-24

    The present invention relates to metal surfaces having thereon an ultrathin (e.g., less than ten nanometer thickness) corrosion-resistant film, thereby rendering the metal surfaces corrosion-resistant. The corrosion-resistant film includes an at least partially crosslinked amido-functionalized silanol component in combination with rare-earth metal oxide nanoparticles. The invention also relates to methods for producing such corrosion-resistant films.

  5. How well will stacked transgenic pest/herbicide resistances delay pests from evolving resistance?

    PubMed

    Gressel, Jonathan; Gassmann, Aaron J; Owen, Micheal Dk

    2017-01-01

    Resistance has evolved to single transgenic traits engineered into crops for arthropod and herbicide resistances, and can be expected to evolve to the more recently introduced pathogen resistances. Combining transgenes against the same target pest is being promoted as the solution to the problem. This solution will work if used pre-emptively, but where resistance has evolved to one member of a stack, resistance should easily evolve for the second gene in most cases. We propose and elaborate criteria that could be used to evaluate the value of stacked traits for pest resistance management. Stacked partners must: target the same pest species; be in a tandem construct to preclude segregation; be synchronously expressed in the same tissues; have similar tissue persistence; target pest species that are still susceptible to at least two stacked partners. Additionally, transgene products must not be degraded in the same manner, and there should be a lack of cross-resistance to stacked transgenes or to their products. With stacked herbicide resistance transgenes, both herbicides must be used and have the same persistence. If these criteria are followed, and integrated with other pest management practices, resistance may be considerably delayed. © 2016 Society of Chemical Industry. © 2016 Society of Chemical Industry.

  6. Risk of vancomycin-resistant enterococci bloodstream infection among patients colonized with vancomycin-resistant enterococci.

    PubMed

    Kara, Ahu; Devrim, İlker; Bayram, Nuri; Katipoğlu, Nagehan; Kıran, Ezgi; Oruç, Yeliz; Demiray, Nevbahar; Apa, Hurşit; Gülfidan, Gamze

    2015-01-01

    Vancomycin-resistant enterococci colonization has been reported to increase the risk of developing infections, including bloodstream infections. In this study, we aimed to share our experience with the vancomycin-resistant enterococci bloodstream infections following gastrointestinal vancomycin-resistant enterococci colonization in pediatric population during a period of 18 months. A retrospective cohort of children admitted to a 400-bed tertiary teaching hospital in Izmir, Turkey whose vancomycin-resistant enterococci colonization was newly detected during routine surveillances for gastrointestinal vancomycin-resistant enterococci colonization during the period of January 2009 and December 2012 were included in this study. All vancomycin-resistant enterococci isolates found within 18 months after initial detection were evaluated for evidence of infection. Two hundred and sixteen patients with vancomycin-resistant enterococci were included in the study. Vancomycin-resistant enterococci colonization was detected in 136 patients (62.3%) while they were hospitalized at intensive care units; while the remaining majority (33.0%) were hospitalized at hematology-oncology department. Vancomycin-resistant enterococci bacteremia was present only in three (1.55%) patients. All these patients were immunosuppressed due to human immunodeficiency virus (one patient) and intensive chemotherapy (two patients). In conclusion, our study found that 1.55% of vancomycin-resistant enterococci-colonized children had developed vancomycin-resistant enterococci bloodstream infection among the pediatric intensive care unit and hematology/oncology patients; according to our findings, we suggest that immunosupression is the key point for developing vancomycin-resistant enterococci bloodstream infections. Copyright © 2014 Elsevier Editora Ltda. All rights reserved.

  7. Determination of the resistivity anisotropy of orthorhombic materials via transverse resistivity measurements

    DOE PAGES

    Walmsley, P.; Fisher, I. R.

    2017-04-05

    Measurements of the resistivity anisotropy can provide crucial information about the electronic structure and scattering processes in anisotropic and low-dimensional materials, but quantitative measurements by conventional means often suffer very significant systematic errors. Here we describe a novel approach to measuring the resistivity anisotropy of orthorhombic materials, using a single crystal and a single measurement that is derived from a π/4 rotation of the measurement frame relative to the crystallographic axes. In this new basis, the transverse resistivity gives a direct measurement of the resistivity anisotropy, which combined with the longitudinal resistivity also gives the in-plane elements of the conventionalmore » resistivity tensor via a 5-point contact geometry. In conclusion, this is demonstrated through application to the charge-density wave compound ErTe 3, and it is concluded that this method presents a significant improvement on existing techniques, particularly when measuring small anisotropies.« less

  8. AC Resistance measuring instrument

    DOEpatents

    Hof, Peter J.

    1983-01-01

    An auto-ranging AC resistance measuring instrument for remote measurement of the resistance of an electrical device or circuit connected to the instrument includes a signal generator which generates an AC excitation signal for application to a load, including the device and the transmission line, a monitoring circuit which provides a digitally encoded signal representing the voltage across the load, and a microprocessor which operates under program control to provide an auto-ranging function by which range resistance is connected in circuit with the load to limit the load voltage to an acceptable range for the instrument, and an auto-compensating function by which compensating capacitance is connected in shunt with the range resistance to compensate for the effects of line capacitance. After the auto-ranging and auto-compensation functions are complete, the microprocessor calculates the resistance of the load from the selected range resistance, the excitation signal, and the load voltage signal, and displays of the measured resistance on a digital display of the instrument.

  9. Recessive Resistance to Plant Viruses: Potential Resistance Genes Beyond Translation Initiation Factors

    PubMed Central

    Hashimoto, Masayoshi; Neriya, Yutaro; Yamaji, Yasuyuki; Namba, Shigetou

    2016-01-01

    The ability of plant viruses to propagate their genomes in host cells depends on many host factors. In the absence of an agrochemical that specifically targets plant viral infection cycles, one of the most effective methods for controlling viral diseases in plants is taking advantage of the host plant’s resistance machinery. Recessive resistance is conferred by a recessive gene mutation that encodes a host factor critical for viral infection. It is a branch of the resistance machinery and, as an inherited characteristic, is very durable. Moreover, recessive resistance may be acquired by a deficiency in a negative regulator of plant defense responses, possibly due to the autoactivation of defense signaling. Eukaryotic translation initiation factor (eIF) 4E and eIF4G and their isoforms are the most widely exploited recessive resistance genes in several crop species, and they are effective against a subset of viral species. However, the establishment of efficient, recessive resistance-type antiviral control strategies against a wider range of plant viral diseases requires genetic resources other than eIF4Es. In this review, we focus on recent advances related to antiviral recessive resistance genes evaluated in model plants and several crop species. We also address the roles of next-generation sequencing and genome editing technologies in improving plant genetic resources for recessive resistance-based antiviral breeding in various crop species. PMID:27833593

  10. Genetic Determinants of High-Level Oxacillin Resistance in Methicillin-Resistant Staphylococcus aureus.

    PubMed

    Pardos de la Gandara, Maria; Borges, Vitor; Chung, Marilyn; Milheiriço, Catarina; Gomes, João Paulo; de Lencastre, Herminia; Tomasz, Alexander

    2018-06-01

    Methicillin-resistant Staphylococcus aureus (MRSA) strains carry either a mecA - or a mecC -mediated mechanism of resistance to beta-lactam antibiotics, and the phenotypic expression of resistance shows extensive strain-to-strain variation. In recent communications, we identified the genetic determinants associated with the stringent stress response that play a major role in the antibiotic resistant phenotype of the historically earliest "archaic" clone of MRSA and in the mecC -carrying MRSA strain LGA251. Here, we sought to test whether or not the same genetic determinants also contribute to the resistant phenotype of highly and homogeneously resistant (H*R) derivatives of a major contemporary MRSA clone, USA300. We found that the resistance phenotype was linked to six genes ( fruB , gmk , hpt , purB , prsA , and relA ), which were most frequently targeted among the analyzed 20 H*R strains (one mutation per clone in 19 of the 20 H*R strains). Besides the strong parallels with our previous findings (five of the six genes matched), all but one of the repeatedly targeted genes were found to be linked to guanine metabolism, pointing to the key role that this pathway plays in defining the level of antibiotic resistance independent of the clonal type of MRSA. Copyright © 2018 American Society for Microbiology.

  11. Investigation and Treatment of Fusidic Acid Resistance Among Methicillin-Resistant Staphylococcal Isolates from Egypt.

    PubMed

    Abouelfetouh, Alaa; Kassem, Mervat; Naguib, Marwa; El-Nakeeb, Moustafa

    2017-01-01

    Methicillin resistance among staphylococci isolated from patients in northern Egypt has escalated alarmingly in the past decade. Data about the prevalence of fusidic acid (FA) resistance in Egyptian clinical isolates are limited. This work investigates the prevalence and mechanism of FA resistance among 81 methicillin-resistant staphylococcal isolates from major hospitals of Alexandria, Egypt. Some combinations for treating infections due to resistant isolates were studied. Twenty-six isolates (32.1%) were FA resistant (minimum inhibitory concentrations [MICs] = 2-1,024 μg/ml), and fusB and fusC genes coding for FA resistance were detected in 30.77% and 34.62% of the FA-resistant strains, respectively. One highly resistant isolate, S502 (MIC = 1,024 μg/ml), possessed both genes. Plasmid curing resulted in fusB loss and MIC decrease by 16-64 folds. Conjugation caused acquisition of FA resistance among susceptible isolates. Serial passages in subinhibitory FA concentrations produced mutants with increased MIC by 4-32 folds. The combination of FA with rifampin, gentamicin, or ampicillin/sulbactam, in a subinhibitory concentration, was synergistic against the isolates, including serial passage mutants, decreasing number of survivors by an average of 2-4 logs. A relatively moderate rate of FA resistance was detected in Alexandria hospitals. Combination therapy with gentamicin, rifampin, or ampicillin/sulbactam is crucial to preserve the effectiveness of FA.

  12. RESISTANCE AND CROSS-RESISTANCE OF ESCHERICHIA COLI S MUTANTS TO THE RADIOMIMETIC AGENT PROFLAVINE

    PubMed Central

    Woody-Karrer, Pearl; Greenberg, Joseph

    1964-01-01

    Woody-Karrer, Pearl (Palo Alto Medical Research Foundation, Palo Alto, Calif.), and Joseph Greenberg. Resistance and cross-resistance of Escherichia coli S mutants to the radiomimetic agent proflavine. J. Bacteriol. 87:536–542. 1964.—All 50 of the first-step mutants of Escherichia coli S selected for resistance to proflavine were resistant to ultraviolet light and each of five different radiomimetic chemicals. The mutants were classified into eight types on the basis of their relative resistance to six different radiomimetic drugs and on the basis of the shape of their ultraviolet survival curves. Three of these types are identical to types previously isolated with other radiomimetic drugs; five of the types are new. A high proportion of the clones surviving proflavine treatment were phenotypically but not genetically resistant, and no strains were isolated which were resistant to proflavine but were not resistant to radiation. PMID:14129667

  13. Selection for chlorpyrifos resistance in Liriomyza sativae Blanchard: Cross-resistance patterns, stability and biochemical mechanisms.

    PubMed

    Askari-Saryazdi, Ghasem; Hejazi, Mir Jalil; Ferguson, J Scott; Rashidi, Mohammad-Reza

    2015-10-01

    The vegetable leafminer (VLM), Liriomyza sativae (Diptera: Agromyzidae) is a serious pest of vegetable crops and ornamentals worldwide. In cropping systems with inappropriate management strategies, development of resistance to insecticides in leafminers is probable. Chlorpyrifos is a commonly used pesticide for controlling leafminers in Iran, but resistance to this insecticide in leafminers has not been characterized. In order to develop strategies to minimize resistance in the field and greenhouse, a laboratory selected chlorpyrifos resistant strain of L. sativae was used to characterize resistance and determine the rate of development and stability of resistance. Selecting for resistance in the laboratory after 23 generations yielded a chlorpyrifos resistant selected strain (CRSS) with a resistance ratio of 40.34, determined on the larval stage. CRSS exhibited no cross-resistance to other tested insecticides except for diazinon. Synergism and biochemical assays indicated that esterases (EST) had a key role in metabolic resistance to chlorpyrifos, but glutathione S-transferase (GST) and mixed function oxidase (MFO) were not mediators in this resistance. In CRSS acetylcholinesterase (AChE) was more active than the susceptible strain, Sharif (SH). AChE in CRSS was also less sensitive to inhibition by propoxur. The kinetics parameters (Km and Vmax) of AChE indicated that affinities and hydrolyzing efficiencies of this enzyme in CRSS were higher than SH. Susceptibility to chlorpyrifos in L. sativae was re-gained in the absence of insecticide pressure. Synergism, biochemical and cross-resistance assays revealed that overactivity of metabolic enzymes and reduction in target site sensitivity are probably joint factors in chlorpyrifos resistance. An effective insecticide resistance management program is necessary to prevent fast resistance development in crop systems. Copyright © 2015 Elsevier Inc. All rights reserved.

  14. Carbamate resistance in Anopheles albimanus

    PubMed Central

    Ariaratnam, Veluppillai; Georghiou, George P.

    1974-01-01

    Carbamate resistance induced in a field strain of A. albimanus from El Salvador by laboratory selection with propoxur remained fairly stable on relaxation of selection pressure for 12 generations. Studies on cross and multiple resistance showed that this strain was not resistant to the pyrethroids bioresmethrin, bioallethrin, and CRC 11451, although resistance to cismethrin was 2.3-fold. Resistance to 10 carbamates of various structural configurations covered a broad spectrum, being for example >100× to Bay 38799, Ciba 17474, and Ciba 18107, 74.8× to carbaryl, 20.57× to carbanolate, and 2.27× to Stauffer R 15396. The possible causes of the presence or absence of cross resistance and the implications of stability of resistance and cross resistance are discussed. PMID:4549614

  15. Resistance of Bacteria to Biocides.

    PubMed

    Maillard, Jean-Yves

    2018-04-01

    Biocides and formulated biocides are used worldwide for an increasing number of applications despite tightening regulations in Europe and in the United States. One concern is that such intense usage of biocides could lead to increased bacterial resistance to a product and cross-resistance to unrelated antimicrobials including chemotherapeutic antibiotics. Evidence to justify such a concern comes mostly from the use of health care-relevant bacterial isolates, although the number of studies of the resistance characteristics of veterinary isolates to biocides have increased the past few years. One problem remains the definition of "resistance" and how to measure resistance to a biocide. This has yet to be addressed globally, although the measurement of resistance is becoming more pressing, with regulators both in Europe and in the United States demanding that manufacturers provide evidence that their biocidal products will not impact on bacterial resistance. Alongside in vitro evidence of potential antimicrobial cross-resistance following biocide exposure, our understanding of the mechanisms of bacterial resistance and, more recently, our understanding of the effect of biocides to induce a mechanism(s) of resistance in bacteria has improved. This article aims to provide an understanding of the development of antimicrobial resistance in bacteria following a biocide exposure. The sections provide evidence of the occurrence of bacterial resistance and its mechanisms of action and debate how to measure bacterial resistance to biocides. Examples pertinent to the veterinary field are used where appropriate.

  16. Ideal and resistive plasma resistive wall modes and control: linear and nonlinear

    NASA Astrophysics Data System (ADS)

    Finn, J. M.; Chacon, L.

    2004-11-01

    Our recent work* on control of linear and nonlinear resistive wall modes (RWM) showed that if there is an ideal plasma mode and a resistive plasma mode, and if the beta limit for the latter is lower (as is typical), then nonlinear resistive wall modes behave basically as nonlinear tearing-like modes locked to the wall. We investigate here the effect of plasma rotation sufficient to stabilize the resistive-plasma RWM but not the ideal plasma RWM. We also review results** showing the effect of normal and poloidal magnetic field sensing, and describe a simple model which is amenable to analytic solution, and which makes previously obtained simulation results transparent. *J. Finn and L. Chacon, 'Control of linear and nonlinear resistive wall modes', Phys. Plas 11, 1866 (2004). **J. Finn, 'Control of resistive wall modes in a cylindrical tokamak with radial and poloidal magnetic field sensors', to appear in Phys. Plasmas, 2004.

  17. Systematic drug screening reveals specific vulnerabilities and co-resistance patterns in endocrine-resistant breast cancer.

    PubMed

    Kangaspeska, Sara; Hultsch, Susanne; Jaiswal, Alok; Edgren, Henrik; Mpindi, John-Patrick; Eldfors, Samuli; Brück, Oscar; Aittokallio, Tero; Kallioniemi, Olli

    2016-07-04

    The estrogen receptor (ER) inhibitor tamoxifen reduces breast cancer mortality by 31 % and has served as the standard treatment for ER-positive breast cancers for decades. However, 50 % of advanced ER-positive cancers display de novo resistance to tamoxifen, and acquired resistance evolves in 40 % of patients who initially respond. Mechanisms underlying resistance development remain poorly understood and new therapeutic opportunities are urgently needed. Here, we report the generation and characterization of seven tamoxifen-resistant breast cancer cell lines from four parental strains. Using high throughput drug sensitivity and resistance testing (DSRT) with 279 approved and investigational oncology drugs, exome-sequencing and network analysis, we for the first time, systematically determine the drug response profiles specific to tamoxifen resistance. We discovered emerging vulnerabilities towards specific drugs, such as ERK1/2-, proteasome- and BCL-family inhibitors as the cells became tamoxifen-resistant. Co-resistance to other drugs such as the survivin inhibitor YM155 and the chemotherapeutic agent paclitaxel also occurred. This study indicates that multiple molecular mechanisms dictate endocrine resistance, resulting in unexpected vulnerabilities to initially ineffective drugs, as well as in emerging co-resistances. Thus, combatting drug-resistant tumors will require patient-tailored strategies in order to identify new drug vulnerabilities, and to understand the associated co-resistance patterns.

  18. First results of outgas resist family test and correlation between outgas specifications and EUV resist development

    NASA Astrophysics Data System (ADS)

    Fan, Yu-Jen; Maruyama, Ken; Ayothi, Ramakrishnan; Naruoka, Takehiko; Chakraborty, Tonmoy; Ashworth, Dominic; Chun, Jun Sung; Montgomery, Cecilia; Jen, Shih-Hui; Neisser, Mark; Cummings, Kevin

    2015-03-01

    In this paper, we present the first results of witness sample based outgas resist family test to improve the efficiency of outgas testing using EUV resists that have shown proven imaging performance. The concept of resist family testing is to characterize the boundary conditions of outgassing scale from three major components for each resist family. This achievement can significantly reduce the cost and improve the resist outgas learning cycle. We also report the imaging performance and outgas test results of state of the art resists and discuss the consequence of the resist development with recent change of resist outgassing specifications. Three chemically amplified resists selected from higher outgassing materials are investigated, but no significant improvement in resist performance is observed.

  19. Activity of delafloxacin against methicillin-resistant Staphylococcus aureus: resistance selection and characterization.

    PubMed

    Remy, Joan M; Tow-Keogh, Cheryl A; McConnell, Timothy S; Dalton, James M; Devito, Joseph A

    2012-12-01

    To determine the potential for delafloxacin to select for resistant mutants in methicillin-resistant Staphylococcus aureus (MRSA), including isolates with existing mutations in the quinolone resistance determining region (QRDR). Susceptibility testing by broth microdilution was performed on 30 MRSA clinical isolates. For four of these isolates, the presence or absence of mutations in the QRDR was characterized. Resistance selection was performed on these four isolates by spreading cells on drug-containing agar plates followed by incubation for 48 h. Resistance frequencies and mutant prevention concentrations (MPCs) were calculated for each; PCR amplification and sequencing were performed using standard methods to characterize mutations in the QRDR. Growth rate analysis was performed and relative fitness was determined. Delafloxacin demonstrated potent in vitro activity against this set of MRSA isolates, with MICs of 0.008-1 mg/L and an MIC(50) and MIC(90) of 0.03 and 0.5 mg/L, respectively. Spontaneous delafloxacin resistance frequencies for the MRSA strains were 2 × 10(-9) to <9.5 × 10(-11). Delafloxacin MPCs were one to four times the MIC for any isolate, lower than those of comparator quinolones. Some delafloxacin-selected mutants showed a fitness cost when co-cultured with the parent strain. Delafloxacin demonstrates excellent antibacterial potency and exhibits a low probability for the selection of resistant mutants in MRSA. Although mutants can be selected at low frequencies in vitro from quinolone-resistant isolates, delafloxacin MICs and MPCs remain low and a fitness cost can be observed. Consequently delafloxacin warrants further investigation for the potential treatment of drug-resistant MRSA infections.

  20. Recombination Between Guanidine-resistant and Dextran Sulfate-resistant Mutants of Type 1 Poliovirus

    PubMed Central

    Sergiescu, Dina; Aubert-Combiescu, Andrei; Crainic, Radu

    1969-01-01

    Mixed infection of monkey kidney cells with two mutants of the LSc2ab strain of poliovirus, one resistant to guanidine and the other resistant to both dextran sulfate and 2-(α-hydroxybenzyl)-benzimidazole (HBB), yielded progeny in which the number of guardexr particles exceeded by a factor of 7 to 10 the expected number of similar particles occurring through spontaneous mutation; recombination would explain the fairly high excess of doubly mutant particles that was obtained. Scoring of HBB resistance in 50 guardexr clones suggested that, during recombination, resistance to dextran sulfate is not associated with HBB resistance. Images PMID:4305674

  1. Role of FKS Mutations in Candida glabrata: MIC Values, Echinocandin Resistance, and Multidrug Resistance

    PubMed Central

    Pham, Cau D.; Iqbal, Naureen; Bolden, Carol B.; Kuykendall, Randall J.; Harrison, Lee H.; Farley, Monica M.; Schaffner, William; Beldavs, Zintars G.; Chiller, Tom M.; Park, Benjamin J.; Cleveland, Angela A.

    2014-01-01

    Candida glabrata is the second leading cause of candidemia in U.S. hospitals. Current guidelines suggest that an echinocandin be used as the primary therapy for the treatment of C. glabrata disease due to the high rate of resistance to fluconazole. Recent case reports indicate that C. glabrata resistance to echinocandins may be increasing. We performed susceptibility testing on 1,380 isolates of C. glabrata collected between 2008 and 2013 from four U.S. cities, Atlanta, Baltimore, Knoxville, and Portland. Our analysis showed that 3.1%, 3.3%, and 3.6% of the isolates were resistant to anidulafungin, caspofungin, and micafungin, respectively. We screened 1,032 of these isolates, including all 77 that had either a resistant or intermediate MIC value with respect to at least one echinocandin, for mutations in the hot spot regions of FKS1 and FKS2, the major mechanism of echinocandin resistance. Fifty-one isolates were identified with hot spot mutations, 16 in FKS1 and 35 in FKS2. All of the isolates with an FKS mutation except one were resistant to at least one echinocandin by susceptibility testing. Of the isolates resistant to at least one echinocandin, 36% were also resistant to fluconazole. Echinocandin resistance among U.S. C. glabrata isolates is a concern, especially in light of the fact that one-third of those isolates may be multidrug resistant. Further monitoring of U.S. C. glabrata isolates for echinocandin resistance is warranted. PMID:24890592

  2. AC resistance measuring instrument

    DOEpatents

    Hof, P.J.

    1983-10-04

    An auto-ranging AC resistance measuring instrument for remote measurement of the resistance of an electrical device or circuit connected to the instrument includes a signal generator which generates an AC excitation signal for application to a load, including the device and the transmission line, a monitoring circuit which provides a digitally encoded signal representing the voltage across the load, and a microprocessor which operates under program control to provide an auto-ranging function by which range resistance is connected in circuit with the load to limit the load voltage to an acceptable range for the instrument, and an auto-compensating function by which compensating capacitance is connected in shunt with the range resistance to compensate for the effects of line capacitance. After the auto-ranging and auto-compensation functions are complete, the microprocessor calculates the resistance of the load from the selected range resistance, the excitation signal, and the load voltage signal, and displays of the measured resistance on a digital display of the instrument. 8 figs.

  3. Resistance and Resistant Reaction of Gossypium arboreum to the Reniform, Nematode, Rotylenchulus reniformis

    PubMed Central

    Carter, William W.

    1981-01-01

    Gossypium arboreum 'Nanking CB 1402' possessed a high level of resistance to Rotylenchulus reniformis. Within 16 h, the nematode penetrated roots of resistant and susceptible cottons equally. After 36 h, significantly fewer nematodes were found in resistant roots. Larvae fed in either an endodermal or pericyclic cell and had no specificity for root tissue of a particular age. In roots of resistant G. arboreum '1402,' wall breakdown of pericyclic cells was evident after 3 d, endodermal and cortical cells collapsed, and the hypertrophied pericyclic cells disintegrated within 12 d. Cell walls immediately adjacent to the nematode's head were thickened and more safranin positive in resistant than in susceptible cotton cultivars. Several other cultivars of G. arboreum were also resistant to R. reniformis, based on nematode fecundity and percent egg reduction. PMID:19300777

  4. Molecular Detection of Antimicrobial Resistance

    PubMed Central

    Fluit, Ad C.; Visser, Maarten R.; Schmitz, Franz-Josef

    2001-01-01

    The determination of antimicrobial susceptibility of a clinical isolate, especially with increasing resistance, is often crucial for the optimal antimicrobial therapy of infected patients. Nucleic acid-based assays for the detection of resistance may offer advantages over phenotypic assays. Examples are the detection of the methicillin resistance-encoding mecA gene in staphylococci, rifampin resistance in Mycobacterium tuberculosis, and the spread of resistance determinants across the globe. However, molecular assays for the detection of resistance have a number of limitations. New resistance mechanisms may be missed, and in some cases the number of different genes makes generating an assay too costly to compete with phenotypic assays. In addition, proper quality control for molecular assays poses a problem for many laboratories, and this results in questionable results at best. The development of new molecular techniques, e.g., PCR using molecular beacons and DNA chips, expands the possibilities for monitoring resistance. Although molecular techniques for the detection of antimicrobial resistance clearly are winning a place in routine diagnostics, phenotypic assays are still the method of choice for most resistance determinations. In this review, we describe the applications of molecular techniques for the detection of antimicrobial resistance and the current state of the art. PMID:11585788

  5. Insecticide Resistance Management

    DTIC Science & Technology

    2013-01-01

    been a side effect of insect vector control programs since 1914, and insect disease vectors in over 45 countries are resistant to at least one...the CDC and WHO bioassays can be performed on various insects , the remainder of the guide will focus specifically on how to detect resistance in...mosquito vector populations. For a description of how to develop a bioassay for resistance testing in other groups of insects , refer to the following

  6. Fate of Antibiotic Resistant Bacteria and Genes during Wastewater Chlorination: Implication for Antibiotic Resistance Control

    PubMed Central

    Yuan, Qing-Bin; Guo, Mei-Ting; Yang, Jian

    2015-01-01

    This study investigated fates of nine antibiotic-resistant bacteria as well as two series of antibiotic resistance genes in wastewater treated by various doses of chlorine (0, 15, 30, 60, 150 and 300 mg Cl2 min/L). The results indicated that chlorination was effective in inactivating antibiotic-resistant bacteria. Most bacteria were inactivated completely at the lowest dose (15 mg Cl2 min/L). By comparison, sulfadiazine- and erythromycin-resistant bacteria exhibited tolerance to low chlorine dose (up to 60 mg Cl2 min/L). However, quantitative real-time PCRs revealed that chlorination decreased limited erythromycin or tetracycline resistance genes, with the removal levels of overall erythromycin and tetracycline resistance genes at 0.42 ± 0.12 log and 0.10 ± 0.02 log, respectively. About 40% of erythromycin-resistance genes and 80% of tetracycline resistance genes could not be removed by chlorination. Chlorination was considered not effective in controlling antimicrobial resistance. More concern needs to be paid to the potential risk of antibiotic resistance genes in the wastewater after chlorination. PMID:25738838

  7. Cross-resistance and biochemical mechanisms of resistance to indoxacarb in the diamondback moth, Plutella xylostella.

    PubMed

    Zhang, Shuzhen; Zhang, Xiaolei; Shen, Jun; Li, Dongyang; Wan, Hu; You, Hong; Li, Jianhong

    2017-08-01

    Indoxacarb belongs to a class of insecticides known as oxadiazines and is the first commercialized pyrazoline-type voltage-dependent sodium channel blocker. A moderate level of resistance to indoxacarb has evolved in field populations of Plutella xylostella from Central China. In the present study, cross-resistance, resistance stability and metabolic mechanisms of indoxacarb resistance were investigated in this moth species. A P. xylostella strain with a high level of resistance to indoxacarb was obtained through continuous selection in the laboratory. The strain showed cross-resistance to metaflumizone, beta-cypermethrin and chlorfenapyr, but no resistance to cyantraniliprole, chlorantraniliprole, abamectin, chlorfluazuron, spinosad and diafenthiuron compared with the susceptible strain. Synergism tests revealed that piperonyl butoxide (PBO) (synergistic ratio, SR=7.8) and diethyl maleate (DEF) (SR=3.5) had considerable synergistic effects on indoxacarb toxicity in the resistant strain (F 58 ). Enzyme activity data showed there was an approximate 5.8-fold different in glutathione S-transferase (GST) and a 6.8-fold different in cytochrome P450 monooxygenase between the resistant strain (F 58 ) and susceptible strain, suggesting that the increased activity of these two enzymes is likely the main detoxification mechanism responsible for the species' resistance to indoxacarb. These results will be helpful for insecticide resistance management strategies to delay the development of indoxacarb resistance in fields. Copyright © 2017. Published by Elsevier Inc.

  8. Oxidation-resistant cermet

    NASA Technical Reports Server (NTRS)

    Phillips, W. M.

    1977-01-01

    Chromium metal alloys and chromium oxide ceramic are combined to produce cermets with oxidation-resistant properties. Application of cermets includes use in hot corrosive environments requiring strong resistive materials.

  9. Youth, Social Networking, and Resistance: A Case Study on a Multidimensional Approach to Resistance

    ERIC Educational Resources Information Center

    Scozzaro, David

    2011-01-01

    This exploratory case study focused on youth and resistance that was aided by the use of technology. The combination of resistance and technology expanded a multidimensional framework and leads to new insight into transformative resistance. This study examined the framework of transformative resistance based on Solorzano and Delgado Bernal's…

  10. Recent status of resist outgas testing for metal containing resists at EIDEC

    NASA Astrophysics Data System (ADS)

    Shiobara, Eishi; Mikami, Shinji; Yamada, Kenji

    2018-03-01

    The metal containing resist is one of the strong candidates for high lithographic performance Extreme Ultraviolet (EUV) resists. EIDEC has prepared the infrastructure for outgas testing in hydrogen environment for metal containing resists at High Power EUV irradiation tool (HPEUV). We have experimentally obtained the preliminary results of the non-cleanable metal contamination on witness sample using model material by HPEUV [1]. The metal contamination was observed at only the condition of hydrogen environment. It suggested the generation of volatile metal hydrides by hydrogen radicals. Additionally, the metal contamination on a witness sample covered with Ru was not removed by hydrogen radical cleaning. The strong interaction between the metal hydride and Ru was confirmed by the absorption simulation [2]. Recently, ASML announced a resist outgassing barrier technology using Dynamic Gas Lock (DGL) membrane located between projection optics and wafer stage [3, 4]. DGL membrane blocks the diffusion of all kinds of resist outgassing to the projection optics and prevents the reflectivity loss of EUV mirrors. The investigation of DGL membrane for high volume manufacturing is just going on. It extends the limitation of material design for EUV resists. However, the DGL membrane has an impact for the productivity of EUV scanners due to the transmission loss of EUV light and the necessity of periodic maintenance. The well understanding and control of the outgassing characteristics of metal containing resists may help to improve the productivity of EUV scanner. We consider the outgas evaluation for the resists still useful. For the improvement of resist outgas testing in hydrogen, there are some issues such as the contamination limited regime, the optimization of exposure dose to obtain the measurable contamination film thickness and the detection of minimum amount of metal related outgas species generated. We are considering a new platform of outgas testing for metal

  11. Effect of prolonged hypokinesia on resistance of resistive vessels in rats

    NASA Technical Reports Server (NTRS)

    Saltykova, V. A.

    1982-01-01

    Under the effect of prolonged hypokinesia, the perfusion pressure in resistive vessels, measured under conditions of deep anesthesia and complete denervation, increased by approximately the same degree as arterial pressure in non-anesthetized animals. The increase in arterial, perfusion pressure and the resistance of resistive vessels in animals subjected to prolonged hypokinesia was accompanied by an increase in adrenoreactivity. During prolonged hypokinesia, partial obliteration of the vascular bed of the skeletal muscles plays a significant role in the observed increase in resistance of vessels of the extremities. The increase in adrenoreactivity of the vessels during hypokinesia may be realized as a partial case of an increase in the adrenoreactivity of structures whose innervation is disturbed.

  12. EPA RESISTANCE MONITORING RESEARCH (NCR)

    EPA Science Inventory

    The 2006 resistance management research program was organized around three components: development of resistance monitoring program for Bt corn using remote sensing, standardization of resistance assays, and testing of resistance management models. Each area of research has shown...

  13. Water Disinfection Byproducts Induce Antibiotic Resistance-Role of Environmental Pollutants in Resistance Phenomena.

    PubMed

    Li, Dan; Zeng, Siyu; He, Miao; Gu, April Z

    2016-03-15

    The spread of antibiotic resistance represents a global threat to public health, and has been traditionally attributed to extensive antibiotic uses in clinical and agricultural applications. As a result, researchers have mostly focused on clinically relevant high-level resistance enriched by antibiotics above the minimal inhibitory concentrations (MICs). Here, we report that two common water disinfection byproducts (chlorite and iodoacetic acid) had antibiotic-like effects that led to the evolution of resistant E. coli strains under both high (near MICs) and low (sub-MIC) exposure concentrations. The subinhibitory concentrations of DBPs selected strains with resistance higher than those evolved under above-MIC exposure concentrations. In addition, whole-genome analysis revealed distinct mutations in small sets of genes known to be involved in multiple drug and drug-specific resistance, as well as in genes not yet identified to play role in antibiotic resistance. The number and identities of genetic mutations were distinct for either the high versus low sub-MIC concentrations exposure scenarios. This study provides evidence and mechanistic insight into the sub-MIC selection of antibiotic resistance by antibiotic-like environmental pollutants such as disinfection byproducts in water, which may be important contributors to the spread of global antibiotic resistance. The results from this study open an intriguing and profound question on the roles of large amount and various environmental contaminants play in selecting and spreading the antibiotics resistance in the environment.

  14. Role of FKS Mutations in Candida glabrata: MIC values, echinocandin resistance, and multidrug resistance.

    PubMed

    Pham, Cau D; Iqbal, Naureen; Bolden, Carol B; Kuykendall, Randall J; Harrison, Lee H; Farley, Monica M; Schaffner, William; Beldavs, Zintars G; Chiller, Tom M; Park, Benjamin J; Cleveland, Angela A; Lockhart, Shawn R

    2014-08-01

    Candida glabrata is the second leading cause of candidemia in U.S. hospitals. Current guidelines suggest that an echinocandin be used as the primary therapy for the treatment of C. glabrata disease due to the high rate of resistance to fluconazole. Recent case reports indicate that C. glabrata resistance to echinocandins may be increasing. We performed susceptibility testing on 1,380 isolates of C. glabrata collected between 2008 and 2013 from four U.S. cities, Atlanta, Baltimore, Knoxville, and Portland. Our analysis showed that 3.1%, 3.3%, and 3.6% of the isolates were resistant to anidulafungin, caspofungin, and micafungin, respectively. We screened 1,032 of these isolates, including all 77 that had either a resistant or intermediate MIC value with respect to at least one echinocandin, for mutations in the hot spot regions of FKS1 and FKS2, the major mechanism of echinocandin resistance. Fifty-one isolates were identified with hot spot mutations, 16 in FKS1 and 35 in FKS2. All of the isolates with an FKS mutation except one were resistant to at least one echinocandin by susceptibility testing. Of the isolates resistant to at least one echinocandin, 36% were also resistant to fluconazole. Echinocandin resistance among U.S. C. glabrata isolates is a concern, especially in light of the fact that one-third of those isolates may be multidrug resistant. Further monitoring of U.S. C. glabrata isolates for echinocandin resistance is warranted. Copyright © 2014, American Society for Microbiology. All Rights Reserved.

  15. Efflux-mediated antimicrobial resistance.

    PubMed

    Poole, Keith

    2005-07-01

    Antibiotic resistance continues to plague antimicrobial chemotherapy of infectious disease. And while true biocide resistance is as yet unrealized, in vitro and in vivo episodes of reduced biocide susceptibility are common and the history of antibiotic resistance should not be ignored in the development and use of biocidal agents. Efflux mechanisms of resistance, both drug specific and multidrug, are important determinants of intrinsic and/or acquired resistance to these antimicrobials, with some accommodating both antibiotics and biocides. This latter raises the spectre (as yet generally unrealized) of biocide selection of multiple antibiotic-resistant organisms. Multidrug efflux mechanisms are broadly conserved in bacteria, are almost invariably chromosome-encoded and their expression in many instances results from mutations in regulatory genes. In contrast, drug-specific efflux mechanisms are generally encoded by plasmids and/or other mobile genetic elements (transposons, integrons) that carry additional resistance genes, and so their ready acquisition is compounded by their association with multidrug resistance. While there is some support for the latter efflux systems arising from efflux determinants of self-protection in antibiotic-producing Streptomyces spp. and, thus, intended as drug exporters, increasingly, chromosomal multidrug efflux determinants, at least in Gram-negative bacteria, appear not to be intended as drug exporters but as exporters with, perhaps, a variety of other roles in bacterial cells. Still, given the clinical significance of multidrug (and drug-specific) exporters, efflux must be considered in formulating strategies/approaches to treating drug-resistant infections, both in the development of new agents, for example, less impacted by efflux and in targeting efflux directly with efflux inhibitors.

  16. Antimicrobial resistance 1979-2009 at Karolinska hospital, Sweden: normalized resistance interpretation during a 30-year follow-up on Staphylococcus aureus and Escherichia coli resistance development.

    PubMed

    Kronvall, Göran

    2010-09-01

    To utilize a material of inhibition zone diameter measurements from disc diffusion susceptibility tests between 1979 and 2009, an objective setting of epidemiological breakpoints was necessary because of methodological changes. Normalized resistance interpretation (NRI) met this need and was applied to zone diameter histograms for Staphylococcus aureus and Escherichia coli isolates. The results confirmed a slow resistance development as seen in Northern countries. The S. aureus resistance levels for erythromycin, clindamycin and fusidic acid in 2009 were 3.2%, 1.8% and 1.4% with denominator correction. A rise in resistance to four antimicrobials in 1983 was probably because of a spread of resistant Methicillin Susceptible Staphylococcus Aureus (MSSA). For E. coli, the denominator-corrected resistance levels in 2009 were 27% for ampicillin, around 3% for third-generation cephalosporins, 0.1% for imipenem, 2.5% for gentamicin, 19% for trimethoprim, 4.5% for co-trimoxazole, 1.2% for nitrofurantoin and 9% for ciprofloxacin. The temporal trends showed a rise in fluoroquinolone resistance from 1993, a parallel increase in gentamicin resistance, a substantial increase in trimethoprim and sulphonamide resistance in spite of decreased consumption, and a steady rise in ampicillin resistance from a constant level before 1989. A short review of global resistance surveillance studies is included.

  17. RESISTANCE AND CROSS-RESISTANCE OF ESCHERICHIA COLI S MUTANTS TO THE RADIOMIMETIC AGENT PROFLAVINE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Woody-Karrer, P.; Greenberg, J.

    1964-03-01

    All 50 of the first-step mutants of Escherichia coli S selected for resistance to proflavine were resistant to uv light and each of five different radiomimetic chemicals. The mutants were classified into eight types on the basis of their relative resistance to six different radiomimetic drugs and on the basis of the shape of their uv survival curves. Three of these types are identical to types previously isolated with other radiomimetic drugs; five of the types are new. A high proportion of the clones surviving proflavine treatment were phenotypically but not genetically resistant, and no strains were isolated which weremore » resistant to proflavine but were not resistant to radiation. (auth)« less

  18. High prevalence of bevirimat resistance mutations in protease inhibitor-resistant HIV isolates.

    PubMed

    Verheyen, Jens; Verhofstede, Chris; Knops, Elena; Vandekerckhove, Linos; Fun, Axel; Brunen, Diede; Dauwe, Kenny; Wensing, Annemarie M J; Pfister, Herbert; Kaiser, Rolf; Nijhuis, Monique

    2010-03-13

    Bevirimat is the first drug of a new class of antivirals that hamper the maturation of HIV. The objective of this study was to evaluate the sequence variability of the gag region targeted by bevirimat in HIV subtype-B isolates. Of 484 HIV subtype-B isolates, the gag region comprising amino acids 357-382 was sequenced. Of the patients included, 270 were treatment naive and 214 were treatment experienced. In the latter group, 48 HIV isolates harboured mutations associated with reverse transcriptase inhibitor resistance only, and 166 HIV isolates carried mutations associated with protease inhibitor resistance. In the treatment-naive patient population, approximately 30% harboured an HIV isolate with at least one mutation associated with a reduced susceptibility to bevirimat (H358Y, L363M, Q369H, V370A/M/del and T371del). In HIV isolates with protease inhibitor resistance, the prevalence of bevirimat resistance mutations increased to 45%. Accumulation of mutations at four positions in the bevirimat target region, S368C, Q369H, V370A and S373P, was significantly observed. Mutations associated with bevirimat resistance were detected more frequently in HIV isolates with three or more protease inhibitor resistance mutations than in those with less than three protease inhibitor mutations. Reduced bevirimat activity can be expected in one-third of treatment-naive HIV subtype-B isolates and significantly more in protease inhibitor-resistant HIV. These data indicate that screening for bevirimat resistance mutations before administration of the drug is essential.

  19. Role of resistant starch in improving gut health, adiposity, and insulin resistance.

    PubMed

    Keenan, Michael J; Zhou, June; Hegsted, Maren; Pelkman, Christine; Durham, Holiday A; Coulon, Diana B; Martin, Roy J

    2015-03-01

    The realization that low-glycemic index diets were formulated using resistant starch led to more than a decade of research on the health effects of resistant starch. Determination of the metabolizable energy of the resistant starch product allowed for the performance of isocaloric studies. Fermentation of resistant starch in rodent studies results in what appears to be a healthier gut, demonstrated by increased amounts of short-chain fatty acids, an apparent positive change in the microbiota, and increased gene expression for gene products involved in normal healthy proliferation and apoptosis of potential cancer cells. Additionally, consumption of resistant starch was associated with reduced abdominal fat and improved insulin sensitivity. Increased serum glucagon-like peptide 1 (GLP-1) likely plays a role in promoting these health benefits. One rodent study that did not use isocaloric diets demonstrated that the use of resistant starch at 8% of the weight of the diet reduced body fat. This appears to be approximately equivalent to the human fiber requirement. In human subjects, insulin sensitivity is increased with the feeding of resistant starch. However, only 1 of several studies reports an increase in serum GLP-1 associated with resistant starch added to the diet. This means that other mechanisms, such as increased intestinal gluconeogenesis or increased adiponectin, may be involved in the promotion of improved insulin sensitivity. Future research may confirm that there will be improved health if human individuals consume the requirement for dietary fiber and a large amount of the fiber is fermentable. © 2015 American Society for Nutrition.

  20. Resistance patterns among multidrug-resistant tuberculosis patients in greater metropolitan Mumbai: trends over time.

    PubMed

    Dalal, Alpa; Pawaskar, Akshay; Das, Mrinalini; Desai, Ranjan; Prabhudesai, Pralhad; Chhajed, Prashant; Rajan, Sujeet; Reddy, Deepesh; Babu, Sajit; Jayalakshmi, T K; Saranchuk, Peter; Rodrigues, Camilla; Isaakidis, Petros

    2015-01-01

    While the high burden of multidrug-resistant tuberculosis (MDR-TB) itself is a matter of great concern, the emergence and rise of advanced forms of drug-resistance such as extensively drug-resistant TB (XDR-TB) and extremely drug-resistant TB (XXDR-TB) is more troubling. The aim of this study was to investigate the trends over time of patterns of drug resistance in a sample of MDR-TB patients in greater metropolitan Mumbai, India. This was a retrospective, observational study of drug susceptibility testing (DST) results among MDR-TB patients from eight health care facilities in greater Mumbai between 2005 and 2013. We classified resistance patterns into four categories: MDR-TB, pre-XDR-TB, XDR-TB and XXDR-TB. A total of 340 MDR-TB patients were included in the study. Pre-XDR-TB was the most common form of drug-resistant TB observed overall in this Mumbai population at 56.8% compared to 29.4% for MDR-TB. The proportion of patients with MDR-TB was 39.4% in the period 2005-2007 and 27.8% in 2011-2013, while the proportion of those with XDR-TB and XXDR-TB was changed from 6.1% and 0% respectively to 10.6% and 5.6% during the same time period. During the same periods, the proportions of patients with ofloxacin, moxifloxacin and ethionamide resistance significantly increased from 57.6% to 75.3%, from 60.0% to 69.5% and from 24.2% to 52.5% respectively (p<0.05). The observed trends in TB drug-resistance patterns in Mumbai highlight the need for individualized drug regimens, designed on the basis of DST results involving first- and second-line anti-TB drugs and treatment history of the patient. A drug-resistant TB case-finding strategy based on molecular techniques that identify only rifampicin resistance will lead to initiation of suboptimal treatment regimens for a significant number of patients, which may in turn contribute to amplification of resistance and transmission of strains with increasingly advanced resistance within the community.

  1. Antibiotic-Resistant Gonorrhea

    MedlinePlus

    ... Surveillance Project (GISP) Profiles 2015 (June 1, 2017) AR Investment Map This interactive tool shows CDC’s key investments to combat antibiotic resistance (AR), including resistant gonorrhea, across the nation. Blog by ...

  2. Flow resistance dynamics in step‐pool channels: 2. Partitioning between grain, spill, and woody debris resistance

    USGS Publications Warehouse

    Wilcox, Andrew C.; Nelson, Jonathan M.; Wohl, Ellen E.

    2006-01-01

    In step‐pool stream channels, flow resistance is created primarily by bed sediments, spill over step‐pool bed forms, and large woody debris (LWD). In order to measure resistance partitioning between grains, steps, and LWD in step‐pool channels we completed laboratory flume runs in which total resistance was measured with and without grains and steps, with various LWD configurations, and at multiple slopes and discharges. Tests of additive approaches to resistance partitioning found that partitioning estimates are highly sensitive to the order in which components are calculated and that such approaches inflate the values of difficult‐to‐measure components that are calculated by subtraction from measured components. This effect is especially significant where interactions between roughness features create synergistic increases in resistance such that total resistance measured for combinations of resistance components greatly exceeds the sum of those components measured separately. LWD contributes large proportions of total resistance by creating form drag on individual pieces and by increasing the spill resistance effect of steps. The combined effect of LWD and spill over steps was found to dominate total resistance, whereas grain roughness on step treads was a small component of total resistance. The relative contributions of grain, spill, and woody debris resistance were strongly influenced by discharge and to a lesser extent by LWD density. Grain resistance values based on published formulas and debris resistance values calculated using a cylinder drag approach typically underestimated analogous flume‐derived values, further illustrating sources of error in partitioning methods and the importance of accounting for interaction effects between resistance components.

  3. Flow resistance dynamics in step-pool channels: 2. Partitioning between grain, spill, and woody debris resistance

    NASA Astrophysics Data System (ADS)

    Wilcox, Andrew C.; Nelson, Jonathan M.; Wohl, Ellen E.

    2006-05-01

    In step-pool stream channels, flow resistance is created primarily by bed sediments, spill over step-pool bed forms, and large woody debris (LWD). In order to measure resistance partitioning between grains, steps, and LWD in step-pool channels we completed laboratory flume runs in which total resistance was measured with and without grains and steps, with various LWD configurations, and at multiple slopes and discharges. Tests of additive approaches to resistance partitioning found that partitioning estimates are highly sensitive to the order in which components are calculated and that such approaches inflate the values of difficult-to-measure components that are calculated by subtraction from measured components. This effect is especially significant where interactions between roughness features create synergistic increases in resistance such that total resistance measured for combinations of resistance components greatly exceeds the sum of those components measured separately. LWD contributes large proportions of total resistance by creating form drag on individual pieces and by increasing the spill resistance effect of steps. The combined effect of LWD and spill over steps was found to dominate total resistance, whereas grain roughness on step treads was a small component of total resistance. The relative contributions of grain, spill, and woody debris resistance were strongly influenced by discharge and to a lesser extent by LWD density. Grain resistance values based on published formulas and debris resistance values calculated using a cylinder drag approach typically underestimated analogous flume-derived values, further illustrating sources of error in partitioning methods and the importance of accounting for interaction effects between resistance components.

  4. Predictable Phenotypes of Antibiotic Resistance Mutations.

    PubMed

    Knopp, M; Andersson, D I

    2018-05-15

    Antibiotic-resistant bacteria represent a major threat to our ability to treat bacterial infections. Two factors that determine the evolutionary success of antibiotic resistance mutations are their impact on resistance level and the fitness cost. Recent studies suggest that resistance mutations commonly show epistatic interactions, which would complicate predictions of their stability in bacterial populations. We analyzed 13 different chromosomal resistance mutations and 10 host strains of Salmonella enterica and Escherichia coli to address two main questions. (i) Are there epistatic interactions between different chromosomal resistance mutations? (ii) How does the strain background and genetic distance influence the effect of chromosomal resistance mutations on resistance and fitness? Our results show that the effects of combined resistance mutations on resistance and fitness are largely predictable and that epistasis remains rare even when up to four mutations were combined. Furthermore, a majority of the mutations, especially target alteration mutations, demonstrate strain-independent phenotypes across different species. This study extends our understanding of epistasis among resistance mutations and shows that interactions between different resistance mutations are often predictable from the characteristics of the individual mutations. IMPORTANCE The spread of antibiotic-resistant bacteria imposes an urgent threat to public health. The ability to forecast the evolutionary success of resistant mutants would help to combat dissemination of antibiotic resistance. Previous studies have shown that the phenotypic effects (fitness and resistance level) of resistance mutations can vary substantially depending on the genetic context in which they occur. We conducted a broad screen using many different resistance mutations and host strains to identify potential epistatic interactions between various types of resistance mutations and to determine the effect of strain

  5. Organization of a resistance gene cluster linked to rhizomania resistance in sugar beet

    USDA-ARS?s Scientific Manuscript database

    Genetic resistance to rhizomania has been in use for over 40 years. Characterization of the molecular basis for susceptibility and resistance has proved challenging. Nucleotide-binding leucine-rich-repeat-containing (NB-LRR) genes have been implicated in numerous gene-for-gene resistance interaction...

  6. Improved fire-resistant coatings

    NASA Technical Reports Server (NTRS)

    Hutt, J. B.; Stuart, J. W.

    1971-01-01

    Water-base coatings containing potassium silicate show improvement in areas of quick air-drying, crack, craze, and abrasion resistance, adherence, and leach resistance. Coatings are useful as thermal-barrier layers in furnaces, and as general purpose fire resistant surfaces where vapor impermeability is not a requirement.

  7. Resistant hypertension and hyperaldosteronism.

    PubMed

    Gonzaga, Carolina C; Calhoun, David A

    2008-12-01

    Resistant hypertension is defined as blood pressure that remains uncontrolled in spite of >or= 3 antihypertensive medications at effective doses, ideally including a diuretic. Although exact prevalence is unknown, clinical trials suggest that 20% to 30% of study participants are resistant. Hyperaldosteronism, obesity, refractory volume expansion, and obstructive sleep apnea are common findings in resistant hypertension patients. Multiple studies indicate that primary aldosteronism (PA) is common (approximately 20%) in patients with resistant hypertension. Screening for PA is recommended for most patients with resistant hypertension, ideally by measurement of 24-hour urinary aldosterone excretion, or by the plasma aldosterone/plasma renin activity ratio. Successful treatment of resistant hypertension is predicated on improvement of lifestyle factors; accurate diagnosis and treatment of secondary causes of hypertension; and use of effective multidrug regimens. A long-acting diuretic, specifically chlorthalidone, is recommended as part of the treatment regimen. Recent studies demonstrate that mineralocorticoid receptor antagonists provide substantial antihypertensive benefit when added to multidrug regimens, even in patients without demonstrable aldosterone excess.

  8. Vancomycin-resistance phenotypes, vancomycin-resistance genes, and resistance to antibiotics of enterococci isolated from food of animal origin.

    PubMed

    Gousia, Panagiota; Economou, Vangelis; Bozidis, Petros; Papadopoulou, Chrissanthy

    2015-03-01

    In the present study, 500 raw beef, pork, and chicken meat samples and 100 pooled egg samples were analyzed for the presence of vancomycin-resistant enterococci, vancomycin-resistance phenotypes, and resistance genes. Of 141 isolates of enterococci, 88 strains of Enterococcus faecium and 53 strains of E. faecalis were identified. The most prevalent species was E. faecium. Resistance to ampicillin (n = 93, 66%), ciprofloxacin (n = 74, 52.5%), erythromycin (n = 73, 51.8%), penicillin (n = 59, 41.8%) and tetracycline (n = 52, 36.9%) was observed, while 53.2% (n = 75) of the isolates were multiresistant and 15.6% (n = 22) were susceptible to all antibiotics. Resistance to vancomycin was exhibited in 34.1% (n = 30) of the E. faecium isolates (n = 88) and 1.9% (n = 1) of the E. faecalis isolates (n = 53) using the disc-diffusion test and the E-test. All isolates were tested for vanA and vanB using real-time polymerase chain reaction (PCR) and multiplex PCR, and for vanC, vanD, vanE, vanG genes using multiplex PCR only. Among E. faecalis isolates, no resistance genes were identified. Among the E. faecium isolates, 28 carried the vanA gene when tested by multiplex PCR and 29 when tested with real-time PCR. No isolate carrying the vanC, vanD, vanE, or vanG genes was identified. Melting-curve analysis of the positive real-time PCR E. faecium isolates showed that 22 isolates carried the vanA gene only, 2 isolates the vanB2,3 genes only, and seven isolates carried both the vanA and vanB2,3 genes. Enterococci should be considered a significant zoonotic pathogen and a possible reservoir of genes encoding resistance potentially transferred to other bacterial species.

  9. Biotechnology: herbicide-resistant crops

    USDA-ARS?s Scientific Manuscript database

    Transgenic, herbicide-resistant (HR) crops are planted on about 80% of the land covered by transgenic crops. More than 90% of HR crios are glyphosate-resistant (GR) crops, the others being resistant to glufosinate. The wide-scale adoption of HR crops, largely for economic reasons, has been the mos...

  10. Drug resistance in Mexico: results from the National Survey on Drug-Resistant Tuberculosis.

    PubMed

    Bojorquez-Chapela, I; Bäcker, C E; Orejel, I; López, A; Díaz-Quiñonez, A; Hernández-Serrato, M I; Balandrano, S; Romero, M; Téllez-Rojo Solís, M M; Castellanos, M; Alpuche, C; Hernández-Ávila, M; López-Gatell, H

    2013-04-01

    To present estimations obtained from a population-level survey conducted in Mexico of prevalence rates of mono-, poly- and multidrug-resistant strains among newly diagnosed cases of pulmonary tuberculosis (TB), as well as the main factors associated with multidrug resistance (combined resistance to isoniazid and rifampicin). Study data came from the National Survey on TB Drug Resistance (ENTB-2008), a nationally representative survey conducted during 2008-2009 in nine states with a stratified cluster sampling design. Samples were obtained for all newly diagnosed cases of pulmonary TB in selected sites. Drug susceptibility testing (DST) was performed for anti-tuberculosis drugs. DST results were obtained for 75% of the cases. Of these, 82.2% (95%CI 79.5-84.7) were susceptible to all drugs. The prevalence of multidrug-resistant TB (MDR-TB) was estimated at 2.8% (95%CI 1.9-4.0). MDR-TB was associated with previous treatment (OR 3.3, 95%CI 1.1-9.4). The prevalence of drug resistance is relatively low in Mexico. ENTB-2008 can be used as a baseline for future follow-up of drug resistance.

  11. Determination, mechanism and monitoring of knockdown resistance in permethrin-resistant human head lice, Pediculus humanus capitis

    PubMed Central

    Clark, J. Marshall

    2009-01-01

    Permethrin resistance has been reported worldwide and clinical failures to commercial pediculicides containing permethrin have likewise occurred. Permethrin resistance in head lice populations from the U.S. is widespread but is not yet uniform and the level of resistance is relatively low (~4–8 fold). Permethrin-resistant lice are cross-resistant to pyrethrins, PBO-synergized pyrethrins and to DDT. Nix®, when applied to human hair tufts following manufacture’s instructions, did not provide 100% control when assessed by the hair tuft bioassay in conjunction with the in vitro rearing system. Resistance to permethrin is due to knockdown resistance (kdr), which is the result of three point mutations within the α-subunit gene of the voltage-gated sodium channel that causes amino acid substitutions, leading to nerve insensitivity. A three-tiered resistance monitoring system has been established based on molecular resistance detection techniques. Quantitative sequencing (QS) has been developed to predict the kdr allele frequency in head lice at a population level. The speed, simplicity and accuracy of QS made it an ideal candidate for a routine primary resistance monitoring tool to screen a large number of louse populations as an alternative to conventional bioassay. As a secondary monitoring method, real-time PASA (rtPASA) has been devised for a more precise determination of low resistance allele frequencies. To obtain more detailed information on resistance allele zygosity, as well as allele frequency, serial invasive signal amplification reaction (SISAR) has been developed as an individual genotyping method. Our approach of using three tiers of molecular resistance detection should facilitate large-scale routine resistance monitoring of permethrin resistance in head lice using field-collected samples. PMID:20161186

  12. Breakup of a liquid rivulet falling over an inclined plate: Identification of a critical Weber number

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Singh, Rajesh K.; Galvin, Janine E.; Whyatt, Greg A.

    2017-05-01

    We have numerically investigated the breakup of a rivulet falling over a smooth inclined plate using the volume of fluid method. The breakup the rivulet is a complex phenomenon that is dictated by many factors, such as solvent properties, contact angle, inertia, plate inclination, etc. An extensive simulation campaign was conducted wherein these factors were systematically investigated. Regimes for a stable rivulet and an unstable rivulet that leads to the breakup and formation of a droplet are examined in terms of a critical value of the Weber number (Wecr) that delineates these regimes. The effect of plate inclination on themore » breakup of the rivulet shows that the critical Weber number decreases with increased inclination angle () owing to higher liquid velocity. However, the effect is negligible beyond >60. The impact of solvent properties is characterized using the Kapitza number (Ka). Variation of Wecr with Ka shows two trends depending on the Ka value of the solvent. Solvents with lower Ka values, corresponding to high viscosities and/or low surface tensions, show smaller values of the critical Weber number and the variation is linear. While solvents with higher Ka values exhibit higher values of the Wecr and the variation in Wecr is steep. This behavior is more pronounced with increasing contact angle. Higher contact angles promote rivulet breakup so that inertia must be higher to the breakup. A phenomenological scaling for a critical Weber number with the Kapitza number and contact angle is presented that can offer insight into rivulet breakup.« less

  13. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Singh, Rajesh K.; Galvin, Janine E.; Sun, Xin

    We have numerically investigated the breakup of a rivulet falling over a smooth inclined plate using the volume of fluid method. The breakup the rivulet is a complex phenomenon that is dictated by many factors, such as solvent properties, contact angle, inertia, plate inclination, etc. An extensive simulation campaign was conducted wherein these factors were systematically investigated. Regimes for a stable rivulet and an unstable rivulet that leads to the breakup and formation of a droplet are examined in terms of a critical value of the Weber number (Wecr) that delineates these regimes. The effect of plate inclination on themore » breakup of the rivulet shows that the critical Weber number decreases with increased inclination angle () owing to higher liquid velocity. However, the effect is negligible beyond >60. The impact of solvent properties is characterized using the Kapitza number (Ka). Variation of Wecr with Ka shows two trends depending on the Ka value of the solvent. Solvents with lower Ka values, corresponding to high viscosities and/or low surface tensions, show smaller values of the critical Weber number and the variation is linear. While solvents with higher Ka values exhibit higher values of the Wecr and the variation in Wecr is steep. This behavior is more pronounced with increasing contact angle. Higher contact angles promote rivulet breakup so that inertia must be higher to the breakup. A phenomenological scaling for a critical Weber number with the Kapitza number and contact angle is presented that can offer insight into rivulet breakup.« less

  14. Muscle Activity in Upper-Body Single-Joint Resistance Exercises with Elastic Resistance Bands vs. Free Weights

    PubMed Central

    Bergquist, Ronny; Iversen, Vegard Moe; Mork, Paul J; Fimland, Marius Steiro

    2018-01-01

    Abstract Elastic resistance bands require little space, are light and portable, but their efficacy has not yet been established for several resistance exercises. The main objective of this study was to compare the muscle activation levels induced by elastic resistance bands versus conventional resistance training equipment (dumbbells) in the upper-body resistance exercises flyes and reverse flyes. The level of muscle activation was measured with surface electromyography in 29 men and women in a cross-over design where resistance loadings with elastic resistance bands and dumbbells were matched using 10-repetition maximum loadings. Elastic resistance bands induced slightly lower muscle activity in the muscles most people aim to activate during flyes and reverse flies, namely pectoralis major and deltoideus posterior, respectively. However, elastic resistance bands increased the muscle activation level substantially in perceived ancillary muscles, that is deltoideus anterior in flyes, and deltoideus medius and trapezius descendens in reverse flyes, possibly due to elastic bands being a more unstable resistance modality. Overall, the results show that elastic resistance bands can be considered a feasible alternative to dumbbells in flyes and reverse flyes. PMID:29599855

  15. Changing prevalence and resistance patterns in children with drug-resistant tuberculosis in Mumbai.

    PubMed

    Shah, Ira; Shah, Forum

    2017-05-01

    The prevalence of drug-resistant (DR) tuberculosis (TB) in children is increasing. Although, in India, multi-drug-resistant (MDR) TB rates have been relatively stable, the number of children with pre-extensively drug-resistant and extensively drug-resistant (XDR) TB is increasing. To determine whether the prevalence of DR TB in children in Mumbai is changing and to study the evolving patterns of resistance. A retrospective study was undertaken in 1311 paediatric patients referred between April 2007 and March 2013 to the Paediatric TB clinic at B. J. Wadia Hospital for Children, Mumbai. Children were defined as having DR TB on the basis of drug susceptibility testing (DST) of Mycobacterium tuberculosis grown on culture of body fluids (in the case of extra pulmonary TB) or from gastric lavage/bronchi-alveolar lavage/sputum in patients with pulmonary TB or from DST of the contacts. The prevalence of DR TB was calculated and the type of DR was evaluated yearly and in the pre-2010 and post-2010 eras. The overall prevalence of DR TB was 86 (6.6%) with an increase from 23 (5.6%) patients pre-2010 to 63 (7%) post-2010 (P = 0.40). Nine (10.4%) patients were diagnosed on the basis of contact with a parent with DR TB. Overall fluoroquinolone resistance increased from 9 (39.1%) pre-2010 to 59 (93.7%) post-2010 (P = 0.0001): moxifloxacin resistance increased from 2 (8.7%) to 29 (46%) (P = 0.0018) and ofloxacin resistance increased from 7 (30.4%) to 30 (47.6%) (P = 0.14). Ethionamide resistance also increased from 6 (26.1%) to 31 (49.2%) (P = 0.04), aminoglycoside resistance was one (4.3%) pre-2010 and 12 (19%) post-2010 (P = 0.17) and resistance remained virtually the same for both amikacin [0 pre-2010 and 6 (9.5%) after 2010] and kanamycin [one (4.3%) pre- and 6 (9.5%) post-2010]. Of the first-line drugs, resistance remained the same for isoniazid [23 (100%) to 61 (96.8%)], rifampicin [22 (95.7%) to 51 (80.9%),P = 0.17], pyrazinamide [15 (65.2%) to

  16. Quantitative genetic tools for insecticide resistance risk assessment: estimating the heritability of resistance

    Treesearch

    Michael J. Firko; Jane Leslie Hayes

    1990-01-01

    Quantitative genetic studies of resistance can provide estimates of genetic parameters not available with other types of genetic analyses. Three methods are discussed for estimating the amount of additive genetic variation in resistance to individual insecticides and subsequent estimation of heritability (h2) of resistance. Sibling analysis and...

  17. Resistance Patterns among Multidrug-Resistant Tuberculosis Patients in Greater Metropolitan Mumbai: Trends over Time

    PubMed Central

    Dalal, Alpa; Pawaskar, Akshay; Das, Mrinalini; Desai, Ranjan; Prabhudesai, Pralhad; Chhajed, Prashant; Rajan, Sujeet; Reddy, Deepesh; Babu, Sajit; Jayalakshmi, T. K.; Saranchuk, Peter; Rodrigues, Camilla; Isaakidis, Petros

    2015-01-01

    Background While the high burden of multidrug-resistant tuberculosis (MDR-TB) itself is a matter of great concern, the emergence and rise of advanced forms of drug-resistance such as extensively drug-resistant TB (XDR-TB) and extremely drug-resistant TB (XXDR-TB) is more troubling. The aim of this study was to investigate the trends over time of patterns of drug resistance in a sample of MDR-TB patients in greater metropolitan Mumbai, India. Methods This was a retrospective, observational study of drug susceptibility testing (DST) results among MDR-TB patients from eight health care facilities in greater Mumbai between 2005 and 2013. We classified resistance patterns into four categories: MDR-TB, pre-XDR-TB, XDR-TB and XXDR-TB. Results A total of 340 MDR-TB patients were included in the study. Pre-XDR-TB was the most common form of drug-resistant TB observed overall in this Mumbai population at 56.8% compared to 29.4% for MDR-TB. The proportion of patients with MDR-TB was 39.4% in the period 2005–2007 and 27.8% in 2011–2013, while the proportion of those with XDR-TB and XXDR-TB was changed from 6.1% and 0% respectively to 10.6% and 5.6% during the same time period. During the same periods, the proportions of patients with ofloxacin, moxifloxacin and ethionamide resistance significantly increased from 57.6% to 75.3%, from 60.0% to 69.5% and from 24.2% to 52.5% respectively (p<0.05). Discussion The observed trends in TB drug-resistance patterns in Mumbai highlight the need for individualized drug regimens, designed on the basis of DST results involving first- and second-line anti-TB drugs and treatment history of the patient. A drug-resistant TB case-finding strategy based on molecular techniques that identify only rifampicin resistance will lead to initiation of suboptimal treatment regimens for a significant number of patients, which may in turn contribute to amplification of resistance and transmission of strains with increasingly advanced resistance within

  18. Power to Resist

    ERIC Educational Resources Information Center

    Crossland, Janice

    1975-01-01

    Transferrable drug resistance has been observed in bacteria for over ten years. Concern now is that livestock that have been fed with grain supplemented with antibiotics for growth stimulation will infect humans with potentially dangerous resistant bacteria. (MA)

  19. Containing Unusual Resistance

    MedlinePlus

    ... by germs resistant to antibiotics. While antibiotic resistance (AR) threats vary nationwide, AR has been found in every state. And unusual ... Coordinate with affected health care facilities, the new AR Lab Network regional labs, and CDC for every ...

  20. Vancomycin Resistance in Staphylococcus aureus


    PubMed Central

    McGuinness, Will A.; Malachowa, Natalia; DeLeo, Frank R.

    2017-01-01

    The evolution of Staphylococcus aureus during the modern antibiotic era has been delineated by distinct strain emergence events, many of which include acquisition of antibiotic resistance. The relative high burden of methicillin-resistant S. aureus (MRSA) in healthcare and community settings is a major concern worldwide. Vancomycin, a glycopeptide antibiotic that inhibits cell wall biosynthesis, remains a drug of choice for treatment of severe MRSA infections. S. aureus strains exhibiting increased resistance to vancomycin, known as vancomycin intermediate-resistant S. aureus (VISA) (MIC = 4-8 µg/mL), were discovered in the 1990s. The molecular basis of resistance in VISA is polygenic and involves stepwise mutations in genes encoding molecules predominantly involved in cell envelope biosynthesis. S. aureus isolates with complete resistance to vancomycin (MIC ≥ 16 µg/mL) are termed vancomycin-resistant S. aureus (VRSA)—they were first reported in the U.S. in 2002. Resistance in VRSA is conferred by the vanA gene and operon, which is present on a plasmid. Although treatment of VRSA infections is challenging, the total number of human VRSA infections to date is limited (14 in the U.S.). By comparison, the burden of VISA is relatively high and the molecular mechanisms of resistance are less well-defined. VISA are associated with persistent infections, vancomycin treatment failure, and poor clinical outcomes. Here, we review in brief progress made toward understanding the acquisition of antibiotic resistance in S. aureus, with an emphasis on the molecular mechanisms underlying vancomycin resistance. PMID:28656013

  1. Vancomycin Resistance in Staphylococcus aureus
.

    PubMed

    McGuinness, Will A; Malachowa, Natalia; DeLeo, Frank R

    2017-06-01

    The evolution of Staphylococcus aureus during the modern antibiotic era has been delineated by distinct strain emergence events, many of which include acquisition of antibiotic resistance. The relative high burden of methicillin-resistant S. aureus (MRSA) in healthcare and community settings is a major concern worldwide. Vancomycin, a glycopeptide antibiotic that inhibits cell wall biosynthesis, remains a drug of choice for treatment of severe MRSA infections. S. aureus strains exhibiting increased resistance to vancomycin, known as vancomycin intermediate-resistant S. aureus (VISA) (MIC = 4-8 µg/mL), were discovered in the 1990s. The molecular basis of resistance in VISA is polygenic and involves stepwise mutations in genes encoding molecules predominantly involved in cell envelope biosynthesis. S. aureus isolates with complete resistance to vancomycin (MIC ≥ 16 µg/mL) are termed vancomycin-resistant S. aureus (VRSA)-they were first reported in the U.S. in 2002. Resistance in VRSA is conferred by the vanA gene and operon, which is present on a plasmid. Although treatment of VRSA infections is challenging, the total number of human VRSA infections to date is limited (14 in the U.S.). By comparison, the burden of VISA is relatively high and the molecular mechanisms of resistance are less well-defined. VISA are associated with persistent infections, vancomycin treatment failure, and poor clinical outcomes. Here, we review in brief progress made toward understanding the acquisition of antibiotic resistance in S. aureus , with an emphasis on the molecular mechanisms underlying vancomycin resistance.

  2. Skid Resistance Research

    NASA Technical Reports Server (NTRS)

    1977-01-01

    Skidding causes many traffic accidents. Streets and highways with skid-resisting surfaces reduce the incidence of such accidents. In fact, resurfacing roads to improve skid resistance is now required by federal law. Skid resistance is measured by road testing with specially equipped skid trailers. A project underway at NASA-Langley may considerably reduce the cost of skid trailers, thus making them more widely available to highway departments. For testing the skid resistance of aircraft runways, Langley engineers developed a relatively inexpensive test vehicle and a "pulsed braking" technique that is now being applied experimentally to road testing. The vehicle is a standard automobile modified to incorporate instrumentation, special test tires and valves, and a trailing fifth wheel for monitoring distance and velocity. The instrumentation includes a low-cost meter, a set of accelerometers that sense motion changes, and a chart recorder.

  3. Cross-resistance, mode of inheritance and stability of resistance to emamectin in Spodoptera litura (Lepidoptera: Noctuidae).

    PubMed

    Shad, Sarfraz Ali; Sayyed, Ali H; Saleem, Mushtaq A

    2010-08-01

    Spodoptera litura (F.) is a cosmopolitan pest that has developed resistance to several insecticides. The aim of the present study was to establish whether an emamectin-selected (Ema-SEL) population could render cross-resistance to other insecticides, and to investigate the genetics of resistance. Bioassays at G(1) gave resistance ratios (RRs) of 80-, 2980-, 3050- and 2800-fold for emamectin, abamectin, indoxacarb and acetamiprid, respectively, compared with a laboratory susceptible population Lab-PK. After three rounds of selection, resistance to emamectin in Ema-SEL increased significantly, with RRs of 730-fold and 13-fold compared with the Lab-PK and unselected (UNSEL) population respectively. Further studies revealed that three generations were required for a tenfold increase in resistance to emamectin. Resistance to abamectin, indoxacarb, acetamiprid and emamectin in UNSEL declined significantly compared with the field population at G(1). Furthermore, selection with emamectin reduced resistance to abamectin, indoxacarb and acetamiprid on a par with UNSEL. Crosses between Ema-SEL and Lab-PK indicated autosomal and incomplete dominance of resistance. A direct test of a monogenic model and Land's method suggested that resistance to emamectin was controlled by more than one locus. Instability of resistance and lack of cross-resistance to other insecticides suggest that insecticides with different modes of action should be recommended to reduce emamectin selection pressure. Copyright (c) 2010 Society of Chemical Industry.

  4. Overcoming antifungal resistance

    PubMed Central

    Srinivasan, Anand; Lopez-Ribot, Jose L.; Ramasubramanian, Anand K.

    2014-01-01

    Fungal infections have become one of the major causes of morbidity and mortality in immunocompromised patients. Despite increased awareness and improved treatment strategies, the frequent development of resistance to the antifungal drugs used in clinical settings contributes to the increasing toll of mycoses. Although a natural phenomenon, antifungal drug resistance can compromise advances in the development of effective diagnostic techniques and novel antifungals. In this review, we will discuss the advent of cellular-microarrays, microfluidics, genomics, proteomics and other state-of-the art technologies in conquering antifungal drug resistance. PMID:24847655

  5. Fluconazole resistance in Candida glabrata.

    PubMed Central

    Hitchcock, C A; Pye, G W; Troke, P F; Johnson, E M; Warnock, D W

    1993-01-01

    We report a case of infection with Candida glabrata in which the organism became resistant to fluconazole and in which pre- and posttreatment isolates were available for comparison. The organism was cross-resistant to ketoconazole and itraconazole, in common with other azole-resistant yeasts. Fluconazole was a potent inhibitor of cytochrome P-450-dependent 14 alpha-sterol demethylase (P-450DM) in lysates of cells from both susceptible and resistant cultures (50% inhibitory concentration, 0.2 microM), indicating that resistance was unrelated to changes in P-450DM. Instead, it appeared to arise from a permeability barrier to fluconazole, since resistant cells were unable to take up radiolabelled drug. PMID:8239613

  6. Flame-resistant textiles

    NASA Technical Reports Server (NTRS)

    Fogg, L. C.; Stringham, R. S.; Toy, M. S.

    1980-01-01

    Flame resistance treatment for acid resistant polyamide fibers involving photoaddition of fluorocarbons to surface has been scaled up to treat 10 yards of commercial width (41 in.) fabric. Process may be applicable to other low cost polyamides, polyesters, and textiles.

  7. Limited fitness costs of herbicide-resistance traits in Amaranthus tuberculatus facilitate resistance evolution.

    PubMed

    Wu, Chenxi; Davis, Adam S; Tranel, Patrick J

    2018-02-01

    The fitness cost of herbicide resistance (HR) in the absence of herbicide selection plays a key role in HR evolution. Quantifying the fitness cost of resistance, however, is challenging, and there exists a knowledge gap in this area. A synthetic (artificially generated) Amaranthus tuberculatus population segregating for five types of HR was subjected to competitive growth conditions in the absence of herbicide selection for six generations. Fitness costs were quantified by using a combination of phenotyping and genotyping to monitor HR frequency changes over generations. In the absence of herbicide selection, a significant fitness cost was observed for resistance to acetolactate synthase-inhibiting herbicides, but not for resistances to atrazine (non-target-site resistance mechanism), protoporphyrinogen oxidase inhibitors, 4-hydroxyphenylpryuvate dioxygenase inhibitors or glyphosate. Glyphosate resistance was conferred by multiple mechanisms in the synthetic population, and further analysis revealed that one mechanism, amplification of the 5-enolypyruvylshikimate-3-phosphate synthase gene, did decrease in frequency. Our results indicate that herbicide-resistance mitigation strategies (e.g. herbicide rotation) that rely on the existence of fitness costs in the absence of herbicide selection likely will be largely ineffective in many cases. © 2017 Society of Chemical Industry. © 2017 Society of Chemical Industry.

  8. Proteomic analysis of tylosin-resistant Mycoplasma gallisepticum reveals enzymatic activities associated with resistance.

    PubMed

    Xia, Xi; Wu, Congming; Cui, Yaowen; Kang, Mengjiao; Li, Xiaowei; Ding, Shuangyang; Shen, Jianzhong

    2015-11-20

    Mycoplasma gallisepticum is a significant pathogenic bacterium that infects poultry, causing chronic respiratory disease and sinusitis in chickens and turkeys, respectively. M. gallisepticum infection poses a substantial economic threat to the poultry industry, and this threat is made worse by the emergence of antibiotic-resistant strains. The mechanisms of resistance are often difficult to determine; for example, little is known about antibiotic resistance of M. gallisepticum at the proteome level. In this study, we performed comparative proteomic analyses of an antibiotic (tylosin)-resistant M. gallisepticum mutant and a susceptible parent strain using a combination of two-dimensional differential gel electrophoresis and nano-liquid chromatography-quadrupole-time of flight mass spectrometry. Thirteen proteins were identified as differentially expressed in the resistant strain compared to the susceptible strain. Most of these proteins were related to catalytic activity, including catalysis that promotes the formylation of initiator tRNA and energy production. Elongation factors Tu and G were over-expressed in the resistant strains, and this could promote the binding of tRNA to ribosomes and catalyze ribosomal translocation, the coordinated movement of tRNA, and conformational changes in the ribosome. Taken together, our results indicate that M. gallisepticum develops resistance to tylosin by regulating associated enzymatic activities.

  9. Broad advances in understanding HIV resistance to antiretrovirals: report on the XVII International HIV Drug Resistance Workshop.

    PubMed

    Mascolini, Mark; Larder, Brendan A; Boucher, Charles A B; Richman, Douglas D; Mellors, John W

    2008-01-01

    The 2008 International HIV Drug Resistance Workshop explored six topics on viral resistance: new antiretrovirals; clinical implications; epidemiology; new technologies and interpretations; HIV pathogenesis, fitness, and resistance; and mechanisms of resistance. The last of these topics provided a forum for new work on resistance of hepatitis B and C viruses, which were also explored in two poster sessions. Much work focused on resistance to the two most recent antiretroviral classes (integrase inhibitors and CCR5 antagonists), a new set of entry inhibitor candidates and one new class represented by the maturation inhibitor bevirimat. Other research explored two novel non-nucleoside reverse transcriptase inhibitors, etravirine and IDX899. Epidemiological work analysed rates of transmitted resistant virus, multiclass resistance in antiretroviral-experienced patients and a heightened resistance risk in injecting drug users regardless of adherence. New research on resistance technologies involved an enhanced assay for HIV-1 coreceptor determination and improved gene-based tools for predicting coreceptor use. In the pathogenesis arena, a small study of intensification shed light on the likely source of residual viraemia in patients on successful antiretroviral therapy. A large study in Mozambique correlated the timing of infant infection with selection, transmission and persistence of nevirapine resistance mutations. Mechanistic research explored resistance to the integrase inhibitor raltegravir, K65R-mediated resistance to tenofovir and the role of connection domain mutations in resistance to zidovudine.

  10. Helicobacter pylori resistance to six antibiotics by two breakpoint systems and resistance evolution in Bulgaria.

    PubMed

    Boyanova, Lyudmila; Gergova, Galina; Evstatiev, Ivailo; Spassova, Zoya; Kandilarov, Naiden; Yaneva, Penka; Markovska, Rumyana; Mitov, Ivan

    2016-01-01

    Helicobacter pylori resistance to antibiotics is the main cause for eradication failures. Antibiotic resistance in 299 H. pylori strains from 233 untreated adults, 26 treated adults, and 40 untreated children was assessed by E tests and, for metronidazole, by breakpoint susceptibility testing and two breakpoint systems. Using EUCAST breakpoints (EBPs) and previous breakpoints (PBPs), overall resistance rates were: amoxicillin 4.0 and 0.6%, metronidazole 33.8 and 33.8%, clarithromycin 28.1 and 27.4%, levofloxacin 19.4 and 19.4%, tetracycline 3.7 and 1.5%, respectively, and rifampin 8.3% (EBP). Multidrug resistance was detected in treated and untreated adults and an untreated child and included 17 (EBPs) and 15 strains (PBPs). Differences between susceptibility categories were found for amoxicillin (3.5% of strains), clarithromycin (0.7%), and tetracycline (2.2%). Using PBPs, from 2005-2007 to 2010-2015, overall primary clarithromycin resistance continued to increase (17.9-25.6%) as noted in our previous study. However, in 2010-2015, overall primary metronidazole (24.0-31.5%) and fluoroquinolone (7.6-18.3%) resistance rates also increased. Primary resistance rates in children and adults were comparable. Briefly, differences in resistance rates by the two breakpoint systems affected the results for three antibiotics. National antibiotic consumption was linked to macrolide resistance in adults. Current primary H. pylori resistance to three antibiotics increased in all untreated patients and in the untreated adults, with the sharpest rise for the fluoroquinolones. The presence of fivefold H. pylori resistance to metronidazole, clarithromycin, tetracycline, levofloxacin, and amoxicillin according to EBPs is alarming.

  11. Lubrication of rigid ellipsida solids

    NASA Technical Reports Server (NTRS)

    Hamrock, B. J.; Dowson, D.

    1982-01-01

    The influence of geometry on the isothermal hydrodynamic film separating two rigid solids was investigated. The minimum film thickness is derived for fully flooded conjunctions by using the Reynolds boundary conditions. It was found that the minimum film thickness had the same speed, viscosity, and load dependence as Kapitza' classical solution. However, the incorporation of Reynolds boundary conditions resulted in an additional geometry effect. Solutions using the parabolic film approximation are compared by using the exact expression for the film in the analysis. Contour plots are known that indicate in detail the pressure developed between the solids.

  12. Effect of geometry on hydrodynamic film thickness

    NASA Technical Reports Server (NTRS)

    Brewe, D. E.; Hamrock, B. J.; Taylor, C. M.

    1978-01-01

    The influence of geometry on the isothermal hydrodynamic film separating two rigid solids was investigated. Pressure-viscosity effects were not considered. The minimum film thickness is derived for fully flooded conjunctions by using the Reynolds conditions. It was found that the minimum film thickness had the same speed, viscosity, and load dependence as Kapitza's classical solution. However, the incorporation of Reynolds boundary conditions resulted in an additional geometry effect. Solutions using the parabolic film approximation are compared with those using the exact expression for the film in the analysis. Contour plots are shown that indicate in detail the pressure developed between the solids.

  13. Dynamical control of a quantum Kapitza pendulum in a spin-1 BEC

    NASA Astrophysics Data System (ADS)

    Hoang, Thai; Gerving, Corey; Land, Ben; Anquez, Martin; Hamley, Chris; Chapman, Michael

    2013-05-01

    We demonstrate dynamic stabilization of an unstable strongly interacting quantum many-body system by periodic manipulation of the phase of the collective states. The experiment employs a spin-1 atomic Bose condensate that has spin dynamics analogous to a non-rigid pendulum in the mean-field limit. The condensate spin is initialized to an unstable (hyperbolic) fixed point of the phase space, where subsequent free evolution gives rise to spin-nematic squeezing and quantum spin mixing. To stabilize the system, periodic microwave pulses are applied that manipulate the spin-nematic fluctuations and limit their growth. The range of pulse periods and phase shifts with which the condensate can be stabilized is measured and compares well with a linear stability analysis of the problem. C.D. Hamley, et al., ``Spin-Nematic Squeezed Vacuum in a Quantum Gas,'' Nature Physics 8, 305-308 (2012).

  14. Self-Compliant Bipolar Resistive Switching in SiN-Based Resistive Switching Memory

    PubMed Central

    Kim, Sungjun; Chang, Yao-Feng; Kim, Min-Hwi; Kim, Tae-Hyeon; Kim, Yoon; Park, Byung-Gook

    2017-01-01

    Here, we present evidence of self-compliant and self-rectifying bipolar resistive switching behavior in Ni/SiNx/n+ Si and Ni/SiNx/n++ Si resistive-switching random access memory devices. The Ni/SiNx/n++ Si device’s Si bottom electrode had a higher dopant concentration (As ion > 1019 cm−3) than the Ni/SiNx/n+ Si device; both unipolar and bipolar resistive switching behaviors were observed for the higher dopant concentration device owing to a large current overshoot. Conversely, for the device with the lower dopant concentration (As ion < 1018 cm−3), self-rectification and self-compliance were achieved owing to the series resistance of the Si bottom electrode. PMID:28772819

  15. Ultraviolet reduction of erythromycin and tetracycline resistant heterotrophic bacteria and their resistance genes in municipal wastewater.

    PubMed

    Guo, Mei-Ting; Yuan, Qing-Bin; Yang, Jian

    2013-11-01

    Antibiotic resistance in wastewater is becoming a major public health concern, but poorly understood about impact of disinfection on antibiotic resistant bacteria and antibiotic resistance genes. The UV disinfection of antibiotic resistant heterotrophic bacteria and their relevant genes in the wastewater of a municipal wastewater treatment plant has been evaluated. Two commonly used antibiotics, erythromycin and tetracycline were selected because of their wide occurrences in regard to the antibiotic resistance problem. After UV treatment at a fluence of 5mJcm(-2), the log reductions of heterotrophic bacteria resistant to erythromycin and tetracycline in the wastewater were found to be 1.4±0.1 and 1.1±0.1, respectively. The proportion of tetracycline-resistant bacteria (5%) was nearly double of that before UV disinfection (3%). Tetracycline-resistant bacteria exhibited more tolerance to UV irradiation compared to the erythromycin-resistant bacteria (p<0.05). Gene copy numbers were quantified via qPCR and normalized to the volume of original sample. The total concentrations of erythromycin- and tetracycline-resistance genes were (3.6±0.2)×10(5) and (2.5±0.1)×10(5) copies L(-1), respectively. UV treatment at a fluence of 5mJcm(-2) removed the total erythromycin- and tetracycline-resistance genes by 3.0±0.1 log and 1.9±0.1 log, respectively. UV treatment was effective in reducing antibiotic resistance in the wastewater. Copyright © 2013 Elsevier Ltd. All rights reserved.

  16. The Prehistory of Antibiotic Resistance.

    PubMed

    Perry, Julie; Waglechner, Nicholas; Wright, Gerard

    2016-06-01

    Antibiotic resistance is a global problem that is reaching crisis levels. The global collection of resistance genes in clinical and environmental samples is the antibiotic "resistome," and is subject to the selective pressure of human activity. The origin of many modern resistance genes in pathogens is likely environmental bacteria, including antibiotic producing organisms that have existed for millennia. Recent work has uncovered resistance in ancient permafrost, isolated caves, and in human specimens preserved for hundreds of years. Together with bioinformatic analyses on modern-day sequences, these studies predict an ancient origin of resistance that long precedes the use of antibiotics in the clinic. Understanding the history of antibiotic resistance is important in predicting its future evolution. Copyright © 2016 Cold Spring Harbor Laboratory Press; all rights reserved.

  17. Effect of Ampicillin, Streptomycin, Penicillin and Tetracycline on Metal Resistant and Non-Resistant Staphylococcus aureus

    PubMed Central

    Chudobova, Dagmar; Dostalova, Simona; Blazkova, Iva; Michalek, Petr; Ruttkay-Nedecky, Branislav; Sklenar, Matej; Nejdl, Lukas; Kudr, Jiri; Gumulec, Jaromir; Tmejova, Katerina; Konecna, Marie; Vaculovicova, Marketa; Hynek, David; Masarik, Michal; Kynicky, Jindrich; Kizek, Rene; Adam, Vojtech

    2014-01-01

    There is an arising and concerning issue in the field of bacterial resistance, which is confirmed by the number of deaths associated with drug-resistant bacterial infections. The aim of this study was to compare the effects of antibiotics on Staphylococcus aureus non-resistant strain and strains resistant to cadmium or lead ions. Metal resistant strains were created by the gradual addition of 2 mM solution of metal ions (cadmium or lead) to the S. aureus culture. An increasing antimicrobial effect of ampicillin, streptomycin, penicillin and tetracycline (0, 10, 25, 50, 75, 150, 225 and 300 µM) on the resistant strains was observed using a method of growth curves. A significant growth inhibition (compared to control) of cadmium resistant cells was observed in the presence of all the four different antibiotics. On the other hand, the addition of streptomycin and ampicillin did not inhibit the growth of lead resistant strain. Other antibiotics were still toxic to the bacterial cells. Significant differences in the morphology of cell walls were indicated by changes in the cell shape. Our data show that the presence of metal ions in the urban environment may contribute to the development of bacterial strain resistance to other substances including antibiotics, which would have an impact on public health. PMID:24651395

  18. Secretome profile analysis of multidrug-resistant, monodrug-resistant and drug-susceptible Mycobacterium tuberculosis.

    PubMed

    Putim, Chanyanuch; Phaonakrop, Narumon; Jaresitthikunchai, Janthima; Gamngoen, Ratikorn; Tragoolpua, Khajornsak; Intorasoot, Sorasak; Anukool, Usanee; Tharincharoen, Chayada Sitthidet; Phunpae, Ponrut; Tayapiwatana, Chatchai; Kasinrerk, Watchara; Roytrakul, Sittiruk; Butr-Indr, Bordin

    2018-03-01

    The emergence of drug-resistant tuberculosis has generated great concern in the control of tuberculosis and HIV/TB patients have established severe complications that are difficult to treat. Although, the gold standard of drug-susceptibility testing is highly accurate and efficient, it is time-consuming. Diagnostic biomarkers are, therefore, necessary in discriminating between infection from drug-resistant and drug-susceptible strains. One strategy that aids to effectively control tuberculosis is understanding the function of secreting proteins that mycobacteria use to manipulate the host cellular defenses. In this study, culture filtrate proteins from Mycobacterium tuberculosis H37Rv, isoniazid-resistant, rifampicin-resistant and multidrug-resistant strains were gathered and profiled by shotgun-proteomics technique. Mass spectrometric analysis of the secreted proteome identified several proteins, of which 837, 892, 838 and 850 were found in M. tuberculosis H37Rv, isoniazid-resistant, rifampicin-resistant and multidrug-resistant strains, respectively. These proteins have been implicated in various cellular processes, including biological adhesion, biological regulation, developmental process, immune system process localization, cellular process, cellular component organization or biogenesis, metabolic process, and response to stimulus. Analysis based on STITCH database predicted the interaction of DNA topoisomerase I, 3-oxoacyl-(acyl-carrier protein) reductase, ESAT-6-like protein, putative prophage phiRv2 integrase, and 3-phosphoshikimate 1-carboxyvinyltransferase with isoniazid, rifampicin, pyrazinamide, ethambutol and streptomycin, suggesting putative roles in controlling the anti-tuberculosis ability. However, several proteins with no interaction with all first-line anti-tuberculosis drugs might be used as markers for mycobacterial identification.

  19. Role of Resistant Starch in Improving Gut Health, Adiposity, and Insulin Resistance1234

    PubMed Central

    Keenan, Michael J; Zhou, June; Hegsted, Maren; Pelkman, Christine; Durham, Holiday A; Coulon, Diana B; Martin, Roy J

    2015-01-01

    The realization that low–glycemic index diets were formulated using resistant starch led to more than a decade of research on the health effects of resistant starch. Determination of the metabolizable energy of the resistant starch product allowed for the performance of isocaloric studies. Fermentation of resistant starch in rodent studies results in what appears to be a healthier gut, demonstrated by increased amounts of short-chain fatty acids, an apparent positive change in the microbiota, and increased gene expression for gene products involved in normal healthy proliferation and apoptosis of potential cancer cells. Additionally, consumption of resistant starch was associated with reduced abdominal fat and improved insulin sensitivity. Increased serum glucagon-like peptide 1 (GLP-1) likely plays a role in promoting these health benefits. One rodent study that did not use isocaloric diets demonstrated that the use of resistant starch at 8% of the weight of the diet reduced body fat. This appears to be approximately equivalent to the human fiber requirement. In human subjects, insulin sensitivity is increased with the feeding of resistant starch. However, only 1 of several studies reports an increase in serum GLP-1 associated with resistant starch added to the diet. This means that other mechanisms, such as increased intestinal gluconeogenesis or increased adiponectin, may be involved in the promotion of improved insulin sensitivity. Future research may confirm that there will be improved health if human individuals consume the requirement for dietary fiber and a large amount of the fiber is fermentable. PMID:25770258

  20. ABCB1 as predominant resistance mechanism in cells with acquired SNS-032 resistance

    PubMed Central

    Rothweiler, Florian; Voges, Yvonne; Balónová, Barbora; Blight, Barry A.; Cinatl, Jindrich

    2016-01-01

    The CDK inhibitor SNS-032 had previously exerted promising anti-neuroblastoma activity via CDK7 and 9 inhibition. ABCB1 expression was identified as major determinant of SNS-032 resistance. Here, we investigated the role of ABCB1 in acquired SNS-032 resistance. In contrast to ABCB1-expressing UKF-NB-3 sub-lines resistant to other ABCB1 substrates, SNS-032-adapted UKF-NB-3 (UKF-NB-3rSNS- 032300nM) cells remained sensitive to the non-ABCB1 substrate cisplatin and were completely re-sensitized to cytotoxic ABCB1 substrates by ABCB1 inhibition. Moreover, UKF-NB-3rSNS-032300nM cells remained similarly sensitive to CDK7 and 9 inhibition as UKF-NB-3 cells. In contrast, SHEPrSNS-0322000nM, the SNS-032-resistant sub-line of the neuroblastoma cell line SHEP, displayed low level SNS-032 resistance also when ABCB1 was inhibited. This discrepancy may be explained by the higher SNS-032 concentrations that were used to establish SHEPrSNS-0322000nM cells, since SHEP cells intrinsically express ABCB1 and are less sensitive to SNS-032 (IC50 912 nM) than UKF-NB-3 cells (IC50 153 nM). In conclusion, we show that ABCB1 expression represents the primary (sometimes exclusive) resistance mechanism in neuroblastoma cells with acquired resistance to SNS-032. Thus, ABCB1 inhibitors may increase the SNS-032 efficacy in ABCB1-expressing cells and prolong or avoid resistance formation. PMID:27517323

  1. Herbicide resistance and biodiversity: agronomic and environmental aspects of genetically modified herbicide-resistant plants.

    PubMed

    Schütte, Gesine; Eckerstorfer, Michael; Rastelli, Valentina; Reichenbecher, Wolfram; Restrepo-Vassalli, Sara; Ruohonen-Lehto, Marja; Saucy, Anne-Gabrielle Wuest; Mertens, Martha

    2017-01-01

    Farmland biodiversity is an important characteristic when assessing sustainability of agricultural practices and is of major international concern. Scientific data indicate that agricultural intensification and pesticide use are among the main drivers of biodiversity loss. The analysed data and experiences do not support statements that herbicide-resistant crops provide consistently better yields than conventional crops or reduce herbicide amounts. They rather show that the adoption of herbicide-resistant crops impacts agronomy, agricultural practice, and weed management and contributes to biodiversity loss in several ways: (i) many studies show that glyphosate-based herbicides, which were commonly regarded as less harmful, are toxic to a range of aquatic organisms and adversely affect the soil and intestinal microflora and plant disease resistance; the increased use of 2,4-D or dicamba, linked to new herbicide-resistant crops, causes special concerns. (ii) The adoption of herbicide-resistant crops has reduced crop rotation and favoured weed management that is solely based on the use of herbicides. (iii) Continuous herbicide resistance cropping and the intensive use of glyphosate over the last 20 years have led to the appearance of at least 34 glyphosate-resistant weed species worldwide. Although recommended for many years, farmers did not counter resistance development in weeds by integrated weed management, but continued to rely on herbicides as sole measure. Despite occurrence of widespread resistance in weeds to other herbicides, industry rather develops transgenic crops with additional herbicide resistance genes. (iv) Agricultural management based on broad-spectrum herbicides as in herbicide-resistant crops further decreases diversity and abundance of wild plants and impacts arthropod fauna and other farmland animals. Taken together, adverse impacts of herbicide-resistant crops on biodiversity, when widely adopted, should be expected and are indeed very hard

  2. Role of the Stringent Stress Response in the Antibiotic Resistance Phenotype of Methicillin-Resistant Staphylococcus aureus

    PubMed Central

    Aedo, Sandra

    2016-01-01

    Resistance to beta-lactam antibiotics in methicillin-resistant Staphylococcus aureus (MRSA) requires the presence of an acquired genetic determinant, mecA or mecC, which encode penicillin-binding protein PBP2A or PBP2A′, respectively. Although all MRSA strains share a mechanism of resistance, the phenotypic expression of beta-lactam resistance shows considerable strain-to-strain variation. The stringent stress response, a stress response that results from nutrient limitation, was shown to play a key role in determining the resistance level of an MRSA strain. In the present study, we validated the impact of the stringent stress response on transcription and translation of mecA in the MRSA clinical isolate strain N315, which also carries known regulatory genes (mecI/mecR1/mecR2 and blaI/blaR1) for mecA transcription. We showed that the impact of the stringent stress response on the resistance level may be restricted to beta-lactam resistance based on a “foreign” determinant such as mecA, as opposed to resistance based on mutations in the native S. aureus determinant pbpB (encoding PBP2). Our observations demonstrate that high-level resistance mediated by the stringent stress response follows the current model of beta-lactam resistance in which the native PBP2 protein is also essential for expression of the resistance phenotype. We also show that the Staphylococcus sciuri pbpD gene (also called mecAI), the putative evolutionary precursor of mecA, confers oxacillin resistance in an S. aureus strain, generating a heterogeneous phenotype that can be converted to high and homogenous resistance by induction of the stringent stress response in the bacteria. PMID:26833147

  3. Horizontal gene transfer and antibiotic resistance plasmids in multi-drug resistant Salmonella enterica serovars

    USDA-ARS?s Scientific Manuscript database

    Antibiotic resistant foodborne pathogens pose serious public health concerns and increase the burden of disease treatment. Antibiotic resistance genes can reside on the bacterial chromosome or on other self-replicating DNA molecules such as plasmids. The resistance genes/DNA can be transferred int...

  4. Update on Antimicrobial Resistance in Clostridium difficile: Resistance Mechanisms and Antimicrobial Susceptibility Testing

    PubMed Central

    Peng, Zhong; Kim, Hyeun Bum; Stratton, Charles W.; Wu, Bin

    2017-01-01

    ABSTRACT Oral antibiotics such as metronidazole, vancomycin and fidaxomicin are therapies of choice for Clostridium difficile infection. Several important mechanisms for C. difficile antibiotic resistance have been described, including the acquisition of antibiotic resistance genes via the transfer of mobile genetic elements, selective pressure in vivo resulting in gene mutations, altered expression of redox-active proteins, iron metabolism, and DNA repair, as well as via biofilm formation. This update summarizes new information published since 2010 on phenotypic and genotypic resistance mechanisms in C. difficile and addresses susceptibility test methods and other strategies to counter antibiotic resistance of C. difficile. PMID:28404671

  5. Are Sewage Treatment Plants Promoting Antibiotic Resistance?

    EPA Science Inventory

    1. Introduction 1.1. How bacteria exhibit resistance 1.1.1. Resistance to -lactams 1.1.2. Resistance to sulphonamides and trimethoprim 1.1.3. Resistance to macrolides 1.1.4. Resistance to fluoroquinolones 1.1.5. Resistance to tetracyclines 1.1.6. Resistance to nitroimidaz...

  6. Mapping resistance to powdery mildew in barley reveals a large-effect nonhost resistance QTL.

    PubMed

    Romero, Cynara C T; Vermeulen, Jasper P; Vels, Anton; Himmelbach, Axel; Mascher, Martin; Niks, Rients E

    2018-05-01

    Resistance factors against non-adapted powdery mildews were mapped in barley. Some QTLs seem effective only to non-adapted mildews, while others also play a role in defense against the adapted form. The durability and effectiveness of nonhost resistance suggests promising practical applications for crop breeding, relying upon elucidation of key aspects of this type of resistance. We investigated which genetic factors determine the nonhost status of barley (Hordeum vulgare L.) to powdery mildews (Blumeria graminis). We set out to verify whether genes involved in nonhost resistance have a wide effectiveness spectrum, and whether nonhost resistance genes confer resistance to the barley adapted powdery mildew. Two barley lines, SusBgt SC and SusBgt DC , with some susceptibility to the wheat powdery mildew B. graminis f.sp. tritici (Bgt) were crossed with cv Vada to generate two mapping populations. Each population was assessed for level of infection against four B. graminis ff.spp, and QTL mapping analyses were performed. Our results demonstrate polygenic inheritance for nonhost resistance, with some QTLs effective only to non-adapted mildews, while others play a role against adapted and non-adapted forms. Histology analyses of nonhost interaction show that most penetration attempts are stopped in association with papillae, and also suggest independent layers of defence at haustorium establishment and conidiophore formation. Nonhost resistance of barley to powdery mildew relies mostly on non-hypersensitive mechanisms. A large-effect nonhost resistance QTL mapped to a 1.4 cM interval is suitable for map-based cloning.

  7. Antimicrobial resistance and prevalence of resistance genes of obligate anaerobes isolated from periodontal abscesses.

    PubMed

    Xie, Yi; Chen, Jiazhen; He, Junlin; Miao, Xinyu; Xu, Meng; Wu, Xingwen; Xu, Beiyun; Yu, Liying; Zhang, Wenhong

    2014-02-01

    This study attempts to determine the antimicrobial resistance profiles of obligate anaerobic bacteria that were isolated from a periodontal abscess and to evaluate the prevalence of resistance genes in these bacteria. Forty-one periodontal abscess samples were cultivated on selective and non-selective culture media to isolate the oral anaerobes. Their antibiotic susceptibilities to clindamycin, doxycycline, amoxicillin, imipenem, cefradine, cefixime, roxithromycin, and metronidazole were determined using the agar dilution method, and polymerase chain reaction assays were performed to detect the presence of the ermF, tetQ, nim, and cfxA drug resistance genes. A total of 60 different bacterial colonies was isolated and identified. All of the isolates were sensitive to imipenem. Of the strains, 6.7%, 13.3%, 16.7%, and 25% were resistant to doxycycline, metronidazole, cefixime, and amoxicillin, respectively. The resistance rate for both clindamycin and roxithromycin was 31.7%. Approximately 60.7% of the strains had the ermF gene, and 53.3% of the amoxicillin-resistant strains were found to have the cfxA gene. Two nim genes that were found in eight metronidazole-resistant strains were identified as nimB. In the present study, the Prevotella species are the most frequently isolated obligate anaerobes from periodontal abscesses. The current results show their alarmingly high resistance rate against clindamycin and roxithromycin; thus, the use of these antibiotics is unacceptable for the empirical therapy of periodontal abscesses. A brief prevalence of four resistance genes in the anaerobic bacteria that were isolated was also demonstrated.

  8. Paraquat Resistance in Conyza1

    PubMed Central

    Fuerst, E. Patrick; Nakatani, Herbert Y.; Dodge, Alan D.; Penner, Donald; Arntzen, Charles J.

    1985-01-01

    A biotype of Conyza bonariensis (L.) Cronq. (identical to Conyza linefolia in other publications) originating in Egypt is resistant to the herbicide 1,1′-dimethyl-4,4′-bipyridinium ion (paraquat). Penetration of the cuticle by [14C]paraquat was greater in the resistant biotype than the susceptible (wild) biotype; therefore, resistance was not due to differences in uptake. The resistant and susceptible biotypes were indistinguishable by measuring in vitro photosystem I partial reactions using paraquat, 6,7-dihydrodipyrido [1,2-α:2′,1′-c] pyrazinediium ion (diquat), or 7,8-dihydro-6H-dipyrido [1,2-α:2′,1′-c] [1,4] diazepinediium ion (triquat) as electron acceptors. Therefore, alteration at the electron acceptor level of photosystem I is not the basis for resistance. Chlorophyll fluorescence measured in vivo was quenched in the susceptible biotype by leaf treatment with the bipyridinium herbicides. Resistance to quenching of in vivo chlorophyll fluorescence was observed in the resistant biotype, indicating that the herbicide was excluded from the chloroplasts. Movement of [14C] paraquat was restricted in the resistant biotype when excised leaves were supplied [14C]paraquat through the petiole. We propose that the mechanism of resistance to paraquat is exclusion of paraquat from its site of action in the chloroplast by a rapid sequestration mechanism. No differential binding of paraquat to cell walls isolated from susceptible and resistant biotypes could be detected. The exact site and mechanism of paraquat binding to sequester the herbicide remains to be determined. Images Fig. 6 PMID:16664176

  9. [Resistance studies: when are they indicated?].

    PubMed

    Angeles Marcos, M

    2011-12-01

    Cytomegalovirus (CMV) resistance to antiviral drugs is an emerging problem and is due to selection of mutations in the viral genome. Although ganciclovir resistance is the most common and widely studied, there is resistance to all antiviral agents. Risk factors for the development of resistance are the absence of preexisting immunity to CMV, lung and pancreas transplantation, high viral loads, intense concomitant immunosuppressive therapy and prolonged exposure to ganciclovir or suboptimal levels of this drug. Antiviral resistance should be suspected when, despite adequate treatment exposure for 2 weeks, an increase in viral load, or persistence or clinical progression of CMV disease are detected. However, failure to respond cannot always be attributed to antiviral resistance nor does resistance always lead to poor clinical outcome. When resistance is suspected, phenotypic and genotypic confirmation is required. The most common mutations are those in the UL97 gene, which confers ganciclovir resistance. However, foscarnet and cidofovir can be used. The UL54 mutation is not uncommon, whether alone or in combination with UL97 mutations. The combination of UL54 and UL97 mutations is associated with high-grade and multiple resistance. Early detection of resistance is essential to prevent unfavorable outcome and the development of multi-drug resistance. In patients with a slow response to treatment and without mutations associated with resistance, plasma ganciclovir levels and specific CMV immunity should be investigated. Copyright © 2011 Elsevier España S.L. All rights reserved.

  10. Characterization of antimicrobial resistance and quinolone resistance factors in high-level ciprofloxacin-resistant Enterococcus faecalis and Enterococcus faecium isolates obtained from fresh produce and fecal samples of patients.

    PubMed

    Kim, Min-Chan; Woo, Gun-Jo

    2017-07-01

    The emergence of fluoroquinolone-resistant enterococci is worldwide. Antimicrobial resistance was characterized and the effect of quinolone-resistance factors was analyzed in high-level ciprofloxacin-resistant (HLCR) Enterococcus faecalis and Enterococcus faecium isolated from fresh produce and fecal samples of patients. Among the 81 ciprofloxacin-resistant Enterococcus isolates, 46 showed high levels of ciprofloxacin resistance, resistance to other quinolone antibiotics, and multidrug resistance profiles. The virulence factors esp and hyl were identified in 27 (58.7%) and 25 (54.3%) of isolates, respectively. Sequence type analysis showed that 35 strains of HLCR E. faecium were clonal complex 17. Eleven strains of HLCR E. faecalis were confirmed as sequence type (ST) 28, ST 64 and ST 125. Quinolone resistance-determining region mutation was identified in HLCR Enterococcus isolates; with serine being changed in gyrA83, gyrA87 and parC80. This result shows that gyrA and parC mutations could be important factors for high-level resistance to fluoroquinolones. No significant differences were observed in antimicrobial resistance patterns and genetic characteristics among the isolates from fresh produce and fecal samples. Therefore, good agricultural practices in farming and continuous monitoring of patients, food and the environment for Enterococcus spp. should be performed to prevent antimicrobial resistance and enable reduction of resistance rates. © 2016 Society of Chemical Industry. © 2016 Society of Chemical Industry.

  11. Resist Parameter Extraction from Line-and-Space Patterns of Chemically Amplified Resist for Extreme Ultraviolet Lithography

    NASA Astrophysics Data System (ADS)

    Kozawa, Takahiro; Oizumi, Hiroaki; Itani, Toshiro; Tagawa, Seiichi

    2010-11-01

    The development of extreme ultraviolet (EUV) lithography has progressed owing to worldwide effort. As the development status of EUV lithography approaches the requirements for the high-volume production of semiconductor devices with a minimum line width of 22 nm, the extraction of resist parameters becomes increasingly important from the viewpoints of the accurate evaluation of resist materials for resist screening and the accurate process simulation for process and mask designs. In this study, we demonstrated that resist parameters (namely, quencher concentration, acid diffusion constant, proportionality constant of line edge roughness, and dissolution point) can be extracted from the scanning electron microscopy (SEM) images of patterned resists without the knowledge on the details of resist contents using two types of latest EUV resist.

  12. Resistively Loaded Microstrip-Patch Antenna

    NASA Technical Reports Server (NTRS)

    Bailey, Marion C.

    1993-01-01

    Strips of thin resistive material added near two edges of conventional micro-strip-patch antenna. Bandwidth doubled by simple modification. Optimum bandwidth performance obtained by adjustment of shapes, resistances, and locations of resistive strips.

  13. Genetics Home Reference: clopidogrel resistance

    MedlinePlus

    ... Email Facebook Twitter Home Health Conditions Clopidogrel resistance Clopidogrel resistance Printable PDF Open All Close All Enable Javascript to view the expand/collapse boxes. Description Clopidogrel resistance is a condition in which the drug ...

  14. A Constant-Force Resistive Exercise Unit

    NASA Technical Reports Server (NTRS)

    Colosky, Paul; Ruttley, Tara

    2010-01-01

    A constant-force resistive exercise unit (CFREU) has been invented for use in both normal gravitational and microgravitational environments. In comparison with a typical conventional exercise machine, this CFREU weighs less and is less bulky: Whereas weight plates and associated bulky supporting structures are used to generate resistive forces in typical conventional exercise machines, they are not used in this CFREU. Instead, resistive forces are generated in this CFREU by relatively compact, lightweight mechanisms based on constant-torque springs wound on drums. Each such mechanism is contained in a module, denoted a resistive pack, that includes a shaft for making a torque connection to a cable drum. During a stroke of resistive exercise, the cable is withdrawn from the cable drum against the torque exerted by the resistance pack. The CFREU includes a housing, within which can be mounted one or more resistive pack(s). The CFREU also includes mechanisms for engaging any combination of (1) one or more resistive pack(s) and (2) one or more spring(s) within each resistive pack to obtain a desired level of resistance.

  15. Adaptive Resistance to Biocides in Salmonella enterica and Escherichia coli O157 and Cross-Resistance to Antimicrobial Agents

    PubMed Central

    Braoudaki, M.; Hilton, A. C.

    2004-01-01

    The mechanisms by which bacteria resist killing by antibiotics and biocides are still poorly defined, although repeated exposure to sublethal concentrations of antibacterial agents undoubtedly contributes to their development. This study aimed both to investigate the potential of Salmonella enterica and Escherichia coli O157 for adaptive resistance to commonly used biocides and to determine any cross-resistance to antibiotics. Strains were repeatedly passaged in media containing increasing concentrations of a biocide or antibiotic until adaptive resistance was obtained. A wide panel of antimicrobial agents was then screened by using the adapted strain to determine cross-resistance, if any. Adaptive resistance was readily achieved for both S. enterica and E. coli O157. Cross-resistance in adaptively resistant S. enterica varied with the serotype; Salmonella enterica serovar Enteritidis expressed cross-resistance to chloramphenicol, whereas Salmonella enterica serovar Typhimurium expressed cross-resistance to chlorhexidine. Benzalkonium chloride-resistant Salmonella enterica serovar Virchow showed elevated resistance to chlorhexidine; however, chlorhexidine-resistant Salmonella serovar Virchow did not demonstrate reciprocal cross-resistance to benzalkonium chloride, suggesting specific rather than generic resistance mechanisms. E. coli O157 strains acquired high levels of resistance to triclosan after only two sublethal exposures and, when adapted, repeatedly demonstrated decreased susceptibilities to various antimicrobial agents, including chloramphenicol, erythromycin, imipenem, tetracycline, and trimethoprim, as well as to a number of biocides. These observations raise concern over the indiscriminate and often inappropriate use of biocides, especially triclosan, in situations where they are unnecessary, whereby they may contribute to the development of microbial resistance mechanisms. PMID:14715734

  16. Effects produced by CDU improvement of resist pattern with PEB temperature control for wiring resistance variation reduction

    NASA Astrophysics Data System (ADS)

    Tadokoro, Masahide; Shinozuka, Shinichi; Ogata, Kunie; Morimoto, Tamotsu

    2008-03-01

    Semiconductor manufacturing technology has shifted towards finer design rules, and demands for critical dimension uniformity (CDU) of resist patterns have become greater than ever. One of the methods for improving CDU of resist pattern is to control the temperature of post-exposure bake (PEB). When ArF resist is used, there is a certain relationship between critical dimension (CD) and PEB temperature. By utilizing this relationship, Resist Pattern CDU can be improved through control of within-wafer temperature distribution in the PEB process. We have already applied this method to Resist Pattern CDU improvement and have achieved these results. In this evaluation, we aim at: 1. Clarifying the relationship between the improvement in Resist Pattern CDU through PEB temperature control and the improvement in Etching Pattern CDU. 2. Verifying whether Resist Pattern CDU improvement through PEB temperature control has any effect on the reduction in wiring resistance variation. The evaluation procedure is: 1. Preparation of wafers with base film of doped Poly-Si (D-Poly). 2. Creation of two sets of samples on the base, a set of samples with good Resist Pattern CDU and a set of samples with poor Resist Pattern CDU. 3. Etching of the two sets under the same conditions. 4. Measurements of CD and wiring resistance. We used Optical CD Measurement (OCD) for measurement of resist pattern and etching pattern for the reason that OCD is minimally affected by Line Edge Roughness (LER). As a result, we found that; 1. The improvement in Resist Pattern CDU leads to the improvement in Etching Pattern CDU . 2. The improvement in Resist Pattern CDU has an effect on the reduction in wiring resistance variation. There is a cause-and-effect relationship between wiring resistance variation and transistor characteristics. From this relationship, we expect that the improvement in Resist Pattern CDU through PEB temperature control can contribute to device performance improvement.

  17. Pseudomonas Aeruginosa: Resistance to the Max

    PubMed Central

    Poole, Keith

    2011-01-01

    Pseudomonas aeruginosa is intrinsically resistant to a variety of antimicrobials and can develop resistance during anti-pseudomonal chemotherapy both of which compromise treatment of infections caused by this organism. Resistance to multiple classes of antimicrobials (multidrug resistance) in particular is increasingly common in P. aeruginosa, with a number of reports of pan-resistant isolates treatable with a single agent, colistin. Acquired resistance in this organism is multifactorial and attributable to chromosomal mutations and the acquisition of resistance genes via horizontal gene transfer. Mutational changes impacting resistance include upregulation of multidrug efflux systems to promote antimicrobial expulsion, derepression of ampC, AmpC alterations that expand the enzyme's substrate specificity (i.e., extended-spectrum AmpC), alterations to outer membrane permeability to limit antimicrobial entry and alterations to antimicrobial targets. Acquired mechanisms contributing to resistance in P. aeruginosa include β-lactamases, notably the extended-spectrum β-lactamases and the carbapenemases that hydrolyze most β-lactams, aminoglycoside-modifying enzymes, and 16S rRNA methylases that provide high-level pan-aminoglycoside resistance. The organism's propensity to grow in vivo as antimicrobial-tolerant biofilms and the occurrence of hypermutator strains that yield antimicrobial resistant mutants at higher frequency also compromise anti-pseudomonal chemotherapy. With limited therapeutic options and increasing resistance will the untreatable P. aeruginosa infection soon be upon us? PMID:21747788

  18. [Molecular characterization of resistance mechanisms: methicillin resistance Staphylococcus aureus, extended spectrum β-lactamases and carbapenemases].

    PubMed

    Oteo, Jesús; Belén Aracil, María

    2015-07-01

    Multi-drug resistance in bacterial pathogens increases morbidity and mortality in infected patients and it is a threat to public health concern by their high capacity to spread. For both reasons, the rapid detection of multi-drug resistant bacteria is critical. Standard microbiological procedures require 48-72 h to provide the antimicrobial susceptibility results, thus there is emerging interest in the development of rapid detection techniques. In recent years, the use of selective and differential culture-based methods has widely spread. However, the capacity for detecting antibiotic resistance genes and their low turnaround times has made molecular methods a reference for diagnosis of multidrug resistance. This review focusses on the molecular methods for detecting some mechanisms of antibiotic resistance with a high clinical and epidemiological impact: a) Enzymatic resistance to broad spectrum β-lactam antibiotics in Enterobacteriaceae, mainly extended spectrum β-lactamases (ESBL) and carbapenemases; and b) methicillin resistance in Staphylococcus aureus. Copyright © 2015 Elsevier España, S.L.U. All rights reserved.

  19. Acquisition of a natural resistance gene renders a clinical strain of methicillin-resistant Staphylococcus aureus resistant to the synthetic antibiotic linezolid.

    PubMed

    Toh, Seok-Ming; Xiong, Liqun; Arias, Cesar A; Villegas, Maria V; Lolans, Karen; Quinn, John; Mankin, Alexander S

    2007-06-01

    Linezolid, which targets the ribosome, is a new synthetic antibiotic that is used for treatment of infections caused by Gram-positive pathogens. Clinical resistance to linezolid, so far, has been developing only slowly and has involved exclusively target site mutations. We have discovered that linezolid resistance in a methicillin-resistant Staphylococcus aureus hospital strain from Colombia is determined by the presence of the cfr gene whose product, Cfr methyltransferase, modifies adenosine at position 2503 in 23S rRNA in the large ribosomal subunit. The molecular model of the linezolid-ribosome complex reveals localization of A2503 within the drug binding site. The natural function of cfr likely involves protection against natural antibiotics whose site of action overlaps that of linezolid. In the chromosome of the clinical strain, cfr is linked to ermB, a gene responsible for dimethylation of A2058 in 23S rRNA. Coexpression of these two genes confers resistance to all the clinically relevant antibiotics that target the large ribosomal subunit. The association of the ermB/cfr operon with transposon and plasmid genetic elements indicates its possible mobile nature. This is the first example of clinical resistance to the synthetic drug linezolid which involves a natural resistance gene with the capability of disseminating among Gram-positive pathogenic strains.

  20. Introgression of resistance-conferring ALS mutations in herbicide-resistant weedy rice

    USDA-ARS?s Scientific Manuscript database

    Weedy red rice (Oryza sativa) competes aggressively with rice, reducing yields and grain quality. Clearfield™ rice, a nontransgenic, herbicide-resistant (HR) rice introduced in 2002 to control weedy rice, has resulted in some ALS-resistant weedy rice apparently due to gene flow. Studies were conduct...

  1. Antibiotic Resistance and Antibiotic Resistance Genes in Escherichia coli Isolates from Hospital Wastewater in Vietnam

    PubMed Central

    Lan, Pham Thi; Chuc, Nguyen Thi Kim; Hoa, Nguyen Quynh; Nhung, Pham Hong; Thoa, Nguyen Thi Minh; Diwan, Vishal; Tamhankar, Ashok J.; Stålsby Lundborg, Cecilia

    2017-01-01

    The environmental spread of antibiotic-resistant bacteria has been recognised as a growing public health threat for which hospitals play a significant role. The aims of this study were to investigate the prevalence of antibiotic resistance and antibiotic resistance genes (ARGs) in Escherichia coli isolates from hospital wastewater in Vietnam. Wastewater samples before and after treatment were collected using continuous sampling every month over a year. Standard disk diffusion and E-test were used for antibiotic susceptibility testing. Extended-spectrum beta-lactamase (ESBL) production was tested using combined disk diffusion. ARGs were detected by polymerase chain reactions. Resistance to at least one antibiotic was detected in 83% of isolates; multidrug resistance was found in 32%. The highest resistance prevalence was found for co-trimoxazole (70%) and the lowest for imipenem (1%). Forty-three percent of isolates were ESBL-producing, with the blaTEM gene being more common than blaCTX-M. Co-harbouring of the blaCTX-M, blaTEM and qepA genes was found in 46% of isolates resistant to ciprofloxacin. The large presence of antibiotic-resistant E. coli isolates combined with ARGs in hospital wastewater, even post-treatment, poses a threat to public health. It highlights the need to develop effective processes for hospital wastewater treatment plants to eliminate antibiotic resistant bacteria and ARGs. PMID:28661465

  2. Antibiotic Resistance and Antibiotic Resistance Genes in Escherichia coli Isolates from Hospital Wastewater in Vietnam.

    PubMed

    Lien, La Thi Quynh; Lan, Pham Thi; Chuc, Nguyen Thi Kim; Hoa, Nguyen Quynh; Nhung, Pham Hong; Thoa, Nguyen Thi Minh; Diwan, Vishal; Tamhankar, Ashok J; Stålsby Lundborg, Cecilia

    2017-06-29

    The environmental spread of antibiotic-resistant bacteria has been recognised as a growing public health threat for which hospitals play a significant role. The aims of this study were to investigate the prevalence of antibiotic resistance and antibiotic resistance genes (ARGs) in Escherichia coli isolates from hospital wastewater in Vietnam. Wastewater samples before and after treatment were collected using continuous sampling every month over a year. Standard disk diffusion and E-test were used for antibiotic susceptibility testing. Extended-spectrum beta-lactamase (ESBL) production was tested using combined disk diffusion. ARGs were detected by polymerase chain reactions. Resistance to at least one antibiotic was detected in 83% of isolates; multidrug resistance was found in 32%. The highest resistance prevalence was found for co-trimoxazole (70%) and the lowest for imipenem (1%). Forty-three percent of isolates were ESBL-producing, with the bla TEM gene being more common than bla CTX-M . Co-harbouring of the bla CTX-M , bla TEM and qepA genes was found in 46% of isolates resistant to ciprofloxacin. The large presence of antibiotic-resistant E. coli isolates combined with ARGs in hospital wastewater, even post-treatment, poses a threat to public health. It highlights the need to develop effective processes for hospital wastewater treatment plants to eliminate antibiotic resistant bacteria and ARGs.

  3. Occurrence and Distribution of Antibiotic-resistant Bacteria and Transfer of Resistance Genes in Lake Taihu

    PubMed Central

    Yin, Qian; Yue, Dongmei; Peng, Yuke; Liu, Ying; Xiao, Lin

    2013-01-01

    The overuse of antibiotics has accelerated antibiotic resistance in the natural environment, especially fresh water, generating a potential risk for public health around the world. In this study, antibiotic resistance in Lake Taihu was investigated and this was the first thorough data obtained through culture-dependent methods. High percentages of resistance to streptomycin and ampicillin among bacterial isolates were detected, followed by tetracycline and chloramphenicol. Especially high levels of ampicillin resistance in the western and northern regions were illustrated. Bacterial identification of the isolates selected for further study indicated the prevalence of some opportunistic pathogens and 62.0% of the 78 isolates exhibited multiple antibiotic resistance. The presence of ESBLs genes was in the following sequence: blaTEM > blaSHV > blaCTMX and 38.5% of the isolates had a class I integrase gene. Of all tested strains, 80.8% were able to transfer antibiotic resistance through conjugation. We also concluded that some new families of human-associated ESBLs and AmpC genes can be found in natural environmental isolates. The prevalence of antibiotic resistance and the dissemination of transferable antibiotic resistance in bacterial isolates (especially in opportunistic pathogens) was alarming and clearly indicated the urgency of realizing the health risks of antibiotic resistance to human and animal populations who are dependent on Lake Taihu for water consumption. PMID:24240317

  4. The role of beta-lactamase in staphylococcal resistance to penicillinase-resistant penicillins and cephalosporins.

    PubMed Central

    McDougal, L K; Thornsberry, C

    1986-01-01

    We showed that most Staphylococcus aureus strains that have borderline or intermediate susceptibility to the penicillinase-resistant penicillins (PRPs) react this way because of the activity of their beta-lactamase on these antimicrobial agents. These strains produced large amounts of staphylococcal beta-lactamase that rapidly hydrolyzed penicillin and partially hydrolyzed the PRPs. Susceptibility to hydrolysis was penicillin greater than oxacillin greater than cephalothin greater than methicillin. The borderline results and the hydrolysis could be prevented by the beta-lactamase inhibitors clavulanic acid and sulbactam. For intrinsically methicillin-resistant (heteroresistant) S. aureus, the inhibitors reduced the penicillin MICs, but the strains remained resistant to all the beta-lactam antimicrobial agents, including penicillin. We conclude that the borderline in vitro susceptibility or resistance to PRPs in most of these S. aureus strains is mediated by beta-lactamase and they are not heteroresistant or intrinsically resistant. We do not know whether this in vitro resistance is expressed clinically. PMID:3011847

  5. Refractory and Resistant Hypertension: Antihypertensive Treatment Failure versus Treatment Resistance

    PubMed Central

    2016-01-01

    Resistant hypertension has for many decades been defined as difficult-to-treat hypertension in order to identify patients who may benefit from special diagnostic and/or therapeutic considerations. Recently, the term "refractory hypertension" has been proposed as a novel phenotype of antihypertensive failure, that is, patients whose blood pressure cannot be controlled with maximal treatment. Early studies of this phenotype indicate that it is uncommon, affecting less than 5% of patients with resistant hypertension. Risk factors for refractory hypertension include obesity, diabetes, chronic kidney disease, and especially, being of African origin. Patients with refractory are at high cardiovascular risk based on increased rates of known heart disease, prior stroke, and prior episodes of congestive heart failure. Mechanisms of refractory hypertension need exploration, but early studies suggest a possible role of heightened sympathetic tone as evidenced by increased office and ambulatory heart rates and higher urinary excretion of norepinephrine compared to patients with controlled resistant hypertension. Important negative findings argue against refractory hypertension being fluid dependent as is typical of resistant hypertension, including aldosterone levels, dietary sodium intake, and brain natriuretic peptide levels being similar or even less than patients with resistant hypertension and the failure to control blood pressure with use of intensive diuretic therapy, including both a long-acting thiazide diuretic and a mineralocorticoid receptor antagonist. Further studies, especially longitudinal assessments, are needed to better characterize this extreme phenotype in terms of risk factors and outcomes and hopefully to identify effective treatment strategies. PMID:27721847

  6. Clostridium difficile Infection and Patient-Specific Antimicrobial Resistance Testing Reveals a High Metronidazole Resistance Rate.

    PubMed

    Barkin, Jodie A; Sussman, Daniel A; Fifadara, Nimita; Barkin, Jamie S

    2017-04-01

    Clostridium difficile (CD) infection (CDI) causes marked morbidity and mortality, accounting for large healthcare expenditures annually. Current CDI treatment guidelines focus on clinical markers of patient severity to determine the preferred antibiotic regimen of metronidazole versus vancomycin. The antimicrobial resistance patterns for patients with CD are currently unknown. The aim of this study was to define the antimicrobial resistance patterns for CD. This study included all patients with stools sent for CD testing to a private laboratory (DRG Laboratory, Alpharetta, Georgia) in a 6-month period from across the USA. Patient data was de-identified, with only age, gender, and zip-code available per laboratory protocol. All samples underwent PCR testing followed by hybridization for CD toxin regions A and B. Only patients with CD-positive PCR were analyzed. Antimicrobial resistance testing using stool genomic DNA evaluated presence of imidazole- and vancomycin-resistant genes using multiplex PCR gene detection. Of 2743, 288 (10.5%) stool samples were positive for CD. Six were excluded per protocol. Of 282, 193 (69.4%) were women, and average age was 49.4 ± 18.7 years. Of 282, 62 were PCR positive for toxins A and B, 160 for toxin A positive alone, and 60 for toxin B positive alone. Antimicrobial resistance testing revealed 134/282 (47.5%) patients resistant to imidazole, 17 (6.1%) resistant to vancomycin, and 9 (3.2%) resistant to imidazole and vancomycin. CD-positive patients with presence of imidazole-resistant genes from stool DNA extract was a common phenomenon, while vancomycin resistance was uncommon. Similar to treatment of other infections, antimicrobial resistance testing should play a role in CDI clinical decision-making algorithms to enable more expedited and cost-effective delivery of patient care.

  7. Embodied Resistance to Persuasion in Advertising.

    PubMed

    Lewinski, Peter; Fransen, Marieke L; Tan, Ed S

    2016-01-01

    From the literature on resistance to persuasion in advertising, much is known about how people can resist advertising by adopting resistance strategies, such as avoidance, counter-arguing, and selective attention (e.g., Fransen et al., 2015b). However, the role of emotion regulation and bodily expression in resisting persuasion is so far underexplored. This is a surprising observation if one considers that at least 40% of advertisements use positive emotions (i.e., happiness) to persuade people to like the ad, brand, and product (Weinberger et al., 1995). In this article we present a framework in which we apply previous knowledge and theories on emotion regulation and embodiment to the process of resistance to persuasion. In doing so, we specifically address the role of facial expression in the course of resistance. The literature and findings from our own research lead us to propose that people can resist persuasion by controlling their facial expression of emotion when exposed to an advertisement. Controlling the expression of emotions elicited by an ad (for example refusing to smile) might be a fruitful way to resist the ad's persuasive potential. Moreover, we argue that co-viewers can affect embodied resistance to persuasion. Showing the viability of embodied resistance to persuasion is relevant in view of the fact that ads trying to persuade us by addressing our positive emotions are ubiquitous. Embodied resistance might help people to cope with these induced positive emotions in order to resist advertisements and might therefore work as a novel and effective strategy to resist persuasion.

  8. Embodied Resistance to Persuasion in Advertising

    PubMed Central

    Lewinski, Peter; Fransen, Marieke L.; Tan, Ed S.

    2016-01-01

    From the literature on resistance to persuasion in advertising, much is known about how people can resist advertising by adopting resistance strategies, such as avoidance, counter-arguing, and selective attention (e.g., Fransen et al., 2015b). However, the role of emotion regulation and bodily expression in resisting persuasion is so far underexplored. This is a surprising observation if one considers that at least 40% of advertisements use positive emotions (i.e., happiness) to persuade people to like the ad, brand, and product (Weinberger et al., 1995). In this article we present a framework in which we apply previous knowledge and theories on emotion regulation and embodiment to the process of resistance to persuasion. In doing so, we specifically address the role of facial expression in the course of resistance. The literature and findings from our own research lead us to propose that people can resist persuasion by controlling their facial expression of emotion when exposed to an advertisement. Controlling the expression of emotions elicited by an ad (for example refusing to smile) might be a fruitful way to resist the ad’s persuasive potential. Moreover, we argue that co-viewers can affect embodied resistance to persuasion. Showing the viability of embodied resistance to persuasion is relevant in view of the fact that ads trying to persuade us by addressing our positive emotions are ubiquitous. Embodied resistance might help people to cope with these induced positive emotions in order to resist advertisements and might therefore work as a novel and effective strategy to resist persuasion. PMID:27574512

  9. Antimicrobial resistance, heavy metal resistance and integron content in bacteria isolated from a South African tilapia aquaculture system.

    PubMed

    Chenia, Hafizah Y; Jacobs, Anelet

    2017-11-21

    Antibacterial compounds and metals co-select for antimicrobial resistance when bacteria harbour resistance genes towards both types of compounds, facilitating the proliferation and evolution of antimicrobial and heavy metal resistance. Antimicrobial and heavy metal resistance indices of 42 Gram-negative bacteria from a tilapia aquaculture system were determined to identify possible correlations between these phenotypes. Agar dilution assays were carried out to determine susceptibility to cadmium, copper, lead, mercury, chromate and zinc, while susceptibility to 21 antimicrobial agents was investigated by disk diffusion assays. Presence of merA, the mercury resistance gene, was determined by dot-blot hybridizations and PCR. Association of mercury resistance with integrons and transposon Tn21 was also investigated by PCR. Isolates displayed a high frequency of antimicrobial (erythromycin: 100%; ampicillin: 85%; trimethoprim: 78%) and heavy metal (Zn2+: 95%; Cd2+: 91%) resistance. No correlation was established between heavy metal and multiple antibiotic resistance indices. Significant positive correlations were observed between heavy metal resistance profiles, indices, Cu2+ and Cr3+ resistance with erythromycin resistance. Significant positive correlations were observed between merA (24%)/Tn21 (24%) presence and heavy metal resistance profiles and indices; however, significant negative correlations were obtained between integron-associated qacE∆1 (43%) and sulI (26%) gene presence and heavy metal resistance indices. Heavy metal and antimicrobial agents co-select for resistance, with fish-associated, resistant bacteria demonstrating simultaneous heavy metal resistance. Thus, care should be taken when using anti-fouling heavy metals as feed additives in aquaculture facilities.

  10. Efflux-mediated resistance identified among norfloxacin resistant clinical strains of group B Streptococcus from South Korea

    PubMed Central

    Dang, Trang Nguyen Doan; Srinivasan, Usha; Britt, Zachary; Marrs, Carl F.; Zhang, Lixin; Ki, Moran; Foxman, Betsy

    2014-01-01

    OBJECTIVES: Group B Streptococcus (GBS), a common bowel commensal, is a major cause of neonatal sepsis and an emerging cause of infection in immune-compromised adult populations. Fluoroquinolones are used to treat GBS infections in those allergic to beta-lactams, but GBS are increasingly resistant to fluoroquinolones. Fluoroquinolone resistance has been previously attributed to quinolone resistance determining regions (QRDRs) mutations. We demonstrate that some of fluoroquinolone resistance is due to efflux-mediated resistance. METHODS: We tested 20 GBS strains resistant only to norfloxacin with no mutations in the QRDRs, for the efflux phenotype using norfloxacin and ethidium bromide as substrates in the presence of the efflux inhibitor reserpine. Also tested were 68 GBS strains resistant only to norfloxacin not screened for QRDRs, and 58 GBS strains resistant to ciprofloxacin, levofloxacin or moxifloxacin. Isolates were randomly selected from 221 pregnant women (35-37 weeks of gestation) asymptomatically carrying GBS, and 838 patients with GBS infection identified in South Korea between 2006 and 2008. The VITEK II automatic system (Biomerieux, Durham, NC, USA) was used to determine fluoroquinolone resistance. RESULTS: The reserpine associated efflux phenotype was found in more than half of GBS strains resistant only to norfloxacin with no QRDR mutations, and half where QRDR mutations were unknown. No evidence of the efflux phenotype was detected in GBS strains that were resistant to moxifloxacin or levofloxacin or both. The reserpine sensitive efflux phenotype resulted in moderate increases in norfloxacin minimum inhibitory concentration (average=3.6 fold, range=>1-16 fold). CONCLUSIONS: A substantial portion of GBS strains resistant to norfloxacin have an efflux phenotype. PMID:25322878

  11. Origin of resistivity in reconnection

    NASA Astrophysics Data System (ADS)

    Treumann, Rudolf A.

    2001-06-01

    Resistivity is believed to play an important role in reconnection leading to the distinction between resistive and collisionless reconnection. The former is treated in the Sweet-Parker model of long current sheets, and the Petschek model of a small resistive region. Both models in spite of their different dynamics attribute to the violation of the frozen-in condition in their diffusion regions due to the action of resistivity. In collisionless reconnection there is little consensus about the processes breaking the frozen-in condition. The question is whether anomalous processes generate sufficient resistivity or whether other processes free the particles from slavery by the magnetic field. In the present paper we review processes that may cause anomalous resistivity in collisionless current sheets. Our general conclusion is that in space plasma boundaries accessible to in situ spacecraft, wave levels have always been found to be high enough to explain the existence of large enough local diffusivity for igniting local reconnection. However, other processes might take place as well. Non-resistive reconnection can be caused by inertia or diamagnetism.

  12. Glyphosate resistance: state of knowledge

    PubMed Central

    Sammons, Robert Douglas; Gaines, Todd A

    2014-01-01

    Studies of mechanisms of resistance to glyphosate have increased current understanding of herbicide resistance mechanisms. Thus far, single-codon non-synonymous mutations of EPSPS (5-enolypyruvylshikimate-3-phosphate synthase) have been rare and, relative to other herbicide mode of action target-site mutations, unconventionally weak in magnitude for resistance to glyphosate. However, it is possible that weeds will emerge with non-synonymous mutations of two codons of EPSPS to produce an enzyme endowing greater resistance to glyphosate. Today, target-gene duplication is a common glyphosate resistance mechanism and could become a fundamental process for developing any resistance trait. Based on competition and substrate selectivity studies in several species, rapid vacuole sequestration of glyphosate occurs via a transporter mechanism. Conversely, as the chloroplast requires transporters for uptake of important metabolites, transporters associated with the two plastid membranes may separately, or together, successfully block glyphosate delivery. A model based on finite glyphosate dose and limiting time required for chloroplast loading sets the stage for understanding how uniquely different mechanisms can contribute to overall glyphosate resistance. PMID:25180399

  13. Atrazine Resistance in Chenopodium album

    PubMed Central

    Bettini, Priscilla; McNally, Sheila; Sevignac, Mireille; Darmency, Henri; Gasquez, Jacques; Dron, Michel

    1987-01-01

    In Chenopodium album two different levels of atrazine resistance have been found according to following criteria: lethal dose and leaf fluorescence curve. The intermediate (I) phenotype is represented by a low level of resistance and a typical I fluorescence curve. It arose at high frequency, within one generation, after self-pollination of particular plants displaying a susceptible (S) phenotype. The resistance phenotype (Ri) has a high level of resistance and presents a typical resistant fluorescence curve. It appeared after self-pollination of chemically treated I plants. The I, Ri, and also R (resistant plants found in atrazine treated fields) phenotypes contain a serine to glycine mutation at amino acid position 264 in the chloroplast psbA gene product. The steady state level of the psbA gene transcript is not modified between S, I, Ri, and R phenotypes. Images Fig. 4 PMID:16665624

  14. Hole-to-surface resistivity measurements.

    USGS Publications Warehouse

    Daniels, J.J.

    1983-01-01

    Hole-to-surface resistivity measurements over a layered volcanic tuff sequence illustrate procedures for gathering, reducing, and interpreting hole-to-surface resistivity data. The magnitude and direction of the total surface electric field resulting from a buried current source is calculated from orthogonal potential difference measurements for a grid of closely spaced stations. A contour map of these data provides a detailed map of the distribution of the electric field away from the drill hole. Resistivity anomalies can be enhanced by calculating the difference between apparent resistivities calculated from the total surface electric field and apparent resistivities for a layered earth model.-from Author

  15. Measuring The Contact Resistances Of Photovoltaic Cells

    NASA Technical Reports Server (NTRS)

    Burger, D. R.

    1985-01-01

    Simple method devised to measure contact resistances of photovoltaic solar cells. Method uses readily available equipment and applicable at any time during life of cell. Enables evaluation of cell contact resistance, contact-end resistance, contact resistivity, sheet resistivity, and sheet resistivity under contact.

  16. Staphylococcus aureus: methicillin-susceptible S. aureus to methicillin-resistant S. aureus and vancomycin-resistant S. aureus.

    PubMed

    Rehm, Susan J; Tice, Alan

    2010-09-15

    The evolution of methicillin-resistant and vancomycin-resistant Staphylococcus aureus has demanded serious review of antimicrobial use and development of new agents and revised approaches to prevent and overcome drug resistance. Depending on local conditions and patient risk factors, empirical therapy of suspected S. aureus infection may require coverage of drug-resistant organisms with newer agents and novel antibiotic combinations. The question of treatment with inappropriate antibiotics raises grave concerns with regard to methicillin-resistant S. aureus selection, overgrowth, and increased virulence. Several strategies to reduce the nosocomial burden of resistance are suggested, including shortened hospital stays and outpatient parenteral antimicrobial therapy of the most serious infections.

  17. Resistive Exercise Device

    NASA Technical Reports Server (NTRS)

    Smith, Damon C. (Inventor)

    2005-01-01

    An exercise device 10 is particularly well suited for use in low gravity environments, and includes a frame 12 with plurality of resistance elements 30,82 supported in parallel on the frame. A load transfer member 20 is moveable relative to the frame for transferring the applied force to the free end of each captured resistance element. Load selection template 14 is removably secured both to the load transfer member, and a plurality of capture mechanisms engage the free end of corresponding resistance elements. The force applying mechanism 53 may be a handle, harness or other user interface for applying a force to move the load transfer member.

  18. Mold-Resistant Construction.

    ERIC Educational Resources Information Center

    Huckabee, Christopher

    2003-01-01

    Asserts that one of the surest ways to prevent indoor air quality and mold issues is to use preventive construction materials, discussing typical resistance to dealing with mold problems (usually budget-related) and describing mold-resistant construction, which uses concrete masonry, brick, and stone and is intended to withstand inevitable…

  19. Incidence of multidrug-resistant, extensively drug-resistant and pan-drug-resistant bacteria in children hospitalized at Dr. Hasan Sadikin general hospital Bandung Indonesia

    NASA Astrophysics Data System (ADS)

    Adrizain, R.; Suryaningrat, F.; Alam, A.; Setiabudi, D.

    2018-03-01

    Antibiotic resistance has become a global issue, with 700,000 deaths attributable to multidrug-resistance (MDR) occurring each year. Centers for Disease Control and Prevention (CDC) show rapidly increasing rates of infection due to antibiotic-resistant bacteria. The aim of the study isto describe the incidence of MDR, extensively drug-resistant (XDR) and pan drug-resistant (PDR) in Enterococcus spp., Staphylococcus aureus, K. pneumonia, Acinetobacter baumanii, P. aeruginosin, and Enterobacter spp. (ESKAPE) pathogens in children admitted to Dr. Hasan Sadikin Hospital. All pediatric patients having blood culture drawn from January 2015 to December 2016 were retrospectively studied. Data include the number of drawn blood culture, number of positive results, type of bacteria, sensitivity pattern. International standard definitions for acquired resistance by ECDC and CDC was used as definitions for MDR, XDR and PDR bacteria. From January 2015 to December 2016, 299 from 2.542 (11.7%) blood culture was positive, with Staphylococcus aureus, Enterococcus spp., Enterobacteriaceae, Pseudomonas aeruginosa, Acinetobacter spp., respectively 5, 6, 24, 5, 20 with total 60 (20%). The MDR and XDR pathogen found were 47 and 13 patients, respectively.

  20. Repair-Resistant DNA Lesions

    PubMed Central

    2017-01-01

    The eukaryotic global genomic nucleotide excision repair (GG-NER) pathway is the major mechanism that removes most bulky and some nonbulky lesions from cellular DNA. There is growing evidence that certain DNA lesions are repaired slowly or are entirely resistant to repair in cells, tissues, and in cell extract model assay systems. It is well established that the eukaryotic DNA lesion-sensing proteins do not detect the damaged nucleotide, but recognize the distortions/destabilizations in the native DNA structure caused by the damaged nucleotides. In this article, the nature of the structural features of certain bulky DNA lesions that render them resistant to NER, or cause them to be repaired slowly, is compared to that of those that are good-to-excellent NER substrates. Understanding the structural features that distinguish NER-resistant DNA lesions from good NER substrates may be useful for interpreting the biological significance of biomarkers of exposure of human populations to genotoxic environmental chemicals. NER-resistant lesions can survive to replication and cause mutations that can initiate cancer and other diseases. Furthermore, NER diminishes the efficacy of certain chemotherapeutic drugs, and the design of more potent pharmaceuticals that resist repair can be advanced through a better understanding of the structural properties of DNA lesions that engender repair-resistance. PMID:28750166

  1. A double EPSPS gene mutation endowing glyphosate resistance shows a remarkably high resistance cost.

    PubMed

    Han, Heping; Vila-Aiub, Martin M; Jalaludin, Adam; Yu, Qin; Powles, Stephen B

    2017-12-01

    A novel glyphosate resistance double point mutation (T102I/P106S, TIPS) in the 5-enolpyruvylshikimate-3-phosphate synthase (EPSPS) gene has been recently identified for the first time only in the weed species Eleusine indica. Quantification of plant resistance cost associated with the TIPS and the often reported glyphosate resistance single P106S mutation was performed. A significant resistance cost (50% in seed number currency) associated with the homozygous TIPS but not the homozygous P106S EPSPS variant was identified in E. indica plants. The resistance cost associated with the TIPS mutation escalated to 85% in plants under resource competition with rice crops. The resistance cost was not detected in nonhomozygous TIPS plants denoting the recessive nature of the cost associated with the TIPS allele. An excess of 11-fold more shikimate and sixfold more quinate in the shikimate pathway was detected in TIPS plants in the absence of glyphosate treatment compared to wild type, whereas no changes in these compounds were observed in P106S plants when compared to wild type. TIPS plants show altered metabolite levels in several other metabolic pathways that may account for the expression of the observed resistance cost. © 2017 John Wiley & Sons Ltd.

  2. Insecticides resistance in the Culex quinquefasciatus populations from northern Thailand and possible resistance mechanisms.

    PubMed

    Yanola, Jintana; Chamnanya, Saowanee; Lumjuan, Nongkran; Somboon, Pradya

    2015-09-01

    The mosquito vector Culex quinquefasciatus is known to be resistant to insecticides worldwide, including Thailand. This study was the first investigation of the insecticide resistance mechanisms, involving metabolic detoxification and target site insensitivity in C. quinquefasciatus from Thailand. Adult females reared from field-caught larvae from six provinces of northern Thailand were determined for resistant status by exposing to 0.05% deltamethrin, 0.75% permethrin and 5% malathion papers using the standard WHO susceptibility test. The overall mortality rates were 45.8%, 11.4% and 80.2%, respectively. A fragment of voltage-gated sodium channel gene was amplified and sequenced to identify the knock down resistance (kdr) mutation. The ace-1 gene mutation was determined by using PCR-RFLP. The L1014F kdr mutation was observed in all populations, but the homozygous mutant F/F1014 genotype was found only in two of the six provinces where the kdr mutation was significantly correlated with deltamethrin resistance. However, none of mosquitoes had the G119S mutation in the ace-1 gene. A laboratory deltamethrin resistant strain, Cq_CM_R, has been established showing a highly resistant level after selection for a few generations. The mutant F1014 allele frequency was significantly increased after one generation of selection. A synergist assay was performed to assess the metabolic detoxifying enzymes. Addition of bis(4-nitrophenyl)-phosphate (BNPP) and diethyl maleate (DEM), inhibitors of esterases and glutathione S-transferases (GST), respectively, into the larval bioassay of the Cq_CM strain with deltamethrin showed no significant reduction. By contrast, addition of piperonyl butoxide (PBO), an inhibitor of cytochrome P450 monooxygenases, showed a 9-fold reduction of resistance. Resistance to pyrethroids in C. quinquefasciatus is widely distributed in northern Thailand. This study reports for the first time for the detection of the L1014F kdr mutation in wild populations

  3. Antibacterial resistance: an emerging 'zoonosis'?

    PubMed

    Labro, Marie-Thérèse; Bryskier, Jean-Marie

    2014-12-01

    Antibacterial resistance is a worldwide threat, and concerns have arisen about the involvement of animal commensal and pathogenic bacteria in the maintenance and spread of resistance genes. However, beyond the facts related to the occurrence of resistant microorganisms in food, food-producing animals and companion animals and their transmission to humans, it is important to consider the vast environmental 'resistome', the selective pathways underlying the emergence of antibacterial resistance and how we can prepare answers for tomorrow.

  4. Flow resistance dynamics in step‐pool stream channels: 1. Large woody debris and controls on total resistance

    USGS Publications Warehouse

    Wilcox, Andrew C.; Wohl, Ellen E.

    2006-01-01

    Flow resistance dynamics in step‐pool channels were investigated through physical modeling using a laboratory flume. Variables contributing to flow resistance in step‐pool channels were manipulated in order to measure the effects of various large woody debris (LWD) configurations, steps, grains, discharge, and slope on total flow resistance. This entailed nearly 400 flume runs, organized into a series of factorial experiments. Factorial analyses of variance indicated significant two‐way and three‐way interaction effects between steps, grains, and LWD, illustrating the complexity of flow resistance in these channels. Interactions between steps and LWD resulted in substantially greater flow resistance for steps with LWD than for steps lacking LWD. LWD position contributed to these interactions, whereby LWD pieces located near the lip of steps, analogous to step‐forming debris in natural channels, increased the effective height of steps and created substantially higher flow resistance than pieces located farther upstream on step treads. Step geometry and LWD density and orientation also had highly significant effects on flow resistance. Flow resistance dynamics and the resistance effect of bed roughness configurations were strongly discharge‐dependent; discharge had both highly significant main effects on resistance and highly significant interactions with all other variables.

  5. Buprofezin susceptibility survey, resistance selection and preliminary determination of the resistance mechanism in Nilaparvata lugens (Homoptera: Delphacidae).

    PubMed

    Wang, Yanhua; Gao, Congfen; Xu, Zhiping; Zhu, Yu Cheng; Zhang, Jiushuang; Li, Wenhong; Dai, Dejiang; Lin, Youwei; Zhou, Weijun; Shen, Jinliang

    2008-10-01

    Buprofezin has been used for many years to control Nilaparvata lugens (Stål). Assessment of susceptibility change in the insect is essential for maintaining control efficiency and resistance management. Eleven-year surveys showed that most field populations were susceptible before 2004. However, substantially higher levels of resistance (up to 28-fold) were found in most of the rice fields in China after 2004. A field population was collected and periodically selected for buprofezin resistance in the laboratory. After 65 generations (56 were selected), the colony successfully obtained 3599-fold resistance to buprofezin. Synergism tests showed that O,O-diethyl-O-phenyl phosphorothioate (SV1), piperonyl butoxide (PBO) and diethyl maleate (DEM) increased buprofezin toxicity in the resistant strain by only 1.5-1.6 fold, suggesting that esterases, P450-monooxygenases and glutathione S-transferases had no substantial effect on buprofezin resistance development. The results from this study indicate that N. lugens has the potential to develop high resistance to buprofezin. A resistance management program with rotation of buprofezin and other pesticides may efficiently delay or slow down resistance development in the insect. Further investigation is also necessary to understand the resistance mechanisms in N. lugens.

  6. Mapping the resistance-associated mobilome of a carbapenem-resistant Klebsiella pneumoniae strain reveals insights into factors shaping these regions and facilitates generation of a 'resistance-disarmed' model organism.

    PubMed

    Bi, Dexi; Jiang, Xiaofei; Sheng, Zi-Ke; Ngmenterebo, David; Tai, Cui; Wang, Minggui; Deng, Zixin; Rajakumar, Kumar; Ou, Hong-Yu

    2015-10-01

    This study aims to investigate the landscape of the mobile genome, with a focus on antibiotic resistance-associated factors in carbapenem-resistant Klebsiella pneumoniae. The mobile genome of the completely sequenced K. pneumoniae HS11286 strain (an ST11, carbapenem-resistant, near-pan-resistant, clinical isolate) was annotated in fine detail. The identified mobile genetic elements were mapped to the genetic contexts of resistance genes. The blaKPC-2 gene and a 26 kb region containing 12 clustered antibiotic resistance genes and one biocide resistance gene were deleted, and the MICs were determined again to ensure that antibiotic resistance had been lost. HS11286 contains six plasmids, 49 ISs, nine transposons, two separate In2-related integron remnants, two integrative and conjugative elements (ICEs) and seven prophages. Sixteen plasmid-borne resistance genes were identified, 14 of which were found to be directly associated with Tn1721-, Tn3-, Tn5393-, In2-, ISCR2- and ISCR3-derived elements. IS26 appears to have actively moulded several of these genetic regions. The deletion of blaKPC-2, followed by the deletion of a 26 kb region containing 12 clustered antibiotic resistance genes, progressively decreased the spectrum and level of resistance exhibited by the resultant mutant strains. This study has reiterated the role of plasmids as bearers of the vast majority of resistance genes in this species and has provided valuable insights into the vital role played by ISs, transposons and integrons in shaping the resistance-coding regions in this important strain. The 'resistance-disarmed' K. pneumoniae ST11 strain generated in this study will offer a more benign and readily genetically modifiable model organism for future extensive functional studies. © The Author 2015. Published by Oxford University Press on behalf of the British Society for Antimicrobial Chemotherapy. All rights reserved. For Permissions, please e-mail: journals.permissions@oup.com.

  7. Development of disease-resistant rice using regulatory components of induced disease resistance

    PubMed Central

    Takatsuji, Hiroshi

    2014-01-01

    Infectious diseases cause huge crop losses annually. In response to pathogen attacks, plants activate defense systems that are mediated through various signaling pathways. The salicylic acid (SA) signaling pathway is the most powerful of these pathways. Several regulatory components of the SA signaling pathway have been identified, and are potential targets for genetic manipulation of plants’ disease resistance. However, the resistance associated with these regulatory components is often accompanied by fitness costs; that is, negative effects on plant growth and crop yield. Chemical defense inducers, such as benzothiadiazole and probenazole, act on the SA pathway and induce strong resistance to various pathogens without major fitness costs, owing to their ‘priming effect.’ Studies on how benzothiadiazole induces disease resistance in rice have identified WRKY45, a key transcription factor in the branched SA pathway, and OsNPR1/NH1. Rice plants overexpressing WRKY45 were extremely resistant to rice blast disease caused by the fungus Magnaporthe oryzae and bacterial leaf blight disease caused by Xanthomonas oryzae pv. oryzae (Xoo), the two major rice diseases. Disease resistance is often accompanied by fitness costs; however, WRKY45 overexpression imposed relatively small fitness costs on rice because of its priming effect. This priming effect was similar to that of chemical defense inducers, although the fitness costs were amplified by some environmental factors. WRKY45 is degraded by the ubiquitin–proteasome system, and the dual role of this degradation partly explains the priming effect. The synergistic interaction between SA and cytokinin signaling that activates WRKY45 also likely contributes to the priming effect. With a main focus on these studies, I review the current knowledge of SA-pathway-dependent defense in rice by comparing it with that in Arabidopsis, and discuss potential strategies to develop disease-resistant rice using signaling components

  8. Improvement of resist profile roughness in bilayer resist process

    NASA Astrophysics Data System (ADS)

    Jeong, Chang-Young; Ryu, Sang-Wook; Park, Ki-Yeop; Lee, Won-Kyu; Lee, Seung-Woog; Lee, Dai-Hoon

    2000-06-01

    The bi-layer resist (BLR) process, which first accomplish imaging on a thin top layer and transfer it down to a thick organic layer, is one of newly emerging patterning techniques in silicon processing. In this work, we studied the lithographic performance of the BLR process adopting FK- SPTM (Fujifilm Olin Co.) as top layer material and various organic material as bottom layer. Generally, considerable advantages of planarization, reduced substrate reflection, improved process latitude, and of enhanced resolution are achieved. However, the resolution and the process latitude are highly affected by surface interaction between the top resist and the bottom material. Moreover, the BLR process has a sidewall roughness problem related to the material factors of the resist and the degraded aerial image contrast, which can affect the reliability of the device. We found that thermal curing treatment applied after development with the consideration of the glass transition temperature are very effective in reducing the line edge roughness. More smooth and steep patterning is achieved by the thermal treatment. The linewidth controllability is below 10 nm and the k1 value is reduced from 0.5 down to 0.32 in this process. The reactive ion etching adopting O2 gas demonstrated selectivity of the top resist over bottom material more than 15:1, together with residue-free and vertical wall profile.

  9. Deep Sequencing of Pyrethroid-Resistant Bed Bugs Reveals Multiple Mechanisms of Resistance within a Single Population

    PubMed Central

    Adelman, Zach N.; Kilcullen, Kathleen A.; Koganemaru, Reina; Anderson, Michelle A. E.; Anderson, Troy D.; Miller, Dini M.

    2011-01-01

    A frightening resurgence of bed bug infestations has occurred over the last 10 years in the U.S. and current chemical methods have been inadequate for controlling this pest due to widespread insecticide resistance. Little is known about the mechanisms of resistance present in U.S. bed bug populations, making it extremely difficult to develop intelligent strategies for their control. We have identified bed bugs collected in Richmond, VA which exhibit both kdr-type (L925I) and metabolic resistance to pyrethroid insecticides. Using LD50 bioassays, we determined that resistance ratios for Richmond strain bed bugs were ∼5200-fold to the insecticide deltamethrin. To identify metabolic genes potentially involved in the detoxification of pyrethroids, we performed deep-sequencing of the adult bed bug transcriptome, obtaining more than 2.5 million reads on the 454 titanium platform. Following assembly, analysis of newly identified gene transcripts in both Harlan (susceptible) and Richmond (resistant) bed bugs revealed several candidate cytochrome P450 and carboxylesterase genes which were significantly over-expressed in the resistant strain, consistent with the idea of increased metabolic resistance. These data will accelerate efforts to understand the biochemical basis for insecticide resistance in bed bugs, and provide molecular markers to assist in the surveillance of metabolic resistance. PMID:22039447

  10. Investigating the Antibiotic Resistance Problem.

    ERIC Educational Resources Information Center

    Lawson, Michael; Lawson, Amy L.

    1998-01-01

    Seeks to give teachers useful information on the extent of the problem of antibiotic-resistant bacteria, mechanisms bacteria use to resist antibiotics, the causes of the emergence of antibiotic-resistant organisms, and practices that can prevent or reverse this trend. Contains 19 references. (DDR)

  11. Analysis of metal and biocides resistance genes in drug resistance and susceptible Salmonella enterica from food animals

    USDA-ARS?s Scientific Manuscript database

    Background Generally drug resistant bacteria carry antibiotic resistance genes and heavy metal and biocide resistance genes on large conjugative plasmids. The presence of these metal and biocide resistance genes in susceptible bacteria are not assessed comprehensively. Hence, WGS data of susceptib...

  12. Plasmid-determined resistance to tellurium compounds.

    PubMed Central

    Summers, A O; Jacoby, G A

    1977-01-01

    Transferable plasmids in gram-negative bacteria that confer resistance to potassium tellurite or tellurate were found. This re-istance was distinct from resistance to mercury, silver, or arsenic compounds and was unrelated to antibiotic resistance. In Escherichia coli, plasmids determine a 100-fold increase in the minimal inhibitory concentration for tellurite and a 10-fold increase in tellurate resistance. Many, but not all, of the plasmids belong to incompatibility group S. In Pseudomonas aeruginosa, tellurium resistance is specifically associated with incompatibility group P-2 and involves a 5- to 10-fold increase in tellurite or tellurate resistance. Images PMID:401494

  13. Resistance of green lacewing, Chrysoperla carnea Stephens to nitenpyram: Cross-resistance patterns, mechanism, stability, and realized heritability.

    PubMed

    Mansoor, Muhammad Mudassir; Raza, Abu Bakar Muhammad; Abbas, Naeem; Aqueel, Muhammad Anjum; Afzal, Muhammad

    2017-01-01

    The green lacewing, Chrysoperla carnea Stephens (Neuroptera: Chrysopidae) is a major generalist predator employed in integrated pest management (IPM) plans for pest control on many crops. Nitenpyram, a neonicotinoid insecticide has widely been used against the sucking pests of cotton in Pakistan. Therefore, a field green lacewing strain was exposed to nitenpyram for five generations to investigate resistance evolution, cross-resistance pattern, stability, realized heritability, and mechanisms of resistance. Before starting the selection with nitenpyram, a field collected strain showed 22.08-, 23.09-, 484.69- and 602.90-fold resistance to nitenpyram, buprofezin, spinosad and acetamiprid, respectively compared with the Susceptible strain. After continuous selection for five generations (G1-G5) with nitenpyram in the laboratory, the Field strain (Niten-SEL) developed a resistance ratio of 423.95 at G6. The Niten-SEL strain at G6 showed no cross-resistance to buprofezin and acetamiprid and negative cross-resistance to spinosad compared with the Field strain (G1). For resistance stability, the Niten-SEL strain was left unexposed to any insecticide for four generations (G6-G9) and bioassay results at G10 showed that resistance to nitenpyram, buprofezin and spinosad was stable, while resistance to acetamiprid was unstable. The realized heritability values were 0.97, 0.16, 0.03, and -0.16 to nitenpyram, buprofezin, acetamiprid and spinosad, respectively, after five generations of selection. Moreover, the enzyme inhibitors (PBO or DEF) significantly decreased the nitenpyram resistance in the resistant strain, suggesting that resistance was due to microsomal oxidases and esterases. These results are very helpful for integration of green lacewings in IPM programs. Copyright © 2016 Elsevier B.V. All rights reserved.

  14. Spirotetramat Resistance Selected in the Phenacoccus solenopsis (Homoptera: Pseudococcidae): Cross-Resistance Patterns, Stability, and Fitness Costs Analysis.

    PubMed

    Ejaz, Masood; Ali Shad, Sarfraz

    2017-06-01

    The Phenacoccus solenopsis Tinsley (Homoptera: Pseudococcidae) is a major agricultural and horticultural pest of crops throughout the world. To develop a better resistance management strategy for P. solenopsis, we conducted a study on life history parameters of different populations of this pest, one selected with spirotetramat (Spiro-SEL), an unselected (UNSEL) population, and their reciprocal crosses. We also studied the cross-resistance and the stability of spirotetramat resistance. The Spiro-SEL of P. solenopsis exhibited a 328.69-fold resistance compared to the susceptible population (Lab-PK). The Spiro-SEL population also displayed a moderate level of cross-resistance to profenofos and bifenthrin and a high level of cross-resistance to abamectin. Resistance to spirotetramat in Spiro-SEL was unstable in the absence of selection. The study of life history parameters showed that there was a significant reduction in fitness parameters of Spiro-SEL population with a relative fitness value of 0.14. There was a significant decrease in survival rate, pupal weight, fecundity, egg hatching percentage, male and female generation time, intrinsic rate of population increase of males and females, biotic potential, and mean relative growth rate. It is concluded that selection with spirotetramat had marked effect on resistance development in P. solenopsis and upon removal of selection pressure spirotetramat resistance declined significantly, indicating unstable resistance. Development of resistance led to high fitness costs for the spirotetramat-selected population. Our study may provide the basic information on spirotetramat resistance and its mechanism to help develop the resistance management strategies. © The Authors 2017. Published by Oxford University Press on behalf of Entomological Society of America. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  15. Antibiotic resistance increases with local temperature

    NASA Astrophysics Data System (ADS)

    MacFadden, Derek R.; McGough, Sarah F.; Fisman, David; Santillana, Mauricio; Brownstein, John S.

    2018-06-01

    Bacteria that cause infections in humans can develop or acquire resistance to antibiotics commonly used against them1,2. Antimicrobial resistance (in bacteria and other microbes) causes significant morbidity worldwide, and some estimates indicate the attributable mortality could reach up to 10 million by 20502-4. Antibiotic resistance in bacteria is believed to develop largely under the selective pressure of antibiotic use; however, other factors may contribute to population level increases in antibiotic resistance1,2. We explored the role of climate (temperature) and additional factors on the distribution of antibiotic resistance across the United States, and here we show that increasing local temperature as well as population density are associated with increasing antibiotic resistance (percent resistant) in common pathogens. We found that an increase in temperature of 10 °C across regions was associated with an increases in antibiotic resistance of 4.2%, 2.2%, and 2.7% for the common pathogens Escherichia coli, Klebsiella pneumoniae and Staphylococcus aureus. The associations between temperature and antibiotic resistance in this ecological study are consistent across most classes of antibiotics and pathogens and may be strengthening over time. These findings suggest that current forecasts of the burden of antibiotic resistance could be significant underestimates in the face of a growing population and climate change4.

  16. Resistance to dual-gene Bt maize in Spodoptera frugiperda: selection, inheritance, and cross-resistance to other transgenic events

    PubMed Central

    Santos-Amaya, Oscar F.; Rodrigues, João V. C.; Souza, Thadeu C.; Tavares, Clébson S.; Campos, Silverio O.; Guedes, Raul N.C.; Pereira, Eliseu J.G.

    2015-01-01

    Transgenic crop “pyramids” producing two or more Bacillus thuringiensis (Bt) toxins active against the same pest are used to delay evolution of resistance in insect pest populations. Laboratory and greenhouse experiments were performed with fall armyworm, Spodoptera frugiperda, to characterize resistance to Bt maize producing Cry1A.105 and Cry2Ab and test some assumptions of the “pyramid” resistance management strategy. Selection of a field-derived strain of S. frugiperda already resistant to Cry1F maize with Cry1A.105 + Cry2Ab maize for ten generations produced resistance that allowed the larvae to colonize and complete the life cycle on these Bt maize plants. Greenhouse experiments revealed that the resistance was completely recessive (Dx = 0), incomplete, autosomal, and without maternal effects or cross-resistance to the Vip3Aa20 toxin produced in other Bt maize events. This profile of resistance supports some of the assumptions of the pyramid strategy for resistance management. However, laboratory experiments with purified Bt toxin and plant leaf tissue showed that resistance to Cry1A.105 + Cry2Ab2 maize further increased resistance to Cry1Fa, which indicates that populations of fall armyworm have high potential for developing resistance to some currently available pyramided maize used against this pest, especially where resistance to Cry1Fa was reported in the field. PMID:26675246

  17. Resistance to dual-gene Bt maize in Spodoptera frugiperda: selection, inheritance, and cross-resistance to other transgenic events.

    PubMed

    Santos-Amaya, Oscar F; Rodrigues, João V C; Souza, Thadeu C; Tavares, Clébson S; Campos, Silverio O; Guedes, Raul N C; Pereira, Eliseu J G

    2015-12-17

    Transgenic crop "pyramids" producing two or more Bacillus thuringiensis (Bt) toxins active against the same pest are used to delay evolution of resistance in insect pest populations. Laboratory and greenhouse experiments were performed with fall armyworm, Spodoptera frugiperda, to characterize resistance to Bt maize producing Cry1A.105 and Cry2Ab and test some assumptions of the "pyramid" resistance management strategy. Selection of a field-derived strain of S. frugiperda already resistant to Cry1F maize with Cry1A.105 + Cry2Ab maize for ten generations produced resistance that allowed the larvae to colonize and complete the life cycle on these Bt maize plants. Greenhouse experiments revealed that the resistance was completely recessive (Dx = 0), incomplete, autosomal, and without maternal effects or cross-resistance to the Vip3Aa20 toxin produced in other Bt maize events. This profile of resistance supports some of the assumptions of the pyramid strategy for resistance management. However, laboratory experiments with purified Bt toxin and plant leaf tissue showed that resistance to Cry1A.105 + Cry2Ab2 maize further increased resistance to Cry1Fa, which indicates that populations of fall armyworm have high potential for developing resistance to some currently available pyramided maize used against this pest, especially where resistance to Cry1Fa was reported in the field.

  18. Production of low-affinity penicillin-binding protein by low- and high-resistance groups of methicillin-resistant Staphylococcus aureus.

    PubMed Central

    Murakami, K; Nomura, K; Doi, M; Yoshida, T

    1987-01-01

    Methicillin- and cephem-resistant Staphylococcus aureus (137 strains) for which the cefazolin MICs are at least 25 micrograms/ml could be classified into low-resistance (83% of strains) and high-resistance (the remaining 17%) groups by the MIC of flomoxef (6315-S), a 1-oxacephalosporin. The MICs were less than 6.3 micrograms/ml and more than 12.5 micrograms/ml in the low- and high-resistance groups, respectively. All strains produced penicillin-binding protein 2' (PBP 2'), which has been associated with methicillin resistance and which has very low affinity for beta-lactam antibiotics. Production of PBP 2' was regulated differently in low- and high-resistance strains. With penicillinase-producing strains of the low-resistance group, cefazolin, cefamandole, and cefmetazole induced PBP 2' production about 5-fold, while flomoxef induced production 2.4-fold or less. In contrast, penicillinase-negative variants of low-resistance strains produced PBP 2' constitutively in large amounts and induction did not occur. With high-resistance strains, flomoxef induced PBP 2' to an extent similar to that of cefazolin in both penicillinase-producing and -negative strains, except for one strain in which the induction did not occur. The amount of PBP 2' induced by beta-lactam antibiotics in penicillinase-producing strains of the low-resistance group correlated well with resistance to each antibiotic. Large amounts of PBP 2' in penicillinase-negative variants of the low-resistance group did not raise the MICs of beta-lactam compounds, although these strains were more resistant when challenged with flomoxef for 2 h. Different regulation of PBP 2' production was demonstrated in the high- and low-resistance groups, and factor(s) other than PBP 2' were suggested to be involved in the methicillin resistance of high-resistance strains. Images PMID:3499861

  19. Evaluation of Aminoglycoside and Carbapenem Resistance in a Collection of Drug-Resistant Pseudomonas aeruginosa Clinical Isolates.

    PubMed

    Holbrook, Selina Y L; Garneau-Tsodikova, Sylvie

    2017-12-20

    Pseudomonas aeruginosa, a Gram-negative bacterium, is a member of the ESKAPE pathogens and one of the leading causes of healthcare-associated infections worldwide. Aminoglycosides (AGs) are recognized for their efficacy against P. aeruginosa. The most common resistance mechanism against AGs is the acquisition of AG-modifying enzymes (AMEs) by the bacteria, including AG N-acetyltransferases (AACs), AG O-phosphotransferases (APHs), and AG O-nucleotidyltransferases (ANTs). In this study, we obtained 122 multidrug-resistant P. aeruginosa clinical isolates and evaluated the antibacterial effects of six AGs and two carbapenems alone against all clinical isolates, and in combination against eight selected strains. We further probed for four representatives of the most common AME genes [aac(6')-Ib, aac(3)-IV, ant(2")-Ia, and aph(3')-Ia] by polymerase chain reaction (PCR) and compared the AME patterns of these 122 clinical isolates to their antibiotic resistance profile. Among the diverse antibiotics resistance profile displayed by these clinical isolates, we found correlations between the resistance to various AGs as well as between the resistance to one AG and the resistance to carbapenems. PCR results revealed that the presence of aac(6')-Ib renders these isolates more resistant to a variety of antibiotics. The correlation between resistance to various AGs and carbapenems partially reflects the complex resistance strategies adapted in these pathogens and encourages the development of strategic treatment for each P. aeruginosa infection by considering the genetic information of each isolated bacteria.

  20. Resistive neuristor junctions

    NASA Technical Reports Server (NTRS)

    Reible, Stanley A. (Inventor)

    1976-01-01

    A neuristor R-junction is provided by coupling neuristor lines by paths of varying resistance so that a pulse being propagated on one line when coupled to a portion of the second line through a relatively high resistive path will place the second line in the refractory mode thus preventing the propagation of a pulse through that portion of second line; however the same pulse coupled to another portion of the second line through a lower resistance path will cause a pulse to be produced in the second line and propagated in that portion of second line which is not in the refractory mode. Various logic and storage circuits are included in the disclosure.

  1. Co-occurrence of antibiotic drugs, resistant bacteria and resistance genes in runoff from cattle feedlots

    USDA-ARS?s Scientific Manuscript database

    Agricultural uses of antibiotics raises concerns about the development of antibiotic resistance in food animals, and the potential to transmit resistance to human clinical settings via fecal contamination of surface and ground water. Although there is broad agreement that agricultural resistance can...

  2. Metabolic vulnerability of cisplatin-resistant cancers.

    PubMed

    Obrist, Florine; Michels, Judith; Durand, Sylvere; Chery, Alexis; Pol, Jonathan; Levesque, Sarah; Joseph, Adrien; Astesana, Valentina; Pietrocola, Federico; Wu, Gen Sheng; Castedo, Maria; Kroemer, Guido

    2018-06-06

    Cisplatin is the most widely used chemotherapeutic agent, and resistance of neoplastic cells against this cytoxicant poses a major problem in clinical oncology. Here, we explored potential metabolic vulnerabilities of cisplatin-resistant non-small human cell lung cancer and ovarian cancer cell lines. Cisplatin-resistant clones were more sensitive to killing by nutrient deprivation in vitro and in vivo than their parental cisplatin-sensitive controls. The susceptibility of cisplatin-resistant cells to starvation could be explained by a particularly strong dependence on glutamine. Glutamine depletion was sufficient to restore cisplatin responses of initially cisplatin-resistant clones, and glutamine supplementation rescued cisplatin-resistant clones from starvation-induced death. Mass spectrometric metabolomics and specific interventions on glutamine metabolism revealed that, in cisplatin-resistant cells, glutamine is mostly required for nucleotide biosynthesis rather than for anaplerotic, bioenergetic or redox reactions. As a result, cisplatin-resistant cancers became exquisitely sensitive to treatment with antimetabolites that target nucleoside metabolism. © 2018 The Authors.

  3. Resistant Traits in Digital Organisms Do Not Revert Preselection Status despite Extended Deselection: Implications to Microbial Antibiotics Resistance

    PubMed Central

    Castillo, Clarence F. G.; Ling, Maurice H. T.

    2014-01-01

    Antibiotics resistance is a serious biomedical issue as formally susceptible organisms gain resistance under its selective pressure. There have been contradictory results regarding the prevalence of resistance following withdrawal and disuse of the specific antibiotics. Here, we use experimental evolution in “digital organisms” to examine the rate of gain and loss of resistance under the assumption that there is no fitness cost for maintaining resistance. Our results show that selective pressure is likely to result in maximum resistance with respect to the selective pressure. During deselection as a result of disuse of the specific antibiotics, a large initial loss and prolonged stabilization of resistance are observed, but resistance is not lost to the stage of preselection. This suggests that a pool of partial persists organisms persist long after withdrawal of selective pressure at a relatively constant proportion. Hence, contradictory results regarding the prevalence of resistance following withdrawal and disuse of the specific antibiotics may be a statistical variation about constant proportion. Our results also show that subsequent reintroduction of the same selective pressure results in rapid regain of maximal resistance. Thus, our simulation results suggest that complete elimination of specific antibiotics resistance is unlikely after the disuse of antibiotics once a resistant pool of microorganisms has been established. PMID:24977157

  4. Interventions for Dealing with Resistance.

    ERIC Educational Resources Information Center

    Lambert, Dorinda J.

    Basic intervention strategies for dealing with client resistance include psychoanalytic, learning/behavioral, and hypnotic/paradoxical. Psychoanalytic theory views resistance as a way to avoid the anxiety aroused by increasing awareness of unconscious materials and vulnerable areas in the person's life. Resistance is dealt with after it has…

  5. Overview of glyphosate-resistant weeds worldwide.

    PubMed

    Heap, Ian; Duke, Stephen O

    2018-05-01

    Glyphosate is the most widely used and successful herbicide discovered to date, but its utility is now threatened by the occurrence of several glyphosate-resistant weed species. Glyphosate resistance first appeared in Lolium rigidum in an apple orchard in Australia in 1996, ironically the year that the first glyphosate-resistant crop (soybean) was introduced in the USA. Thirty-eight weed species have now evolved resistance to glyphosate, distributed across 37 countries and in 34 different crops and six non-crop situations. Although glyphosate-resistant weeds have been identified in orchards, vineyards, plantations, cereals, fallow and non-crop situations, it is the glyphosate-resistant weeds in glyphosate-resistant crop systems that dominate the area infested and growing economic impact. Glyphosate-resistant weeds present the greatest threat to sustained weed control in major agronomic crops because this herbicide is used to control weeds with resistance to herbicides with other sites of action, and no new herbicide sites of action have been introduced for over 30 years. Industry has responded by developing herbicide resistance traits in major crops that allow existing herbicides to be used in a new way. However, over reliance on these traits will result in multiple-resistance in weeds. Weed control in major crops is at a precarious point, where we must maintain the utility of the herbicides we have until we can transition to new weed management technologies. © 2017 Society of Chemical Industry. © 2017 Society of Chemical Industry.

  6. Emergence of Resistance among USA300 Methicillin-Resistant Staphylococcus aureus Isolates Causing Invasive Disease in the United States▿

    PubMed Central

    McDougal, Linda K.; Fosheim, Gregory E.; Nicholson, Ainsley; Bulens, Sandra N.; Limbago, Brandi M.; Shearer, Julia E. S.; Summers, Anne O.; Patel, Jean B.

    2010-01-01

    USA300 methicillin-resistant Staphylococcus aureus (MRSA) isolates are usually resistant only to oxacillin, erythromycin, and, increasingly, levofloxacin. Of these, oxacillin and levofloxacin resistances are chromosomally encoded. Plasmid-mediated clindamycin, mupirocin, and/or tetracycline resistance has been observed among USA300 isolates, but these descriptions were limited to specific patient populations or isolated occurrences. We examined the antimicrobial susceptibilities of invasive MRSA isolates from a national surveillance population in order to identify USA300 isolates with unusual, possibly emerging, plasmid-mediated antimicrobial resistance. DNA from these isolates was assayed for the presence of resistance determinants and the presence of a pSK41-like conjugative plasmid. Of 823 USA300 isolates, 72 (9%) were tetracycline resistant; 69 of these were doxycycline susceptible and tetK positive, and 3 were doxycycline resistant and tetM positive. Fifty-one (6.2%) isolates were clindamycin resistant and ermC positive; 22 (2.7%) isolates were high-level mupirocin resistant (mupA positive); 5 (0.6%) isolates were trimethoprim-sulfamethoxazole (TMP-SMZ) resistant, of which 4 were dfrA positive; and 7 (0.9%) isolates were gentamicin resistant and aac6′-aph2″ positive. Isolates with pSK41-like plasmids (n = 24) were positive for mupA (n = 19), dfrA (n = 6), aac6′-aph2″ (n = 6), tetM (n = 2), and ermC (n = 8); 20 pSK41-positive isolates were positive for two or more resistance genes. Conjugative transfer of resistance was demonstrated between four gentamicin- and mupirocin-resistant and three gentamicin- and TMP-SMZ-resistant USA300 isolates; transconjugants harbored a single pSK41-like plasmid, which was PCR positive for aac6′-aph2″ and either mupA and/or dfrA. USA300 and USA100 isolates from the same state with identical resistance profiles contained pSK41-like plasmids with indistinguishable restriction and Southern blot profiles, suggesting

  7. Antiretroviral Resistance in HIV/AIDS Patients

    NASA Astrophysics Data System (ADS)

    Manosuthi, W.; MD

    2018-03-01

    The higher prevalence of HIV drug resistance was observed in areas with greater ART coverage. The HIV resistance-associated mutations occur when people have inadequate levels of antiretroviral drugs as well as inadequate potency, inadequate adherence, and preexisting resistance. The degree to drug cross-resistance is observed depends on the specific mutations and number of mutation accumulation. In the Southeast Asia region, the challenging of people with treatment failure is the availability and accessibility to subsequent new antiretroviral drugs to construct he second and salvage regimen. Genotypic resistance testing is a useful tool because it can identify the existing drug resistance-associated mutations under the selective drug pressure. Thus, understanding the basic interpretation of HIV drug resistance- associated mutation is useful in guiding clinical decisions for treatment-experienced people living with HIV.

  8. Mutation of environmental mycobacteria to resist silver nanoparticles also confers resistance to a common antibiotic.

    PubMed

    Larimer, Curtis; Islam, Mohammad Shyful; Ojha, Anil; Nettleship, Ian

    2014-08-01

    Non-tuberculous mycobacteria are a threat to human health, gaining entry to the body through contaminated water systems, where they form persistent biofilms despite extensive attempts at disinfection. Silver is a natural antibacterial agent and in nanoparticle form activity is increased by a high surface area. Silver nanoparticles (AgNPs) have been used as alternative disinfectants in circulating water systems, washing machines and even clothing. However, nanoparticles, like any other antibiotic that has a pervasive durable presence, carry the risk of creating a resistant population. In this study Mycobacterium smegmatis strain mc(2)155 was cultured in AgNP enriched agar such that only a small population survived. Surviving cultures were isolated and re-exposed to AgNPs and AgNO3 and resistance to silver was compared to a negative control. After only a single exposure, mutant M. smegmatis populations were resistant to AgNPs and AgNO3. Further, the silver resistant mutants were exposed to antibiotics to determine if general resistance had been conferred. The minimum inhibitory concentration of isoniazid was four times higher for silver resistant mutants than for strain mc(2)155. However, core resistance was not conferred to other toxic metal ions. The mutants had lower resistance to CuSO4 and ZnSO4 than the mc(2)155 strain.

  9. [Vancomycin-resistant Staphylococcus aureus].

    PubMed

    Rodríguez, Carlos Andrés; Vesga, Omar

    2005-12-01

    The evolution and molecular mechanisms of vancomycin resistance in Staphylococcus aureus were reviewed. Case reports and research studies on biochemestry, electron microscopy and molecular biology of Staphylococcus aureus were selected from Medline database and summarized in the following review. After almost 40 years of successful treatment of S. aureus with vancomycin, several cases of clinical failures have been reported (since 1997). S. aureus strains have appeared with intermediate susceptibility (MIC 8-16 microg/ml), as well as strains with heterogeneous resistance (global MIC < or =4 microg/ml), but with subpopulations of intermediate susceptibility. In these cases, resistance is mediated by cell wall thickening with reduced cross linking. This traps the antibiotic before it reaches its major target, the murein monomers in the cell membrane. In 2002, a total vancomycin resistant strain (MIC > or =32 microg/ml) was reported with vanA genes from Enterococcus spp. These genes induce the change of D-Ala-D-Ala terminus for D-Ala-D-lactate in the cell wall precursors, leading to loss of affinity for glycopeptides. Vancomycin resistance in S. aureus has appeared; it is mediated by cell wall modifications that trap the antibiotic before it reaches its action site. In strains with total resistance, Enterococcus spp. genes have been acquired that lead to modification of the glycopeptide target.

  10. Global antibiotic resistance in Streptococcus pneumoniae.

    PubMed

    Adam, Dieter

    2002-07-01

    The last two decades of the 20th century were marked by an increasing resistance rate among several bacteria. Threat of resistance is present in Staphylococcus spp., Enterococcus spp., Pseudomonas spp. and Enterobacteriaceae, which are the major pathogens in nosocomial infections. In the community, too, increasing resistance can be observed and is attributed mainly (but not exclusively) to Streptococcus pneumoniae and Haemophilus influenzae. To scrutinize this trend, resistance surveillance in the community was established about 10 years ago. One of the multinational, longitudinal surveillance programmes in place is the Alexander Project, which was established in 1992 to monitor the susceptibility of the major community-acquired lower respiratory tract pathogens to a range of antibacterial drugs. The Alexander Project has revealed a tendency towards increasing resistance of S. pneumoniae to penicillin and macrolide therapy. Within Europe, the prevalence of penicillin resistance among S. pneumoniae isolates is particularly high in France and Spain. Macrolide resistance in S. pneumoniae is also a growing problem in European countries such as France, Spain, Belgium and Italy, where the extent of macrolide resistance in S. pneumoniae now exceeds that of penicillin resistance.

  11. Child-resistant and tamper-resistant packaging: A systematic review to inform tobacco packaging regulation.

    PubMed

    Jo, Catherine L; Ambs, Anita; Dresler, Carolyn M; Backinger, Cathy L

    2017-02-01

    We aimed to investigate the effects of special packaging (child-resistant, adult-friendly) and tamper-resistant packaging on health and behavioral outcomes in order to identify research gaps and implications for packaging standards for tobacco products. We searched seven databases for keywords related to special and tamper-resistant packaging, consulted experts, and reviewed citations of potentially relevant studies. 733 unique papers were identified. Two coders independently screened each title and abstract for eligibility. They then reviewed the full text of the remaining papers for a second round of eligibility screening. Included studies investigated a causal relationship between type of packaging or packaging regulation and behavioral or health outcomes and had a study population composed of consumers. Studies were excluded on the basis of publication type, if they were not peer-reviewed, and if they had low external validity. Two reviewers independently coded each paper for study and methodological characteristics and limitations. Discrepancies were discussed and resolved. The review included eight studies: four assessing people's ability to access the contents of different packaging types and four evaluating the impact of packaging requirements on health-related outcomes. Child-resistant packaging was generally more difficult to open than non-child-resistant packaging. Child-resistant packaging requirements have been associated with reductions in child mortality. Child-resistant packaging holds the expectation to reduce tobacco product poisonings among children under six. Published by Elsevier Inc.

  12. Paediatrics, insulin resistance and the kidney.

    PubMed

    Marlais, Matko; Coward, Richard J

    2015-08-01

    Systemic insulin resistance is becoming more prevalent in the young due to modern lifestyles predisposing to the metabolic syndrome and obesity. There is also evidence that there are critical insulin-resistant phases for the developing child, including puberty, and that renal disease per se causes systemic insulin resistance. This review considers the factors that render children insulin resistant, as well as the accumulating evidence that the kidney is an insulin-responsive organ and could be affected by insulin resistance.

  13. SINIS bolometer with a suspended absorber

    NASA Astrophysics Data System (ADS)

    Tarasov, M.; Edelman, V.; Mahashabde, S.; Fominsky, M.; Lemzyakov, S.; Chekushkin, A.; Yusupov, R.; Winkler, D.; Yurgens, A.

    2018-03-01

    We have developed a Superconductor-Insulator-Normal Metal-Insulator-Superconductor (SINIS) bolometer with a suspended normal metal bridge. The suspended bridge acts as a bolometric absorber with reduced heat losses to the substrate. Such bolometers were characterized at 100-350 mK bath temperatures and electrical responsivity of over 109 V/W was measured by dc heating the absorber through additional contacts. Suspended bolometers were also integrated in planar twin-slot and log-periodic antennas for operation in the submillimetre-band of radiation. The measured voltage response to radiation at 300 GHz and at 100 mK bath temperature is 3*108 V/W and a current response is 1.1*104 A/W which corresponds to a quantum efficiency of ~15 electrons per photon. An important feature of such suspended bolometers is the thermalization of electrons in the absorber heated by optical radiation, which in turn provides better quantum efficiency. This has been confirmed by comparison of bolometric response to dc and rf heating. We investigate the performance of direct SN traps and NIS traps with a tunnel barrier between the superconductor and normal metal trap. Increasing the volume of superconducting electrode helps to reduce overheating of superconductor. Influence of Andreev reflection and Kapitza resistance, as well as electron-phonon heat conductivity and thermal conductivity of N-wiring are estimated for such SINIS devices.

  14. SCC mec typing and antimicrobial resistance of methicillin-resistant Staphylococcus aureus (MRSA) from pigs of Northeast India.

    PubMed

    Rajkhowa, S; Sarma, D K; Pegu, S R

    2016-12-01

    Staphylococcus aureus is one of the most important pathogens of both humans and animal. Methicillin-resistant Staphylococcus aureus (MRSA) is an important human pathogen that causes serious infections both in hospitals and communities due to its multidrug resistance tendency. This study was undertaken to characterize the MRSA isolates from pigs and to determine the antimicrobial resistance of these isolates. Forty nine MRSA strains (one strain per positive pig) isolated from pigs of Northeast India were characterized by SCCmec typing and antimicrobial resistance. The overall prevalence of MRSA was 7.02 % with the highest prevalence recorded in pigs aged 1-3 months (P = 0.001) and in nasal samples (P = 0.005). Two SCC mec types (type III and V) were found in Indian pigs with predominance of type V. All isolates were resistant to penicillin. Seventeen resistance groups were observed where 87.75 % isolates showed multidrug resistance (showed resistance to three or more classes of antimicrobials). The most predominant resistance pattern observed was Oxytetracycline + Penicillin + Sulfadiazine + Tetracycline accounting 12.24 % of the isolates. The present study contributes to the understanding of characteristics and antimicrobial resistance of porcine MRSA isolates which in turn will help in devising strategy for the control of this pathogen. Findings of the study also throw light on multidrug resistance MRSA and emphasize the need for judicious use of antimicrobials in animal practice.

  15. Characterization of Phenacoccus solenopsis (Tinsley) (Homoptera: Pseudococcidae) Resistance to Emamectin Benzoate: Cross-Resistance Patterns and Fitness Cost Analysis.

    PubMed

    Afzal, M B S; Shad, S A

    2016-06-01

    Cotton mealybug Phenacoccus solenopsis (Tinsley) (Homoptera: Pseudococcidae) is a sucking pest of worldwide importance causing huge losses by feeding upon cotton in various parts of the world. Because of the importance of this pest, this research was carried out to select emamectin resistance in P. solenopsis in the laboratory to study cross-resistance, stability, realized heritability, and fitness cost of emamectin resistance. After selection from third generation (G3) to G6, P. solenopsis developed very high emamectin resistance (159.24-fold) when compared to a susceptible unselected population (Unsel pop). Population selected to emamectin benzoate conferred moderate (45.81-fold), low (14.06-fold), and no cross-resistance with abamectin, cypermethrin, and profenofos, respectively compared to the Unsel pop. A significant decline in emamectin resistance was observed in the resistant population when not exposed to emamectin from G7 to G13. The estimated realized heritability (h (2)) for emamectin resistance was 0.84. A high fitness cost was associated with emamectin resistance in P. solenopsis. Results of this study may be helpful in devising insecticide resistance management strategies for P. solenopsis.

  16. Residual antibiotics, antibiotic resistant superbugs and antibiotic resistance genes in surface water catchments: Public health impact

    NASA Astrophysics Data System (ADS)

    Anthony A, Adegoke; Adekunle C, Faleye; Thor A, Stenstrӧm

    2018-06-01

    Antibiotics are released to the surface water through different routes, like for example the wastewater treatment plants, from human and animal metabolic waste, agriculture run off, industrial antibiotic waste. The release of the antibiotics to the water catchment and/or the environments in sub-lethal concentrations for the microorganisms lead to the emergence of antibiotic resistance (AR) and selection for antibiotic resistance genes (ARGs). The bacteria utilize their quorum sensing to form biofilm within which ARGs are transferred from antibiotic resistant bacteria (ARB) to the susceptible strains, conferring resistance on them. This has contributed substantially to the growing trend of resistance from multiple antibiotic resistance to extended spectrum resistance, extreme resistance and recently to total antibiotic resistance. The antibiotics, ARB, ARGs are sometimes internalized into the crops irrigated with the surface water returning the bacteria to human in a difficult to control form. While quorum quenching strategy is being advocated during treatment of wastewater to disrupt biofilm as well as the spread of resistance, intermittent check for effectiveness of treatment of wastewater before release into receiving water bodies is hereby advocated. To achieve this, there is the need for better measurements, surveillance and follow-up and thereby the further needs to incorporate more integrative (multidisciplinary) approaches and state of the art tools, for appropriate detection and action. This presentation is to critically review the effect of antibiotic release, ARGs, ARB in water catchment on other water related applications in Southern African countries in relation to other part of the world.

  17. Heat resistant protective hand covering

    NASA Technical Reports Server (NTRS)

    Tschirch, R. P.; Sidman, K. R.; Arons, I. J. (Inventor)

    1984-01-01

    A heat-resistant aromatic polyamide fiber is described. The outer surface of the shell is coated with a fire-resistant elastomer and liner. Generally conforming and secured to the shell and disposed inwardly of the shell, the liner is made of a felt fabric of temperature-resistant aromatic polymide fiber.

  18. Studying Resistance: Some Cautionary Notes

    ERIC Educational Resources Information Center

    Dimitriadis, Greg

    2011-01-01

    The question of "resistance" has oriented the field of critical ethnography for several generations now. Indeed, the reproduction-resistance binary has animated much of the most important, critical work in educational studies over the last 30 years. Yet, this reproduction-resistance binary has perhaps calcified in recent years. Such work…

  19. Voltage-gated sodium channel polymorphism and metabolic resistance in pyrethroid-resistant Aedes aegypti from Brazil.

    PubMed

    Martins, Ademir Jesus; Lins, Rachel Mazzei Moura de Andrade; Linss, Jutta Gerlinde Birgitt; Peixoto, Alexandre Afranio; Valle, Denise

    2009-07-01

    The nature of pyrethroid resistance in Aedes aegypti Brazilian populations was investigated. Quantification of enzymes related to metabolic resistance in two distinct populations, located in the Northeast and Southeast regions, revealed increases in Glutathione-S-transferase (GST) and Esterase levels. Additionally, polymorphism was found in the IIS6 region of Ae. aegypti voltage-gated sodium channel (AaNa(V)), the pyrethroid target site. Sequences were classified in two haplotype groups, A and B, according to the size of the intron in that region. Rockefeller, a susceptible control lineage, contains only B sequences. In field populations, some A sequences present a substitution in the 1011 site (Ile/Met). When resistant and susceptible individuals were compared, the frequency of both A (with the Met mutation) and B sequences were slightly increased in resistant specimens. The involvement of the AaNa(V) polymorphism in pyrethroid resistance and the metabolic mechanisms that lead to potential cross-resistance between organophosphate and pyrethroids are discussed.

  20. Genetic makeup of amantadine-resistant and oseltamivir-resistant human influenza A/H1N1 viruses.

    PubMed

    Zaraket, Hassan; Saito, Reiko; Suzuki, Yasushi; Baranovich, Tatiana; Dapat, Clyde; Caperig-Dapat, Isolde; Suzuki, Hiroshi

    2010-04-01

    The emergence and widespread occurrence of antiviral drug-resistant seasonal human influenza A viruses, especially oseltamivir-resistant A/H1N1 virus, are major concerns. To understand the genetic background of antiviral drug-resistant A/H1N1 viruses, we performed full genome sequencing of prepandemic A/H1N1 strains. Seasonal influenza A/H1N1 viruses, including antiviral-susceptible viruses, amantadine-resistant viruses, and oseltamivir-resistant viruses, obtained from several areas in Japan during the 2007-2008 and 2008-2009 influenza seasons were analyzed. Sequencing of the full genomes of these viruses was performed, and the phylogenetic relationships among the sequences of each individual genome segment were inferred. Reference genome sequences from the Influenza Virus Resource database were included to determine the closest ancestor for each segment. Phylogenetic analysis revealed that the oseltamivir-resistant strain evolved from a reassortant oseltamivir-susceptible strain (clade 2B) which circulated in the 2007-2008 season by acquiring the H275Y resistance-conferring mutation in the NA gene. The oseltamivir-resistant lineage (corresponding to the Northern European resistant lineage) represented 100% of the H1N1 isolates from the 2008-2009 season and further acquired at least one mutation in each of the polymerase basic protein 2 (PB2), polymerase basic protein 1 (PB1), hemagglutinin (HA), and neuraminidase (NA) genes. Therefore, a reassortment event involving two distinct oseltamivir-susceptible lineages, followed by the H275Y substitution in the NA gene and other mutations elsewhere in the genome, contributed to the emergence of the oseltamivir-resistant lineage. In contrast, amantadine-resistant viruses from the 2007-2008 season distinctly clustered in clade 2C and were characterized by extensive amino acid substitutions across their genomes, suggesting that a fitness gap among its genetic components might have driven these mutations to maintain it in the

  1. Antibiotic Resistance and Single-Nucleotide Polymorphism Cluster Grouping Type in a Multinational Sample of Resistant Mycobacterium tuberculosis Isolates▿

    PubMed Central

    Brimacombe, M.; Hazbon, M.; Motiwala, A. S.; Alland, D.

    2007-01-01

    A single-nucleotide polymorphism-based cluster grouping (SCG) classification system for Mycobacterium tuberculosis was used to examine antibiotic resistance type and resistance mutations in relationship to specific evolutionary lineages. Drug resistance and resistance mutations were seen across all SCGs. SCG-2 had higher proportions of katG codon 315 mutations and resistance to four drugs. PMID:17846140

  2. In Silico Assigned Resistance Genes Confer Bifidobacterium with Partial Resistance to Aminoglycosides but Not to Β-Lactams

    PubMed Central

    Fouhy, Fiona; O’Connell Motherway, Mary; Fitzgerald, Gerald F.; Ross, R. Paul; Stanton, Catherine; van Sinderen, Douwe; Cotter, Paul D.

    2013-01-01

    Bifidobacteria have received significant attention due to their contribution to human gut health and the use of specific strains as probiotics. It is thus not surprising that there has also been significant interest with respect to their antibiotic resistance profile. Numerous culture-based studies have demonstrated that bifidobacteria are resistant to the majority of aminoglycosides, but are sensitive to β-lactams. However, limited research exists with respect to the genetic basis for the resistance of bifidobacteria to aminoglycosides. Here we performed an in-depth in silico analysis of putative Bifidobacterium-encoded aminoglycoside resistance proteins and β-lactamases and assess the contribution of these proteins to antibiotic resistance. The in silico-based screen detected putative aminoglycoside and β-lactam resistance proteins across the Bifidobacterium genus. Laboratory-based investigations of a number of representative bifidobacteria strains confirmed that despite containing putative β-lactamases, these strains were sensitive to β-lactams. In contrast, all strains were resistant to the aminoglycosides tested. To assess the contribution of genes encoding putative aminoglycoside resistance proteins in Bifidobacterium sp. two genes, namely Bbr_0651 and Bbr_1586, were targeted for insertional inactivation in B. breve UCC2003. As compared to the wild-type, the UCC2003 insertion mutant strains exhibited decreased resistance to gentamycin, kanamycin and streptomycin. This study highlights the associated risks of relying on the in silico assignment of gene function. Although several putative β-lactam resistance proteins are located in bifidobacteria, their presence does not coincide with resistance to these antibiotics. In contrast however, this approach has resulted in the identification of two loci that contribute to the aminoglycoside resistance of B. breve UCC2003 and, potentially, many other bifidobacteria. PMID:24324818

  3. CD30 downregulation, MMAE resistance, and MDR1 upregulation are all associated with resistance to brentuximab vedotin

    PubMed Central

    Chen, Robert; Hou, Jessie; Newman, Edward; Kim, Young; Donohue, Cecile; Liu, Xueli; Thomas, Sandra H.; Forman, Stephen J.; Kane, Susan E.

    2015-01-01

    Brentuximab vedotin (BV) is an antibody-drug conjugate that specifically delivers the potent cytotoxic drug MMAE to CD30-positive cells. BV is FDA-approved for treatment of relapsed/refractory Hodgkin lymphoma (HL) and anaplastic large cell lymphoma (ALCL); however, many patients do not achieve complete remission and develop BV resistant disease. We selected for BV-resistant HL (L428) and ALCL (Karpas-299) cell lines using either constant (ALCL) or pulsatile (HL) exposure to BV. We confirmed drug resistance by MTS assay, and analyzed CD30 expression in resistant cells by flow cytometry, qRT-PCR, and Western blotting. We also measured drug exporter expression, MMAE resistance, and intracellular MMAE concentrations in BV-resistant cells. Additionally, tissue biopsy samples from 10 HL and 5 ALCL patients who had relapsed or progressed after BV treatment were analyzed by immunohistocytochemistry for CD30 expression. The resistant ALCL cell line, but not the HL cell line, demonstrated downregulated CD30 expression compared to the parental cell line. In contrast, the HL cell line, but not the ALCL cell line, exhibited MMAE resistance and increased expression of the MDR1 drug exporter compared to the parental line. For both HL and ALCL, samples from patients relapsed/resistant on BV persistently expressed CD30 by immunohistocytochemistry. One HL patient sample expressed MDR1 by immunohistocytochemistry. Although loss of CD30 expression is a possible mode of BV resistance in ALCL in vitro models, this has not been confirmed in patients. MMAE resistance and MDR1 expression are possible modes of BV resistance for HL both in vitro and in patients. PMID:25840583

  4. Genome Sequences of Multidrug-Resistant, Colistin-Susceptible and -Resistant Klebsiella pneumoniae Clinical Isolates from Pakistan

    PubMed Central

    Crawford, Matthew A.; Timme, Ruth; Lomonaco, Sara; Lascols, Christine; Fisher, Debra J.; Sharma, Shashi K.; Strain, Errol; Allard, Marc W.; Brown, Eric W.; McFarland, Melinda A.; Croley, Tim; Hammack, Thomas S.; Weigel, Linda M.; Anderson, Kevin; Hodge, David R.; Pillai, Segaran P.; Morse, Stephen A.; Khan, Erum

    2016-01-01

    The emergence and spread of colistin resistance among multidrug-resistant (MDR) Klebsiella pneumoniae represent a critical threat to global health. Here, we report the complete genome sequences of 10 MDR, colistin-susceptible and -resistant K. pneumoniae clinical isolates obtained in Pakistan between 2010 and 2013. PMID:27979956

  5. Identifying resistance gene analogs associated with resistances to different pathogens in common bean.

    PubMed

    López, Camilo E; Acosta, Iván F; Jara, Carlos; Pedraza, Fabio; Gaitán-Solís, Eliana; Gallego, Gerardo; Beebe, Steve; Tohme, Joe

    2003-01-01

    ABSTRACT A polymerase chain reaction approach using degenerate primers that targeted the conserved domains of cloned plant disease resistance genes (R genes) was used to isolate a set of 15 resistance gene analogs (RGAs) from common bean (Phaseolus vulgaris). Eight different classes of RGAs were obtained from nucleotide binding site (NBS)-based primers and seven from not previously described Toll/Interleukin-1 receptor-like (TIR)-based primers. Putative amino acid sequences of RGAs were significantly similar to R genes and contained additional conserved motifs. The NBS-type RGAs were classified in two subgroups according to the expected final residue in the kinase-2 motif. Eleven RGAs were mapped at 19 loci on eight linkage groups of the common bean genetic map constructed at Centro Internacional de Agricultura Tropical. Genetic linkage was shown for eight RGAs with partial resistance to anthracnose, angular leaf spot (ALS) and Bean golden yellow mosaic virus (BGYMV). RGA1 and RGA2 were associated with resistance loci to anthracnose and BGYMV and were part of two clusters of R genes previously described. A new major cluster was detected by RGA7 and explained up to 63.9% of resistance to ALS and has a putative contribution to anthracnose resistance. These results show the usefulness of RGAs as candidate genes to detect and eventually isolate numerous R genes in common bean.

  6. Lack of Cross-Resistance of Imazaquin-Resistant Xanthium strumarium Acetolactate Synthase to Flumetsulam and Chlorimuron.

    PubMed

    Schmitzer, P. R.; Eilers, R. J.; Cseke, C.

    1993-09-01

    Acetolactate synthase (ALS) was isolated from a field population of cocklebur (Xanthium strumarium) that developed resistance to the herbicide Scepter following three consecutive years of application. The active ingredient of Scepter, imazaquin, gave an inhibitor concentration required to produce 50% inhibition of the enzyme activity that was more than 300 times greater for the resistant enzyme than for the wild-type cocklebur ALS. Tests with flumetsulam and chlorimuron show that the resistant ALS was not cross-resistant to these two other classes of ALS inhibitors.

  7. Lack of Cross-Resistance of Imazaquin-Resistant Xanthium strumarium Acetolactate Synthase to Flumetsulam and Chlorimuron.

    PubMed Central

    Schmitzer, P. R.; Eilers, R. J.; Cseke, C.

    1993-01-01

    Acetolactate synthase (ALS) was isolated from a field population of cocklebur (Xanthium strumarium) that developed resistance to the herbicide Scepter following three consecutive years of application. The active ingredient of Scepter, imazaquin, gave an inhibitor concentration required to produce 50% inhibition of the enzyme activity that was more than 300 times greater for the resistant enzyme than for the wild-type cocklebur ALS. Tests with flumetsulam and chlorimuron show that the resistant ALS was not cross-resistant to these two other classes of ALS inhibitors. PMID:12231935

  8. Antibiotic resistance in Burkholderia species.

    PubMed

    Rhodes, Katherine A; Schweizer, Herbert P

    2016-09-01

    The genus Burkholderia comprises metabolically diverse and adaptable Gram-negative bacteria, which thrive in often adversarial environments. A few members of the genus are prominent opportunistic pathogens. These include Burkholderia mallei and Burkholderia pseudomallei of the B. pseudomallei complex, which cause glanders and melioidosis, respectively. Burkholderia cenocepacia, Burkholderia multivorans, and Burkholderia vietnamiensis belong to the Burkholderia cepacia complex and affect mostly cystic fibrosis patients. Infections caused by these bacteria are difficult to treat because of significant antibiotic resistance. The first line of defense against antimicrobials in Burkholderia species is the outer membrane penetration barrier. Most Burkholderia contain a modified lipopolysaccharide that causes intrinsic polymyxin resistance. Contributing to reduced drug penetration are restrictive porin proteins. Efflux pumps of the resistance nodulation cell division family are major players in Burkholderia multidrug resistance. Third and fourth generation β-lactam antibiotics are seminal for treatment of Burkholderia infections, but therapeutic efficacy is compromised by expression of several β-lactamases and ceftazidime target mutations. Altered DNA gyrase and dihydrofolate reductase targets cause fluoroquinolone and trimethoprim resistance, respectively. Although antibiotic resistance hampers therapy of Burkholderia infections, the characterization of resistance mechanisms lags behind other non-enteric Gram-negative pathogens, especially ESKAPE bacteria such as Acinetobacter baumannii, Klebsiella pneumoniae and Pseudomonas aeruginosa. Copyright © 2016 Elsevier Ltd. All rights reserved.

  9. Antibiotic Resistance in Burkholderia Species

    PubMed Central

    Rhodes, Katherine A.; Schweizer, Herbert P.

    2016-01-01

    The genus Burkholderia comprises metabolically diverse and adaptable Gram-negative bacteria, which thrive in often adversarial environments. A few members of the genus are prominent opportunistic pathogens. These include B. mallei and B. pseudomallei of the B. pseudomallei complex, which cause glanders and melioidosis, respectively. B. cenocepacia, B. multivorans, and B. vietnamiensis belong to the B. cepacia complex and affect mostly cystic fibrosis patients. Infections caused by these bacteria are difficult to treat because of significant antibiotic resistance. The first line of defense against antimicrobials in Burkholderia species is the outer membrane penetration barrier. Most Burkholderia contain a modified lipopolysaccharide that causes intrinsic polymyxin resistance. Contributing to reduced drug penetration are restrictive porin proteins. Efflux pumps of the resistance nodulation cell division family are major players in Burkholderia multidrug resistance. Third and fourth generation β-lactam antibiotics are seminal for treatment of Burkholderia infections, but therapeutic efficacy is compromised by expression of several β-lactamases and ceftazidime target mutations. Altered DNA gyrase and dihydrofolate reductase targets cause fluoroquinolone and trimethoprim resistance, respectively. Although antibiotic resistance hampers therapy of Burkholderia infections, the characterization of resistance mechanisms lags behind other non-enteric Gram-negative pathogens, especially ESKAPE bacteria such as Acinetobacter baumannii, Klebsiella pneumoniae and Pseudomonas aeruginosa. PMID:27620956

  10. Parasitic resistive switching uncovered from complementary resistive switching in single active-layer oxide memory device

    NASA Astrophysics Data System (ADS)

    Zhu, Lisha; Hu, Wei; Gao, Chao; Guo, Yongcai

    2017-12-01

    This paper reports the reversible transition processes between the bipolar and complementary resistive switching (CRS) characteristics on the binary metal-oxide resistive memory devices of Pt/HfO x /TiN and Pt/TaO x /TiN by applying the appropriate bias voltages. More interestingly, by controlling the amplitude of the negative bias, the parasitic resistive switching effect exhibiting repeatable switching behavior is uncovered from the CRS behavior. The electrical observation of the parasitic resistive switching effect can be explained by the controlled size of the conductive filament. This work confirms the transformation and interrelationship among the bipolar, parasitic, and CRS effects, and thus provides new insight into the understanding of the physical mechanism of the binary metal-oxide resistive switching memory devices.

  11. Electrically Variable Resistive Memory Devices

    NASA Technical Reports Server (NTRS)

    Liu, Shangqing; Wu, Nai-Juan; Ignatiev, Alex; Charlson, E. J.

    2010-01-01

    Nonvolatile electronic memory devices that store data in the form of electrical- resistance values, and memory circuits based on such devices, have been invented. These devices and circuits exploit an electrically-variable-resistance phenomenon that occurs in thin films of certain oxides that exhibit the colossal magnetoresistive (CMR) effect. It is worth emphasizing that, as stated in the immediately preceding article, these devices function at room temperature and do not depend on externally applied magnetic fields. A device of this type is basically a thin film resistor: it consists of a thin film of a CMR material located between, and in contact with, two electrical conductors. The application of a short-duration, low-voltage current pulse via the terminals changes the electrical resistance of the film. The amount of the change in resistance depends on the size of the pulse. The direction of change (increase or decrease of resistance) depends on the polarity of the pulse. Hence, a datum can be written (or a prior datum overwritten) in the memory device by applying a pulse of size and polarity tailored to set the resistance at a value that represents a specific numerical value. To read the datum, one applies a smaller pulse - one that is large enough to enable accurate measurement of resistance, but small enough so as not to change the resistance. In writing, the resistance can be set to any value within the dynamic range of the CMR film. Typically, the value would be one of several discrete resistance values that represent logic levels or digits. Because the number of levels can exceed 2, a memory device of this type is not limited to binary data. Like other memory devices, devices of this type can be incorporated into a memory integrated circuit by laying them out on a substrate in rows and columns, along with row and column conductors for electrically addressing them individually or collectively.

  12. Risk Factors for Acquisition of Drug Resistance during Multidrug-Resistant Tuberculosis Treatment, Arkhangelsk Oblast, Russia, 2005–2010

    PubMed Central

    Ershova, Julia; Vlasova, Natalia; Nikishova, Elena; Tarasova, Irina; Eliseev, Platon; Maryandyshev, Andrey O.; Shemyakin, Igor G.; Kurbatova, Ekaterina; Cegielski, J. Peter

    2015-01-01

    Acquired resistance to antituberculosis drugs decreases effective treatment options and the likelihood of treatment success. We identified risk factors for acquisition of drug resistance during treatment for multidrug-resistant tuberculosis (MDR TB) and evaluated the effect on treatment outcomes. Data were collected prospectively from adults from Arkhangelsk Oblast, Russia, who had pulmonary MDR TB during 2005–2008. Acquisition of resistance to capreomycin and of extensively drug-resistant TB were more likely among patients who received <3 effective drugs than among patients who received >3 effective drugs (9.4% vs. 0% and 8.6% vs. 0.8%, respectively). Poor outcomes were more likely among patients with acquired capreomycin resistance (100% vs. 25.9%), acquired ofloxacin resistance (83.6% vs. 22.7%), or acquired extensive drug resistance (100% vs. 24.4%). To prevent acquired drug resistance and poor outcomes, baseline susceptibility to first- and second-line drugs should be determined quickly, and treatment should be adjusted to contain >3 effective drugs. PMID:25988954

  13. High temperature resistant cermet and ceramic compositions. [for thermal resistant insulators and refractory coatings

    NASA Technical Reports Server (NTRS)

    Phillips, W. M. (Inventor)

    1978-01-01

    High temperature oxidation resistance, high hardness and high abrasion and wear resistance are properties of cermet compositions particularly to provide high temperature resistant refractory coatings on metal substrates, for use as electrical insulation seals for thermionic converters. The compositions comprise a sintered body of particles of a high temperature resistant metal or metal alloy, preferably molybdenum or tungsten particles, dispersed in and bonded to a solid solution formed of aluminum oxide and silicon nitride, and particularly a ternary solid solution formed of a mixture of aluminum oxide, silicon nitride and aluminum nitride. Ceramic compositions comprising a sintered solid solution of aluminum oxide, silicon nitride and aluminum nitride are also described.

  14. Laboratory selection of chlorpyrifos resistance in an Invasive Pest, Phenacoccus solenopsis (Homoptera: Pseudococcidae): Cross-resistance, stability and fitness cost.

    PubMed

    Ejaz, Masood; Afzal, Muhammad Babar Shahzad; Shabbir, Ghulam; Serrão, José Eduardo; Shad, Sarfraz Ali; Muhammad, Wali

    2017-04-01

    The cotton mealybug, Phenacoccus solenopsis is an important polyphagous sucking pest of ornamentals, horticultural and fiber crops worldwide. Some P. solenopsis populations have developed insecticide resistance. This study evaluated cross-resistance, stability of insecticide resistance and life history traits affected by chlorpyrifos resistance in P. solenopsis. After nine generations selected with chlorpyrifos, P. solenopsis exhibited a 539.76-fold resistance level compared to an unselected population (UNSEL Pop). Chlorpyrifos selected population (Chlor-SEL Pop) displayed moderate cross-resistance to profenofos, nitenpyram and high cross-resistance to lambda-cyhalothrin. Biological parameters of P. Solenopsis were affected by chlorpyrifos resistance. The Chlor-SEL Pop had a significant reduction in fitness (relative fitness=0.10), along with significant decreases in pupal weight, fecundity, egg hatching %, intrinsic rate of natural population increase, biotic potential, and mean relative growth rate. It is concluded that selection with chlorpyrifos had marked effect on resistance development in P. solenopsis and upon removal of selection pressure chlorpyrifos resistance declined significantly indicating unstable resistance. Development of resistance led to high fitness costs for the chlorpyrifos-selected strain. These findings should be helpful for better and more successful resistance management of P. solenopsis. Copyright © 2016 Elsevier B.V. All rights reserved.

  15. Electrical Methods: Resistivity Methods

    EPA Pesticide Factsheets

    Surface electrical resistivity surveying is based on the principle that the distribution of electrical potential in the ground around a current-carrying electrode depends on the electrical resistivities and distribution of the surrounding soils and rocks.

  16. The update of resist outgas testing for metal containing resists at EIDEC

    NASA Astrophysics Data System (ADS)

    Shiobara, Eishi; Mikami, Shinji

    2017-10-01

    The metal containing resist is one of the candidates for high sensitivity resists. EIDEC has prepared the infrastructure for outgas testing in hydrogen environment for metal containing resists at High Power EUV irradiation tool (HPEUV). We have experimentally obtained the preliminary results of the non-cleanable metal contamination on witness sample using model material by HPEUV [1]. The metal contamination was observed at only the condition of hydrogen environment. It suggested the generation of volatile metal hydrides by hydrogen radicals. Additionally, the metal contamination on a witness sample covered with Ru was not removed by hydrogen radical cleaning. The strong interaction between the metal hydride and Ru was confirmed by the absorption simulation. Recently, ASML announced a resist outgassing barrier technology using Dynamic Gas Lock (DGL) membrane located between projection optics and wafer stage [2], [3]. DGL membrane blocks the diffusion of all kinds of resist outgassing to the projection optics and prevents the reflectivity loss of EUV mirrors. The investigation of DGL membrane for high volume manufacturing is just going on. It extends the limitation of material design for EUV resists. However, the DGL membrane has an impact for the productivity of EUV scanners due to the transmission loss of EUV light and the necessity of periodic maintenance. The well understanding and control of the outgassing characteristics of metal containing resists may help to improve the productivity of EUV scanner. We consider the outgas evaluation for the resists still useful. For the improvement of resist outgas testing by HPEUV, there are some issues such as the contamination limited regime, the optimization of exposure dose to obtain the measurable contamination film thickness and the detection of minimum amount of metal related outgas species generated. The investigation and improvement for these issues are ongoing. The updates will be presented in the conference. This

  17. RFLP Mapping of Genes Conferring Complete and Partial Resistance to Blast in a Durably Resistant Rice Cultivar

    PubMed Central

    Wang, G. L.; Mackill, D. J.; Bonman, J. M.; McCouch, S. R.; Champoux, M. C.; Nelson, R. J.

    1994-01-01

    Moroberekan, a japonica rice cultivar with durable resistance to blast disease in Asia, was crossed to the highly susceptible indica cultivar, CO39, and 281 F(7) recombinant inbred (RI) lines were produced by single seed descent. The population was evaluated for blast resistance in the greenhouse and the field, and was analyzed with 127 restriction fragment length polymorphism (RFLP) markers. Two dominant loci associated with qualitative resistance to five isolates of the fungus were tentatively named Pi-5(t) and Pi-7(t). They were mapped on chromosomes 4 and 11, respectively. To identify quantitative trait loci (QTLs) affecting partial resistance, RI lines were inoculated with isolate PO6-6 of Pyricularia oryzae in polycyclic tests. Ten chromosomal segments were found to be associated with effects on lesion number (P < 0.0001 and LOD > 6.0). Three of the markers associated with QTLs for partial resistance had been reported to be linked to complete blast resistance in previous studies. QTLs identified in greenhouse tests were good predictors of blast resistance at two field sites. This study illustrates the usefulness of RI lines for mapping a complex trait such as blast resistance and suggests that durable resistance in the traditional variety, Moroberekan, involves a complex of genes associated with both partial and complete resistance. PMID:7912216

  18. Resistance to Antibody-Drug Conjugates.

    PubMed

    García-Alonso, Sara; Ocaña, Alberto; Pandiella, Atanasio

    2018-05-01

    Antibody-drug conjugates (ADC) are multicomponent molecules constituted by an antibody covalently linked to a potent cytotoxic agent. ADCs combine high target specificity provided by the antibody together with strong antitumoral properties provided by the attached cytotoxic agent. At present, four ADCs have been approved and over 60 are being explored in clinical trials. Despite their effectiveness, resistance to these drugs unfortunately occurs. Efforts to understand the bases underlying such resistance are being carried out with the final purpose of counteracting them. In this review, we report described mechanisms of resistance to ADCs used in the clinic along with other potential ones that may contribute to resistance acquisition. We also discuss strategies to overcome resistance to ADCs. Cancer Res; 78(9); 2159-65. ©2018 AACR . ©2018 American Association for Cancer Research.

  19. To Resist or Not to Resist? The Effect of Context and Crime Characteristics on Sex Offenders' Reaction to Victim Resistance

    ERIC Educational Resources Information Center

    Balemba, Samantha; Beauregard, Eric; Mieczkowski, Tom

    2012-01-01

    Circumstances under which a sexual assault takes place and how these circumstances affect offenders' reactions to victim resistance are not well understood. Previous studies have not thoroughly examined the interactions that take place between situational factors and resistance. Using a combination of logistic regression and Chi-square Automatic…

  20. Free-living Canada geese and antimicrobial resistance.

    PubMed

    Cole, Dana; Drum, David J V; Stalknecht, David E; White, David G; Lee, Margie D; Ayers, Sherry; Sobsey, Mark; Maurer, John J

    2005-06-01

    We describe antimicrobial resistance among Escherichia coli isolated from free-living Canada Geese in Georgia and North Carolina (USA). Resistance patterns are compared to those reported by the National Antimicrobial Resistance Monitoring System. Canada Geese may be vectors of antimicrobial resistance and resistance genes in agricultural environments.

  1. Electrical resistivity characteristics of diesel oil-contaminated kaolin clay and a resistivity-based detection method.

    PubMed

    Liu, Zhibin; Liu, Songyu; Cai, Yi; Fang, Wei

    2015-06-01

    As the dielectric constant and conductivity of petroleum products are different from those of the pore water in soil, the electrical resistivity characteristics of oil-contaminated soil will be changed by the corresponding oil type and content. The contaminated soil specimens were manually prepared by static compaction method in the laboratory with commercial kaolin clay and diesel oil. The water content and dry density of the first group of soil specimens were controlled at 10 % and 1.58 g/cm(3). Corresponding electrical resistivities of the contaminated specimens were measured at the curing periods of 7, 14, and 28 and 90, 120, and 210 days on a modified oedometer cell with an LCR meter. Then, the electrical resistivity characteristics of diesel oil-contaminated kaolin clay were discussed. In order to realize a resistivity-based oil detection method, the other group of oil-contaminated kaolin clay specimens was also made and tested, but the initial water content, oil content, and dry density were controlled at 0~18 %, 0~18 %, 1.30~1.95 g/cm(3), respectively. Based on the test data, a resistivity-based artificial neural network (ANN) was developed. It was found that the electrical resistivity of kaolin clay decreased with the increase of oil content. Moreover, there was a good nonlinear relationship between electrical resistivity and corresponding oil content when the water content and dry density were kept constant. The decreasing velocity of the electrical resistivity of oil-contaminated kaolin clay was higher before the oil content of 12 % than after 12 %, which indicated a transition of the soil from pore water-controlled into oil-controlled electrical resistivity characteristics. Through microstructural analysis, the decrease of electrical resistivity could be explained by the increase of saturation degree together with the collapse of the electrical double layer. Environmental scanning electron microscopy (ESEM) photos indicated that the diesel oil

  2. Breakup of a liquid rivulet falling over an inclined plate: Identification of a critical Weber number

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Singh, Rajesh K.; Galvin, Janine E.; Whyatt, Greg A.

    Here, we have numerically investigated the breakup of a rivulet falling over a smooth inclined plate using the volume of fluid method. The breakup the rivulet is a complex phenomenon that is dictated by many factors, such as solvent properties, contact angle, inertia, plate inclination, etc. An extensive simulation campaign was conducted wherein these factors were systematically investigated. Regimes for a stable rivulet and an unstable rivulet that leads to the breakup and formation of a droplet are examined in terms of a critical value of the Weber number (We cr) that delineates these regimes. The effect of plate inclinationmore » on the breakup of the rivulet shows that the critical Weber number decreases with increased inclination angle (θ) owing to higher liquid velocity. However, the effect is negligible beyond θ > 60°. The impact of solvent properties is characterized using the Kapitza number (Ka). Variation of We cr with Ka shows two trends depending on the Ka value of the solvent. Solvents with lower Ka values, corresponding to high viscosities and/or low surface tensions, show smaller values of the critical Weber number and the variation is linear. While solvents with higher Ka values exhibit higher values of the We cr and the variation in We cr is steep. This behavior is more pronounced with increasing contact angle. Higher contact angles promote rivulet breakup so that inertia must be higher to the breakup. As a result, a phenomenological scaling for a critical Weber number with the Kapitza number and contact angle is presented that can offer insight into rivulet breakup.« less

  3. Breakup of a liquid rivulet falling over an inclined plate: Identification of a critical Weber number

    DOE PAGES

    Singh, Rajesh K.; Galvin, Janine E.; Whyatt, Greg A.; ...

    2017-05-04

    Here, we have numerically investigated the breakup of a rivulet falling over a smooth inclined plate using the volume of fluid method. The breakup the rivulet is a complex phenomenon that is dictated by many factors, such as solvent properties, contact angle, inertia, plate inclination, etc. An extensive simulation campaign was conducted wherein these factors were systematically investigated. Regimes for a stable rivulet and an unstable rivulet that leads to the breakup and formation of a droplet are examined in terms of a critical value of the Weber number (We cr) that delineates these regimes. The effect of plate inclinationmore » on the breakup of the rivulet shows that the critical Weber number decreases with increased inclination angle (θ) owing to higher liquid velocity. However, the effect is negligible beyond θ > 60°. The impact of solvent properties is characterized using the Kapitza number (Ka). Variation of We cr with Ka shows two trends depending on the Ka value of the solvent. Solvents with lower Ka values, corresponding to high viscosities and/or low surface tensions, show smaller values of the critical Weber number and the variation is linear. While solvents with higher Ka values exhibit higher values of the We cr and the variation in We cr is steep. This behavior is more pronounced with increasing contact angle. Higher contact angles promote rivulet breakup so that inertia must be higher to the breakup. As a result, a phenomenological scaling for a critical Weber number with the Kapitza number and contact angle is presented that can offer insight into rivulet breakup.« less

  4. The determinants of the antibiotic resistance process.

    PubMed

    Franco, Beatriz Espinosa; Altagracia Martínez, Marina; Sánchez Rodríguez, Martha A; Wertheimer, Albert I

    2009-01-01

    The use of antibiotic drugs triggers a complex interaction involving many biological, sociological, and psychological determinants. Resistance to antibiotics is a serious worldwide problem which is increasing and has implications for morbidity, mortality, and health care both in hospitals and in the community. To analyze current research on the determinants of antibiotic resistance and comprehensively review the main factors in the process of resistance in order to aid our understanding and assessment of this problem. We conducted a MedLine search using the key words "determinants", "antibiotic", and "antibiotic resistance" to identify publications between 1995 and 2007 on the determinants of antibiotic resistance. Publications that did not address the determinants of antibiotic resistance were excluded. The process and determinants of antibiotic resistance are described, beginning with the development of antibiotics, resistance and the mechanisms of resistance, sociocultural determinants of resistance, the consequences of antibiotic resistance, and alternative measures proposed to combat antibiotic resistance. Analysis of the published literature identified the main determinants of antibiotic resistance as irrational use of antibiotics in humans and animal species, insufficient patient education when antibiotics are prescribed, lack of guidelines for treatment and control of infections, lack of scientific information for physicians on the rational use of antibiotics, and lack of official government policy on the rational use of antibiotics in public and private hospitals.

  5. Resistance Emergence Mechanism and Mechanism of Resistance Suppression by Tobramycin for Cefepime for Pseudomonas aeruginosa

    PubMed Central

    Bonomo, Robert A.; Bahniuk, Nadzeya; Bulitta, Juergen B.; VanScoy, Brian; DeFiglio, Holland; Fikes, Steven; Brown, David; Drawz, Sarah M.; Kulawy, Robert; Louie, Arnold

    2012-01-01

    The panoply of resistance mechanisms in Pseudomonas aeruginosa makes resistance suppression difficult. Defining optimal regimens is critical. Cefepime is a cephalosporin whose 3′ side chain provides some stability against AmpC β-lactamases. We examined the activity of cefepime against P. aeruginosa wild-type strain PAO1 and its isogenic AmpC stably derepressed mutant in our hollow-fiber infection model. Dose-ranging studies demonstrated complete failure with resistance emergence (both isolates). Inoculum range studies demonstrated ultimate failure for all inocula. Lower inocula failed last (10 days to 2 weeks). Addition of a β-lactamase inhibitor suppressed resistance even with the stably derepressed isolate. Tobramycin combination studies demonstrated resistance suppression in both the wild-type and the stably derepressed isolates. Quantitating the RNA message by quantitative PCR demonstrated that tobramycin decreased the message relative to that in cefepime-alone experiments. Western blotting with AmpC-specific antibody for P. aeruginosa demonstrated decreased expression. We concluded that suppression of β-lactamase expression by tobramycin (a protein synthesis inhibitor) was at least part of the mechanism behind resistance suppression. Monte Carlo simulation demonstrated that a regimen of 2 g of cefepime every 8 h plus 7 mg/kg of body weight of tobramycin daily would provide robust resistance suppression for Pseudomonas isolates with cefepime MIC values up to 8 mg/liter and tobramycin MIC values up to 1 mg/liter. For P. aeruginosa resistance suppression, combination therapy is critical. PMID:22005996

  6. The benefits of herbicide-resistant crops.

    PubMed

    Green, Jerry M

    2012-10-01

    Since 1996, genetically modified herbicide-resistant crops, primarily glyphosate-resistant soybean, corn, cotton and canola, have helped to revolutionize weed management and have become an important tool in crop production practices. Glyphosate-resistant crops have enabled the implementation of weed management practices that have improved yield and profitability while better protecting the environment. Growers have recognized their benefits and have made glyphosate-resistant crops the most rapidly adopted technology in the history of agriculture. Weed management systems with glyphosate-resistant crops have often relied on glyphosate alone, have been easy to use and have been effective, economical and more environmentally friendly than the systems they have replaced. Glyphosate has worked extremely well in controlling weeds in glyphosate-resistant crops for more than a decade, but some key weeds have evolved resistance, and using glyphosate alone has proved unsustainable. Now, growers need to renew their weed management practices and use glyphosate with other cultural, mechanical and herbicide options in integrated systems. New multiple-herbicide-resistant crops with resistance to glyphosate and other herbicides will expand the utility of existing herbicide technologies and will be an important component of future weed management systems that help to sustain the current benefits of high-efficiency and high-production agriculture. Copyright © 2012 Society of Chemical Industry.

  7. Antibiotics and Resistance: Glossary

    MedlinePlus

    ... R S T U V W X Y Z Antibacterials (see Antibacterial agents ) Antibiotics (see About bacteria and antibiotics ) Antibiotic ... antibiotic resistance? When and how to take antibiotics Antibacterial agents Bioterrorism & stockpiling antibiotics The Cost of Resistance ...

  8. Resistance: A Precondition for Change.

    ERIC Educational Resources Information Center

    Johnson, Rita

    The phenomenon of resistance to change takes several forms. When and whether it should be challenged or encouraged is discussed here. As anticipation of change from customary habits expectably produces anxiety, resistance is mainly protective. The learner may reveal his resistance to or rejection of a new idea by ignorance, doubt, or merely a…

  9. Candida Species Biofilms’ Antifungal Resistance

    PubMed Central

    Silva, Sónia; Rodrigues, Célia F.; Araújo, Daniela; Rodrigues, Maria Elisa; Henriques, Mariana

    2017-01-01

    Candida infections (candidiasis) are the most prevalent opportunistic fungal infection on humans and, as such, a major public health problem. In recent decades, candidiasis has been associated to Candida species other than Candida albicans. Moreover, biofilms have been considered the most prevalent growth form of Candida cells and a strong causative agent of the intensification of antifungal resistance. As yet, no specific resistance factor has been identified as the sole responsible for the increased recalcitrance to antifungal agents exhibited by biofilms. Instead, biofilm antifungal resistance is a complex multifactorial phenomenon, which still remains to be fully elucidated and understood. The different mechanisms, which may be responsible for the intrinsic resistance of Candida species biofilms, include the high density of cells within the biofilm, the growth and nutrient limitation, the effects of the biofilm matrix, the presence of persister cells, the antifungal resistance gene expression and the increase of sterols on the membrane of biofilm cells. Thus, this review intends to provide information on the recent advances about Candida species biofilm antifungal resistance and its implication on intensification of the candidiasis. PMID:29371527

  10. Nonlinear resistivity for magnetohydrodynamical models

    DOE PAGES

    Lingam, M.; Hirvijoki, E.; Pfefferlé, D.; ...

    2017-04-20

    A new formulation of the plasma resistivity that stems from the collisional momentum-transfer rate between electrons and ions is presented. The resistivity computed herein is shown to depend not only on the temperature and density but also on all other polynomial velocity-space moments of the distribution function, such as the pressure tensor and heat flux vector. The full expression for the collisional momentum-transfer rate is determined and is used to formulate the nonlinear anisotropic resistivity. The new formalism recovers the Spitzer resistivity, as well as the concept of thermal force if the heat flux is assumed to be proportional tomore » a temperature gradient. Furthermore, if the pressure tensor is related to viscous stress, the latter enters the expression for the resistivity. The relative importance of the nonlinear term(s) with respect to the well-established electron inertia and Hall terms is also examined. Lastly, the subtle implications of the nonlinear resistivity, and its dependence on the fluid variables, are discussed in the context of magnetized plasma environments and phenomena such as magnetic reconnection.« less

  11. Child-resistant and tamper-resistant packaging: A systematic review to inform tobacco packaging regulation

    PubMed Central

    Jo, Catherine L.; Ambs, Anita; Dresler, Carolyn M.; Backinger, Cathy L.

    2017-01-01

    Objective We aimed to investigate the effects of special packaging (child-resistant, adult-friendly) and tamper-resistant packaging on health and behavioral outcomes in order to identify research gaps and implications for packaging standards for tobacco products. Methods We searched seven databases for keywords related to special and tamper-resistant packaging, consulted experts, and reviewed citations of potentially relevant studies. 733 unique papers were identified. Two coders independently screened each title and abstract for eligibility. They then reviewed the full text of the remaining papers for a second round of eligibility screening. Included studies investigated a causal relationship between type of packaging or packaging regulation and behavioral or health outcomes and had a study population composed of consumers. Studies were excluded on the basis of publication type, if they were not peer-reviewed, and if they had low external validity. Two reviewers independently coded each paper for study and methodological characteristics and limitations. Discrepancies were discussed and resolved. Results The review included eight studies: four assessing people’s ability to access the contents of different packaging types and four evaluating the impact of packaging requirements on health-related outcomes. Child-resistant packaging was generally more difficult to open than non-child-resistant packaging. Child-resistant packaging requirements have been associated with reductions in child mortality. Conclusions Child-resistant packaging holds the expectation to reduce tobacco product poisonings among children under six. PMID:27939602

  12. Oxidation resistant alloys, method for producing oxidation resistant alloys

    DOEpatents

    Dunning, John S.; Alman, David E.

    2002-11-05

    A method for producing oxidation-resistant austenitic alloys for use at temperatures below 800 C. comprising of: providing an alloy comprising, by weight %: 14-18% chromium, 15-18% nickel, 1-3% manganese, 1-2% molybdenum, 2-4% silicon, 0% aluminum and the balance being iron; heating the alloy to 800 C. for between 175-250 hours prior to use in order to form a continuous silicon oxide film and another oxide film. The method provides a means of producing stainless steels with superior oxidation resistance at temperatures above 700 C. at a low cost

  13. Multidrug Resistant Pseudomonas aeruginosa Causing Prosthetic Valve Endocarditis: A Genetic-Based Chronicle of Evolving Antibiotic Resistance.

    PubMed

    Domitrovic, T Nicholas; Hujer, Andrea M; Perez, Federico; Marshall, Steven H; Hujer, Kristine M; Woc-Colburn, Laila E; Parta, Mark; Bonomo, Robert A

    2016-10-01

    Background.  Successful treatment of infections caused by multidrug-resistant (MDR) Pseudomonas aeruginosa is thwarted by the emergence of antibiotic resistance and biofilm formation on prosthetic devices. Our aims were to decipher the molecular basis of resistance in a unique case of prosthetic valve endocarditis (PVE) caused by MDR P. aeruginosa . Methods.  Five sequential MDR P. aeruginosa blood isolates collected during a 7-month period were recovered from a patient suffering from PVE previously exposed to β-lactam antibiotics. Minimum inhibitory concentrations (MICs) of several classes of antibiotics were used to indicate clinical resistance characteristics; relatedness of the isolates was determined using multilocus sequence typing and repetitive sequence-based polymerase chain reaction. Amplification and sequencing of regulatory and resistance genes was performed. Results.  All isolates belonged to ST 298, possessed bla PDC-16 , and were resistant to fluoroquinolones and carbapenems. In the course of therapy, we observed a >2-fold increase in cephalosporin resistance (4 µg/mL to >16 µg/mL). Sequencing of the AmpC regulator, amp R, revealed a D135N point mutation in cephalosporin-resistant isolates. Common carbapenemase genes were not identified. All isolates demonstrated a premature stop codon at amino acid 79 of the outer membrane protein OprD and mutations in the quinolone resistance-determining regions of gyr A and par C. Point mutations in nal C, an efflux pump regulator, were also observed. Conclusions.  In this analysis, we chart the molecular evolution of β-lactam resistance in a case of PVE. We show that mutations in regulatory genes controlling efflux and cephalosporinase production contributed to the MDR phenotype.

  14. Update on antimicrobial resistance.

    PubMed

    Weber, Carol J

    2005-02-01

    WHO experts believe that antimicrobial resistance is potentially containable, but the window of opportunity to control and eventually eliminate the most dangerous infectious diseases is closing. If we miss our opportunity, it may become very difficult and expensive--and in some cases impossible--to treat infectious diseases. WHO's global strategy to contain antimicrobial resistance requires a massive effort and an alliance among countries, governments, international organizations, drug manufacturers, and private and public health care sectors. If infectious diseases are fought wisely and widely by the international community, drug resistance can be controlled and lives saved.

  15. Rapid diagnosis of pyrazinamide-resistant multidrug-resistant tuberculosis using a molecular-based diagnostic algorithm.

    PubMed

    Simons, S O; van der Laan, T; Mulder, A; van Ingen, J; Rigouts, L; Dekhuijzen, P N R; Boeree, M J; van Soolingen, D

    2014-10-01

    There is an urgent need for rapid and accurate diagnosis of pyrazinamide-resistant multidrug-resistant tuberculosis (MDR-TB). No diagnostic algorithm has been validated in this population. We hypothesized that pncA sequencing added to rpoB mutation analysis can accurately identify patients with pyrazinamide-resistant MDR-TB. We identified from the Dutch national database (2007-11) patients with a positive Mycobacterium tuberculosis culture containing a mutation in the rpoB gene. In these cases, we prospectively sequenced the pncA gene. Results from the rpoB and pncA mutation analysis (pncA added to rpoB) were compared with phenotypic susceptibility testing results to rifampicin, isoniazid and pyrazinamide (reference standard) using the Mycobacterial Growth Indicator Tube 960 system. We included 83 clinical M. tuberculosis isolates containing rpoB mutations in the primary analysis. Rifampicin resistance was seen in 72 isolates (87%), isoniazid resistance in 73 isolates (88%) and MDR-TB in 65 isolates (78%). Phenotypic reference testing identified pyrazinamide-resistant MDR-TB in 31 isolates (48%). Sensitivity of pncA sequencing added to rpoB mutation analysis for detecting pyrazinamide-resistant MDR-TB was 96.8%, the specificity was 94.2%, the positive predictive value was 90.9%, the negative predictive value was 98.0%, the positive likelihood was 16.8 and the negative likelihood was 0.03. In conclusion, pyrazinamide-resistant MDR-TB can be accurately detected using pncA sequencing added to rpoB mutation analysis. We propose to include pncA sequencing in every isolate with an rpoB mutation, allowing for stratification of MDR-TB treatment according to pyrazinamide susceptibility. © 2014 The Authors Clinical Microbiology and Infection © 2014 European Society of Clinical Microbiology and Infectious Diseases.

  16. 30 CFR 14.20 - Flame resistance.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... MINING PRODUCTS REQUIREMENTS FOR THE APPROVAL OF FLAME-RESISTANT CONVEYOR BELTS Technical Requirements § 14.20 Flame resistance. Conveyor belts for use in underground coal mines must be flame-resistant and...

  17. Quorum sensing and microbial drug resistance.

    PubMed

    Chen, Yu-fan; Liu, Shi-yin; Liang, Zhi-bin; Lv, Ming-fa; Zhou, Jia-nuan; Zhang, Lian-hui

    2016-10-20

    Microbial drug resistance has become a serious problem of global concern, and the evolution and regulatory mechanisms of microbial drug resistance has become a hotspot of research in recent years. Recent studies showed that certain microbial resistance mechanisms are regulated by quorum sensing system. Quorum sensing is a ubiquitous cell-cell communication system in the microbial world, which associates with cell density. High-density microbial cells produce sufficient amount of small signal molecules, activating a range of downstream cellular processes including virulence and drug resistance mechanisms, which increases bacterial drug tolerance and causes infections on host organisms. In this review, the general mechanisms of microbial drug resistance and quorum-sensing systems are summarized with a focus on the association of quorum sensing and chemical signaling systems with microbial drug resistance mechanisms, including biofilm formation and drug efflux pump. The potential use of quorum quenching as a new strategy to control microbial resistance is also discussed.

  18. Metabolism-based herbicide resistance and cross-resistance in crop weeds: a threat to herbicide sustainability and global crop production.

    PubMed

    Yu, Qin; Powles, Stephen

    2014-11-01

    Weedy plant species that have evolved resistance to herbicides due to enhanced metabolic capacity to detoxify herbicides (metabolic resistance) are a major issue. Metabolic herbicide resistance in weedy plant species first became evident in the 1980s in Australia (in Lolium rigidum) and the United Kingdom (in Alopecurus myosuroides) and is now increasingly recognized in several crop-weed species as a looming threat to herbicide sustainability and thus world crop production. Metabolic resistance often confers resistance to herbicides of different chemical groups and sites of action and can extend to new herbicide(s). Cytochrome P450 monooxygenase, glycosyl transferase, and glutathione S-transferase are often implicated in herbicide metabolic resistance. However, precise biochemical and molecular genetic elucidation of metabolic resistance had been stalled until recently. Complex cytochrome P450 superfamilies, high genetic diversity in metabolic resistant weedy plant species (especially cross-pollinated species), and the complexity of genetic control of metabolic resistance have all been barriers to advances in understanding metabolic herbicide resistance. However, next-generation sequencing technologies and transcriptome-wide gene expression profiling are now revealing the genes endowing metabolic herbicide resistance in plants. This Update presents an historical review to current understanding of metabolic herbicide resistance evolution in weedy plant species. © 2014 American Society of Plant Biologists. All Rights Reserved.

  19. Resistant starch intakes in the United States.

    PubMed

    Murphy, Mary M; Douglass, Judith Spungen; Birkett, Anne

    2008-01-01

    Dietary fiber represents a broad class of undigested carbohydrate components. The components vary in chemical and physical nature and in their physiological outcomes. Resistant starch is starch that escapes digestion in the small intestine and that may be fermented in the large intestine. The purpose of this study was to estimate consumption of resistant starch by the US population and to identify key sources of dietary resistant starch. A database of resistant starch concentrations in foods was developed from the publicly available literature. These concentrations were linked to foods reported in 24-hour dietary recalls from participants in the 1999-2002 National Health and Nutrition Examination Surveys and estimates of resistant starch intakes were generated. The study population included 18,305 nonbreastfeeding individuals in the United States. The dietary intake of resistant starch was determined for 10 US subpopulations defined by age, sex, and race/ethnicity. Three estimates of resistant starch intake were made for each person based on the minimum, mean, and maximum concentrations of resistant starch in the foods consumed. Americans aged 1 year and older were estimated to consume approximately 4.9 g resistant starch per day based on mean resistant starch concentrations (range 2.8 to 7.9 g resistant starch per day). Breads, cooked cereals/pastas, and vegetables (other than legumes) contributed 21%, 19%, and 19% of total resistant starch intake, respectively, and were top sources of resistant starch. Findings from this study suggest that the estimated intake of resistant starch by Americans is approximately 3 to 8 g per person per day. These estimates of resistant starch intake provide a valuable reference for researchers and food and nutrition professionals and will allow for more accurate estimates of total intakes of carbohydrate compounds that escape digestion in the small intestine.

  20. Resistance controllability and variability improvement in a TaO{sub x}-based resistive memory for multilevel storage application

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prakash, A., E-mail: amitknp@postech.ac.kr, E-mail: amit.knp02@gmail.com, E-mail: hwanghs@postech.ac.kr; Song, J.; Hwang, H., E-mail: amitknp@postech.ac.kr, E-mail: amit.knp02@gmail.com, E-mail: hwanghs@postech.ac.kr

    In order to obtain reliable multilevel cell (MLC) characteristics, resistance controllability between the different resistance levels is required especially in resistive random access memory (RRAM), which is prone to resistance variability mainly due to its intrinsic random nature of defect generation and filament formation. In this study, we have thoroughly investigated the multilevel resistance variability in a TaO{sub x}-based nanoscale (<30 nm) RRAM operated in MLC mode. It is found that the resistance variability not only depends on the conductive filament size but also is a strong function of oxygen vacancy concentration in it. Based on the gained insights through experimentalmore » observations and simulation, it is suggested that forming thinner but denser conductive filament may greatly improve the temporal resistance variability even at low operation current despite the inherent stochastic nature of resistance switching process.« less

  1. Triclosan resistant bacteria in sewage effluent and cross-resistance to antibiotics.

    PubMed

    Coetzee, I; Bezuidenhout, C C; Bezuidenhout, J J

    2017-09-01

    The purpose of this study was to identify triclosan tolerant heterotrophic plate count (HPC) bacteria from sewage effluent and to determine cross-resistance to antibiotics. R2 agar supplemented with triclosan was utilised to isolate triclosan resistant bacteria and 16S rRNA gene sequencing was conducted to identify the isolates. Minimum inhibitory concentrations (MICs) of organisms were determined at selected concentrations of triclosan and cross-resistance to various antibiotics was performed. High-performance liquid chromatography was conducted to quantify levels of triclosan in sewage water. Forty-four HPC were isolated and identified as the five main genera, namely, Bacillus, Pseudomonas, Enterococcus, Brevibacillus and Paenibacillus. MIC values of these isolates ranged from 0.125 mg/L to >1 mg/L of triclosan, while combination of antimicrobials indicated synergism or antagonism. Levels of triclosan within the wastewater treatment plant (WWTP) ranged between 0.026 and 1.488 ppb. Triclosan concentrations were reduced by the WWTP, but small concentrations enter receiving freshwater bodies. Results presented indicate that these levels are sufficient to maintain triclosan resistant bacteria under controlled conditions. Further studies are thus needed into the impact of this scenario on such natural receiving water bodies.

  2. Antibiotic Resistance in Salmonella from Retail Foods of Animal Origin and Its Association with Disinfectant and Heavy Metal Resistance.

    PubMed

    Deng, Wenwen; Quan, Yuan; Yang, Shengzhi; Guo, Lijuan; Zhang, Xiuli; Liu, Shuliang; Chen, Shujuan; Zhou, Kang; He, Li; Li, Bei; Gu, Yunfu; Zhao, Shaohua; Zou, Likou

    2017-10-17

    This study aims to demonstrate the antibiotic resistance and its association with disinfectant and heavy metal resistance in 152 Salmonella isolates recovered from retail foods of animal origins. Susceptibility testing demonstrated that 92.8% isolates were resistant to at least one antibiotic, and the resistance was highest to oxytetracycline (80.9%), followed by trimethoprim (64.5%), amoxicillin (28.9%), ampicillin (28.3%), levofloxacin (21.7%), ciprofloxacin (16.4%), and gentamicin (10.5%), respectively. The bla TEM and tetA genes (44.7%) were commonly present. The qacF and qacEΔ1 genes were detected in 18.4% and 8.6% of all isolates. The Cu-resistance genes pcoR, pcoC, and pcoA were the most prevalent (20.4-40.8%), followed by Hg-resistance gene merA (17.8%) and As-resistance genes arsB (6.6%). The antibiotic resistance was highly associated with disinfectant or certain heavy metal resistance genes. Most notably, the association among Cu-resistance genes (pcoC, pcoR), disinfectant resistance genes (qacF, qacEΔ1), and tetracycline and sulfonamide resistance genes (tet, sul) was significant (p < 0.05). Pulsed-field gel electrophoresis revealed that Salmonella isolates was associated with supermarkets indicating the possibility of crosscontamination in farms or processing environment. This study indicated that retail meats may be a reservoir for the dissemination of antibiotic-resistant Salmonella and using disinfectants for decontamination or metals in livestock may provide a pressure for coselecting strains with acquired resistance to other antimicrobials.

  3. Effect of geometry on hydrodynamic film thickness

    NASA Technical Reports Server (NTRS)

    Brewe, D. E.; Hamrock, B. J.; Taylor, C. M.

    1978-01-01

    The influence of geometry on the isothermal hydrodynamic film separating two rigid solids was investigated. Pressure-viscosity effects were not considered. The minimum film thickness is derived for fully flooded conjunctions by using the Reynolds boundary conditions. It was found that the minimum film thickness had the same speed, viscosity, and load dependence as Kapitza's classical solution. However, the incorporation of Reynolds boundary conditions resulted in an additional geometry effect. Solutions using the parabolic film approximation are compared with those using the exact expression for the film in the analysis. Contour plots are shown that indicate in detail the pressure developed between the solids.

  4. The determinants of the antibiotic resistance process

    PubMed Central

    Franco, Beatriz Espinosa; Altagracia Martínez, Marina; Sánchez Rodríguez, Martha A; Wertheimer, Albert I

    2009-01-01

    Background: The use of antibiotic drugs triggers a complex interaction involving many biological, sociological, and psychological determinants. Resistance to antibiotics is a serious worldwide problem which is increasing and has implications for morbidity, mortality, and health care both in hospitals and in the community. Objectives: To analyze current research on the determinants of antibiotic resistance and comprehensively review the main factors in the process of resistance in order to aid our understanding and assessment of this problem. Methods: We conducted a MedLine search using the key words “determinants”, “antibiotic”, and “antibiotic resistance” to identify publications between 1995 and 2007 on the determinants of antibiotic resistance. Publications that did not address the determinants of antibiotic resistance were excluded. Results: The process and determinants of antibiotic resistance are described, beginning with the development of antibiotics, resistance and the mechanisms of resistance, sociocultural determinants of resistance, the consequences of antibiotic resistance, and alternative measures proposed to combat antibiotic resistance. Conclusions: Analysis of the published literature identified the main determinants of antibiotic resistance as irrational use of antibiotics in humans and animal species, insufficient patient education when antibiotics are prescribed, lack of guidelines for treatment and control of infections, lack of scientific information for physicians on the rational use of antibiotics, and lack of official government policy on the rational use of antibiotics in public and private hospitals. PMID:21694883

  5. Antimicrobial Use and Antimicrobial Resistance: A Population Perspective

    PubMed Central

    Samore, Matthew H.

    2002-01-01

    The need to stem the growing problem of antimicrobial resistance has prompted multiple, sometimes conflicting, calls for changes in the use of antimicrobial agents. One source of disagreement concerns the major mechanisms by which antibiotics select resistant strains. For infections like tuberculosis, in which resistance can emerge in treated hosts through mutation, prevention of antimicrobial resistance in individual hosts is a primary method of preventing the spread of resistant organisms in the community. By contrast, for many other important resistant pathogens, such as penicillin-resistant Streptococcus pneumoniae, methicillin-resistant Staphylococcus aureus, and vancomycin-resistant Enterococcus faecium resistance is mediated by the acquisition of genes or gene fragments by horizontal transfer; resistance in the treated host is a relatively rare event. For these organisms, indirect, population-level mechanisms of selection account for the increase in the prevalence of resistance. These mechanisms can operate even when treatment has a modest, or even negative, effect on an individual host’s colonization with resistant organisms. PMID:11971765

  6. Resistance Status and Resistance Mechanisms in a Strain of Aedes aegypti (Diptera: Culicidae) From Puerto Rico.

    PubMed

    Estep, Alden S; Sanscrainte, Neil D; Waits, Christy M; Louton, Jessica E; Becnel, James J

    2017-11-07

    Puerto Rico (PR) has a long history of vector-borne disease and insecticide-resistant Aedes aegypti (L.). Defining contributing mechanisms behind phenotypic resistance is critical for effective vector control intervention. However, previous studies from PR have each focused on only one mechanism of pyrethroid resistance. This study examines the contribution of P450-mediated enzymatic detoxification and sodium channel target site changes to the overall resistance phenotype of Ae. aegypti collected from San Juan, PR, in 2012. Screening of a panel of toxicants found broad resistance relative to the lab susceptible Orlando (ORL1952) strain. We identified significant resistance to representative Type I, Type II, and nonester pyrethroids, a sodium channel blocker, and a sodium channel blocking inhibitor, all of which interact with the sodium channel. Testing of fipronil, a chloride channel agonist, also showed low but significant levels of resistance. In contrast, the PR and ORL1952 strains were equally susceptible to chlorfenapyr, which has been suggested as an alternative public health insecticide. Molecular characterization of the strain indicated that two common sodium channel mutations were fixed in the population. Topical bioassay with piperonyl butoxide (PBO) indicated cytochrome P450-mediated detoxification accounts for approximately half of the resistance profile. Transcript expression screening of cytochrome P450s and glutathione-S-transferases identified the presence of overexpressed transcripts. This study of Puerto Rican Ae. aegypti with significant contributions from both genetic changes and enzymatic detoxification highlights the necessity of monitoring for resistance but also defining the multiple resistance mechanisms to inform effective mosquito control. Published by Oxford University Press on behalf of Entomological Society of America 2017. This work is written by US Government employees and is in the public domain in the US.

  7. Cross-resistance and synergism bioassays suggest multiple mechanisms of pyrethroid resistance in western corn rootworm populations

    PubMed Central

    Souza, Dariane; Zukoff, Sarah N.; Meinke, Lance J.; Siegfried, Blair D.

    2017-01-01

    Recently, resistance to the pyrethroid bifenthrin was detected and confirmed in field populations of western corn rootworm, Diabrotica virgifera virgifera LeConte from southwestern areas of Nebraska and Kansas. As a first step to understand potential mechanisms of resistance, the objectives of this study were i) to assess adult mortality at diagnostic concentration-LC99 to the pyrethroids bifenthrin and tefluthrin as well as DDT, ii) estimate adult and larval susceptibility to the same compounds as well as the organophosphate methyl-parathion, and iii) perform synergism experiments with piperonyl butoxide (PBO) (P450 inhibitor) and S,S,S-tributyl-phosphorotrithioate (DEF) (esterase inhibitor) in field populations. Most of the adult field populations exhibiting some level of bifenthrin resistance exhibited significantly lower mortality to both pyrethroids and DDT than susceptible control populations at the estimated LC99 of susceptible populations. Results of adult dose-mortality bioassays also revealed elevated LC50 values for bifenthrin resistant populations compared to the susceptible control population with resistance ratios ranging from 2.5 to 5.5-fold for bifenthrin, 28 to 54.8-fold for tefluthrin, and 16.3 to 33.0 for DDT. These bioassay results collectively suggest some level of cross-resistance between the pyrethroids and DDT. In addition, both PBO and DEF reduced the resistance ratios for resistant populations although there was a higher reduction in susceptibility of adults exposed to PBO versus DEF. Susceptibility in larvae varied among insecticides and did not correlate with adult susceptibility to tefluthrin and DDT, as most resistance ratios were < 5-fold when compared to the susceptible population. These results suggest that both detoxifying enzymes and target site insensitivity might be involved as resistance mechanisms. PMID:28628635

  8. Biofilm Formation Potential of Heat-Resistant Escherichia coli Dairy Isolates and the Complete Genome of Multidrug-Resistant, Heat-Resistant Strain FAM21845

    PubMed Central

    Schmid, Michael; Kulli, Sandra; Schneeberger, Kerstin; Naskova, Javorka; Knøchel, Susanne; Ahrens, Christian H.

    2017-01-01

    ABSTRACT We tested the biofilm formation potential of 30 heat-resistant and 6 heat-sensitive Escherichia coli dairy isolates. Production of curli and cellulose, static biofilm formation on polystyrene (PS) and stainless steel surfaces, biofilm formation under dynamic conditions (Bioflux), and initial adhesion rates (IAR) were evaluated. Biofilm formation varied greatly between strains, media, and assays. Our results highlight the importance of the experimental setup in determining biofilm formation under conditions of interest, as correlation between different assays was often not a given. The heat-resistant, multidrug-resistant (MDR) strain FAM21845 showed the strongest biofilm formation on PS and the highest IAR and was the only strain that formed significant biofilms on stainless steel under conditions relevant to the dairy industry, and it was therefore fully sequenced. Its chromosome is 4.9 Mb long, and it harbors a total of five plasmids (147.2, 54.2, 5.8, 2.5, and 1.9 kb). The strain carries a broad range of genes relevant to antimicrobial resistance and biofilm formation, including some on its two large conjugative plasmids, as demonstrated in plate mating assays. IMPORTANCE In biofilms, cells are embedded in an extracellular matrix that protects them from stresses, such as UV radiation, osmotic shock, desiccation, antibiotics, and predation. Biofilm formation is a major bacterial persistence factor of great concern in the clinic and the food industry. Many tested strains formed strong biofilms, and especially strains such as the heat-resistant, MDR strain FAM21845 may pose a serious issue for food production. Strong biofilm formation combined with diverse resistances (some encoded on conjugative plasmids) may allow for increased persistence, coselection, and possible transfer of these resistance factors. Horizontal gene transfer may conceivably occur in the food production setting or the gastrointestinal tract after consumption. PMID:28550056

  9. Biofilm Formation Potential of Heat-Resistant Escherichia coli Dairy Isolates and the Complete Genome of Multidrug-Resistant, Heat-Resistant Strain FAM21845.

    PubMed

    Marti, Roger; Schmid, Michael; Kulli, Sandra; Schneeberger, Kerstin; Naskova, Javorka; Knøchel, Susanne; Ahrens, Christian H; Hummerjohann, Jörg

    2017-08-01

    We tested the biofilm formation potential of 30 heat-resistant and 6 heat-sensitive Escherichia coli dairy isolates. Production of curli and cellulose, static biofilm formation on polystyrene (PS) and stainless steel surfaces, biofilm formation under dynamic conditions (Bioflux), and initial adhesion rates (IAR) were evaluated. Biofilm formation varied greatly between strains, media, and assays. Our results highlight the importance of the experimental setup in determining biofilm formation under conditions of interest, as correlation between different assays was often not a given. The heat-resistant, multidrug-resistant (MDR) strain FAM21845 showed the strongest biofilm formation on PS and the highest IAR and was the only strain that formed significant biofilms on stainless steel under conditions relevant to the dairy industry, and it was therefore fully sequenced. Its chromosome is 4.9 Mb long, and it harbors a total of five plasmids (147.2, 54.2, 5.8, 2.5, and 1.9 kb). The strain carries a broad range of genes relevant to antimicrobial resistance and biofilm formation, including some on its two large conjugative plasmids, as demonstrated in plate mating assays. IMPORTANCE In biofilms, cells are embedded in an extracellular matrix that protects them from stresses, such as UV radiation, osmotic shock, desiccation, antibiotics, and predation. Biofilm formation is a major bacterial persistence factor of great concern in the clinic and the food industry. Many tested strains formed strong biofilms, and especially strains such as the heat-resistant, MDR strain FAM21845 may pose a serious issue for food production. Strong biofilm formation combined with diverse resistances (some encoded on conjugative plasmids) may allow for increased persistence, coselection, and possible transfer of these resistance factors. Horizontal gene transfer may conceivably occur in the food production setting or the gastrointestinal tract after consumption. Copyright © 2017 Marti et al.

  10. Antimicrobial resistance in Libya: 1970-2011.

    PubMed

    Ghenghesh, Khalifa Sifaw; Rahouma, Amal; Tawil, Khaled; Zorgani, Abdulaziz; Franka, Ezzedin

    2013-03-27

    Resistance to antimicrobial agents is a major health problem that affects the whole world. Providing information on the past state of antimicrobial resistance in Libya may assist the health authorities in addressing the problem more effectively in the future. Information was obtained mainly from Highwire Press (including PubMed) search for the period 1970-2011 using the terms 'antibiotic resistance in Libya', 'antimicrobial resistance in Libya', 'tuberculosis in Libya', and 'primary and acquired resistance in Libya' in title and abstract. From 1970 to 2011 little data was available on antimicrobial resistance in Libya due to lack of surveillance and few published studies. Available data shows high resistance rates for Salmonella species in the late 1970s and has remained high to the present day. High prevalence rates (54-68%) of methicillin-resistant Staphylococcus aureus (MRSA) were reported in the last decade among S. aureus from patients with burns and surgical wound infections. No reports were found of vancomycin-resistant S. aureus (VRSA) or vancomycin-intermediate-resistant S. aureus (VISA) using standard methods from Libya up to the end of 2011. Reported rates of primary (i.e. new cases) and acquired (i.e. retreatment cases) multidrug-resistant tuberculosis (MDR-TB) from the eastern region of Libya in 1971 were 16.6 and 33.3% and in 1976 were 8.6 and 14.7%, in western regions in 1984-1986 were 11 and 21.5% and in the whole country in 2011 were estimated at 3.4 and 29%, respectively. The problem of antibiotic resistance is very serious in Libya. The health authorities in particular and society in general should address this problem urgently. Establishing monitoring systems based on the routine testing of antimicrobial sensitivity and education of healthcare workers, pharmacists, and the community on the health risks associated with the problem and benefits of prudent use of antimicrobials are some steps that can be taken to tackle the problem in the future.

  11. Antimicrobial resistance in Libya: 1970-2011.

    PubMed

    Sifaw Ghenghesh, Khalifa; Rahouma, Amal; Tawil, Khaled; Zorgani, Abdulaziz; Franka, Ezzedin

    2013-01-01

    Resistance to antimicrobial agents is a major health problem that affects the whole world. Providing information on the past state of antimicrobial resistance in Libya may assist the health authorities in addressing the problem more effectively in the future. Information was obtained mainly from Highwire Press (including PubMed) search for the period 1970-2011 using the terms 'antibiotic resistance in Libya', 'antimicrobial resistance in Libya', 'tuberculosis in Libya', and 'primary and acquired resistance in Libya' in title and abstract. From 1970 to 2011 little data was available on antimicrobial resistance in Libya due to lack of surveillance and few published studies. Available data shows high resistance rates for Salmonella species in the late 1970s and has remained high to the present day. High prevalence rates (54-68%) of methicillin-resistant Staphylococcus aureus (MRSA) were reported in the last decade among S. aureus from patients with burns and surgical wound infections. No reports were found of vancomycin-resistant S. aureus (VRSA) or vancomycin-intermediate-resistant S. aureus (VISA) using standard methods from Libya up to the end of 2011. Reported rates of primary (i.e. new cases) and acquired (i.e. retreatment cases) multidrug-resistant tuberculosis (MDR-TB) from the eastern region of Libya in 1971 were 16.6 and 33.3% and in 1976 were 8.6 and 14.7%, in western regions in 1984-1986 were 11 and 21.5% and in the whole country in 2011 were estimated at 3.4 and 29%, respectively. The problem of antibiotic resistance is very serious in Libya. The health authorities in particular and society in general should address this problem urgently. Establishing monitoring systems based on the routine testing of antimicrobial sensitivity and education of healthcare workers, pharmacists, and the community on the health risks associated with the problem and benefits of prudent use of antimicrobials are some steps that can be taken to tackle the problem in the future.

  12. Variable-Resistivity Material For Memory Circuits

    NASA Technical Reports Server (NTRS)

    Nagasubramanian, Ganesan; Distefano, Salvador; Moacanin, Jovan

    1989-01-01

    Nonvolatile memory elements packed densely. Electrically-erasable, programmable, read-only memory matrices made with newly-synthesized organic material of variable electrical resistivity. Material, polypyrrole doped with tetracyanoquinhydrone (TCNQ), changes reversibly between insulating or higher-resistivity state and conducting or low-resistivity state. Thin film of conductive polymer separates layer of row conductors from layer of column conductors. Resistivity of film at each intersection and, therefore, resistance of memory element defined by row and column, increased or decreased by application of suitable switching voltage. Matrix circuits made with this material useful for experiments in associative electronic memories based on models of neural networks.

  13. Tuning resistance states by thickness control in an electroforming-free nanometallic complementary resistance random access memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Xiang; Lu, Yang; Lee, Jongho

    2016-01-04

    Tuning low resistance state is crucial for resistance random access memory (RRAM) that aims to achieve optimal read margin and design flexibility. By back-to-back stacking two nanometallic bipolar RRAMs with different thickness into a complementary structure, we have found that its low resistance can be reliably tuned over several orders of magnitude. Such high tunability originates from the exponential thickness dependence of the high resistance state of nanometallic RRAM, in which electron wave localization in a random network gives rise to the unique scaling behavior. The complementary nanometallic RRAM provides electroforming-free, multi-resistance-state, sub-100 ns switching capability with advantageous characteristics formore » memory arrays.« less

  14. Mutations in the Plasmodium falciparum Cyclic Amine Resistance Locus (PfCARL) Confer Multidrug Resistance.

    PubMed

    LaMonte, Gregory; Lim, Michelle Yi-Xiu; Wree, Melanie; Reimer, Christin; Nachon, Marie; Corey, Victoria; Gedeck, Peter; Plouffe, David; Du, Alan; Figueroa, Nelissa; Yeung, Bryan; Bifani, Pablo; Winzeler, Elizabeth A

    2016-07-05

    Mutations in the Plasmodium falciparum cyclic amine resistance locus (PfCARL) are associated with parasite resistance to the imidazolopiperazines, a potent class of novel antimalarial compounds that display both prophylactic and transmission-blocking activity, in addition to activity against blood-stage parasites. Here, we show that pfcarl encodes a protein, with a predicted molecular weight of 153 kDa, that localizes to the cis-Golgi apparatus of the parasite in both asexual and sexual blood stages. Utilizing clustered regularly interspaced short palindromic repeat (CRISPR)-mediated gene introduction of 5 variants (L830V, S1076N/I, V1103L, and I1139K), we demonstrate that mutations in pfcarl are sufficient to generate resistance against the imidazolopiperazines in both asexual and sexual blood-stage parasites. We further determined that the mutant PfCARL protein confers resistance to several structurally unrelated compounds. These data suggest that PfCARL modulates the levels of small-molecule inhibitors that affect Golgi-related processes, such as protein sorting or membrane trafficking, and is therefore an important mechanism of resistance in malaria parasites. Several previous in vitro evolution studies have implicated the Plasmodium falciparum cyclic amine resistance locus (PfCARL) as a potential target of imidazolopiperazines, potent antimalarial compounds with broad activity against different parasite life cycle stages. Given that the imidazolopiperazines are currently being tested in clinical trials, understanding their mechanism of resistance and the cellular processes involved will allow more effective clinical usage. Copyright © 2016 LaMonte et al.

  15. Herbicide resistance-endowing ACCase gene mutations in hexaploid wild oat (Avena fatua): insights into resistance evolution in a hexaploid species

    PubMed Central

    Yu, Q; Ahmad-Hamdani, M S; Han, H; Christoffers, M J; Powles, S B

    2013-01-01

    Many herbicide-resistant weed species are polyploids, but far too little about the evolution of resistance mutations in polyploids is understood. Hexaploid wild oat (Avena fatua) is a global crop weed and many populations have evolved herbicide resistance. We studied plastidic acetyl-coenzyme A carboxylase (ACCase)-inhibiting herbicide resistance in hexaploid wild oat and revealed that resistant individuals can express one, two or three different plastidic ACCase gene resistance mutations (Ile-1781-Leu, Asp-2078-Gly and Cys-2088-Arg). Using ACCase resistance mutations as molecular markers, combined with genetic, molecular and biochemical approaches, we found in individual resistant wild-oat plants that (1) up to three unlinked ACCase gene loci assort independently following Mendelian laws for disomic inheritance, (2) all three of these homoeologous ACCase genes were transcribed, with each able to carry its own mutation and (3) in a hexaploid background, each individual ACCase resistance mutation confers relatively low-level herbicide resistance, in contrast to high-level resistance conferred by the same mutations in unrelated diploid weed species of the Poaceae (grass) family. Low resistance conferred by individual ACCase resistance mutations is likely due to a dilution effect by susceptible ACCase expressed by homoeologs in hexaploid wild oat and/or differential expression of homoeologous ACCase gene copies. Thus, polyploidy in hexaploid wild oat may slow resistance evolution. Evidence of coexisting non-target-site resistance mechanisms among wild-oat populations was also revealed. In all, these results demonstrate that herbicide resistance and its evolution can be more complex in hexaploid wild oat than in unrelated diploid grass weeds. Our data provide a starting point for the daunting task of understanding resistance evolution in polyploids. PMID:23047200

  16. Identifying clinically relevant drug resistance genes in drug-induced resistant cancer cell lines and post-chemotherapy tissues.

    PubMed

    Tong, Mengsha; Zheng, Weicheng; Lu, Xingrong; Ao, Lu; Li, Xiangyu; Guan, Qingzhou; Cai, Hao; Li, Mengyao; Yan, Haidan; Guo, You; Chi, Pan; Guo, Zheng

    2015-12-01

    Until recently, few molecular signatures of drug resistance identified in drug-induced resistant cancer cell models can be translated into clinical practice. Here, we defined differentially expressed genes (DEGs) between pre-chemotherapy colorectal cancer (CRC) tissue samples of non-responders and responders for 5-fluorouracil and oxaliplatin-based therapy as clinically relevant drug resistance genes (CRG5-FU/L-OHP). Taking CRG5-FU/L-OHP as reference, we evaluated the clinical relevance of several types of genes derived from HCT116 CRC cells with resistance to 5-fluorouracil and oxaliplatin, respectively. The results revealed that DEGs between parental and resistant cells, when both were treated with the corresponding drug for a certain time, were significantly consistent with the CRG5-FU/L-OHP as well as the DEGs between the post-chemotherapy CRC specimens of responders and non-responders. This study suggests a novel strategy to extract clinically relevant drug resistance genes from both drug-induced resistant cell models and post-chemotherapy cancer tissue specimens.

  17. Resisting persuasion by the skin of one's teeth: the hidden success of resisted persuasive messages.

    PubMed

    Tormala, Zakary L; Clarkson, Joshua J; Petty, Richard E

    2006-09-01

    Recent research has suggested that when people resist persuasion they can perceive this resistance and, under specifiable conditions, become more certain of their initial attitudes (e.g., Z. L. Tormala & R. E. Petty, 2002). Within the same metacognitive framework, the present research provides evidence for the opposite phenomenon--that is, when people resist persuasion, they sometimes become less certain of their initial attitudes. Four experiments demonstrate that when people perceive that they have done a poor job resisting persuasion (e.g., they believe they generated weak arguments against a persuasive message), they lose attitude certainty, show reduced attitude-behavioral intention correspondence, and become more vulnerable to subsequent persuasive attacks. These findings suggest that resisted persuasive attacks can sometimes have a hidden yet important success by reducing the strength of the target attitude. ((c) 2006 APA, all rights reserved).

  18. Trichomonads, hydrogenosomes and drug resistance.

    PubMed

    Kulda, J

    1999-02-01

    Trichomonas vaginalis and Tritrichomonas foetus are sexually transmitted pathogens of the genito-urinary tract of humans and cattle, respectively. These organisms are amitochondrial anaerobes possessing hydrogenosomes, double membrane-bound organelles involved in catabolic processes extending glycolysis. The oxidative decarboxylation of pyruvate in hydrogenosomes is coupled to ATP synthesis and linked to ferredoxin-mediated electron transport. This pathway is responsible for metabolic activation of 5-nitroimidazole drugs, such as metronidazole, used in chemotherapy of trichomoniasis. Prolonged cultivation of trichomonads under sublethal pressure of metronidazole results in development of drug resistance. In both pathogenic species the resistance develops in a multistep process involving a sequence of stages that differ in drug susceptibility and metabolic activities. Aerobic resistance, similar to that occurring in clinical isolates of T. vaginalis from treatment-refractory patients, appears as the earliest stage. The terminal stage is characterised by stable anaerobic resistance at which the parasites show very high levels of minimal lethal concentration for metronidazole under anaerobic conditions (approximately 1000 microg ml(-1)). The key event in the development of resistance is progressive decrease and eventual loss of the pyruvate:ferredoxin oxidoreductase so that the drug-activating process is averted. In T. vaginalis at least, the development of resistance is also accompanied by decreased expression of ferredoxin. The pyruvate:ferredoxin oxidoreductase deficiency completely precludes metronidazole activation in T. foetus, while T. vaginalis possesses an additional drug-activating system which must be eliminated before the full resistance is acquired. This alternative pathway involves the hydrogenosomal malic enzyme and NAD:ferredoxin oxidoreductase. Metronidazole-resistant trichomonads compensate for the hydrogenosomal deficiency by an increased rate of

  19. Artemisinin-resistant Plasmodium falciparum malaria

    PubMed Central

    Fairhurst, Rick M.; Dondorp, Arjen M.

    2016-01-01

    For more than five decades, Southeast Asia (SEA) has been fertile ground for the emergence of drug-resistant Plasmodium falciparum malaria. After generating parasites resistant to chloroquine, sulfadoxine, pyrimethamine, quinine, and mefloquine, this region has now spawned parasites resistant to artemisinins – the world's most potent antimalarial drugs. In areas where artemisinin resistance is prevalent, artemisinin combination therapies (ACTs) – the first-line treatments for malaria – are failing fast. This worrisome development threatens to make malaria practically untreatable in SEA, and threatens to compromise global endeavors to eliminate this disease. A recent series of clinical, in-vitro, genomics, and transcriptomics studies in SEA have defined in-vivo and in-vitro phenotypes of artemisinin resistance; identified its causal genetic determinant; explored its molecular mechanism; and assessed its clinical impact. Specifically, these studies have established that artemisinin resistance manifests as slow parasite clearance in patients and increased survival of early ring-stage parasites in vitro; is caused by single nucleotide polymorphisms in the parasite's ‘K13’ gene; is associated with an upregulated “unfolded protein response” pathway that may antagonize the pro-oxidant activity of artemisinins; and selects for partner drug resistance that rapidly leads to ACT failures. In SEA, clinical studies are urgently needed to monitor ACT efficacy where K13 mutations are prevalent; test whether new combinations of currently-available drugs cure ACT failures; and advance new antimalarial compounds through preclinical pipelines and into clinical trials. Intensifying these efforts should help to forestall the spread of artemisinin and partner drug resistance from SEA to Sub-Saharan Africa, where the world's malaria transmission, morbidity, and mortality rates are highest. PMID:27337450

  20. Artemisinin-Resistant Plasmodium falciparum Malaria.

    PubMed

    Fairhurst, Rick M; Dondorp, Arjen M

    2016-06-01

    For more than five decades, Southeast Asia (SEA) has been fertile ground for the emergence of drug-resistant Plasmodium falciparum malaria. After generating parasites resistant to chloroquine, sulfadoxine, pyrimethamine, quinine, and mefloquine, this region has now spawned parasites resistant to artemisinins, the world's most potent antimalarial drugs. In areas where artemisinin resistance is prevalent, artemisinin combination therapies (ACTs)-the first-line treatments for malaria-are failing fast. This worrisome development threatens to make malaria practically untreatable in SEA, and threatens to compromise global endeavors to eliminate this disease. A recent series of clinical, in vitro, genomics, and transcriptomics studies in SEA have defined in vivo and in vitro phenotypes of artemisinin resistance, identified its causal genetic determinant, explored its molecular mechanism, and assessed its clinical impact. Specifically, these studies have established that artemisinin resistance manifests as slow parasite clearance in patients and increased survival of early-ring-stage parasites in vitro; is caused by single nucleotide polymorphisms in the parasite's K13 gene, is associated with an upregulated "unfolded protein response" pathway that may antagonize the pro-oxidant activity of artemisinins, and selects for partner drug resistance that rapidly leads to ACT failures. In SEA, clinical studies are urgently needed to monitor ACT efficacy where K13 mutations are prevalent, test whether new combinations of currently available drugs cure ACT failures, and advance new antimalarial compounds through preclinical pipelines and into clinical trials. Intensifying these efforts should help to forestall the spread of artemisinin and partner drug resistance from SEA to sub-Saharan Africa, where the world's malaria transmission, morbidity, and mortality rates are highest.

  1. Spread of carbapenem-resistant Acinetobacter baumannii global clone 2 in Asia and AbaR-type resistance islands.

    PubMed

    Kim, Dae Hun; Choi, Ji-Young; Kim, Hae Won; Kim, So Hyun; Chung, Doo Ryeon; Peck, Kyong Ran; Thamlikitkul, Visanu; So, Thomas Man-Kit; Yasin, Rohani M D; Hsueh, Po-Ren; Carlos, Celia C; Hsu, Li Yang; Buntaran, Latre; Lalitha, M K; Song, Jae-Hoon; Ko, Kwan Soo

    2013-11-01

    In this surveillance study, we identified the genotypes, carbapenem resistance determinants, and structural variations of AbaR-type resistance islands among carbapenem-resistant Acinetobacter baumannii (CRAB) isolates from nine Asian locales. Clonal complex 92 (CC92), corresponding to global clone 2 (GC2), was the most prevalent in most Asian locales (83/108 isolates; 76.9%). CC108, or GC1, was a predominant clone in India. OXA-23 oxacillinase was detected in CRAB isolates from most Asian locales except Taiwan. blaOXA-24 was found in CRAB isolates from Taiwan. AbaR4-type resistance islands, which were divided into six subtypes, were identified in most CRAB isolates investigated. Five isolates from India, Malaysia, Singapore, and Hong Kong contained AbaR3-type resistance islands. Of these, three isolates harbored both AbaR3- and AbaR4-type resistance islands simultaneously. In this study, GC2 was revealed as a prevalent clone in most Asian locales, with the AbaR4-type resistance island predominant, with diverse variants. The significance of this study lies in identifying the spread of global clones of carbapenem-resistant A. baumannii in Asia.

  2. Spread of Carbapenem-Resistant Acinetobacter baumannii Global Clone 2 in Asia and AbaR-Type Resistance Islands

    PubMed Central

    Kim, Dae Hun; Choi, Ji-Young; Kim, Hae Won; Kim, So Hyun; Chung, Doo Ryeon; Peck, Kyong Ran; Thamlikitkul, Visanu; So, Thomas Man-Kit; Yasin, Rohani M. D.; Hsueh, Po-Ren; Carlos, Celia C.; Hsu, Li Yang; Buntaran, Latre; Lalitha, M. K.; Song, Jae-Hoon

    2013-01-01

    In this surveillance study, we identified the genotypes, carbapenem resistance determinants, and structural variations of AbaR-type resistance islands among carbapenem-resistant Acinetobacter baumannii (CRAB) isolates from nine Asian locales. Clonal complex 92 (CC92), corresponding to global clone 2 (GC2), was the most prevalent in most Asian locales (83/108 isolates; 76.9%). CC108, or GC1, was a predominant clone in India. OXA-23 oxacillinase was detected in CRAB isolates from most Asian locales except Taiwan. blaOXA-24 was found in CRAB isolates from Taiwan. AbaR4-type resistance islands, which were divided into six subtypes, were identified in most CRAB isolates investigated. Five isolates from India, Malaysia, Singapore, and Hong Kong contained AbaR3-type resistance islands. Of these, three isolates harbored both AbaR3- and AbaR4-type resistance islands simultaneously. In this study, GC2 was revealed as a prevalent clone in most Asian locales, with the AbaR4-type resistance island predominant, with diverse variants. The significance of this study lies in identifying the spread of global clones of carbapenem-resistant A. baumannii in Asia. PMID:23939892

  3. Monitoring of drug resistance amplification and attenuation with the use of tetracycline-resistant bacteria during wastewater treatment

    NASA Astrophysics Data System (ADS)

    Harnisz, Monika; Korzeniewska, Ewa; Niestępski, Sebastian; Osińska, Adriana; Nalepa, Beata

    2017-11-01

    The objective of this study was to monitor changes (amplification or attenuation) in antibiotic resistance during wastewater treatment based on the ecology of tetracycline-resistant bacteria. The untreated and treated wastewater were collected in four seasons. Number of tetracycline-(TETR) and oxytetracycline-resistant (OTCR) bacteria, their qualitative composition, minimum inhibitory concentrations (MICs), sensitivity to other antibiotics, and the presence of tet (A, B, C, D, E) resistance genes were determined. TETR and OTCR counts in untreated wastewater were 100 to 1000 higher than in treated effluent. OTCR bacterial counts were higher than TETR populations in both untreated and treated wastewater. TETR isolates were not dominated by a single bacterial genus or species, whereas Aeromonas hydrophila and Aeromonas sobria were the most common in OTCR isolates. The treatment process attenuated the drug resistance of TETR bacteria and amplified the resistance of OTCR bacteria. In both microbial groups, the frequency of tet(A) gene increased in effluent in comparison with untreated wastewater. Our results also indicate that treated wastewater is a reservoir of multiple drug-resistant bacteria as well as resistance determinants which may pose a health hazard for humans and animals when released to the natural environment.

  4. Antimicrobial resistance mechanisms among Campylobacter.

    PubMed

    Wieczorek, Kinga; Osek, Jacek

    2013-01-01

    Campylobacter jejuni and Campylobacter coli are recognized as the most common causative agents of bacterial gastroenteritis in the world. Humans most often become infected by ingesting contaminated food, especially undercooked chicken, but also other sources of bacteria have been described. Campylobacteriosis is normally a self-limiting disease. Antimicrobial treatment is needed only in patients with more severe disease and in those who are immunologically compromised. The most common antimicrobial agents used in the treatment of Campylobacter infections are macrolides, such as erythromycin, and fluoroquinolones, such as ciprofloxacin. Tetracyclines have been suggested as an alternative choice in the treatment of clinical campylobacteriosis but in practice are not often used. However, during the past few decades an increasing number of resistant Campylobacter isolates have developed resistance to fluoroquinolones and other antimicrobials such as macrolides, aminoglycosides, and beta-lactams. Trends in antimicrobial resistance have shown a clear correlation between use of antibiotics in the veterinary medicine and animal production and resistant isolates of Campylobacter in humans. In this review, the patterns of emerging resistance to the antimicrobial agents useful in treatment of the disease are presented and the mechanisms of resistance to these drugs in Campylobacter are discussed.

  5. Molecular epidemiological survey of bacteremia by multidrug resistant Pseudomonas aeruginosa: the relevance of intrinsic resistance mechanisms

    PubMed Central

    Dantas, Raquel Cristina Cavalcanti; Silva, Rebecca Tavares e; Ferreira, Melina Lorraine; Gonçalves, Iara Rossi; Araújo, Bruna Fuga; de Campos, Paola Amaral; Royer, Sabrina; Batistão, Deivid William da Fonseca; Gontijo-Filho, Paulo Pinto; Ribas, Rosineide Marques

    2017-01-01

    The bacterial factors associated with bacteremia by multidrug-resistant and extensively drug-resistant P. aeruginosa, including overexpression of efflux pumps, AmpC overproduction, and loss/alteration of the OprD porin in isolates that are non-Metallo-β-Lactamase producing were analyzed in a retrospective study. Molecular analyses included strain typing by Pulsed Field Gel Electrophoresis and identification of key genes via qualitative and quantitative PCR-based assays. Previous use of carbapenems and tracheostomy was independently associated with the development of bacteremia by extensively drug-resistant and multidrug-resistant strains of P. aeruginosa. A high consumption of antimicrobials was observed, and 75.0% of the isolates contained amplicons with the blaSPM-1 and blaVIM genes. Of the 47 non-Metallo-β-Lactamase isolates, none had another type of carbapenemase. However, the isolates exhibited high rates of hyperproduction of AmpC, loss of the OprD porin (71.4%) and the presence of MexABOprM (57.1%) and MexXY (64.3%). This study suggests that in non-Metallo-β-Lactamase isolates, the association of intrinsic resistance mechanisms could contributes to the expression of multidrug-resistant/extensively drug-resistant phenotypes. PMID:28481953

  6. Heat resistant protective hand covering

    NASA Technical Reports Server (NTRS)

    Sidman, K. R.; Arons, I. J. (Inventor)

    1984-01-01

    The heat resistant, protective glove is made up of first and second shell sections which define a palm side and a backside, respectively. The first shell section is made of a twill wave fabric of a temperature-resistant aromatic polyamide fiber. The second shell section is made of a knitted fabric of a temperature-resistant aromatic polyamide fiber. The first and second shell sections are secured to one another, e.g., by sewing, to provide the desired glove configuration and an opening for insertion of the wearer's hand. The protective glove also includes a first liner section which is secured to and overlies the inner surface of the first shell section and is made of a felt fabric of a temperature-resistant aromatic polyamide fiber and has a flame resistant, elastomenic coating on the surface facing and overlying the inner surface of the first shell section.

  7. Determination of the influence of dispersion pattern of pesticide-resistant individuals on the reliability of resistance estimates using different sampling plans.

    PubMed

    Shah, R; Worner, S P; Chapman, R B

    2012-10-01

    Pesticide resistance monitoring includes resistance detection and subsequent documentation/ measurement. Resistance detection would require at least one (≥1) resistant individual(s) to be present in a sample to initiate management strategies. Resistance documentation, on the other hand, would attempt to get an estimate of the entire population (≥90%) of the resistant individuals. A computer simulation model was used to compare the efficiency of simple random and systematic sampling plans to detect resistant individuals and to document their frequencies when the resistant individuals were randomly or patchily distributed. A patchy dispersion pattern of resistant individuals influenced the sampling efficiency of systematic sampling plans while the efficiency of random sampling was independent of such patchiness. When resistant individuals were randomly distributed, sample sizes required to detect at least one resistant individual (resistance detection) with a probability of 0.95 were 300 (1%) and 50 (10% and 20%); whereas, when resistant individuals were patchily distributed, using systematic sampling, sample sizes required for such detection were 6000 (1%), 600 (10%) and 300 (20%). Sample sizes of 900 and 400 would be required to detect ≥90% of resistant individuals (resistance documentation) with a probability of 0.95 when resistant individuals were randomly dispersed and present at a frequency of 10% and 20%, respectively; whereas, when resistant individuals were patchily distributed, using systematic sampling, a sample size of 3000 and 1500, respectively, was necessary. Small sample sizes either underestimated or overestimated the resistance frequency. A simple random sampling plan is, therefore, recommended for insecticide resistance detection and subsequent documentation.

  8. Simulation of variation of apparent resistivity in resistivity surveys using finite difference modelling with Monte Carlo analysis

    NASA Astrophysics Data System (ADS)

    Aguirre, E. E.; Karchewski, B.

    2017-12-01

    DC resistivity surveying is a geophysical method that quantifies the electrical properties of the subsurface of the earth by applying a source current between two electrodes and measuring potential differences between electrodes at known distances from the source. Analytical solutions for a homogeneous half-space and simple subsurface models are well known, as the former is used to define the concept of apparent resistivity. However, in situ properties are heterogeneous meaning that simple analytical models are only an approximation, and ignoring such heterogeneity can lead to misinterpretation of survey results costing time and money. The present study examines the extent to which random variations in electrical properties (i.e. electrical conductivity) affect potential difference readings and therefore apparent resistivities, relative to an assumed homogeneous subsurface model. We simulate the DC resistivity survey using a Finite Difference (FD) approximation of an appropriate simplification of Maxwell's equations implemented in Matlab. Electrical resistivity values at each node in the simulation were defined as random variables with a given mean and variance, and are assumed to follow a log-normal distribution. The Monte Carlo analysis for a given variance of electrical resistivity was performed until the mean and variance in potential difference measured at the surface converged. Finally, we used the simulation results to examine the relationship between variance in resistivity and variation in surface potential difference (or apparent resistivity) relative to a homogeneous half-space model. For relatively low values of standard deviation in the material properties (<10% of mean), we observed a linear correlation between variance of resistivity and variance in apparent resistivity.

  9. Oxidation resistant alloys, method for producing oxidation resistant alloys

    DOEpatents

    Dunning, John S.; Alman, David E.

    2002-11-05

    A method for producing oxidation-resistant austenitic alloys for use at temperatures below 800.degree. C. comprising of: providing an alloy comprising, by weight %: 14-18% chromium, 15-18% nickel, 1-3% manganese, 1-2% molybdenum, 2-4% silicon, 0% aluminum and the balance being iron; heating the alloy to 800.degree. C. for between 175-250 hours prior to use in order to form a continuous silicon oxide film and another oxide film. The method provides a means of producing stainless steels with superior oxidation resistance at temperatures above 700.degree. C. at a low cost

  10. Antibiotic resistant airborne bacteria and their multidrug resistance pattern at University teaching referral Hospital in South Ethiopia.

    PubMed

    Solomon, Fithamlak Bisetegen; Wadilo, Fiseha Wada; Arota, Amsalu Amache; Abraham, Yishak Leka

    2017-04-12

    Hospitals provide a reservoir of microorganisms, many of which are multi-resistant to antibiotics. Emergence of multi-drug resistant strains in a hospital environment, particularly in developing countries is an increasing problem to infection treatment. This study aims at assessing antibiotic resistant airborne bacterial isolates. A cross-sectional study was conducted at Wolaita Sodo university teaching and referral Hospital. Indoor air samples were collected by using passive air sampling method. Sample processing and antimicrobial susceptibility testing were done following standard bacteriological techniques. The data was analyzed using SPSS version 20. Medically important bacterial pathogens, Coagulase negative staphylococci (29.6%), Staphylococcus aureus (26.3%), Enterococci species, Enterococcus faecalis and Enterococcus faecium (16.5%), Acinetobacter species (9.5%), Escherichia coli (5.8%) and Pseudomonas aeruginosa (5.3%) were isolated. Antibiotic resistance rate ranging from 7.5 to 87.5% was detected for all isolates. Acinetobacter species showed a high rate of resistance for trimethoprim-sulfamethoxazole, gentamicin (78.2%) and ciprofloxacin (82.6%), 28 (38.9%) of S. aureus isolates were meticillin resistant, and 7.5% Enterococci isolates of were vancomycin resistant. 75.3% of all bacterial pathogen were multi-drug resistant. Among them, 74.6% were gram positive and 84% were gram negative. Multi-drug resistance were observed among 84.6% of P. aeruginosa, of 82.5% Enterococcii, E. coli 78.6%, S. aureus 76.6%, and Coagulase negative staphylococci of 73.6%. Indoor environment of the hospital was contaminated with airborne microbiotas, which are common cause of post-surgical site infection in the study area. Bacterial isolates were highly resistant to commonly used antibiotics with high multi-drug resistance percentage. So air quality of hospital environment, in restricted settings deserves attention, and requires long-term surveillance to protect both

  11. Carbapenem Resistance: A Review

    PubMed Central

    Codjoe, Francis S.; Donkor, Eric S.

    2017-01-01

    Carbapenem resistance is a major and an on-going public health problem globally. It occurs mainly among Gram-negative pathogens such as Klebsiella pneumoniae, Pseudomonas aeruginosa and Acinetobacter baumannii, and may be intrinsic or mediated by transferable carbapenemase-encoding genes. This type of resistance genes are already widespread in certain parts of the world, particularly Europe, Asia and South America, while the situation in other places such as sub-Saharan Africa is not well documented. In this paper, we provide an in-depth review of carbapenem resistance providing up-to-date information on the subject. PMID:29267233

  12. Resistance to AHAS inhibitor herbicides: current understanding.

    PubMed

    Yu, Qin; Powles, Stephen B

    2014-09-01

    Acetohydroxyacid synthase (AHAS) inhibitor herbicides currently comprise the largest site-of-action group (with 54 active ingredients across five chemical groups) and have been widely used in world agriculture since they were first introduced in 1982. Resistance evolution in weeds to AHAS inhibitors has been rapid and identified in populations of many weed species. Often, evolved resistance is associated with point mutations in the target AHAS gene; however non-target-site enhanced herbicide metabolism occurs as well. Many AHAS gene resistance mutations can occur and be rapidly enriched owing to a high initial resistance gene frequency, simple and dominant genetic inheritance and lack of major fitness cost of the resistance alleles. Major advances in the elucidation of the crystal structure of the AHAS (Arabidopsis thaliana) catalytic subunit in complex with various AHAS inhibitor herbicides have greatly improved current understanding of the detailed molecular interactions between AHAS, cofactors and herbicides. Compared with target-site resistance, non-target-site resistance to AHAS inhibitor herbicides is less studied and hence less understood. In a few well-studied cases, non-target-site resistance is due to enhanced rates of herbicide metabolism (metabolic resistance), mimicking that occurring in tolerant crop species and often involving cytochrome P450 monooxygenases. However, the specific herbicide-metabolising, resistance-endowing genes are yet to be identified in resistant weed species. The current state of mechanistic understanding of AHAS inhibitor herbicide resistance is reviewed, and outstanding research issues are outlined. © 2013 Society of Chemical Industry.

  13. Practical implications of erythromycin resistance gene diversity on surveillance and monitoring of resistance

    USDA-ARS?s Scientific Manuscript database

    Use of antibiotics in human and animal medicine has applied selective pressure for the global dissemination of antibiotic-resistant bacteria. Therefore, it is of interest to develop strategies to mitigate the continued amplification and transmission of resistance genes in environmental reservoirs s...

  14. Mechanisms of Resistance to Photodynamic Therapy

    PubMed Central

    Casas, Adriana; Di Venosa, Gabriela; Hasan, Tayyaba; Batlle, Alcira

    2013-01-01

    Photodynamic therapy (PDT) involves the administration of a photosensitizer (PS) followed by illumination with visible light, leading to generation of reactive oxygen species. The mechanisms of resistance to PDT ascribed to the PS may be shared with the general mechanisms of drug resistance, and are related to altered drug uptake and efflux rates or altered intracellular trafficking. As a second step, an increased inactivation of oxygen reactive species is also associated to PDT resistance via antioxidant detoxifying enzymes and activation of heat shock proteins. Induction of stress response genes also occurs after PDT, resulting in modulation of proliferation, cell detachment and inducing survival pathways among other multiple extracellular signalling events. In addition, an increased repair of induced damage to proteins, membranes and occasionally to DNA may happen. PDT-induced tissue hypoxia as a result of vascular damage and photochemical oxygen consumption may also contribute to the appearance of resistant cells. The structure of the PS is believed to be a key point in the development of resistance, being probably related to its particular subcellular localization. Although most of the features have already been described for chemoresistance, in many cases, no cross-resistance between PDT and chemotherapy has been reported. These findings are in line with the enhancement of PDT efficacy by combination with chemotherapy. The study of cross resistance in cells with developed resistance against a particular PS challenged against other PS is also highly complex and comprises different mechanisms. In this review we will classify the different features observed in PDT resistance, leading to a comparison with the mechanisms most commonly found in chemo resistant cells. PMID:21568910

  15. Global perspective of herbicide-resistant weeds.

    PubMed

    Heap, Ian

    2014-09-01

    Two hundred and twenty weed species have evolved resistance to one or more herbicides, and there are now 404 unique cases (species × site of action) of herbicide-resistant weeds globally. ALS inhibitor-resistant weeds account for about a third of all cases (133/404) and are particularly troublesome in rice and cereals. Although 71 weed species have been identified with triazine resistance, their importance has dwindled with the shift towards Roundup Ready® crops in the USA and the reduction of triazine usage in Europe. Forty-three grasses have evolved resistance to ACCase inhibitors, with the most serious cases being Avena spp., Lolium spp., Phalaris spp., Setaria spp. and Alopecurus myosuroides, infesting more than 25 million hectares of cereal production globally. Of the 24 weed species with glyphosate resistance, 16 have been found in Roundup Ready® cropping systems. Although Conyza canadensis is the most widespread glyphosate-resistant weed, Amaranthus palmeri and Amaranthus tuberculartus are the two most economically important glyphosate-resistant weeds because of the area they infest and the fact that these species have evolved resistance to numerous other herbicide sites of action, leaving growers with few herbicidal options for their control. The agricultural chemical industry has not brought any new herbicides with novel sites of action to market in over 30 years, making growers reliant on using existing herbicides in new ways. In addition, tougher registration and environmental regulations on herbicides have resulted in a loss of some herbicides, particularly in Europe. The lack of novel herbicide chemistries being brought to market combined with the rapid increase in multiple resistance in weeds threatens crop production worldwide. © 2013 Society of Chemical Industry.

  16. Mechanisms of insulin resistance in obesity

    PubMed Central

    Ye, Jianping

    2014-01-01

    Obesity increases the risk for type 2 diabetes through induction of insulin resistance. Treatment of type 2 diabetes has been limited by little translational knowledge of insulin resistance although there have been several well-documented hypotheses for insulin resistance. In those hypotheses, inflammation, mitochondrial dysfunction, hyperinsulinemia and lipotoxicity have been the major concepts and have received a lot of attention. Oxidative stress, endoplasmic reticulum (ER) stress, genetic background, aging, fatty liver, hypoxia and lipodystrophy are active subjects in the study of these concepts. However, none of those concepts or views has led to an effective therapy for type 2 diabetes. The reason is that there has been no consensus for a unifying mechanism of insulin resistance. In this review article, literature is critically analyzed and reinterpreted for a new energy-based concept of insulin resistance, in which insulin resistance is a result of energy surplus in cells. The energy surplus signal is mediated by ATP and sensed by adenosine monophosphate-activated protein kinase (AMPK) signaling pathway. Decreasing ATP level by suppression of production or stimulation of utilization is a promising approach in the treatment of insulin resistance. In support, many of existing insulin sensitizing medicines inhibit ATP production in mitochondria. The effective therapies such as weight loss, exercise, and caloric restriction all reduce ATP in insulin sensitive cells. This new concept provides a unifying cellular and molecular mechanism of insulin resistance in obesity, which may apply to insulin resistance in aging and lipodystrophy. PMID:23471659

  17. Mechanisms of Candida biofilm drug resistance

    PubMed Central

    Taff, Heather T; Mitchell, Kaitlin F; Edward, Jessica A; Andes, David R

    2013-01-01

    Candida commonly adheres to implanted medical devices, growing as a resilient biofilm capable of withstanding extraordinarily high antifungal concentrations. As currently available antifungals have minimal activity against biofilms, new drugs to treat these recalcitrant infections are urgently needed. Recent investigations have begun to shed light on the mechanisms behind the profound resistance associated with the biofilm mode of growth. This resistance appears to be multifactorial, involving both mechanisms similar to conventional, planktonic antifungal resistance, such as increased efflux pump activity, as well as mechanisms specific to the biofilm lifestyle. A unique biofilm property is the production of an extracellular matrix. Two components of this material, β-glucan and extracellular DNA, promote biofilm resistance to multiple antifungals. Biofilm formation also engages several stress response pathways that impair the activity of azole drugs. Resistance within a biofilm is often heterogeneous, with the development of a subpopulation of resistant persister cells. In this article we review the molecular mechanisms underlying Candida biofilm antifungal resistance and their relative contributions during various growth phases. PMID:24059922

  18. Drug resistant Mycobacterium tuberculosis in Mexico.

    PubMed

    Zazueta-Beltran, Jorge; León-Sicairos, Claudia; Canizalez-Roman, Adrián

    2009-04-30

    Tuberculosis (TB) remains a serious public health problem, worsened by an increased frequency of multidrug-resistant (MDR) Mycobacterium tuberculosis strains. The World Health Organization (WHO) and the International Union Against Tuberculosis and Lung Disease (IUATLD) launched the Global Project on Anti-Tuberculosis Drug Resistance Surveillance to measure the prevalence of drug resistance. Data from the global reports on resistance to anti-tuberculosis (anti-TB) drugs have shown that drug resistance still presents worldwide and that MDR-TB is present in almost all the world. Though the Global Project (WHO) has been operating since 1994, very few countries and states have reported new information. Data from repeated surveys employing comparable methodologies over several years are essential to determine with any certainty in which direction the prevalence of drug resistance is moving. Drug-resistant tuberculosis and MDR-TB have been identified in Mexico, even with the existence of a National Tuberculosis Program based on Directly Observed Treatment, Short-course (DOTS). This review discusses available surveillance data on drug susceptibility data for TB in different states of Mexico.

  19. Multidrug and extensively drug-resistant tuberculosis.

    PubMed

    Maitre, T; Aubry, A; Jarlier, V; Robert, J; Veziris, N

    2017-02-01

    The emergence of drug-resistant tuberculosis (TB) compromises global tuberculosis control. The incidence of multidrug-resistant strains (MDR) defined as resistant to the two main antituberculosis drugs, rifampicin and isoniazid, was raised in the 1990s. Ten percent of these strains have developed additional resistance to the main second-line antituberculosis drugs: fluoroquinolones and aminoglycosides. These strains are defined as extensively drug-resistant (XDR). The prognosis of MDR-TB and XDR-TB is poor due to limited therapeutic resources. However, many new innovations may lead to a radical change in this field. Genotypic testing is now able to detect drug resistance within a few hours. Genotypic diagnosis of rifampicin resistance is now recommended in France for each new case of TB. The currently recommended treatment for MDR-TB is long (18-24 months) and toxic. It is, however, on the verge of being replaced by a 9-month treatment. New antituberculosis drugs such as bedaquiline and delamanid should also improve the prognosis of MDR-TB and XDR-TB. Copyright © 2016 Elsevier Masson SAS. All rights reserved.

  20. Resistance to BET Inhibitor Leads to Alternative Therapeutic Vulnerabilities in Castration-Resistant Prostate Cancer.

    PubMed

    Pawar, Aishwarya; Gollavilli, Paradesi Naidu; Wang, Shaomeng; Asangani, Irfan A

    2018-02-27

    BRD4 plays a major role in the transcription networks orchestrated by androgen receptor (AR) in castration-resistant prostate cancer (CRPC). Several BET inhibitors (BETi) that displace BRD4 from chromatin are being evaluated in clinical trials for CRPC. Here, we describe mechanisms of acquired resistance to BETi that are amenable to targeted therapies in CRPC. BETi-resistant CRPC cells displayed cross-resistance to a variety of BETi in the absence of gatekeeper mutations, exhibited reduced chromatin-bound BRD4, and were less sensitive to BRD4 degraders/knockdown, suggesting a BRD4-independent transcription program. Transcriptomic analysis revealed reactivation of AR signaling due to CDK9-mediated phosphorylation of AR, resulting in sensitivity to CDK9 inhibitors and enzalutamide. Additionally, increased DNA damage associated with PRC2-mediated transcriptional silencing of DDR genes was observed, leading to PARP inhibitor sensitivity. Collectively, our results identify the therapeutic limitation of BETi as a monotherapy; however, our BETi resistance data suggest unique opportunities for combination therapies in treating CRPC. Copyright © 2018 The Author(s). Published by Elsevier Inc. All rights reserved.

  1. Ensemble Classifiers for Predicting HIV-1 Resistance from Three Rule-Based Genotypic Resistance Interpretation Systems.

    PubMed

    Raposo, Letícia M; Nobre, Flavio F

    2017-08-30

    Resistance to antiretrovirals (ARVs) is a major problem faced by HIV-infected individuals. Different rule-based algorithms were developed to infer HIV-1 susceptibility to antiretrovirals from genotypic data. However, there is discordance between them, resulting in difficulties for clinical decisions about which treatment to use. Here, we developed ensemble classifiers integrating three interpretation algorithms: Agence Nationale de Recherche sur le SIDA (ANRS), Rega, and the genotypic resistance interpretation system from Stanford HIV Drug Resistance Database (HIVdb). Three approaches were applied to develop a classifier with a single resistance profile: stacked generalization, a simple plurality vote scheme and the selection of the interpretation system with the best performance. The strategies were compared with the Friedman's test and the performance of the classifiers was evaluated using the F-measure, sensitivity and specificity values. We found that the three strategies had similar performances for the selected antiretrovirals. For some cases, the stacking technique with naïve Bayes as the learning algorithm showed a statistically superior F-measure. This study demonstrates that ensemble classifiers can be an alternative tool for clinical decision-making since they provide a single resistance profile from the most commonly used resistance interpretation systems.

  2. Characterization of drug resistant Salmonella enterica serotype Typhimurium by antibiograms, plasmids, integrons, resistance genes and PFGE.

    PubMed

    Benacer, Douadi; Thong, Kwai-Lin; Watanabe, Haruo; Puthucheary, Savithri Devi

    2010-06-01

    Forty-seven Salmonella Typhimurium (33 zoonotic, 14 clinical) strains were tested for antimicrobial resistance using the standard disk diffusion method. Presence of relevant resistance genes and class 1 integrons were investigated by using PCR. Pulsed-field gel electrophoresis (PFGE) and plasmid profiling were carried out to determine the genomic diversity of Salmonella Typhimurium. Approximately 57.4% of S. Typhimurium were multidrug resistant (MDR) and showed high resistance rates to tetracycline (70.2%), sulphonamides (57.4%), streptomycin (53.1%), ampicillin (29.7%), nalidixic acid (27.6%), kanamycin (23.4%), chloramphenicol (21.2%) and trimethoprim (19.1%). Resistance towards cephalosporins was noted for cephalothin (27.6%), cephradine (21.2%), amoxicillin clavulanic acid (17.0%) and cephalexin (17.0%). Resistance genes, blaTEM, strA, aadA, sul1, sul2, tet(A), tet(B) and tet(C) were detected among the drug resistant strains. Thirty-three strains (70.2%) carried class 1 integrons, which were grouped in 9 different profiles. DNA sequencing identified sat, aadA, pse-1 and dfrA genes in variable regions on class 1 integrons. Thirty-five strains (74.4%) were subtyped to 22 different plasmid profiles, each with 1 - 6 plasmids (2.0 to 95 kb). PFGE subtyped the 47 strains into 39 profiles. In conclusion, high rates of multidrug-resistance were found among the Malaysian Salmonella Typhimurium strains. The emergence of multidrug-resistant Salmonella Typhimurium to cephalosporin antibiotics was also observed. The strains were very diverse and no persistent clone was observed. The emergence of MDR Salmonella Typhimurium is a worldwide problem and this report provides information for the better understanding of the prevalence and epidemiology of MDR S. Typhimurium in Malaysia.

  3. [RAAS and insulin resistance].

    PubMed

    Motoshima, Hiroyuki; Araki, Eiichi

    2012-09-01

    The role of the renin-angiotensin-aldosterone system (RAAS) on the development of insulin resistance and type 2 diabetes (T2DM) is an area of growing interest. Most of the deleterious actions of the RAAS on insulin signals appear to be mediated through activation of the serine/threonine kinase, oxidative stress and tissue-inflammation in insulin-sensitive organs. Both experimental and clinical studies demonstrated that angiotensin II (Ang II) and aldosterone could play a role in the development of insulin resistance, diabetes and cardiovascular diseases. Large randomized clinical trials revealed that blockade of the RAAS with either angiotensin I converting enzyme inhibitors or AT1 receptor blockers results in decreased T2DM incidence, with a minor attenuation of markers for insulin resistance. This review focuses on the role of RAAS in the pathogenesis of insulin resistance, as well as on clinical relevance of RAAS blockade in the prevention and treatment of the metabolic syndrome and pre-diabetes.

  4. Carbamate resistance in Anopheles albimanus

    PubMed Central

    Ariaratnam, Veluppillai; Georghiou, George P.

    1975-01-01

    The roles of increased metabolism and reduced penetration as possible mechanisms of resistance to carbaryl were investigated in a strain of A. albimanus from El Salvador in which carbamate and organophosphorus resistance had been induced by laboratory selection with propoxur. Carbaryl was metabolized to N-hydroxymethylcarbaryl, 5,6-dihydro-5,6-dihydroxycarbaryl and an unidentified metabolite A. The rate of metabolism by the resistant strain was only slightly higher than that by the parental strain. The rate of penetration of carbaryl in the parental strain was nearly twice that in the resistant strain during the first hour of exposure. However, this difference did not persist for as long as 90 min. Because of the low level of carbamate metabolism detected, it was concluded that the high resistance of the strain must be due to the action of some as yet unidentified mechanism, possibly enhanced by factors controlling the penetration and metabolism of the toxicant. PMID:813837

  5. The Comprehensive Antibiotic Resistance Database

    PubMed Central

    McArthur, Andrew G.; Waglechner, Nicholas; Nizam, Fazmin; Yan, Austin; Azad, Marisa A.; Baylay, Alison J.; Bhullar, Kirandeep; Canova, Marc J.; De Pascale, Gianfranco; Ejim, Linda; Kalan, Lindsay; King, Andrew M.; Koteva, Kalinka; Morar, Mariya; Mulvey, Michael R.; O'Brien, Jonathan S.; Pawlowski, Andrew C.; Piddock, Laura J. V.; Spanogiannopoulos, Peter; Sutherland, Arlene D.; Tang, Irene; Taylor, Patricia L.; Thaker, Maulik; Wang, Wenliang; Yan, Marie; Yu, Tennison

    2013-01-01

    The field of antibiotic drug discovery and the monitoring of new antibiotic resistance elements have yet to fully exploit the power of the genome revolution. Despite the fact that the first genomes sequenced of free living organisms were those of bacteria, there have been few specialized bioinformatic tools developed to mine the growing amount of genomic data associated with pathogens. In particular, there are few tools to study the genetics and genomics of antibiotic resistance and how it impacts bacterial populations, ecology, and the clinic. We have initiated development of such tools in the form of the Comprehensive Antibiotic Research Database (CARD; http://arpcard.mcmaster.ca). The CARD integrates disparate molecular and sequence data, provides a unique organizing principle in the form of the Antibiotic Resistance Ontology (ARO), and can quickly identify putative antibiotic resistance genes in new unannotated genome sequences. This unique platform provides an informatic tool that bridges antibiotic resistance concerns in health care, agriculture, and the environment. PMID:23650175

  6. Insulin Resistance and Mitochondrial Dysfunction.

    PubMed

    Gonzalez-Franquesa, Alba; Patti, Mary-Elizabeth

    2017-01-01

    Insulin resistance precedes and predicts the onset of type 2 diabetes (T2D) in susceptible humans, underscoring its important role in the complex pathogenesis of this disease. Insulin resistance contributes to multiple tissue defects characteristic of T2D, including reduced insulin-stimulated glucose uptake in insulin-sensitive tissues, increased hepatic glucose production, increased lipolysis in adipose tissue, and altered insulin secretion. Studies of individuals with insulin resistance, both with established T2D and high-risk individuals, have consistently demonstrated a diverse array of defects in mitochondrial function (i.e., bioenergetics, biogenesis and dynamics). However, it remains uncertain whether mitochondrial dysfunction is primary (critical initiating defect) or secondary to the subtle derangements in glucose metabolism, insulin resistance, and defective insulin secretion present early in the course of disease development. In this chapter, we will present the evidence linking mitochondrial dysfunction and insulin resistance, and review the potential for mitochondrial targets as a therapeutic approach for T2D.

  7. P-glycoproteins and other multidrug resistance transporters in the pharmacology of anthelmintics: Prospects for reversing transport-dependent anthelmintic resistance

    PubMed Central

    Lespine, Anne; Ménez, Cécile; Bourguinat, Catherine; Prichard, Roger K.

    2011-01-01

    Parasitic helminths cause significant disease in animals and humans. In the absence of alternative treatments, anthelmintics remain the principal agents for their control. Resistance extends to the most important class of anthelmintics, the macrocyclic lactone endectocides (MLs), such as ivermectin, and presents serious problems for the livestock industries and threatens to severely limit current parasite control strategies in humans. Understanding drug resistance is important for optimizing and monitoring control, and reducing further selection for resistance. Multidrug resistance (MDR) ABC transporters have been implicated in ML resistance and contribute to resistance to a number of other anthelmintics. MDR transporters, such as P-glycoproteins, are essential for many cellular processes that require the transport of substrates across cell membranes. Being overexpressed in response to chemotherapy in tumour cells and to ML-based treatment in nematodes, they lead to therapy failure by decreasing drug concentration at the target. Several anthelmintics are inhibitors of these efflux pumps and appropriate combinations can result in higher treatment efficacy against parasites and reversal of resistance. However, this needs to be balanced against possible increased toxicity to the host, or the components of the combination selecting on the same genes involved in the resistance. Increased efficacy could result from modifying anthelmintic pharmacokinetics in the host or by blocking parasite transporters involved in resistance. Combination of anthelmintics can be beneficial for delaying selection for resistance. However, it should be based on knowledge of resistance mechanisms and not simply on mode of action classes, and is best started before resistance has been selected to any member of the combination. Increasing knowledge of the MDR transporters involved in anthelmintic resistance in helminths will play an important role in allowing for the identification of markers

  8. Dried whole-plant Artemisia annua slows evolution of malaria drug resistance and overcomes resistance to artemisinin

    PubMed Central

    Elfawal, Mostafa A.; Towler, Melissa J.; Reich, Nicholas G.; Weathers, Pamela J.; Rich, Stephen M.

    2015-01-01

    Pharmaceutical monotherapies against human malaria have proven effective, although ephemeral, owing to the inevitable evolution of resistant parasites. Resistance to two or more drugs delivered in combination will evolve more slowly; hence combination therapies have become the preferred norm in the fight against malaria. At the forefront of these efforts has been the promotion of Artemisinin Combination Therapy, but despite these efforts, resistance to artemisinin has begun to emerge. In 2012, we demonstrated the efficacy of the whole plant (WP)—not a tea, not an infusion—as a malaria therapy and found it to be more effective than a comparable dose of pure artemisinin in a rodent malaria model. Here we show that WP overcomes existing resistance to pure artemisinin in the rodent malaria Plasmodium yoelii. Moreover, in a long-term artificial selection for resistance in Plasmodium chabaudi, we tested resilience of WP against drug resistance in comparison with pure artemisinin (AN). Stable resistance to WP was achieved three times more slowly than stable resistance to AN. WP treatment proved even more resilient than the double dose of AN. The resilience of WP may be attributable to the evolutionary refinement of the plant’s secondary metabolic products into a redundant, multicomponent defense system. Efficacy and resilience of WP treatment against rodent malaria provides compelling reasons to further explore the role of nonpharmaceutical forms of AN to treat human malaria. PMID:25561559

  9. 7 CFR 1755.402 - Ground resistance measurements.

    Code of Federal Regulations, 2010 CFR

    2010-01-01

    ... ground resistance of electronic equipment such as span line repeaters, carrier terminal equipment... electronic equipment, the ground resistance shall not exceed 25 ohms. Where the measured ground resistance... construction contract may be used. Results of the electronic equipment ground resistance measurements shall be...

  10. 7 CFR 1755.402 - Ground resistance measurements.

    Code of Federal Regulations, 2011 CFR

    2011-01-01

    ... ground resistance of electronic equipment such as span line repeaters, carrier terminal equipment... electronic equipment, the ground resistance shall not exceed 25 ohms. Where the measured ground resistance... construction contract may be used. Results of the electronic equipment ground resistance measurements shall be...

  11. 7 CFR 1755.402 - Ground resistance measurements.

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ... ground resistance of electronic equipment such as span line repeaters, carrier terminal equipment... electronic equipment, the ground resistance shall not exceed 25 ohms. Where the measured ground resistance... construction contract may be used. Results of the electronic equipment ground resistance measurements shall be...

  12. 7 CFR 1755.402 - Ground resistance measurements.

    Code of Federal Regulations, 2013 CFR

    2013-01-01

    ... ground resistance of electronic equipment such as span line repeaters, carrier terminal equipment... electronic equipment, the ground resistance shall not exceed 25 ohms. Where the measured ground resistance... construction contract may be used. Results of the electronic equipment ground resistance measurements shall be...

  13. 7 CFR 1755.402 - Ground resistance measurements.

    Code of Federal Regulations, 2012 CFR

    2012-01-01

    ... ground resistance of electronic equipment such as span line repeaters, carrier terminal equipment... electronic equipment, the ground resistance shall not exceed 25 ohms. Where the measured ground resistance... construction contract may be used. Results of the electronic equipment ground resistance measurements shall be...

  14. Influence of HMB supplementation and resistance training on cytokine responses to resistance exercise.

    PubMed

    Kraemer, William J; Hatfield, Disa L; Comstock, Brett A; Fragala, Maren S; Davitt, Patrick M; Cortis, Cristina; Wilson, Jacob M; Lee, Elaine C; Newton, Robert U; Dunn-Lewis, Courtenay; Häkkinen, Keijo; Szivak, Tunde K; Hooper, David R; Flanagan, Shawn D; Looney, David P; White, Mark T; Volek, Jeff S; Maresh, Carl M

    2014-01-01

    The purpose of this study was to determine the effects of a multinutritional supplement including amino acids, β-hydroxy-β-methylbutyrate (HMB), and carbohydrates on cytokine responses to resistance exercise and training. Seventeen healthy, college-aged men were randomly assigned to a Muscle Armor™ (MA; Abbott Nutrition, Columbus, OH) or placebo supplement group and 12 weeks of resistance training. An acute resistance exercise protocol was administered at 0, 6, and 12 weeks of training. Venous blood samples at pre-, immediately post-, and 30-minutes postexercise were analyzed via bead multiplex immunoassay for 17 cytokines. After 12 weeks of training, the MA group exhibited decreased interferon-gamma (IFN-γ) and interleukin (IL)-10. IL-1β differed by group at various times. Granulocyte colony-stimulating factor (G-CSF), granulocyte-macrophage colony-stimulating factor (GM-CSF), IL-6, IL-7, IL-8, IL-12p70, IL-13, IL-17, monocyte chemoattractant protein-1 (MCP-1), and macrophage inflammatory protein-1 beta (MIP-1β) changed over the 12-week training period but did not differ by group. Twelve weeks of resistance training alters the cytokine response to acute resistance exercise, and supplementation with HMB and amino acids appears to further augment this result.

  15. Cry1F resistance among lepidopteran pests: a model for improved resistance management?

    PubMed

    Vélez, Ana M; Vellichirammal, Neetha Nanoth; Jurat-Fuentes, Juan Luis; Siegfried, Blair D

    2016-06-01

    The Cry1Fa protein from the bacterium Bacillus thuringiensis (Bt) is known for its potential to control lepidopteran pests, especially through transgenic expression in maize and cotton. The maize event TC1507 expressing the cry1Fa toxin gene became commercially available in the United States in 2003 for the management of key lepidopteran pests including the European corn borer, Ostrinia nubilalis, and the fall armyworm, Spodoptera frugiperda. A high-dose/refuge strategy has been widely adopted to delay evolution of resistance to event TC1507 and other transgenic Bt crops. Efficacy of this strategy depends on the crops expressing a high dose of the Bt toxin to targeted pests and adjacent refuges of non-Bt host plants serving as a source of abundant susceptible insects. While this strategy has proved effective in delaying O. nubilalis resistance, field-evolved resistance to event TC1507 has been reported in S. frugiperda populations in Puerto Rico, Brazil, and the southeastern United States. This paper examines available information on resistance to Cry1Fa in O. nubilalis and S. frugiperda and discusses how this information identifies opportunities to refine resistance management recommendations for Bt maize. Copyright © 2016 Elsevier Inc. All rights reserved.

  16. Arterial Stiffness and Autonomic Modulation After Free-Weight Resistance Exercises in Resistance Trained Individuals.

    PubMed

    Kingsley, J Derek; Mayo, Xián; Tai, Yu Lun; Fennell, Curtis

    2016-12-01

    Kingsley, JD, Mayo, X, Tai, YL, and Fennell, C. Arterial stiffness and autonomic modulation after free-weight resistance exercises in resistance trained individuals. J Strength Cond Res 30(12): 3373-3380, 2016-We investigated the effects of an acute bout of free-weight, whole-body resistance exercise consisting of the squat, bench press, and deadlift on arterial stiffness and cardiac autonomic modulation in 16 (aged 23 ± 3 years; mean ± SD) resistance-trained individuals. Arterial stiffness, autonomic modulation, and baroreflex sensitivity (BRS) were assessed at rest and after 3 sets of 10 repetitions at 75% 1-repetition maximum on each exercise with 2 minutes of rest between sets and exercises. Arterial stiffness was analyzed using carotid-femoral pulse wave velocity (cf-PWV). Linear heart rate variability (log transformed [ln] absolute and normalized units [nu] of low-frequency [LF] and high-frequency [HF] power) and nonlinear heart rate complexity (Sample Entropy [SampEn], Lempel-Ziv Entropy [LZEn]) were measured to determine autonomic modulation. BRS was measured by the sequence method. A 2 × 2 repeated measures analysis of variance (ANOVA) was used to analyze time (rest, recovery) across condition (acute resistance exercise, control). There were significant increases in cf-PWV (p = 0.05), heart rate (p = 0.0001), normalized LF (LFnu; p = 0.001), and the LF/HF ratio (p = 0.0001). Interactions were also noted for ln HF (p = 0.006), HFnu (p = 0.0001), SampEn (p = 0.001), LZEn (p = 0.005), and BRS (p = 0.0001) such that they significantly decreased during recovery from the resistance exercise compared with rest and the control. There was no effect on ln total power, or ln LF. These data suggest that a bout of resistance exercise using free-weights increases arterial stiffness and reduces vagal activity and BRS in comparison with a control session. Vagal tone may not be fully recovered up to 30 minutes after a resistance exercise bout.

  17. Characterization of Spectinomycin Resistance in Streptococcus suis Leads to Two Novel Insights into Drug Resistance Formation and Dissemination Mechanism

    PubMed Central

    Huang, Kaisong; Zhang, Qiang; Song, Yajing; Zhang, Zhewen; Zhang, Anding; Xiao, Jingfa

    2016-01-01

    Spectinomycin is an aminocyclitol antibiotic used clinically to treat a variety of infections in animals. Here, we characterized drug resistance prevalence in clinical Streptococcus suis isolates and discovered a novel resistance mechanism in which the s5 mutation (Gly26Asp) results in high spectinomycin resistance. Additionally, a novel integrative and conjugative element encompassing a multidrug resistance spw_like-aadE-lnu(B)-lsa(E) cluster and a cadmium resistance operon were identified, suggesting a possible cause for the wide dissemination of spectinomycin resistance in S. suis. PMID:27458226

  18. Phosphine resistance does not confer cross-resistance to sulfuryl fluoride in four major stored grain insect pests.

    PubMed

    Jagadeesan, Rajeswaran; Nayak, Manoj K

    2017-07-01

    Susceptibility to phosphine (PH 3 ) and sulfuryl fluoride (SF) and cross-resistance to SF were evaluated in two life stages (eggs and adults) of key grain insect pests, Rhyzopertha dominca (F.), Sitophilus oryzae (L.), Cryptolestes ferrugineus (Stephens), and Tribolium castaneum (Herbst). This study was performed with an aim to integrate SF into phosphine resistance management programmes in Australia. Characterisation of susceptibility and resistance to phosphine in eggs and adults showed that C. ferrugineus was the most tolerant as well as resistant species. Mortality responses of eggs and adults to SF at 25 °C revealed T. castaneum to be the most tolerant species followed by S. oryzae, C. ferrugineus and R. dominica. A high dose range of SF, 50.8-62.2 mg L -1 over 48 h, representing c (concentration) × t (time) products of 2438-2985 gh m -3 , was required for complete control of eggs of T. castaneum, whereas eggs of the least tolerant R. dominca required only 630 gh m -3 for 48 h (13.13 mg L -1 ). Mortality response of eggs and adults of phosphine-resistant strains to SF in all four species confirmed the lack of cross-resistance to SF. Our research concludes that phosphine resistance does not confer cross-resistance to SF in grain insect pests irrespective of the variation in levels of tolerance to SF itself or resistance to phosphine in their egg and adult stages. While our study confirms that SF has potential as a 'phosphine resistance breaker', the observed higher tolerance in eggs stresses the importance of developing SF fumigation protocols with longer exposure periods. © 2016 Society of Chemical Industry. © 2016 Society of Chemical Industry.

  19. Selection and Spread of Artemisinin-Resistant Alleles in Thailand Prior to the Global Artemisinin Resistance Containment Campaign

    PubMed Central

    Talundzic, Eldin; Okoth, Sheila Akinyi; Congpuong, Kanungnit; Plucinski, Mateusz M.; Morton, Lindsay; Goldman, Ira F.; Kachur, Patrick S.; Wongsrichanalai, Chansuda; Satimai, Wichai; Barnwell, John W.; Udhayakumar, Venkatachalam

    2015-01-01

    The recent emergence of artemisinin resistance in the Greater Mekong Subregion poses a major threat to the global effort to control malaria. Tracking the spread and evolution of artemisinin-resistant parasites is critical in aiding efforts to contain the spread of resistance. A total of 417 patient samples from the year 2007, collected during malaria surveillance studies across ten provinces in Thailand, were genotyped for the candidate Plasmodium falciparum molecular marker of artemisinin resistance K13. Parasite genotypes were examined for K13 propeller mutations associated with artemisinin resistance, signatures of positive selection, and for evidence of whether artemisinin-resistant alleles arose independently across Thailand. A total of seven K13 mutant alleles were found (N458Y, R539T, E556D, P574L, R575K, C580Y, S621F). Notably, the R575K and S621F mutations have previously not been reported in Thailand. The most prevalent artemisinin resistance-associated K13 mutation, C580Y, carried two distinct haplotype profiles that were separated based on geography, along the Thai-Cambodia and Thai-Myanmar borders. It appears these two haplotypes may have independent evolutionary origins. In summary, parasites with K13 propeller mutations associated with artemisinin resistance were widely present along the Thai-Cambodia and Thai-Myanmar borders prior to the implementation of the artemisinin resistance containment project in the region. PMID:25836766

  20. Novel Aminoglycoside Resistance Transposons and Transposon-Derived Circular Forms Detected in Carbapenem-Resistant Acinetobacter baumannii Clinical Isolates

    PubMed Central

    Dwibedi, Chinmay Kumar; Sjöström, Karin; Edquist, Petra; Wai, Sun Nyunt; Uhlin, Bernt Eric

    2016-01-01

    Acinetobacter baumannii has emerged as an important opportunistic pathogen equipped with a growing number of antibiotic resistance genes. Our study investigated the molecular epidemiology and antibiotic resistance features of 28 consecutive carbapenem-resistant clinical isolates of A. baumannii collected throughout Sweden in 2012 and 2013. The isolates mainly belonged to clonal complexes (CCs) with an extensive international distribution, such as CC2 (n = 16) and CC25 (n = 7). Resistance to carbapenems was related to blaOXA-23 (20 isolates), blaOXA-24/40-like (6 isolates), blaOXA-467 (1 isolate), and ISAba1-blaOXA-69 (1 isolate). Ceftazidime resistance was associated with blaPER-7 in the CC25 isolates. Two classical point mutations were responsible for resistance to quinolones in all the isolates. Isolates with high levels of resistance to aminoglycosides carried the 16S rRNA methylase armA gene. The isolates also carried a variety of genes encoding aminoglycoside-modifying enzymes. Several novel structures involved in aminoglycoside resistance were identified, including Tn6279, ΔTn6279, Ab-ST3-aadB, and different assemblies of Tn6020 and TnaphA6. Importantly, a number of circular forms related to the IS26 or ISAba125 composite transposons were detected. The frequent occurrence of these circular forms in the populations of several isolates indicates a potential role of these circular forms in the dissemination of antibiotic resistance genes. PMID:26824943

  1. Non-p-glycoprotein-mediated multidrug resistance in detransformed rat cells selected for resistance to methylglyoxal bis(guanylhydrazone).

    PubMed

    Weber, J M; Sircar, S; Horvath, J; Dion, P

    1989-11-01

    Three independent variants (G2, G4, G5), resistant to methylglyoxal bis(guanylhydrazone), an anticancer drug, have been isolated by single step selection from an adenovirus-transformed rat brain cell line (1). These variants display selective cross-resistance to several natural product drugs of dissimilar structure and action. Multidrug resistance has recently been shown to be caused by overexpression of the membrane-associated p-glycoprotein, most often caused by amplification of the mdr gene. Several types of experiments were conducted to determine whether the observed drug resistance in our cell lines could be due to changes at the mdr locus. The following results were obtained: (a) the mdr locus was not amplified; (b) transcription of the mdr gene and p-glycoprotein synthesis were not increased; (c) multidrug resistance cell lines, which carry an amplified mdr locus, were not cross-resistant to methylglyoxal bis(guanylhydrazone); (d) verapamil did not reverse the resistance of G cells or mdr cells to methylglyoxal bis(guanylhydrazone), nor that of G cells to vincristine; and (e) methylglyoxal bis(guanylhydrazone) resistance was recessive and depended on a block to drug uptake, as opposed to mdr cells which are dominant and express increased drug efflux. The results obtained suggest that the drug resistance in the G2, G4, and G5 cells was atypical and may be due to a mechanism distinct from that mediated by the mdr locus.

  2. Comparative Genomics Study of Multi-Drug-Resistance Mechanisms in the Antibiotic-Resistant Streptococcus suis R61 Strain

    PubMed Central

    Zhang, Anding; Wu, Jiayan; Chen, Bo; Hua, Yafeng; Yu, Jun; Chen, Huanchun; Xiao, Jingfa; Jin, Meilin

    2011-01-01

    Background Streptococcus suis infections are a serious problem for both humans and pigs worldwide. The emergence and increasing prevalence of antibiotic-resistant S. suis strains pose significant clinical and societal challenges. Results In our study, we sequenced one multi-drug-resistant S. suis strain, R61, and one S. suis strain, A7, which is fully sensitive to all tested antibiotics. Comparative genomic analysis revealed that the R61 strain is phylogenetically distinct from other S. suis strains, and the genome of R61 exhibits extreme levels of evolutionary plasticity with high levels of gene gain and loss. Our results indicate that the multi-drug-resistant strain R61 has evolved three main categories of resistance. Conclusions Comparative genomic analysis of S. suis strains with diverse drug-resistant phenotypes provided evidence that horizontal gene transfer is an important evolutionary force in shaping the genome of multi-drug-resistant strain R61. In this study, we discovered novel and previously unexamined mutations that are strong candidates for conferring drug resistance. We believe that these mutations will provide crucial clues for designing new drugs against this pathogen. In addition, our work provides a clear demonstration that the use of drugs has driven the emergence of the multi-drug-resistant strain R61. PMID:21966396

  3. Comparative genomics study of multi-drug-resistance mechanisms in the antibiotic-resistant Streptococcus suis R61 strain.

    PubMed

    Hu, Pan; Yang, Ming; Zhang, Anding; Wu, Jiayan; Chen, Bo; Hua, Yafeng; Yu, Jun; Chen, Huanchun; Xiao, Jingfa; Jin, Meilin

    2011-01-01

    Streptococcus suis infections are a serious problem for both humans and pigs worldwide. The emergence and increasing prevalence of antibiotic-resistant S. suis strains pose significant clinical and societal challenges. In our study, we sequenced one multi-drug-resistant S. suis strain, R61, and one S. suis strain, A7, which is fully sensitive to all tested antibiotics. Comparative genomic analysis revealed that the R61 strain is phylogenetically distinct from other S. suis strains, and the genome of R61 exhibits extreme levels of evolutionary plasticity with high levels of gene gain and loss. Our results indicate that the multi-drug-resistant strain R61 has evolved three main categories of resistance. Comparative genomic analysis of S. suis strains with diverse drug-resistant phenotypes provided evidence that horizontal gene transfer is an important evolutionary force in shaping the genome of multi-drug-resistant strain R61. In this study, we discovered novel and previously unexamined mutations that are strong candidates for conferring drug resistance. We believe that these mutations will provide crucial clues for designing new drugs against this pathogen. In addition, our work provides a clear demonstration that the use of drugs has driven the emergence of the multi-drug-resistant strain R61.

  4. 3-Halo Chloroquine Derivatives Overcome Plasmodium falciparum Chloroquine Resistance Transporter-Mediated Drug Resistance in P. falciparum.

    PubMed

    Edaye, Sonia; Tazoo, Dagobert; Bohle, D Scott; Georges, Elias

    2015-12-01

    Polymorphism in the Plasmodium falciparum chloroquine resistance transporter (PfCRT) was shown to cause chloroquine resistance. In this report, we examined the antimalarial potential of novel 3-halo chloroquine derivatives (3-chloro, 3-bromo, and 3-iodo) against chloroquine-susceptible and -resistant P. falciparum. All three derivatives inhibited the proliferation of P. falciparum; with 3-iodo chloroquine being most effective. Moreover, 3-iodo chloroquine was highly effective at potentiating and reversing chloroquine toxicity of drug-susceptible and -resistant P. falciparum. Copyright © 2015, American Society for Microbiology. All Rights Reserved.

  5. Identifying clinically relevant drug resistance genes in drug-induced resistant cancer cell lines and post- chemotherapy tissues

    PubMed Central

    Tong, Mengsha; Zheng, Weicheng; Lu, Xingrong; Ao, Lu; Li, Xiangyu; Guan, Qingzhou; Cai, Hao; Li, Mengyao; Yan, Haidan; Guo, You; Chi, Pan; Guo, Zheng

    2015-01-01

    Until recently, few molecular signatures of drug resistance identified in drug-induced resistant cancer cell models can be translated into clinical practice. Here, we defined differentially expressed genes (DEGs) between pre-chemotherapy colorectal cancer (CRC) tissue samples of non-responders and responders for 5-fluorouracil and oxaliplatin-based therapy as clinically relevant drug resistance genes (CRG5-FU/L-OHP). Taking CRG5-FU/L-OHP as reference, we evaluated the clinical relevance of several types of genes derived from HCT116 CRC cells with resistance to 5-fluorouracil and oxaliplatin, respectively. The results revealed that DEGs between parental and resistant cells, when both were treated with the corresponding drug for a certain time, were significantly consistent with the CRG5-FU/L-OHP as well as the DEGs between the post-chemotherapy CRC specimens of responders and non-responders. This study suggests a novel strategy to extract clinically relevant drug resistance genes from both drug-induced resistant cell models and post-chemotherapy cancer tissue specimens. PMID:26515599

  6. Adipokines and Hepatic Insulin Resistance

    PubMed Central

    Hassan, Waseem

    2013-01-01

    Obesity is a major risk factor for insulin resistance and type 2 diabetes. Adipose tissue is now considered to be an active endocrine organ that secretes various adipokines such as adiponectin, leptin, resistin, tumour necrosis factor-α, and interleukin-6. Recent studies have shown that these factors might provide a molecular link between increased adiposity and impaired insulin sensitivity. Since hepatic insulin resistance plays the key role in the whole body insulin resistance, clarification of the regulatory processes about hepatic insulin resistance by adipokines in rodents and human would seem essential in order to understand the mechanism of type 2 diabetes and for developing novel therapeutic strategies to treat it. PMID:23762871

  7. The evolution of resistance genes in multi-protein plant resistance systems.

    PubMed

    Friedman, Aaron R; Baker, Barbara J

    2007-12-01

    The genomic perspective aids in integrating the analysis of single resistance (R-) genes into a higher order model of complex plant resistance systems. The majority of R-genes encode a class of proteins with nucleotide binding (NB) and leucine-rich repeat (LRR) domains. Several R-proteins act in multi-protein R-complexes that mediate interaction with pathogen effectors to induce resistance signaling. The complexity of these systems seems to have resulted from multiple rounds of plant-pathogen co-evolution. R-gene evolution is thought to be facilitated by the formation of R-gene clusters, which permit sequence exchanges via recombinatorial mispairing and generate high haplotypic diversity. This pattern of evolution may also generate diversity at other loci that contribute to the R-complex. The rate of recombination at R-clusters is not necessarily homogeneous or consistent over evolutionary time: recent evidence suggests that recombination at R-clusters is increased following pathogen infection, suggesting a mechanism that induces temporary genome instability in response to extreme stress. DNA methylation and chromatin modifications may allow this instability to be conditionally regulated and targeted to specific genome regions. Knowledge of natural R-gene evolution may contribute to strategies for artificial evolution of novel resistance specificities.

  8. Methods for resistive switching of memristors

    DOEpatents

    Mickel, Patrick R.; James, Conrad D.; Lohn, Andrew; Marinella, Matthew; Hsia, Alexander H.

    2016-05-10

    The present invention is directed generally to resistive random-access memory (RRAM or ReRAM) devices and systems, as well as methods of employing a thermal resistive model to understand and determine switching of such devices. In particular example, the method includes generating a power-resistance measurement for the memristor device and applying an isothermal model to the power-resistance measurement in order to determine one or more parameters of the device (e.g., filament state).

  9. First outbreak of linezolid-resistant vancomycin-resistant Enterococcus faecium in an Irish hospital, February to September 2014.

    PubMed

    O'Driscoll, C; Murphy, V; Doyle, O; Wrenn, C; Flynn, A; O'Flaherty, N; Fenelon, L E; Schaffer, K; FitzGerald, S F

    2015-12-01

    An outbreak of linezolid-resistant vancomycin-resistant Enterococcus faecium (LRVREfm) occurred in the hepatology ward of a tertiary referral hospital in Ireland between February and September 2014. LRVREfm was isolated from 15 patients; pulsed-field gel electrophoresis confirmed spread of a single clone. This is the first report of an outbreak of linezolid-resistant vancomycin-resistant enterococcus in Ireland. Copyright © 2015 The Healthcare Infection Society. Published by Elsevier Ltd. All rights reserved.

  10. Limited fitness costs of herbicide-resistance traits in Amaranthus tuberculatus facilitate resistance evolution

    USDA-ARS?s Scientific Manuscript database

    The fitness cost of herbicide resistance (HR) in the absence of herbicide selection plays a key role in HR evolution. Quantifying the fitness cost of resistance, however, is challenging, and there exists a knowledge gap in this area. A synthetic Amaranthus tuberculatus population segregating for fiv...

  11. Metabolism-Based Herbicide Resistance and Cross-Resistance in Crop Weeds: A Threat to Herbicide Sustainability and Global Crop Production1

    PubMed Central

    Yu, Qin; Powles, Stephen

    2014-01-01

    Weedy plant species that have evolved resistance to herbicides due to enhanced metabolic capacity to detoxify herbicides (metabolic resistance) are a major issue. Metabolic herbicide resistance in weedy plant species first became evident in the 1980s in Australia (in Lolium rigidum) and the United Kingdom (in Alopecurus myosuroides) and is now increasingly recognized in several crop-weed species as a looming threat to herbicide sustainability and thus world crop production. Metabolic resistance often confers resistance to herbicides of different chemical groups and sites of action and can extend to new herbicide(s). Cytochrome P450 monooxygenase, glycosyl transferase, and glutathione S-transferase are often implicated in herbicide metabolic resistance. However, precise biochemical and molecular genetic elucidation of metabolic resistance had been stalled until recently. Complex cytochrome P450 superfamilies, high genetic diversity in metabolic resistant weedy plant species (especially cross-pollinated species), and the complexity of genetic control of metabolic resistance have all been barriers to advances in understanding metabolic herbicide resistance. However, next-generation sequencing technologies and transcriptome-wide gene expression profiling are now revealing the genes endowing metabolic herbicide resistance in plants. This Update presents an historical review to current understanding of metabolic herbicide resistance evolution in weedy plant species. PMID:25106819

  12. Detection of Multidrug Resistance in Mycobacterium tuberculosis▿

    PubMed Central

    Sekiguchi, Jun-ichiro; Miyoshi-Akiyama, Tohru; Augustynowicz-Kopeć, Ewa; Zwolska, Zofia; Kirikae, Fumiko; Toyota, Emiko; Kobayashi, Intetsu; Morita, Koji; Kudo, Koichiro; Kato, Seiya; Kuratsuji, Tadatoshi; Mori, Toru; Kirikae, Teruo

    2007-01-01

    We developed a DNA sequencing-based method to detect mutations in the genome of drug-resistant Mycobacterium tuberculosis. Drug resistance in M. tuberculosis is caused by mutations in restricted regions of the genome. Eight genome regions associated with drug resistance, including rpoB for rifampin (RIF), katG and the mabA (fabG1)-inhA promoter for isoniazid (INH), embB for ethambutol (EMB), pncA for pyrazinamide (PZA), rpsL and rrs for streptomycin (STR), and gyrA for levofloxacin, were amplified simultaneously by PCR, and the DNA sequences were determined. It took 6.5 h to complete all procedures. Among the 138 clinical isolates tested, 55 were resistant to at least one drug. Thirty-four of 38 INH-resistant isolates (89.5%), 28 of 28 RIF-resistant isolates (100%), 15 of 18 EMB-resistant isolates (83.3%), 18 of 30 STR-resistant isolates (60%), and 17 of 17 PZA-resistant isolates (100%) had mutations related to specific drug resistance. Eighteen of these mutations had not been reported previously. These novel mutations include one in rpoB, eight in katG, one in the mabA-inhA regulatory region, two in embB, five in pncA, and one in rrs. Escherichia coli isolates expressing individually five of the eight katG mutations showed loss of catalase and INH oxidation activities, and isolates carrying any of the five pncA mutations showed no pyrazinamidase activity, indicating that these mutations are associated with INH and PZA resistance, respectively. Our sequencing-based method was also useful for testing sputa from tuberculosis patients and for screening of mutations in Mycobacterium bovis. In conclusion, our new method is useful for rapid detection of multiple-drug-resistant M. tuberculosis and for identifying novel mutations in drug-resistant M. tuberculosis. PMID:17108078

  13. Zinc and copper in animal feed – development of resistance and co-resistance to antimicrobial agents in bacteria of animal origin

    PubMed Central

    Yazdankhah, Siamak; Rudi, Knut; Bernhoft, Aksel

    2014-01-01

    Farmed animals such as pig and poultry receive additional Zn and Cu in their diets due to supplementing elements in compound feed as well as medical remedies. Enteral bacteria in farmed animals are shown to develop resistance to trace elements such as Zn and Cu. Resistance to Zn is often linked with resistance to methicillin in staphylococci, and Zn supplementation to animal feed may increase the proportion of multiresistant E. coli in the gut. Resistance to Cu in bacteria, in particular enterococci, is often associated with resistance to antimicrobial drugs like macrolides and glycopeptides (e.g. vancomycin). Such resistant bacteria may be transferred from the food-producing animals to humans (farmers, veterinarians, and consumers). Data on dose-response relation for Zn/Cu exposure and resistance are lacking; however, it seems more likely that a resistance-driven effect occurs at high trace element exposure than at more basal exposure levels. There is also lack of data which could demonstrate whether Zn/Cu-resistant bacteria may acquire antibiotic resistance genes/become antibiotics resistant, or if antibiotics-resistant bacteria are more capable to become Zn/Cu resistant than antibiotics-susceptible bacteria. Further research is needed to elucidate the link between Zn/Cu and antibiotic resistance in bacteria. PMID:25317117

  14. Leading Antimicrobial Drug-Resistant Diseases

    MedlinePlus

    ... can be life-threatening. Find Out More MedlinePlus: Antibiotic Resistance National Institute of Allergy and Infectious Diseases: Antibiotic Resistance Centers for Disease Control and Prevention: Antibiotic / Antimicrobial ...

  15. Characterization of fecal vancomycin-resistant enterococci with acquired and intrinsic resistance mechanisms in wild animals, Spain.

    PubMed

    Lozano, Carmen; Gonzalez-Barrio, David; Camacho, Maria Cruz; Lima-Barbero, Jose Francisco; de la Puente, Javier; Höfle, Ursula; Torres, Carmen

    2016-11-01

    The objectives were to evaluate the presence of vancomycin-resistant enterococci with acquired (VRE-a) and intrinsic (VRE-i) resistance mechanisms in fecal samples from different wild animals, and analyze their phenotypes and genotypes of antimicrobial resistance. A total of 348 cloacal/rectal samples from red-legged partridges (127), white storks (81), red kites (59), and wild boars (81) (June 2014/February 2015) were inoculated in Slanetz-Bartley agar supplemented with vancomycin (4 μg/mL). We investigated the susceptibility to 12 antimicrobials and the presence of 19 antimicrobial resistance and five virulence genes. In addition, we performed multilocus sequence typing, detection of IS16 and studied Tn1546 structure. One VRE-a isolate was identified in one wild boar. This isolate was identified as Enterococcus faecium, harbored vanA gene included into Tn1546 (truncated with IS1542/IS1216), and belonged to the new ST993. This isolate contained the erm(A), erm(B), tet(M), dfrG, and dfrK genes. Neither element IS16 nor the studied virulence genes were detected. Ninety-six VRE-i isolates were identified (89 Enterococcus gallinarum and seven Enterococcus casseliflavus), with the following prevalence: red kites (71.2 %), white storks (46.9 %), red-legged partridges (7.9 %), and wild boars (4.9 %). Most E. gallinarum isolates showed resistance to tetracycline (66.3 %) and/or erythromycin (46.1 %). High-level resistance to aminoglycosides was present among our VRE-i isolates: kanamycin (22.9 %), streptomycin (11.5 %), and gentamicin (9.4 %). In general, VRE-i isolates of red kites showed higher rates of resistance for non-glycopeptide agents than those of other animal species. The dissemination of acquired resistance mechanisms in natural environments could have implications in the global spread of resistance with public health implications.

  16. Exosomes from adriamycin-resistant breast cancer cells transmit drug resistance partly by delivering miR-222.

    PubMed

    Yu, Dan-Dan; Wu, Ying; Zhang, Xiao-Hui; Lv, Meng-Meng; Chen, Wei-Xian; Chen, Xiu; Yang, Su-Jin; Shen, Hongyu; Zhong, Shan-Liang; Tang, Jin-Hai; Zhao, Jian-Hua

    2016-03-01

    Breast cancer (BCa) is one of the major deadly cancers in women. However, treatment of BCa is still hindered by the acquired-drug resistance. It is increasingly reported that exosomes take part in the development, metastasis, and drug resistance of BCa. However, the specific role of exosomes in drug resistance of BCa is poorly understood. In this study, we investigate whether exosomes transmit drug resistance through delivering miR-222. We established an adriamycin-resistant variant of Michigan Cancer Foundation-7 (MCF-7) breast cancer cell line (MCF-7/Adr) from a drug-sensitive variant (MCF-7/S). Exosomes were isolated from cell supernatant by ultracentrifugation. Cell viability was assessed by MTT assay and apoptosis assay. Individual miR-222 molecules in BCa cells were detected by fluorescence in situ hybridization (FISH). Then, FISH was combined with locked nucleic acid probes and enzyme-labeled fluorescence (LNA-ELF-FISH). Individual miR-222 could be detected as bright photostable fluorescent spots and then the quantity of miR-222 per cell could be counted. Stained exosomes were taken in by the receipt cells. MCF-7/S acquired drug resistance after co-culture with exosomes from MCF-7/Adr (A/exo) but did not after co-culture with exosomes from MCF-7/S (S/exo). The quantity of miR-222 in A/exo-treated MCF-7/S was significantly greater than in S/exo-treated MCF-7/S. MCF-7/S transfected with miR-222 mimics acquired adriamycin resistance while MCF-7/S transfected with miR-222 inhibitors lost resistance. In conclusion, exosomes are effective in transmitting drug resistance and the delivery of miR-222 via exosomes may be a mechanism.

  17. The Lr34 adult plant rust resistance gene provides seedling resistance in durum wheat without senescence.

    PubMed

    Rinaldo, Amy; Gilbert, Brian; Boni, Rainer; Krattinger, Simon G; Singh, Davinder; Park, Robert F; Lagudah, Evans; Ayliffe, Michael

    2017-07-01

    The hexaploid wheat (Triticum aestivum) adult plant resistance gene, Lr34/Yr18/Sr57/Pm38/Ltn1, provides broad-spectrum resistance to wheat leaf rust (Lr34), stripe rust (Yr18), stem rust (Sr57) and powdery mildew (Pm38) pathogens, and has remained effective in wheat crops for many decades. The partial resistance provided by this gene is only apparent in adult plants and not effective in field-grown seedlings. Lr34 also causes leaf tip necrosis (Ltn1) in mature adult plant leaves when grown under field conditions. This D genome-encoded bread wheat gene was transferred to tetraploid durum wheat (T. turgidum) cultivar Stewart by transformation. Transgenic durum lines were produced with elevated gene expression levels when compared with the endogenous hexaploid gene. Unlike nontransgenic hexaploid and durum control lines, these transgenic plants showed robust seedling resistance to pathogens causing wheat leaf rust, stripe rust and powdery mildew disease. The effectiveness of seedling resistance against each pathogen correlated with the level of transgene expression. No evidence of accelerated leaf necrosis or up-regulation of senescence gene markers was apparent in these seedlings, suggesting senescence is not required for Lr34 resistance, although leaf tip necrosis occurred in mature plant flag leaves. Several abiotic stress-response genes were up-regulated in these seedlings in the absence of rust infection as previously observed in adult plant flag leaves of hexaploid wheat. Increasing day length significantly increased Lr34 seedling resistance. These data demonstrate that expression of a highly durable, broad-spectrum adult plant resistance gene can be modified to provide seedling resistance in durum wheat. © 2016 The Authors. Plant Biotechnology Journal published by Society for Experimental Biology and The Association of Applied Biologists and John Wiley & Sons Ltd.

  18. Sequence Exchange between Homologous NB-LRR Genes Converts Virus Resistance into Nematode Resistance, and Vice Versa.

    PubMed

    Slootweg, Erik; Koropacka, Kamila; Roosien, Jan; Dees, Robert; Overmars, Hein; Lankhorst, Rene Klein; van Schaik, Casper; Pomp, Rikus; Bouwman, Liesbeth; Helder, Johannes; Schots, Arjen; Bakker, Jaap; Smant, Geert; Goverse, Aska

    2017-09-01

    Plants have evolved a limited repertoire of NB-LRR disease resistance ( R ) genes to protect themselves against myriad pathogens. This limitation is thought to be counterbalanced by the rapid evolution of NB-LRR proteins, as only a few sequence changes have been shown to be sufficient to alter resistance specificities toward novel strains of a pathogen. However, little is known about the flexibility of NB-LRR R genes to switch resistance specificities between phylogenetically unrelated pathogens. To investigate this, we created domain swaps between the close homologs Gpa2 and Rx1 , which confer resistance in potato ( Solanum tuberosum ) to the cyst nematode Globodera pallida and Potato virus X , respectively. The genetic fusion of the CC-NB-ARC of Gpa2 with the LRR of Rx1 (Gpa2 CN /Rx1 L ) results in autoactivity, but lowering the protein levels restored its specific activation response, including extreme resistance to Potato virus X in potato shoots. The reciprocal chimera (Rx1 CN /Gpa2 L ) shows a loss-of-function phenotype, but exchange of the first three LRRs of Gpa2 by the corresponding region of Rx1 was sufficient to regain a wild-type resistance response to G. pallida in the roots. These data demonstrate that exchanging the recognition moiety in the LRR is sufficient to convert extreme virus resistance in the leaves into mild nematode resistance in the roots, and vice versa. In addition, we show that the CC-NB-ARC can operate independently of the recognition specificities defined by the LRR domain, either aboveground or belowground. These data show the versatility of NB-LRR genes to generate resistance to unrelated pathogens with completely different lifestyles and routes of invasion. © 2017 American Society of Plant Biologists. All Rights Reserved.

  19. Pyramiding, alternating or mixing: comparative performances of deployment strategies of nematode resistance genes to promote plant resistance efficiency and durability.

    PubMed

    Djian-Caporalino, Caroline; Palloix, Alain; Fazari, Ariane; Marteu, Nathalie; Barbary, Arnaud; Abad, Pierre; Sage-Palloix, Anne-Marie; Mateille, Thierry; Risso, Sabine; Lanza, Roger; Taussig, Catherine; Castagnone-Sereno, Philippe

    2014-02-22

    Resistant cultivars are key elements for pathogen control and pesticide reduction, but their repeated use may lead to the emergence of virulent pathogen populations, able to overcome the resistance. Increased research efforts, mainly based on theoretical studies, explore spatio-temporal deployment strategies of resistance genes in order to maximize their durability. We evaluated experimentally three of these strategies to control root-knot nematodes: cultivar mixtures, alternating and pyramiding resistance genes, under controlled and field conditions over a 3-years period, assessing the efficiency and the durability of resistance in a protected crop rotation system with pepper as summer crop and lettuce as winter crop. The choice of the resistance gene and the genetic background in which it is introgressed, affected the frequency of resistance breakdown. The pyramiding of two different resistance genes in one genotype suppressed the emergence of virulent isolates. Alternating different resistance genes in rotation was also efficient to decrease virulent populations in fields due to the specificity of the virulence and the trapping effect of resistant plants. Mixing resistant cultivars together appeared as a less efficient strategy to control nematodes. This work provides experimental evidence that, in a cropping system with seasonal sequences of vegetable species, pyramiding or alternating resistance genes benefit yields in the long-term by increasing the durability of resistant cultivars and improving the long-term control of a soil-borne pest. To our knowledge, this result is the first one obtained for a plant-nematode interaction, which helps demonstrate the general applicability of such strategies for breeding and sustainable management of resistant cultivars against pathogens.

  20. Expression of multidrug resistance proteins in retinoblastoma

    PubMed Central

    Shukla, Swati; Srivastava, Arpna; Kumar, Sunil; Singh, Usha; Goswami, Sandeep; Chawla, Bhavna; Bajaj, Mandeep Singh; Kashyap, Seema; Kaur, Jasbir

    2017-01-01

    AIM To elucidate the mechanism of multidrug resistance in retinoblastoma, and to acquire more insights into in vivo drug resistance. METHODS Three anticancer drug resistant Y79 human RB cells were generated against vincristine, etoposide or carboplatin, which are used for conventional chemotherapy in RB. Primary cultures from enucleated eyes after chemotherapy (PCNC) were also prepared. Their chemosensitivity to chemotherapeutic agents (vincristine, etoposide and carboplatin) were measured using MTT assay. Western blot analysis was performed to evaluate the expression of p53, Bcl-2 and various multidrug resistant proteins in retinoblastoma cells. RESULTS Following exposure to chemotherapeutic drugs, PCNC showed less sensitivity to drugs. No significant changes observed in the p53 expression, whereas Bcl-2 expression was found to be increased in the drug resistant cells as well as in PCNC. Increased expression of P-glycoprotein (P-gp) was observed in drug resistant Y79 cells; however there was no significant change in the expression of P-gp found between primary cultures of primarily enucleated eyes and PCNC. Multidrug resistance protein 1 (Mrp-1) expression was found to be elevated in the drug resistant Y79 cells as well as in PCNC. No significant change in the expression of lung resistance associated protein (Lrp) was observed in the drug resistant Y79 cells as well as in PCNC. CONCLUSION Our results suggest that multidrug resistant proteins are intrinsically present in retinoblastoma which causes treatment failure in managing retinoblastoma with chemotherapy. PMID:29181307

  1. Insulin resistance: definition and consequences.

    PubMed

    Lebovitz, H E

    2001-01-01

    Insulin resistance is defined clinically as the inability of a known quantity of exogenous or endogenous insulin to increase glucose uptake and utilization in an individual as much as it does in a normal population. Insulin action is the consequence of insulin binding to its plasma membrane receptor and is transmitted through the cell by a series of protein-protein interactions. Two major cascades of protein-protein interactions mediate intracellular insulin action: one pathway is involved in regulating intermediary metabolism and the other plays a role in controlling growth processes and mitoses. The regulation of these two distinct pathways can be dissociated. Indeed, some data suggest that the pathway regulating intermediary metabolism is diminished in type 2 diabetes while that regulating growth processes and mitoses is normal.--Several mechanisms have been proposed as possible causes underlying the development of insulin resistance and the insulin resistance syndrome. These include: (1) genetic abnormalities of one or more proteins of the insulin action cascade (2) fetal malnutrition (3) increases in visceral adiposity. Insulin resistance occurs as part of a cluster of cardiovascular-metabolic abnormalities commonly referred to as "The Insulin Resistance Syndrome" or "The Metabolic Syndrome". This cluster of abnormalities may lead to the development of type 2 diabetes, accelerated atherosclerosis, hypertension or polycystic ovarian syndrome depending on the genetic background of the individual developing the insulin resistance.--In this context, we need to consider whether insulin resistance should be defined as a disease entity which needs to be diagnosed and treated with specific drugs to improve insulin action.

  2. Frequency of efflux pump genes mediating ciprofloxacin and antiseptic resistance in methicillin-resistant Staphylococcus aureus isolates.

    PubMed

    Hassanzadeh, Sepideh; Mashhadi, Rahil; Yousefi, Masoud; Askari, Emran; Saniei, Maryam; Pourmand, Mohammad Reza

    2017-10-01

    Efflux pumps are well known as a key role to fluoroquinolone resistance in methicillin-resistant Staphylococcus aureus (MRSA). In this study, among 60 clinical MRSA isolates, 42 isolates (70%) were resistant to ciprofloxacin. MRSA were isolated to detect efflux genes including norA, norB, norC, mepA, sepA, mdeA, qacA/B and smr. Isolates subjected to PCR detection and DNA sequence analysis for these genes. PCR detection showed that 42 isolates (70%) contained at least one efflux pump gene. Among ciprofloxacin-resistant isolates, mdeA and qacA/B genes were found with the highest (61.7%) and lowest (3.3%) frequency, respectively. We also observed that the highest minimum inhibitory concentrations of ciprofloxacin in the presence of mdeA+mepA+norA-C+sepA+smr combination. This type of combination may have the greatest impact on resistance to ciprofloxacin. Finally, compared to previous studies, our study demonstrates that prevalence of ciprofloxacin resistance has been increasing among MRSA clinical isolates. Copyright © 2017 Elsevier Ltd. All rights reserved.

  3. Antimicrobial Resistance in the Food Chain: A Review

    PubMed Central

    Verraes, Claire; Van Boxstael, Sigrid; Van Meervenne, Eva; Van Coillie, Els; Butaye, Patrick; Catry, Boudewijn; de Schaetzen, Marie-Athénaïs; Van Huffel, Xavier; Imberechts, Hein; Dierick, Katelijne; Daube, George; Saegerman, Claude; De Block, Jan; Dewulf, Jeroen; Herman, Lieve

    2013-01-01

    Antimicrobial resistant zoonotic pathogens present on food constitute a direct risk to public health. Antimicrobial resistance genes in commensal or pathogenic strains form an indirect risk to public health, as they increase the gene pool from which pathogenic bacteria can pick up resistance traits. Food can be contaminated with antimicrobial resistant bacteria and/or antimicrobial resistance genes in several ways. A first way is the presence of antibiotic resistant bacteria on food selected by the use of antibiotics during agricultural production. A second route is the possible presence of resistance genes in bacteria that are intentionally added during the processing of food (starter cultures, probiotics, bioconserving microorganisms and bacteriophages). A last way is through cross-contamination with antimicrobial resistant bacteria during food processing. Raw food products can be consumed without having undergone prior processing or preservation and therefore hold a substantial risk for transfer of antimicrobial resistance to humans, as the eventually present resistant bacteria are not killed. As a consequence, transfer of antimicrobial resistance genes between bacteria after ingestion by humans may occur. Under minimal processing or preservation treatment conditions, sublethally damaged or stressed cells can be maintained in the food, inducing antimicrobial resistance build-up and enhancing the risk of resistance transfer. Food processes that kill bacteria in food products, decrease the risk of transmission of antimicrobial resistance. PMID:23812024

  4. Antimicrobial Susceptibility/Resistance of Streptococcus Pneumoniae

    PubMed Central

    Karcic, Emina; Aljicevic, Mufida; Bektas, Sabaheta; Karcic, Bekir

    2015-01-01

    Introduction: Pneumococcal infections are a major cause of morbidity and mortality worldwide, whose treatment is threatened with an increase in the number of strains resistant to antibiotic therapy. Goal: The main goal of this research was to investigate the presence of antimicrobial susceptibility/resistance of S. pneumoniae. Material and methods: Taken are swabs of the nose and nasopharynx, eye and ear. In vitro tests that were made in order to study the antimicrobial resistance of pneumococci are: disk diffusion method and E-test. Results: The resistance to inhibitors of cell wall synthesis was recorded at 39.17%, protein synthesis inhibitors 19.67%, folate antagonists 47.78% and quinolone in 1.11%. S. pneumoniae has shown drug resistance to erythromycin in 45%, clindamycin in 45%, chloramphenicol–0.56%, rifampicin–6.11%, tetracycline–4.67%, penicillin-G in 4.44%, oxacillin in 73.89%, ciprofloxacin in 1.11% and trimethoprim-sulfamethoxazole in 5.34% of cases. Conclusion: The highest resistance pneumococcus showed to erythromycin, clindamycin and trimethoprim-sulfamethoxazole and these should be avoided in the treatment. The least resistance pneumococcus showed to tetracycline, rifampicin, chloramphenicol, penicillin-G and ciprofloxacin. PMID:26236165

  5. Antibiotic Resistance in Plant-Pathogenic Bacteria.

    PubMed

    Sundin, George W; Wang, Nian

    2018-06-01

    Antibiotics have been used for the management of relatively few bacterial plant diseases and are largely restricted to high-value fruit crops because of the expense involved. Antibiotic resistance in plant-pathogenic bacteria has become a problem in pathosystems where these antibiotics have been used for many years. Where the genetic basis for resistance has been examined, antibiotic resistance in plant pathogens has most often evolved through the acquisition of a resistance determinant via horizontal gene transfer. For example, the strAB streptomycin-resistance genes occur in Erwinia amylovora, Pseudomonas syringae, and Xanthomonas campestris, and these genes have presumably been acquired from nonpathogenic epiphytic bacteria colocated on plant hosts under antibiotic selection. We currently lack knowledge of the effect of the microbiome of commensal organisms on the potential of plant pathogens to evolve antibiotic resistance. Such knowledge is critical to the development of robust resistance management strategies to ensure the safe and effective continued use of antibiotics in the management of critically important diseases. Expected final online publication date for the Annual Review of Phytopathology Volume 56 is August 25, 2018. Please see http://www.annualreviews.org/page/journal/pubdates for revised estimates.

  6. Selection and Transmission of Antibiotic-Resistant Bacteria.

    PubMed

    Andersson, Dan I; Hughes, Diarmaid

    2017-07-01

    Ever since antibiotics were introduced into human and veterinary medicine to treat and prevent bacterial infections there has been a steady selection and increase in the frequency of antibiotic resistant bacteria. To be able to reduce the rate of resistance evolution, we need to understand how various biotic and abiotic factors interact to drive the complex processes of resistance emergence and transmission. We describe several of the fundamental factors that underlay resistance evolution, including rates and niches of emergence and persistence of resistant bacteria, time- and space-gradients of various selective agents, and rates and routes of transmission of resistant bacteria between humans, animals and other environments. Furthermore, we discuss the options available to reduce the rate of resistance evolution and/ or transmission and their advantages and disadvantages.

  7. Hypertension Update: Resistant Hypertension.

    PubMed

    Viera, Anthony J

    2018-06-01

    Resistant hypertension is a blood pressure (BP) level that remains above the goal level despite adherence to at least three appropriately dosed antihypertensive drugs of different classes, one of which is a diuretic. Evaluation of suspected resistant hypertension starts with confirming adherence to the drug regimen. White coat hypertension should be ruled out with out-of-office BP level measurements, ideally using 24-hour ambulatory BP monitoring. Obesity, significant alcohol intake, and interfering drugs and other substances can contribute to resistant hypertension. Lifestyle modifications, including exercise and dietary sodium restriction, can be useful in management. Resistant hypertension may be due to secondary etiologies (eg, parenchymal kidney disease, obstructive sleep apnea, hyperaldosteronism). Adequate diuretic treatment is a key part of therapy. In addition to a diuretic, patients with resistant hypertension should take a dihydropyridine calcium channel blocker and an angiotensin-converting enzyme inhibitor or angiotensin II receptor blocker. Spironolactone is an effective fourth drug. Other drug options include a beta blocker, a long-acting nondihydropyridine calcium channel blocker, or clonidine or guanfacine. When the BP level is not controlled despite adherence to a four-drug regimen, referral to a hypertension subspecialist should be considered. Written permission from the American Academy of Family Physicians is required for reproduction of this material in whole or in part in any form or medium.

  8. Diversity of plasmids and antimicrobial resistance genes in multidrug-resistant Escherichia coli isolated from healthy companion animals

    USDA-ARS?s Scientific Manuscript database

    The presence and transfer of antimicrobial resistance genes from commensal bacteria in companion animals to more pathogenic bacteria may contribute to dissemination of antimicrobial resistance. The purpose of this study was to determine antimicrobial resistance gene content and the presence of gene...

  9. Characterization of Spectinomycin Resistance in Streptococcus suis Leads to Two Novel Insights into Drug Resistance Formation and Dissemination Mechanism.

    PubMed

    Huang, Kaisong; Zhang, Qiang; Song, Yajing; Zhang, Zhewen; Zhang, Anding; Xiao, Jingfa; Jin, Meilin

    2016-10-01

    Spectinomycin is an aminocyclitol antibiotic used clinically to treat a variety of infections in animals. Here, we characterized drug resistance prevalence in clinical Streptococcus suis isolates and discovered a novel resistance mechanism in which the s5 mutation (Gly26Asp) results in high spectinomycin resistance. Additionally, a novel integrative and conjugative element encompassing a multidrug resistance spw_like-aadE-lnu(B)-lsa(E) cluster and a cadmium resistance operon were identified, suggesting a possible cause for the wide dissemination of spectinomycin resistance in S. suis. Copyright © 2016, American Society for Microbiology. All Rights Reserved.

  10. Antimicrobial-Resistant Bacterial Populations and Antimicrobial Resistance Genes Obtained from Environments Impacted by Livestock and Municipal Waste

    PubMed Central

    Durso, Lisa M.; Harhay, Dayna M.; Schmidt, John W.

    2015-01-01

    This study compared the populations of antimicrobial-resistant bacteria and the repertoire of antimicrobial resistance genes in four environments: effluent of three municipal wastewater treatment facilities, three cattle feedlot runoff catchment ponds, three swine waste lagoons, and two “low impact” environments (an urban lake and a relict prairie). Multiple liquid and solid samples were collected from each environment. The prevalences and concentrations of antimicrobial-resistant (AMR) Gram-negative (Escherichia coli and Salmonella enterica) and Gram-positive (enterococci) bacteria were determined from individual samples (n = 174). The prevalences of 84 antimicrobial resistance genes in metagenomic DNA isolated from samples pooled (n = 44) by collection date, location, and sample type were determined. The prevalences and concentrations of AMR E. coli and Salmonella were similar among the livestock and municipal sample sources. The levels of erythromycin-resistant enterococci were significantly higher in liquid samples from cattle catchment ponds and swine waste lagoons than in liquid samples from municipal wastewater treatment facilities, but solid samples from these environments did not differ significantly. Similarly, trimethoprim/sulfamethoxazole-resistant E. coli concentrations were significantly higher in swine liquid than in municipal liquid samples, but there was no difference in solid samples. Multivariate analysis of the distribution of antimicrobial resistance genes using principal coordinate analysis showed distinct clustering of samples with livestock (cattle and swine), low impact environment and municipal samples forming three separate clusters. The numbers of class A beta-lactamase, class C beta-lactamase, and fluoroquinolone resistance genes detected were significantly higher (P < 0.05) in municipal samples than in cattle runoff or swine lagoon samples. In conclusion, we report that AMR is a very widespread phenomenon and that similar

  11. Antimicrobial-Resistant Bacterial Populations and Antimicrobial Resistance Genes Obtained from Environments Impacted by Livestock and Municipal Waste.

    PubMed

    Agga, Getahun E; Arthur, Terrance M; Durso, Lisa M; Harhay, Dayna M; Schmidt, John W

    2015-01-01

    This study compared the populations of antimicrobial-resistant bacteria and the repertoire of antimicrobial resistance genes in four environments: effluent of three municipal wastewater treatment facilities, three cattle feedlot runoff catchment ponds, three swine waste lagoons, and two "low impact" environments (an urban lake and a relict prairie). Multiple liquid and solid samples were collected from each environment. The prevalences and concentrations of antimicrobial-resistant (AMR) Gram-negative (Escherichia coli and Salmonella enterica) and Gram-positive (enterococci) bacteria were determined from individual samples (n = 174). The prevalences of 84 antimicrobial resistance genes in metagenomic DNA isolated from samples pooled (n = 44) by collection date, location, and sample type were determined. The prevalences and concentrations of AMR E. coli and Salmonella were similar among the livestock and municipal sample sources. The levels of erythromycin-resistant enterococci were significantly higher in liquid samples from cattle catchment ponds and swine waste lagoons than in liquid samples from municipal wastewater treatment facilities, but solid samples from these environments did not differ significantly. Similarly, trimethoprim/sulfamethoxazole-resistant E. coli concentrations were significantly higher in swine liquid than in municipal liquid samples, but there was no difference in solid samples. Multivariate analysis of the distribution of antimicrobial resistance genes using principal coordinate analysis showed distinct clustering of samples with livestock (cattle and swine), low impact environment and municipal samples forming three separate clusters. The numbers of class A beta-lactamase, class C beta-lactamase, and fluoroquinolone resistance genes detected were significantly higher (P < 0.05) in municipal samples than in cattle runoff or swine lagoon samples. In conclusion, we report that AMR is a very widespread phenomenon and that similar prevalences

  12. Incidence of antimicrobial-resistance genes and integrons in antibiotic-resistant bacteria isolated from eels and aquaculture ponds.

    PubMed

    Lin, Mao; Wu, Xiaomei; Yan, Qingpi; Ma, Ying; Huang, Lixing; Qin, Yingxue; Xu, Xiaojin

    2016-07-07

    The overuse of antimicrobials in aquaculture has promoted the selection of antimicrobial-resistant bacteria. Here we investigated the abundance of antimicrobial-resistance genes and integrons in 108 strains of antibiotic-resistant bacteria isolated from eels and aquaculture ponds in China. Conventional PCR was implemented to examine common antibiotic-resistance genes, integrons, and their gene cassette arrays. The results showed that the antibiotic-resistance genes blaTEM, tetC, sulI, aadA, floR, and qnrB were detected at high percentages, as were a number of other resistance genes. Class I integrons were present in 79.63% of the strains, and 10 out of 108 isolates carried class II integrons. Class III integrons were not detected. Three strains carried both class I and class II integrons, and 73.26% of the class I integron-positive isolates contained the qacEΔ1/sul1 gene. Fourteen types of integron cassette arrays were found among class I integron-positive isolates. A new array, dfrB4-catB3-blaOXA-10-aadA1, was discovered in this study. The gene cassette array dfrA12-orfF-aadA2 was the most widely distributed. In summary, 23 different gene cassettes encoding resistance to 8 classes of antibiotics were identified in the class I integrons, and the main cassettes contained genes encoding resistance to aminoglycosides (aad) and trimethoprim (dfr). All class II integron-positive strains had only a single gene cassette array, viz. dfrA1-catB2-sat2-aadA1. High levels of antimicrobial-resistance genes and integrons in eels and auqauculture ponds suggest that the overuse of antimicrobials should be strictly controlled and that the levels of bacterial antimicrobial-resistance genes in aquaculture should be monitored.

  13. Control of linear modes in cylindrical resistive magnetohydrodynamics with a resistive wall, plasma rotation, and complex gain

    NASA Astrophysics Data System (ADS)

    Brennan, D. P.; Finn, J. M.

    2014-10-01

    Feedback stabilization of magnetohydrodynamic (MHD) modes in a tokamak is studied in a cylindrical model with a resistive wall, plasma resistivity, viscosity, and toroidal rotation. The control is based on a linear combination of the normal and tangential components of the magnetic field just inside the resistive wall. The feedback includes complex gain, for both the normal and for the tangential components, and it is known that the imaginary part of the feedback for the former is equivalent to plasma rotation [J. M. Finn and L. Chacon, Phys. Plasmas 11, 1866 (2004)]. The work includes (1) analysis with a reduced resistive MHD model for a tokamak with finite β and with stepfunction current density and pressure profiles, and (2) computations with a full compressible visco-resistive MHD model with smooth decreasing profiles of current density and pressure. The equilibria are stable for β = 0 and the marginal stability values βrp,rw < βrp,iw < βip,rw < βip,iw (resistive plasma, resistive wall; resistive plasma, ideal wall; ideal plasma, resistive wall; and ideal plasma, ideal wall) are computed for both models. The main results are: (a) imaginary gain with normal sensors or plasma rotation stabilizes below βrp,iw because rotation suppresses the diffusion of flux from the plasma out through the wall and, more surprisingly, (b) rotation or imaginary gain with normal sensors destabilizes above βrp,iw because it prevents the feedback flux from entering the plasma through the resistive wall to form a virtual wall. A method of using complex gain Gi to optimize in the presence of rotation in this regime with β > βrp,iw is presented. The effect of imaginary gain with tangential sensors is more complicated but essentially destabilizes above and below βrp,iw.

  14. Multidrug Resistance-Associated Protein 1 (MRP1) mediated vincristine resistance: effects of N-acetylcysteine and Buthionine Sulfoximine

    PubMed Central

    Akan, Ilhan; Akan, Selma; Akca, Hakan; Savas, Burhan; Ozben, Tomris

    2005-01-01

    Background Multidrug resistance mediated by the multidrug resistance-associated protein 1 (MRP1) decreases cellular drug accumulation. The exact mechanism of MRP1 involved multidrug resistance has not been clarified yet, though glutathione (GSH) is likely to have a role for the resistance to occur. N-acetylcysteine (NAC) is a pro-glutathione drug. DL-Buthionine (S,R)-sulfoximine (BSO) is an inhibitor of GSH synthesis. The aim of our study was to investigate the effect of NAC and BSO on MRP1-mediated vincristine resistance in Human Embryonic Kidney (HEK293) and its MRP1 transfected 293MRP cells. Human Embryonic Kidney (HEK293) cells were transfected with a plasmid encoding whole MRP1 gene. Both cells were incubated with vincristine in the presence or absence of NAC and/or BSO. The viability of both cells was determined under different incubation conditions. GSH, Glutathione S-Transferase (GST) and glutathione peroxidase (GPx) levels were measured in the cell extracts obtained from both cells incubated with different drugs. Results N-acetylcysteine increased the resistance of both cells against vincristine and BSO decreased NAC-enhanced MRP1-mediated vincristine resistance, indicating that induction of MRP1-mediated vincristine resistance depends on GSH. Vincristine decreased cellular GSH concentration and increased GPx activity. Glutathione S-Transferase activity was decreased by NAC. Conclusion Our results demonstrate that NAC and BSO have opposite effects in MRP1 mediated vincristine resistance and BSO seems a promising chemotherapy improving agent in MRP1 overexpressing tumor cells. PMID:16042792

  15. Fine Mapping of Resistance Genes from Five Brown Stem Rot Resistance Sources in Soybean.

    PubMed

    Rincker, Keith; Hartman, Glen L; Diers, Brian W

    2016-03-01

    Brown stem rot (BSR) of soybean [ (L.) Merr.] caused by (Allington & Chamb.) T.C. Harr. & McNew can be controlled effectively with genetic host resistance. Three BSR resistance genes , , and , have been identified and mapped to a large region on chromosome 16. Marker-assisted selection (MAS) will be more efficient and gene cloning will be facilitated with a narrowed genomic interval containing an gene. The objective of this study was to fine map the positions of genes from five sources. Mapping populations were developed by crossing the resistant sources 'Bell', PI 84946-2, PI 437833, PI 437970, L84-5873, and PI 86150 with either the susceptible cultivar Colfax or Century 84. Plants identified as having a recombination event near genes were selected and individually harvested to create recombinant lines. Progeny from recombinant lines were tested in a root-dip assay and evaluated for foliar and stem BSR symptom development. Overall, 4878 plants were screened for recombination, and progeny from 52 recombinant plants were evaluated with simple-sequence repeat (SSR) genetic markers and assessed for symptom development. Brown stem rot resistance was mapped to intervals ranging from 0.34 to 0.04 Mb in the different sources. In all sources, resistance was fine mapped to intervals inclusive of BARCSOYSSR_16_1114 and BARCSOYSSR_16_1115, which provides further evidence that one locus provides BSR resistance in soybean. Copyright © 2016 Crop Science Society of America.

  16. Resistivity and resistivity fluctuations of thin-film platinum-aluminum oxide granular metal-insulator composites

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mantese, J.V.

    1986-01-01

    Thin film metal-insulator composites were used to study how the resistivity and fluctuations in the resistivity were altered by changes in conduction mechanism so as to understand the source of the fluctuations and to better understand the conduction processes themselves. Metal-insulator composites were prepared by co-evaporation of platinum and aluminum oxide in a high vacuum system to create a series of films which had a range of metal volume fill fractions, p, from 23 to 100%. The samples were patterned using standard photolithographic techniques to form sample geometries of typical dimensions, length approx.40 ..mu..m, width approx.2 ..mu..m, and thickness approx.1500more » A. The resistivity rho, and power spectral density of the resistivity fluctuations, S/sub rho/(f), were measured as a function of p and temperature, T. Rho(p,T) was found to be a rapidly increasing function of decreasing p, rising monotonically by more than 7 orders of magnitude as p was decreased from 100% to 23%. For p greater than or equal to 59% the resistivity decreased linearly with decreasing temperature until limited by impurity scattering. The resistivities for the low metal fill fraction materials (P greater than or equal to 50%) increased as the temperature was reduced as expected of thermally assisted tunneling conduction in metal-insulator composites. The transition from metallic conduction to thermally assisted tunneling occurred at a critical value, p/sub c/, between 59% and 50% Pt.« less

  17. Prevalence, resistance patterns, and risk factors for antimicrobial resistance in bacteria from retail chicken meat in Colombia.

    PubMed

    Donado-Godoy, Pilar; Byrne, Barbara A; León, Maribel; Castellanos, Ricardo; Vanegas, Consuelo; Coral, Adriana; Arevalo, Alejandra; Clavijo, Viviana; Vargas, Mercedes; Romero Zuñiga, Juan J; Tafur, McAllister; Pérez-Gutierrez, Enrique; Smith, Woutrina A

    2015-04-01

    As a step toward implementing the Colombian Integrated Program for Antimicrobial Resistance Surveillance (COIPARS), this study aimed to establish the baseline antimicrobial resistance patterns of Salmonella serovars, Escherichia coli, and Enterococcus spp. isolates in retail poultry meat from independent stores and from a main chain distributor center. MICs of the isolates were determined for antimicrobials used both in humans and animals, using an automated system. Salmonella serovars were isolated from 26% of the meat samples and E. coli from 83%, whereas Enterococcus faecalis and Enterococcus faecium were detected in 81 and 13% of the meat samples, respectively. A principal finding of concern in this study was that almost 98% of isolates tested were multidrug resistant. Ceftiofur, enrofloxacin, nalidixic acid, and tetracycline were the antimicrobials that showed the highest frequency of resistance among Salmonella and E. coli isolates. For enterococci, 61.5% of E. faecium isolates were found to be resistant to quinupristin-dalfopristin; this is significant because it is used to treat nosocomial infections when vancomycin resistance is present. Vancomycin resistance was detected in 4% of the E. faecalis isolates. The results of our study highlight the need for rapid implementation of an integrated program for surveillance of antimicrobial resistance by the Colombian authorities in order to monitor trends, raise awareness, and help promote practices to safeguard later generation antimicrobial agents.

  18. Pyramiding, alternating or mixing: comparative performances of deployment strategies of nematode resistance genes to promote plant resistance efficiency and durability

    PubMed Central

    2014-01-01

    Background Resistant cultivars are key elements for pathogen control and pesticide reduction, but their repeated use may lead to the emergence of virulent pathogen populations, able to overcome the resistance. Increased research efforts, mainly based on theoretical studies, explore spatio-temporal deployment strategies of resistance genes in order to maximize their durability. We evaluated experimentally three of these strategies to control root-knot nematodes: cultivar mixtures, alternating and pyramiding resistance genes, under controlled and field conditions over a 3-years period, assessing the efficiency and the durability of resistance in a protected crop rotation system with pepper as summer crop and lettuce as winter crop. Results The choice of the resistance gene and the genetic background in which it is introgressed, affected the frequency of resistance breakdown. The pyramiding of two different resistance genes in one genotype suppressed the emergence of virulent isolates. Alternating different resistance genes in rotation was also efficient to decrease virulent populations in fields due to the specificity of the virulence and the trapping effect of resistant plants. Mixing resistant cultivars together appeared as a less efficient strategy to control nematodes. Conclusions This work provides experimental evidence that, in a cropping system with seasonal sequences of vegetable species, pyramiding or alternating resistance genes benefit yields in the long-term by increasing the durability of resistant cultivars and improving the long-term control of a soil-borne pest. To our knowledge, this result is the first one obtained for a plant-nematode interaction, which helps demonstrate the general applicability of such strategies for breeding and sustainable management of resistant cultivars against pathogens. PMID:24559060

  19. [Metallo-beta-lactamase-mediated resistance among carbapenem-resistant Pseudomonas aeruginosa clinical isolates].

    PubMed

    Mereuţă, Ana Irina; Tuchiluş, Cristina; Bădescu, Aida Corina; Iancu, Luminiţa Smaranda

    2011-01-01

    The aim of our study was to evaluate the antimicrobial susceptibility profile and the presence of metallo-beta-lactamases (MBLs) among carbapenem-resistant Pseudomonas aeruginosa clinical isolates. A total of 84 P. aeruginosa clinical isolates collected between January 2007- February 2011 from four university hospitals in Iasi (North-East region of Romania) were randomly selected. Antimicrobial susceptibility testing was performed according to CLSI 2010 (Clinical and Laboratory Standards Institute) guidelines. The isolates were tested for MBLs using EPI (EDTA-phenanthroline-imipenem) phenotypic test and polymerase chain reaction (PCR) for bla(VIM) and bla(IMP). Fifty-eight carbapenem resistant strains were identified, from which 24 (41,3%) were positive for VIM-type MBLs. No IMP - type MBL was detected. All MBL-producing isolates displayed a MDR (multidrug resistant) phenotype, two of them were XDR (extensively drug-resistant). Colistin remained the most effective antibiotic. The high proportion of MBL producing P. aeruginosa clinical isolates urges the need for a better use of antibiotics and for efficient infection control measures to prevent dissemination of MBL producers. This is the first report of VIM-like enzymes in P. aeruginosa isolates from the Iasi area.

  20. Determination of Electrical Resistivity of Dry Coke Beds

    NASA Astrophysics Data System (ADS)

    Eidem, P. A.; Tangstad, M.; Bakken, J. A.

    2008-02-01

    The electrical resistivity of the coke bed is of great importance when producing FeMn, SiMn, and FeCr in a submerged arc furnace. In these processes, a coke bed is situated below and around the electrode tip and consists of metallurgical coke, slag, gas, and metal droplets. Since the basic mechanisms determining the electrical resistivity of a coke bed is not yet fully understood, this investigation is focused on the resistivity of dry coke beds consisting of different carbonaceous materials, i.e., coke beds containing no slag or metal. A method that reliably compares the electrical bulk resistivity of different metallurgical cokes at 1500 °C to 1600 °C is developed. The apparatus is dimensioned for industrial sized materials, and the electrical resistivity of anthracite, charcoal, petroleum coke, and metallurgical coke has been measured. The resistivity at high temperatures of the Magnitogorsk coke, which has the highest resistivity of the metallurgical cokes investigated, is twice the resistivity of the Corus coke, which has the lowest electrical resistivity. Zdzieszowice and SSAB coke sort in between with decreasing resistivities in the respective order. The electrical resistivity of anthracite, charcoal, and petroleum coke is generally higher than the resistivity of the metallurgical cokes, ranging from about two to about eight times the resistivity of the Corus coke at 1450 °C. The general trend is that the bulk resistivity of carbon materials decreases with increasing temperature and increasing particle size.

  1. Employee resistance and injury during commercial robberies.

    PubMed

    Jones, Jennifer; Casteel, Carri; Peek-Asa, Corinne

    2015-05-01

    To examine the association between employee resistance and injury and examine whether type or location of property stolen was associated with employee resistance during commercial robberies in a large metropolitan city. Robbery data were abstracted from police crime reports between 2008 and 2012. Log binomial regression models were used to identify predictors of employee resistance and to evaluate the association between employee resistance and injury. Employees resisted a robber in nearly half of all robbery events. Active employee resistance was significantly associated with employee injury (Adj PR: 1.49, 95% confidence interval, 1.34 to 1.65). Goods being stolen were associated with active employee resistance and employee injury, whereas cash only being stolen was inversely associated with employee injury. Results suggest that employee training in nonresistance can be an important strategy in protecting employees working with the exchange of cash and goods.

  2. In Vitro Activity and MIC of Sitafloxacin against Multidrug-Resistant and Extensively Drug-Resistant Mycobacterium tuberculosis Isolated in Thailand

    PubMed Central

    Leechawengwongs, Manoon; Prammananan, Therdsak; Jaitrong, Sarinya; Billamas, Pamaree; Makhao, Nampueng; Thamnongdee, Nongnard; Thanormchat, Arirat; Phurattanakornkul, Arisa; Rattanarangsee, Somcharn; Ratanajaraya, Chate; Disratthakit, Areeya

    2017-01-01

    ABSTRACT New fluoroquinolones (FQs) have been shown to be more active against drug-resistant Mycobacterium tuberculosis strains than early FQs, such as ofloxacin. Sitafloxacin (STFX) is a new fluoroquinolone with in vitro activity against a broad range of bacteria, including M. tuberculosis. This study aimed to determine the in vitro activity of STFX against all groups of drug-resistant strains, including multidrug-resistant M. tuberculosis (MDR M. tuberculosis), MDR M. tuberculosis with quinolone resistance (pre-XDR), and extensively drug-resistant (XDR) strains. A total of 374 drug-resistant M. tuberculosis strains were tested for drug susceptibility by the conventional proportion method, and 95 strains were randomly submitted for MIC determination using the microplate alamarBlue assay (MABA). The results revealed that all the drug-resistant strains were susceptible to STFX at a critical concentration of 2 μg/ml. Determination of the MIC90s of the strains showed different MIC levels; MDR M. tuberculosis strains had a MIC90 of 0.0625 μg/ml, whereas pre-XDR and XDR M. tuberculosis strains had identical MIC90s of 0.5 μg/ml. Common mutations within the quinolone resistance-determining region (QRDR) of gyrA and/or gyrB did not confer resistance to STFX, except that double mutations of GyrA at Ala90Val and Asp94Ala were found in strains with a MIC of 1.0 μg/ml. The results indicated that STFX had potent in vitro activity against all the groups of drug-resistant M. tuberculosis strains and should be considered a new repurposed drug for treatment of multidrug-resistant and extensively drug-resistant TB. PMID:29061759

  3. AXL mediates resistance to cetuximab therapy

    PubMed Central

    Brand, Toni M.; Iida, Mari; Stein, Andrew P.; Corrigan, Kelsey L.; Braverman, Cara; Luthar, Neha; Toulany, Mahmoud; Gill, Parkash S.; Salgia, Ravi; Kimple, Randall J.; Wheeler, Deric L.

    2014-01-01

    The EGFR antibody cetuximab is used to treat numerous cancers, but intrinsic and acquired resistance to this agent is a common clinical problem. In this study we show that overexpression of the oncogenic receptor kinase AXL is sufficient to mediate acquired resistance to cetuximab in models of non-small cell lung cancer (NSCLC) and head and neck squamous cell carcinoma (HNSCC), where AXL was overexpressed, activated and tightly associated with EGFR expression in cells resistant to cetuximab (CtxR cells). Using RNAi methods and novel AXL targeting agents, we found that AXL activation stimulated cell proliferation, EGFR activation and MAPK signaling in CtxR cells. Notably, EGFR directly regulated the expression of AXL mRNA through MAPK signaling and the transcription factor c-Jun in CtxR cells, creating a positive feedback loop that maintained EGFR activation by AXL. Cetuximab-sensitive parental cells were rendered resistant to cetuximab by stable overexpression of AXL or stimulation with EGFR ligands, the latter of which increased AXL activity and association with the EGFR. In tumor xenograft assays, the development of resistance following prolonged treatment with cetuximab was associated with AXL hyperactivation and EGFR association. Furthermore, in an examination of patient-derived xenografts established from surgically resected HNSCCs, AXL was overexpressed and activated in tumors that displayed intrinsic resistance to cetuximab. Collectively, our results identify AXL as a key mediator of cetuximab resistance, providing a rationale for clinical evaluation of AXL targeting drugs to treat cetuximab-resistant cancers. PMID:25136066

  4. Antimicrobial resistance and resistance genes in Salmonella strains isolated from broiler chickens along the slaughtering process in China.

    PubMed

    Zhu, Yuanting; Lai, Haimei; Zou, Likou; Yin, Sheng; Wang, Chengtao; Han, Xinfeng; Xia, Xiaolong; Hu, Kaidi; He, Li; Zhou, Kang; Chen, Shujuan; Ao, Xiaolin; Liu, Shuliang

    2017-10-16

    A total of 189 Salmonella isolates were recovered from 627 samples which were collected from cecal contents of broilers, chicken carcasses, chicken meat after cutting step and frozen broiler chicken products along the slaughtering process at a slaughterhouse in Sichuan province of China. The Salmonella isolates were subjected to antimicrobial susceptibility testing to 10 categories of antimicrobial agents using the Kirby-Bauer disk diffusion method. Those antibiotics-resistant isolates were further investigated for the occurrence of resistance genes, the presence of class 1 integron as well as the associated gene cassettes, and the mutations within the gyrA and parC genes. Consequently, the prevalence of Salmonella was 30.14% (47.96% for cecal content, 18.78% for chicken carcasses, 31.33% for cutting meat and 14.00% for frozen meat, respectively). The predominant serotypes were S. Typhimurium (15.34%) and S. Enteritidis (69.84%). High resistance rates to the following drugs were observed: nalidixic acid (99.5%), ampicillin (87.8%), tetracycline (51.9%), ciprofloxacin (48.7%), trimethoprim/sulfamethoxazole (48.1%), and spectinomycin (34.4%). Antimicrobial resistance profiling showed that 60.8% of isolates were multidrug resistant (MDR), and MDR strains increased from 44.7% to 78.6% along the slaughtering line. 94.6% (n=157) of beta-lactam-resistant isolates harbored at least one resistance gene of bla TEM or bla CTX-M . The relatively low prevalence of aminoglycoside resistance genes (aac(3)-II, aac(3)-IV, and ant(2″)-I) was found in 49 (66.2%) of antibiotic-resistant isolates. The tetracycline resistance genes (tet(A), tet(B), tet(C), and tet(G) and sulfonamide resistance genes (sul1, sul2, and sul3) were identified in 84 (85.7%) and 89 (97.8%) antibiotic-resistant isolates respectively. floR was identified in 44 (97.8%) florfenicol-resistant isolates. Class 1 integron was detected in 37.4% (n=43) of the MDR isolates. Two different gene cassettes, bla OXA-30 -aad

  5. Mapping insecticide resistance and characterization of resistance mechanisms in Anopheles arabiensis (Diptera: Culicidae) in Ethiopia.

    PubMed

    Alemayehu, Eba; Asale, Abebe; Eba, Kasahun; Getahun, Kefelegn; Tushune, Kora; Bryon, Astrid; Morou, Evangelia; Vontas, John; Van Leeuwen, Thomas; Duchateau, Luc; Yewhalaw, Delenasaw

    2017-09-02

    The emergence and spread of insecticide resistance in the major African malaria vectors Anopheles gambiae (s.s.) and An. arabiensis may compromise the current vector control interventions and threatens the global malaria control and elimination efforts. Insecticide resistance was monitored in several study sites in Ethiopia from 2013 to 2015 using papers impregnated with discriminating concentrations of DDT, deltamethrin, bendiocarb, propoxur, malathion, fenitrothion and pirimiphos-methyl, following the WHO insecticide susceptibility test procedure. Mosquitoes sampled from different localities for WHO bioassay were morphologically identified as An. gambiae (s.l.) using standard taxonomic keys. Samples were identified to species using species-specific polymerase chain reaction (PCR) and screened for the presence of target site mutations L1014F, L1014S and N1575Y in the voltage gated sodium channel (VGSC) gene and G119S in the acethylcholinesterase (AChE) gene using allele-specific PCR. Biochemical assays were performed to assess elevated levels of acetylcholinesterases, carboxylcholinesterases, glutathione-S-transferases (GSTs) and cytochrome P450s monooxygenases in wild populations of An. arabiensis, compared to the fully susceptible Sekoru An. arabiensis laboratory strain. Populations of An. arabiensis were resistant to DDT and deltamethrin but were susceptible to fenitrothion in all the study sites. Reduced susceptibility to malathion, pirimiphos-methyl, propoxur and bendiocarb was observed in some of the study sites. Knockdown resistance (kdr L1014F) was detected in all mosquito populations with allele frequency ranging from 42 to 91%. Elevated levels of glutathione-S-transferases (GSTs) were detected in some of the mosquito populations. However, no elevated levels of monooxygenases and esterases were detected in any of the populations assessed. Anopheles arabiensis populations from all surveyed sites in Ethiopia exhibited resistance against DDT and pyrethroids

  6. Factors That Cause Trimethoprim Resistance in Streptococcus pyogenes

    PubMed Central

    Bergmann, René; van der Linden, Mark; Chhatwal, Gursharan S.

    2014-01-01

    The use of trimethoprim in treatment of Streptococcus pyogenes infections has long been discouraged because it has been widely believed that this pathogen is resistant to this antibiotic. To gain more insight into the extent and molecular basis of trimethoprim resistance in S. pyogenes, we tested isolates from India and Germany and sought the factors that conferred the resistance. Resistant isolates were identified in tests for trimethoprim or trimethoprim-sulfamethoxazole (SXT) susceptibility. Resistant isolates were screened for the known horizontally transferable trimethoprim-insensitive dihydrofolate reductase (dfr) genes dfrG, dfrF, dfrA, dfrD, and dfrK. The nucleotide sequence of the intrinsic dfr gene was determined for resistant isolates lacking the horizontally transferable genes. Based on tentative criteria, 69 out of 268 isolates (25.7%) from India were resistant to trimethoprim. Occurring in 42 of the 69 resistant isolates (60.9%), dfrF appeared more frequently than dfrG (23 isolates; 33.3%) in India. The dfrF gene was also present in a collection of SXT-resistant isolates from Germany, in which it was the only detected trimethoprim resistance factor. The dfrF gene caused resistance in 4 out of 5 trimethoprim-resistant isolates from the German collection. An amino acid substitution in the intrinsic dihydrofolate reductase known from trimethoprim-resistant Streptococcus pneumoniae conferred resistance to S. pyogenes isolates of emm type 102.2, which lacked other aforementioned dfr genes. Trimethoprim may be more useful in treatment of S. pyogenes infections than previously thought. However, the factors described herein may lead to the rapid development and spread of resistance of S. pyogenes to this antibiotic agent. PMID:24492367

  7. Factors that cause trimethoprim resistance in Streptococcus pyogenes.

    PubMed

    Bergmann, René; van der Linden, Mark; Chhatwal, Gursharan S; Nitsche-Schmitz, D Patric

    2014-01-01

    The use of trimethoprim in treatment of Streptococcus pyogenes infections has long been discouraged because it has been widely believed that this pathogen is resistant to this antibiotic. To gain more insight into the extent and molecular basis of trimethoprim resistance in S. pyogenes, we tested isolates from India and Germany and sought the factors that conferred the resistance. Resistant isolates were identified in tests for trimethoprim or trimethoprim-sulfamethoxazole (SXT) susceptibility. Resistant isolates were screened for the known horizontally transferable trimethoprim-insensitive dihydrofolate reductase (dfr) genes dfrG, dfrF, dfrA, dfrD, and dfrK. The nucleotide sequence of the intrinsic dfr gene was determined for resistant isolates lacking the horizontally transferable genes. Based on tentative criteria, 69 out of 268 isolates (25.7%) from India were resistant to trimethoprim. Occurring in 42 of the 69 resistant isolates (60.9%), dfrF appeared more frequently than dfrG (23 isolates; 33.3%) in India. The dfrF gene was also present in a collection of SXT-resistant isolates from Germany, in which it was the only detected trimethoprim resistance factor. The dfrF gene caused resistance in 4 out of 5 trimethoprim-resistant isolates from the German collection. An amino acid substitution in the intrinsic dihydrofolate reductase known from trimethoprim-resistant Streptococcus pneumoniae conferred resistance to S. pyogenes isolates of emm type 102.2, which lacked other aforementioned dfr genes. Trimethoprim may be more useful in treatment of S. pyogenes infections than previously thought. However, the factors described herein may lead to the rapid development and spread of resistance of S. pyogenes to this antibiotic agent.

  8. The Dynamics of Drug Resistance: A Mathematical Perspective

    PubMed Central

    Lavi, Orit; Gottesman, Michael M.; Levy, Doron

    2012-01-01

    Resistance to chemotherapy is a key impediment to successful cancer treatment that has been intensively studied for the last three decades. Several central mechanisms have been identified as contributing to the resistance. In the case of multidrug resistance (MDR), the cell becomes resistant to a variety of structurally and mechanistically unrelated drugs in addition to the drug initially administered. Mathematical models of drug resistance have dealt with many of the known aspects of this field, such as pharmacologic sanctuary and location/diffusion resistance, intrinsic resistance that is therapy independent, therapy-dependent cellular alterations including induced resistance (dose-dependent) and acquired resistance (dose-independent). In addition, there are mathematical models that take into account the kinetic/phase resistance, and models that investigate intra-cellular mechanisms based on specific biological functions (such as ABC transporters, apoptosis and repair mechanisms). This review covers aspects of MDR that have been mathematically studied, and explains how, from a methodological perspective, mathematics can be used to study drug resistance. We discuss quantitative approaches of mathematical analysis, and demonstrate how mathematics can be used in combination with other experimental and clinical tools. We emphasize the potential benefits of integrating analytical and mathematical methods into future clinical and experimental studies of drug resistance. PMID:22387162

  9. [Resistance to the antimalarial drugs].

    PubMed

    Venanzi, E; López-Vélez, R

    2016-09-01

    Malaria is one of the most widespread infectious diseases around the world with 214 million cases and 438,000 deaths in 2015. In the early twentieth century it was described for the first time the resistance to quinine and, since then, drug resistance to antimalarial drugs has spread up to represent a global challenge in the fight and control of malaria. Understanding the mechanisms, geography and monitoring tools that we can act against resistance to antimalarial drugs is critical to prevent its expansion.

  10. Resistance monitoring and cross-resistance patterns of three rice planthoppers, Nilaparvata lugens, Sogatella furcifera and Laodelphax striatellus to dinotefuran in China.

    PubMed

    Mu, Xi-Chao; Zhang, Wei; Wang, Li-Xiang; Zhang, Shuai; Zhang, Kai; Gao, Cong-Fen; Wu, Shun-Fan

    2016-11-01

    Three rice planthoppers, brown planthopper, Nilaparvata lugens, white-backed planthopper, Sogatella furcifera and small brown planthopper, Laodelphax striatellus, are important pests of cultivated rice in tropical and temperate Asia. They have caused severe economic loss and developed resistance to insecticides from most chemical classes. Dinotefuran is the third neonicotinoid which possesses a broad spectrum and systemic insecticidal activity. We determined the susceptibility of dinotefuran to field populations from major rice production areas in China from 2013 to 2015. All the populations of S. furcifera and L. striatellus were kept susceptible to dinotefuran (0.7 to 1.4-fold of S. furcifera and 1.1-to 3.4-fold of L. striatellus) However, most strains of N. lugens (except FQ15) collected in 2015 had developed moderate resistance to dinotefuran, with resistance ratios (RR) ranging from 23.1 to 100.0 folds. Cross-resistance studies showed that chlorpyrifos-resistant and buprofezin-resistant Sogatella furcifera, chlorpyrifos-resistant and fipronil-resistant L. striatellus, imidacloprid-resistant and buprofezin-resistant Nilaparvata lugens exhibited negligible or no cross-resistance to dinotefuran. Synergism tests showed that piperonyl butoxide (PBO) produced a high synergism of dinotefuran effects in the DY15 and JS15 populations (2.14 and 2.52-fold, respectively). The obvious increase in resistance to dinotefuran in N. lugens indicates that insecticide resistance management strategies are urgently needed to prevent or delay further increase of insecticide resistance in N. lugens. Copyright © 2016 Elsevier B.V. All rights reserved.

  11. Identification of Striga hermonthica-Resistant Upland Rice Varieties in Sudan and Their Resistance Phenotypes.

    PubMed

    Samejima, Hiroaki; Babiker, Abdel G; Mustafa, Ahmed; Sugimoto, Yukihiro

    2016-01-01

    Rice has become a major staple cereal in sub-Saharan Africa. Currently, upland rice cultivation is expanding particularly in rainfed areas where the root parasitic weed Striga hermonthica, a major constraint to cereal production, is endemic. Laboratory, pot, and semi-controlled open air experiments were performed to evaluate resistance of selected rice varieties in Sudan to a resident S. hermonthica population. In the laboratory, 27 varieties were screened for post-attachment resistance using the rhizotron technique. Varieties displaying high post-attachment resistance, Umgar, NERICA5, and NERICA13 together with NERICA4, NERICA18, and Nipponbare, a lowland rice variety, were further evaluated for performance and Striga resistance in pot and semi-controlled open air experiments and for germination inducing activity in a laboratory. In addition, comparative studies on reaction of Umgar, Kosti1 and Kosti2, released varieties for commercial production in Sudan, to the parasite were performed in two pot experiments. In the pot experiments Umgar and NERICA5, consistently, sustained the lowest Striga emergence (<2.2 Striga plants per pot), while NERICA13 and NERICA4 supported 1.8-5.7 and 8.7-16.4 Striga plants per pot, respectively. In an artificially Striga-infested field, number of emergent Striga plants per 10 rice hills, at harvest, was 2.0, 2.0, 4.8, 13.5, 13.3, and 18.3 on Umgar, NERICA5, NERICA13, NERICA4, NERICA18, and Nipponbare, respectively. Striga had no adverse effects on total above-ground parts and panicle dry weight in Umgar and NERICA5. Germination-inducing activity of root exudates, at 14 days after sowing onward, was markedly lower for Umgar than for NERICA5, NERICA13, NERICA4, and NERICA18. Based on these findings, Umgar has both pre and post-attachment resistance to a resident Striga population in Sudan. Kosti1 and Kosti2 did not exhibit Striga-resistance at the same level as Umgar. Further the resistance of NERICA5, a variety reported to be endowed

  12. Identification of Striga hermonthica-Resistant Upland Rice Varieties in Sudan and Their Resistance Phenotypes

    PubMed Central

    Samejima, Hiroaki; Babiker, Abdel G.; Mustafa, Ahmed; Sugimoto, Yukihiro

    2016-01-01

    Rice has become a major staple cereal in sub-Saharan Africa. Currently, upland rice cultivation is expanding particularly in rainfed areas where the root parasitic weed Striga hermonthica, a major constraint to cereal production, is endemic. Laboratory, pot, and semi-controlled open air experiments were performed to evaluate resistance of selected rice varieties in Sudan to a resident S. hermonthica population. In the laboratory, 27 varieties were screened for post-attachment resistance using the rhizotron technique. Varieties displaying high post-attachment resistance, Umgar, NERICA5, and NERICA13 together with NERICA4, NERICA18, and Nipponbare, a lowland rice variety, were further evaluated for performance and Striga resistance in pot and semi-controlled open air experiments and for germination inducing activity in a laboratory. In addition, comparative studies on reaction of Umgar, Kosti1 and Kosti2, released varieties for commercial production in Sudan, to the parasite were performed in two pot experiments. In the pot experiments Umgar and NERICA5, consistently, sustained the lowest Striga emergence (<2.2 Striga plants per pot), while NERICA13 and NERICA4 supported 1.8–5.7 and 8.7–16.4 Striga plants per pot, respectively. In an artificially Striga-infested field, number of emergent Striga plants per 10 rice hills, at harvest, was 2.0, 2.0, 4.8, 13.5, 13.3, and 18.3 on Umgar, NERICA5, NERICA13, NERICA4, NERICA18, and Nipponbare, respectively. Striga had no adverse effects on total above-ground parts and panicle dry weight in Umgar and NERICA5. Germination-inducing activity of root exudates, at 14 days after sowing onward, was markedly lower for Umgar than for NERICA5, NERICA13, NERICA4, and NERICA18. Based on these findings, Umgar has both pre and post-attachment resistance to a resident Striga population in Sudan. Kosti1 and Kosti2 did not exhibit Striga-resistance at the same level as Umgar. Further the resistance of NERICA5, a variety reported to be

  13. Multiple genetic resistances in Capsicum spp.

    PubMed

    Bento, C S; de Souza, A G; Sudré, C P; Pimenta, S; Rodrigues, R

    2017-09-27

    This study aimed to identify Capsicum genotypes with resistance to bacterial spot (BS), anthracnose and Pepper yellow mosaic virus (PepYMV). Fifty-four genotypes of Capsicum spp were evaluated. Resistance reaction against BS was evaluated using three replicates, testing hypersensitivity and quantitative resistance in leaves. After evaluation, inoculated leaves were detached from the plants, being then cultivated until reproductive stage for evaluations anthracnose resistance in immature and mature fruit, totalizing 18 fruits per genotype. For PepYMV resistance was performed with five replications. Each genotype reaction was evaluated by a scoring scale, using the area under the disease progress curve for each pathosystem, and incubation period for the three systems. The latent period was evaluated only for the pathosystem Capsicum-Colletotrichum gloeosporioides. Means were grouped by the Scott-Knott test. Measures of dissimilarity matrix among the genotypes were obtained by Gower's algorithm and the grouping was obtained by the UPGMA clustering method. The accessions belonging to the Capsicum frutescens were the most susceptible to the three diseases. At least one genotype of Capsicum baccatum var. pendulum, Capsicum annuum, and Capsicum chinense showed resistance potential to BS and PepYMV, for use in breeding programs. The accession UENF 1381 (C. annuum) was resistant to the three pathogens.

  14. Antibiotic resistance and polymorphism in the quinolone resistance-determining region of Campylobacter spp. isolated from 1-day-old ducklings.

    PubMed

    Hamed, Engy A; AbdelRahman, Mona A A; Shalaby, Azhar G; Morsy, Mai M; Nasef, Soad A

    2016-05-01

    Thirty-three isolates of Campylobacter coli and three isolates of Campylobacter jejuni were recovered from 150 1-day-old ducklings. All isolates were sensitive to chloramphenicol and amikacin, but resistant to sulfamethoxazole-trimethoprim (SXT) by the disc diffusion method. Most isolates were susceptible to tetracycline and erythromycin, but resistant to ofloxacin and ciprofloxacin. Of the 33 C. coli isolates, nine were positive for the tetracycline resistance gene tet(O), although only two of these were resistant to tetracycline in the disc diffusion test. None of the isolates possessed mutations in the quinolone resistance-determining region (QRDR) of the gyrA gene infrequently linked to FQ-resistance. The finding indicated that ducklings may be a source of antibiotic resistant Campylobacter spp. with potential poultry and public health hazard. Copyright © 2016 Elsevier Ltd. All rights reserved.

  15. Fundamentals of EUV resist-inorganic hardmask interactions

    NASA Astrophysics Data System (ADS)

    Goldfarb, Dario L.; Glodde, Martin; De Silva, Anuja; Sheshadri, Indira; Felix, Nelson M.; Lionti, Krystelle; Magbitang, Teddie

    2017-03-01

    High resolution Extreme Ultraviolet (EUV) patterning is currently limited by EUV resist thickness and pattern collapse, thus impacting the faithful image transfer into the underlying stack. Such limitation requires the investigation of improved hardmasks (HMs) as etch transfer layers for EUV patterning. Ultrathin (<5nm) inorganic HMs can provide higher etch selectivity, lower post-etch LWR, decreased defectivity and wet strippability compared to spin-on hybrid HMs (e.g., SiARC), however such novel layers can induce resist adhesion failure and resist residue. Therefore, a fundamental understanding of EUV resist-inorganic HM interactions is needed in order to optimize the EUV resist interfacial behavior. In this paper, novel materials and processing techniques are introduced to characterize and improve the EUV resist-inorganic HM interface. HM surface interactions with specific EUV resist components are evaluated for open-source experimental resist formulations dissected into its individual additives using EUV contrast curves as an effective characterization method to determine post-development residue formation. Separately, an alternative adhesion promoter platform specifically tailored for a selected ultrathin inorganic HM based on amorphous silicon (aSi) is presented and the mitigation of resist delamination is exemplified for the cases of positive-tone and negative-tone development (PTD, NTD). Additionally, original wafer priming hardware for the deposition of such novel adhesion promoters is unveiled. The lessons learned in this work can be directly applied to the engineering of EUV resist materials and processes specifically designed to work on such novel HMs.

  16. Antimicrobial Resistance and Resistance Genes in Aerobic Bacteria Isolated from Pork at Slaughter.

    PubMed

    Li, Lili; Heidemann Olsen, Rikke; Ye, Lei; Yan, He; Nie, Qing; Meng, Hecheng; Shi, Lei

    2016-04-01

    The aim of this study was to investigate the phenotypic and genotypic antimicrobial resistance, integrons, and transferability of resistance markers in 243 aerobic bacteria recovered from pork at slaughter in the People's Republic of China. The organisms belonged to 22 genera of gram-negative bacteria (92.2%) and gram-positive bacteria (7.8%). High levels of resistance were detected to tetracycline, trimethoprim-sulfamethoxazole, and ampicillin (36.2 to 54.3%), and lower levels were detected to nitrofurantoin, cefotaxime, gentamicin, ciprofloxacin, and chloramphenicol (7.8 to 29.2%). Across species, genes conferring antimicrobial resistance were observed with the following frequencies: blaTEM, 40.7%; blaCMY-2, 15.2%; blaCTX-M, 11.5%; sul2, 27.2%; sul1, 14.4%; tet(A), 5.4%; tet(L), 5.4%; tet(M), 5.0%; tet(E), 3.7%; tet(C), 3.3%; tet(S), 2.5%; and tet(K), 0.8%. Various antimicrobial resistance genes were found in new carriers: blaTEM in Lactococcus garvieae, Myroides odoratimimus, Aeromonas hydrophila, Staphylococcus sciuri, Raoultella terrigena, Macrococcus caseolyticus, Acinetobacter ursingii, Sphingobacterium sp., and Oceanobacillus sp.; blaCMY-2 in Lactococcus lactis, Klebsiella oxytoca, Serratia marcescens, Acinetobacter baumannii, and Myroides phaeus; tet(L) in M. caseolyticus; sul1 in Vibrio cincinnatiensis; sul2 in Acinetobacter bereziniae, Acinetobacter johnsonii, and V. cincinnatiensis; and the class 1 integron and gene cassette aadA2 in V. cincinnatiensis. Approximately 6.6% of isolates contained class 1 integrons, and one isolate harbored class 2 integrons. Plasmid associated intI1 and androgen receptor- encoding genes were transferred into Escherichia coli J53 and E. coli DH5α by conjugation and transformation experiments, respectively. Our study highlights the importance of aerobic bacteria from pork as reservoirs for antimicrobial resistance genes and mobile genetic elements that can readily be transferred intra- and interspecies.

  17. [Molecular biology of castration-resistant prostate cancer].

    PubMed

    Doucet, Ludovic; Terrisse, Safae; Gauthier, Hélène; Pouessel, Damien; Le Maignan, Christine; Teixeira, Luis; Culine, Stéphane

    2015-06-01

    Castration-resistant prostate cancer was subjected to a paradigm switch from hormone resistance to androgen deprivation therapy resistance during the last decade. Indeed, new therapeutics targeting the androgen receptor showed clinical efficacy in patients with progressive disease under castration. Thus, it is a proof that the AR remains a dominant driver of oncogenesis in earlier-called hormone resistant prostate cancer. This review summarizes the molecular mechanisms involved in castration-resistant prostate cancer. Copyright © 2015 Société Française du Cancer. Published by Elsevier Masson SAS. All rights reserved.

  18. Methoxyfenozide resistance of the housefly, Musca domestica L. (Diptera: Muscidae): cross-resistance patterns, stability and associated fitness costs.

    PubMed

    Shah, Rizwan Mustafa; Shad, Sarfraz Ali; Abbas, Naeem

    2017-01-01

    The housefly, Musca domestica L. (Diptera: Muscidae), is an insect pest of public health and veterinary importance with the ability to develop resistance to insecticides. Methoxyfenozide, an ecdysone agonist, is a biorational insecticide used for the management of various insect pests, including houseflies. To design an effective resistance management strategy, life history traits based on laboratory observations were established for methoxyfenozide-resistant (MXY-SEL), unselected counterpart (UNSEL) and reciprocal cross-strains of housefly. The MXY-SEL strain developed a resistance ratio of 160.99 after 30 generations of selection with methoxyfenozide by compared with the UNSEL strain. The MXY-SEL strain showed very low cross-resistance to cyromazine, fipronil and chlorpyrifos and no cross-resistance to spinosad and bifenthrin when compared with the Methoxy-Field population. Resistance to methoxyfenozide, cyromazine, fipronil, spinosad, chlorpyrifos and bifenthrin was unstable in the MXY-SEL strain. The MXY-SEL strain had a reduced relative fitness (0.31), with lower hatchability, a lower number of next-generation larvae, a lower intrinsic rate of natural increase and a lower biotic potential compared with the UNSEL strain. The disadvantageous life history traits of the MXY-SEL strain suggest that development of resistance to methoxyfenozide has considerable fitness costs for this strain. Moreover, the unstable resistance to the tested chemicals provides useful information for preserving the efficacy of these chemicals. © 2016 Society of Chemical Industry. © 2016 Society of Chemical Industry.

  19. Molecular screening of antibiotic-resistant determinants among multidrug-resistant clinical isolates of Proteus mirabilis from SouthWest Nigeria.

    PubMed

    Alabi, Olumuyiwa Samuel; Mendonça, Nuno; Adeleke, Olufemi Ezekiel; da Silva, Gabriela Jorge

    2017-06-01

    Globally, and particularly in developing countries, the menace of anti-microbial resistance is an accelerating problem. In Nigeria, increase in bacterial resistance has been phenotypically established but due to high cost, few molecular studies have been reported. This study screened for presence of transferable resistance genes and mobile genetic elements (MGEs) such as integron among multi-drug resistant (MDR) P. mirabilis . A total of 108 P. mirabilis strains collected from five tertiary hospitals in SouthWest Nigeria were subjected to antibiotic susceptibility study using disc-diffusion method. Transferable resistance genes and MGEs were amplified using Polymerase chain reaction (PCR) analysis and amplicons sequenced. Varied resistance was observed against all the antibiotics tested. About 56% of the isolates were MDR including those from 0-12 years old children. PCR analysis revealed the presence of aac(6')-Ib (33.3%), plasmid mediated quinolone resistance (PMQR) genes [qnrA (36.7%), acc(6')-Ib-cr (5%)], TEM (48.3%), CTX-M (6.7%) and integrons class 1 (58.3%) and class 2 (26.7%). Sequencing analysis revealed bla TEM-1 , bla CTX-M-15 associated with IS Ecp1 and eight different arrays of gene cassettes: aadA1, aadA1-qacH, aadB-aadA2, aadA5, dfrA7, dfrA15, dfrA17, dfrA17-aadA5 . Transferable resistance genes in association with MGEs are present in Nigerian P. mirabilis thus their potential in disseminating resistance.

  20. Treatment Practices, Outcomes, and Costs of Multidrug-Resistant and Extensively Drug-Resistant Tuberculosis, United States, 2005–2007

    PubMed Central

    Flood, Jennifer; Seaworth, Barbara; Hirsch-Moverman, Yael; Armstrong, Lori; Mase, Sundari; Salcedo, Katya; Oh, Peter; Graviss, Edward A.; Colson, Paul W.; Armitige, Lisa; Revuelta, Manuel; Sheeran, Kathryn

    2014-01-01

    To describe factors associated with multidrug-resistant (MDR), including extensively-drug-resistant (XDR), tuberculosis (TB) in the United States, we abstracted inpatient, laboratory, and public health clinic records of a sample of MDR TB patients reported to the Centers for Disease Control and Prevention from California, New York City, and Texas during 2005–2007. At initial diagnosis, MDR TB was detected in 94% of 130 MDR TB patients and XDR TB in 80% of 5 XDR TB patients. Mutually exclusive resistance was 4% XDR, 17% pre-XDR, 24% total first-line resistance, 43% isoniazid/rifampin/rifabutin-plus-other resistance, and 13% isoniazid/rifampin/rifabutin-only resistance. Nearly three-quarters of patients were hospitalized, 78% completed treatment, and 9% died during treatment. Direct costs, mostly covered by the public sector, averaged $134,000 per MDR TB and $430,000 per XDR TB patient; in comparison, estimated cost per non-MDR TB patient is $17,000. Drug resistance was extensive, care was complex, treatment completion rates were high, and treatment was expensive. PMID:24751166

  1. Dietary-resistant starch and glucose metabolism.

    PubMed

    Robertson, M Denise

    2012-07-01

    Recent findings in animal models suggest that resistant starch is beneficial for both body weight regulation and glycaemic control. The purpose of this review is to summarize the current evidence and recommendations in humans. When resistant starch replaces available carbohydrate in a meal, postprandial glycaemia is reduced. There are some data to suggest that resistant starch may affect glycaemia even when the available carbohydrate portion remains constant; however, there is inconsistency in the literature. Recent animal data suggest that chronic resistant starch feeding upregulates glucagon-like peptide 1 expression in the large bowel with concomitant increases in neuropeptide expression in the hypothalamus, combining to result in weight loss and improvements in glycaemic control. However, to date there is no evidence for this in humans. Resistant starch may have a role in glycaemic control in healthy individuals and those with type 2 diabetes; however, there are limited interventional trials in humans to support this. There are no data concerning resistant starch feeding in human diabetes and as such no health recommendation can be made.

  2. Mechanisms of Drug-Resistance in Kinases

    PubMed Central

    Barouch-Bentov, Rina; Sauer, Karsten

    2010-01-01

    Introduction Because of their important roles in disease and excellent “druggability”, kinases have become the second-largest drug target family. The great success of the BCR-ABL inhibitor imatinib in treating CML illustrates the high potential of kinase inhibitor (KI) therapeutics, but also unveiled a major limitation: the development of drug-resistance. This is a significant concern as KIs reach large patient populations for an expanding array of indications. Areas covered We provide an up-to-date understanding of the mechanisms through which KIs function, and through which cells can become KI-resistant. We review current and future approaches to overcome KI-resistance, focussing on currently approved KIs and KIs in clinical trials. We then discuss approaches to improve KI efficacy and overcome drug-resistance and novel approaches to develop less drug-resistance prone KI-therapeutics. Expert opinion Although drug-resistance is a concern for current KI-therapeutics, recent progress in our understanding of the underlying mechanisms and promising technological advances may overcome this limitation and provide powerful new therapeutics. PMID:21235428

  3. [Salmonella spp. strains resistant to drugs].

    PubMed

    Białucha, Agata; Kozuszko, Sylwia; Gospodarek, Eugenia

    2010-01-01

    The aim of the study was retrospective analysis of Salmonella spp. strains isolated from patients of State Infectious Diseases Observatory Hospital of T. Browicz in Bydgoszcz (SZAK) and University of dr. A. Jurasz in Bydgoszcz (SU CM UMK) in 2006-2009. The percentages of Salmonella spp. strains resistant to at least one drug were: 19,0% in 2006, 12,5% in 2007, 50,6% in 2008 and 43,8% in the first half of 2009 year. The highest number of Salmonella spp. strains resistant to drugs were isolated from stool (96,7%) and from patients of SZAK (83,3%). Among all isolated Salmonella spp. strains resistant to drugs the highest percentage were S. enterica serovar Enteritidis (56,7%). Among S. enterica bacilli predominated resitant phenotypes to ampicillin, amoxicillin, chloramphenicol and nalidixic acid. The increasing number of strains resistant to ciprofloxacin (0,0 - 26,7%) and high percentage of strains resistant to nalidixic acid (97,3%) were noted. Decreasing resistance to chloramphenicol was observed in our study (54,5 - 14,3%).

  4. Antimicrobial-resistant Invasive Escherichia coli, Spain

    PubMed Central

    Oteo, Jesús; Lázaro, Edurne; de Abajo, Francisco J.; Baquero, Fernando; Campos, José

    2005-01-01

    To address the public health problem of antimicrobial resistance, the European Union founded the European Antimicrobial Resistance Surveillance System. A network of 32 Spanish hospitals, serving ≈9.6 million persons, submitted antimicrobial-susceptibility data on 7,098 invasive Escherichia coli species (2001–2003). Resistance to ampicillin, cotrimoxazole, ciprofloxacin, gentamicin, and tobramycin was found at rates of 59.9%, 32.6%, 19.3%, 6.8%, and 5.3%, respectively. Resistance to multiple drugs increased from 13.8% in 2001 to 20.6% in 2003 (p <0.0001). Antimicrobial consumption data were obtained from the Spanish National Health System. In spite of decreased cephalosporin and β-lactam use, overall extended-spectrum β-lactamase production increased from 1.6% (2001) to 4.1% (2003) (p <0.0001), mainly due to the rising prevalence of cefotaximases. Resistance to ciprofloxacin significantly increased, mostly in community-onset infections, which coincided with a rise in community quinolone use. Cotrimoxazole resistance remained stable at ≈30%, even though its use was dramatically reduced. PMID:15829192

  5. Performance of μ-RWELL detector vs resistivity of the resistive stage

    NASA Astrophysics Data System (ADS)

    Bencivenni, G.; De Oliveira, R.; Felici, G.; Gatta, M.; Morello, G.; Ochi, A.; Lener, M. Poli; Tskhadadze, E.

    2018-04-01

    The μ-RWELL is a compact spark-protected single amplification stage Micro-Pattern-Gaseous-Detector (MPGD). The detector amplification stage is realized with a polyimide structure, micro-patterned with a dense matrix of blind-holes, integrated into the readout structure. The anode is formed by a thin Diamond Like Carbon (DLC) resistive layer separated by an insulating glue layer from the readout strips. The introduction of the resistive layer strongly suppressing the transition from streamer to spark gives the possibility to achieve large gains (> 104), without significantly affecting the capability to be efficiently operated in high particle fluxes. In this work we present the results of a systematic study of the μ-RWELL performance as a function of the DLC resistivity. The tests have been performed either with collimated 5.9 keV X-rays or with pion and muon beams at the SPS Secondary Beamline H4 and H8 at CERN.

  6. The emergence of clinical resistance to tigecycline.

    PubMed

    Sun, Yan; Cai, Yun; Liu, Xu; Bai, Nan; Liang, Beibei; Wang, Rui

    2013-02-01

    Tigecycline (TIG) exhibits broad-spectrum activity against many Gram-positive and Gram-negative pathogens. However, clinical resistance has emerged recently and has been detected following treatment with TIG. This observation suggests that long-term monotherapy may carry a high risk for TIG resistance. TIG resistance is observed most frequently in Acinetobacter baumannii and Enterobacteriaceae, especially in multidrug-resistant strains. Resistance-nodulation-cell division (RND)-type transporters and other efflux pumps may be factors for decreased sensitivity to TIG. Therefore, TIG should be cautiously used in the clinic, and efflux-mediated resistance should be closely monitored in order to prolong the lifespan of this useful antibiotic. Copyright © 2012 Elsevier B.V. and the International Society of Chemotherapy. All rights reserved.

  7. Fire Resistant, Moisture Barrier Membrane

    NASA Technical Reports Server (NTRS)

    St.Clair, Terry L. (Inventor)

    2000-01-01

    A waterproof and breathable, fire-resistant laminate is provided for use in tents, garments, shoes, and covers, especially in industrial, military and emergency situations. The laminate permits water vapor evaporation while simultaneously preventing liquid water penetration. Further, the laminate is fire-resistant and significantly reduces the danger of toxic compound production when exposed to flame or other high heat source. The laminate may be applied to a variety of substrates and is comprised of a silicone rubber and plurality of fire-resistant, inherently thermally-stable polyimide particles.

  8. Fire Resistant, Moisture Barrier Membrane

    NASA Technical Reports Server (NTRS)

    St.Clair, Terry L. (Inventor)

    1998-01-01

    A waterproof and breathable, fire-resistant laminate is provided for use in tents, garments, shoes, and covers, especially in industrial, military and emergency situations. The laminate permits water vapor evaporation while simultaneously preventing liquid water penetration. Further, the laminate is fire-resistant and significantly reduces the danger of toxic compound production when exposed to flame or other high heat source. The laminate may be applied to a variety of substrates and is comprised of a silicone rubber and plurality of fire-resistant, inherently thermally-stable polyimide particles.

  9. Control of linear modes in cylindrical resistive magnetohydrodynamics with a resistive wall, plasma rotation, and complex gain

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brennan, D. P.; Finn, J. M.

    2014-10-15

    Feedback stabilization of magnetohydrodynamic (MHD) modes in a tokamak is studied in a cylindrical model with a resistive wall, plasma resistivity, viscosity, and toroidal rotation. The control is based on a linear combination of the normal and tangential components of the magnetic field just inside the resistive wall. The feedback includes complex gain, for both the normal and for the tangential components, and it is known that the imaginary part of the feedback for the former is equivalent to plasma rotation [J. M. Finn and L. Chacon, Phys. Plasmas 11, 1866 (2004)]. The work includes (1) analysis with a reducedmore » resistive MHD model for a tokamak with finite β and with stepfunction current density and pressure profiles, and (2) computations with a full compressible visco-resistive MHD model with smooth decreasing profiles of current density and pressure. The equilibria are stable for β = 0 and the marginal stability values β{sub rp,rw} < β{sub rp,iw} < β{sub ip,rw} < β{sub ip,iw} (resistive plasma, resistive wall; resistive plasma, ideal wall; ideal plasma, resistive wall; and ideal plasma, ideal wall) are computed for both models. The main results are: (a) imaginary gain with normal sensors or plasma rotation stabilizes below β{sub rp,iw} because rotation suppresses the diffusion of flux from the plasma out through the wall and, more surprisingly, (b) rotation or imaginary gain with normal sensors destabilizes above β{sub rp,iw} because it prevents the feedback flux from entering the plasma through the resistive wall to form a virtual wall. A method of using complex gain G{sub i} to optimize in the presence of rotation in this regime with β > β{sub rp,iw} is presented. The effect of imaginary gain with tangential sensors is more complicated but essentially destabilizes above and below β{sub rp,iw}.« less

  10. Gene flow from single and stacked herbicide-resistant rice (Oryza sativa): modeling occurrence of multiple herbicide-resistant weedy rice.

    PubMed

    Dauer, Joseph; Hulting, Andrew; Carlson, Dale; Mankin, Luke; Harden, John; Mallory-Smith, Carol

    2018-02-01

    Provisia™ rice (PV), a non-genetically engineered (GE) quizalofop-resistant rice, will provide growers with an additional option for weed management to use in conjunction with Clearfield ® rice (CL) production. Modeling compared the impact of stacking resistance traits versus single traits in rice on introgression of the resistance trait to weedy rice (also called red rice). Common weed management practices were applied to 2-, 3- and 4-year crop rotations, and resistant and multiple-resistant weedy rice seeds, seedlings and mature plants were tracked for 15 years. Two-year crop rotations resulted in resistant weedy rice after 2 years with abundant populations (exceeding 0.4 weedy rice plants m -2 ) occurring after 7 years. When stacked trait rice was rotated with soybeans in a 3-year rotation and with soybeans and CL in a 4-year rotation, multiple-resistance occurred after 2-5 years with abundant populations present in 4-9 years. When CL rice, PV rice, and soybeans were used in 3- and 4-year rotations, the median time of first appearance of multiple-resistance was 7-11 years and reached abundant levels in 10-15 years. Maintaining separate CL and PV rice systems, in rotation with other crops and herbicides, minimized the evolution of multiple herbicide-resistant weedy rice through gene flow compared to stacking herbicide resistance traits. © 2017 Society of Chemical Industry. © 2017 Society of Chemical Industry.

  11. AXL mediates resistance to cetuximab therapy.

    PubMed

    Brand, Toni M; Iida, Mari; Stein, Andrew P; Corrigan, Kelsey L; Braverman, Cara M; Luthar, Neha; Toulany, Mahmoud; Gill, Parkash S; Salgia, Ravi; Kimple, Randall J; Wheeler, Deric L

    2014-09-15

    The EGFR antibody cetuximab is used to treat numerous cancers, but intrinsic and acquired resistance to this agent is a common clinical outcome. In this study, we show that overexpression of the oncogenic receptor tyrosine kinase AXL is sufficient to mediate acquired resistance to cetuximab in models of non-small cell lung cancer (NSCLC) and head and neck squamous cell carcinoma (HNSCC), where AXL was overexpressed, activated, and tightly associated with EGFR expression in cells resistant to cetuximab (Ctx(R) cells). Using RNAi methods and novel AXL-targeting agents, we found that AXL activation stimulated cell proliferation, EGFR activation, and MAPK signaling in Ctx(R) cells. Notably, EGFR directly regulated the expression of AXL mRNA through MAPK signaling and the transcription factor c-Jun in Ctx(R) cells, creating a positive feedback loop that maintained EGFR activation by AXL. Cetuximab-sensitive parental cells were rendered resistant to cetuximab by stable overexpression of AXL or stimulation with EGFR ligands, the latter of which increased AXL activity and association with the EGFR. In tumor xenograft models, the development of resistance following prolonged treatment with cetuximab was associated with AXL hyperactivation and EGFR association. Furthermore, in an examination of patient-derived xenografts established from surgically resected HNSCCs, AXL was overexpressed and activated in tumors that displayed intrinsic resistance to cetuximab. Collectively, our results identify AXL as a key mediator of cetuximab resistance, providing a rationale for clinical evaluation of AXL-targeting drugs to treat cetuximab-resistant cancers. Cancer Res; 74(18); 5152-64. ©2014 AACR. ©2014 American Association for Cancer Research.

  12. Mechanism of quinolone action and resistance.

    PubMed

    Aldred, Katie J; Kerns, Robert J; Osheroff, Neil

    2014-03-18

    Quinolones are one of the most commonly prescribed classes of antibacterials in the world and are used to treat a variety of bacterial infections in humans. Because of the wide use (and overuse) of these drugs, the number of quinolone-resistant bacterial strains has been growing steadily since the 1990s. As is the case with other antibacterial agents, the rise in quinolone resistance threatens the clinical utility of this important drug class. Quinolones act by converting their targets, gyrase and topoisomerase IV, into toxic enzymes that fragment the bacterial chromosome. This review describes the development of the quinolones as antibacterials, the structure and function of gyrase and topoisomerase IV, and the mechanistic basis for quinolone action against their enzyme targets. It will then discuss the following three mechanisms that decrease the sensitivity of bacterial cells to quinolones. Target-mediated resistance is the most common and clinically significant form of resistance. It is caused by specific mutations in gyrase and topoisomerase IV that weaken interactions between quinolones and these enzymes. Plasmid-mediated resistance results from extrachromosomal elements that encode proteins that disrupt quinolone-enzyme interactions, alter drug metabolism, or increase quinolone efflux. Chromosome-mediated resistance results from the underexpression of porins or the overexpression of cellular efflux pumps, both of which decrease cellular concentrations of quinolones. Finally, this review will discuss recent advancements in our understanding of how quinolones interact with gyrase and topoisomerase IV and how mutations in these enzymes cause resistance. These last findings suggest approaches to designing new drugs that display improved activity against resistant strains.

  13. Multidrug resistance: prospects for clinical management.

    PubMed

    Mansouri, A; Henle, K J; Nagle, W A

    1992-01-01

    Clinical success in the treatment of tumors with chemotherapy has significantly improved over the past several years. However, treatment failures due to drug resistance of cancer cells has remained a major problem. The classical form of multiple drug resistance is perhaps also the most common type of drug resistance, and represents the overexpression of a transmembrane glycoprotein pump (P-170) that mediates the efflux of a spectrum of structurally and functionally unrelated drugs. Here, we discuss recent evidence that support the concept that the total phenomenon of multiple drug resistance (MDR) involves several other mechanisms in addition to that underlying "classical" MDR. These include the action of other energy-dependent membrane efflux pumps, elevated levels of GSH for drug conjugation and detoxification to facilitate export, enhanced DNA repair facility, gene amplification and oncogene activation. The combination of mechanisms used by any particular cell line is variable and suggests that many of these mechanisms are independent. Successful reversal of drug resistance appears to require the identification of relevant operative resistance mechanisms. An example is the competitive inhibition of P-170 with verapamil, quinine and tamoxifen. A broadly successful strategy for killing drug-resistant cancer cells, however, could be based on either selective energy depletion of cancer cells or the permeabilization of tumor cells with an effective bypass of efflux pumps, since many mechanisms of drug resistance entail the energy-dependent export of toxins. The latter approach may be achieved via membrane lipid modifications or the introduction of membrane pores by biological or physical (electroporation) means.

  14. Associations between anti-microbial resistance phenotypes, anti-microbial resistance genotypes and virulence genes of Escherichia coli isolates from Pakistan and China.

    PubMed

    Yaqoob, M; Wang, L P; Wang, S; Hussain, S; Memon, J; Kashif, J; Lu, C-P

    2013-10-01

    The objective of this study was to determine the association between phenotypic resistance, genotypic resistance and virulence genes of Escherichia coli isolates in Jiangsu province, China and Punjab province Pakistan. A total of 62 E. coli isolates were characterized for phenotypic resistance, genotypic resistance and virulence factor genes. The anti-microbial resistance phenotype and genotypes in relation to virulence factor genes were assessed by statistical analysis. Of 20 tested virulence genes, twelve were found and eight were not found in any isolates. sitA and TspE4C2 were the most prevalent virulence genes. Of the 13 anti-microbial agents tested, resistance to ampicillin, sulphonamide and tetracycline was the most frequent. All isolates were multiresistant, and 74% were resistant to trimethoprim and sulphamethaxazole. Phenotypically, tetracycline-, cefotaxime- and trimethoprim-resistant isolates had increased virulence factors as compared with susceptible isolates. Genotypically, resistant genes Tem, ctx-M, Tet, Sul 1, dhfr1, Cat2 and flo-R showed the association with the virulence genes. Almost all classes of anti-microbial-resistant genes have a high association with virulence. Resistant isolates have more virulent genes than the susceptible isolates. © 2012 Blackwell Verlag GmbH.

  15. Wound infections with multi-drug resistant bacteria.

    PubMed

    Pîrvănescu, H; Bălăşoiu, M; Ciurea, M E; Bălăşoiu, A T; Mănescu, R

    2014-01-01

    Wound infections remain a public health problem, despite the progress made on improving surgical techniques and antibiotic prophylaxis application. Misuse of antibiotics to prevent bacterial infections leads to increased bacterial resistance and their dissemination. The study refers to 470 samples taken from wound infections of which only multi-drug resistant strains were selected for study, using two special culture mediums (Metistaph-2 for methicillin-resistant staphylococci and ESBLs-Agar for extended-spectrum betalactamases secreting bacteria). Sensitivity of these strains was tested using the diffusion method. Of all studied samples, a rate of 27.6 bacterial strains showed multi-drug resistance. Among them stood primarily Staphylococcus aureus; both MRSA strains and ESBL Gram negative bacteria studied showed high resistance to aminoglycosides, quinolones, third generation cephalosporins and low to fourth generation cephalosporins. No vancomycin resitant nor vancomycin-intermediate Staphylococcus aureus strains were isolated. Knowing the antibiotic resistance is very useful in antibiotic "cycling"application, avoiding this way the emergence of increased resistant strains. Celsius.

  16. Galileo's Trajectory with Mild Resistance

    ERIC Educational Resources Information Center

    Groetsch, C. W.

    2012-01-01

    An aspect of Galileo's classical trajectory that persists in a simple resistance model is noted. The resistive model provides a case study for the classroom analysis of limiting behaviour of an implicitly defined function. (Contains 1 note.)

  17. Resistant starch: promise for improving human health.

    PubMed

    Birt, Diane F; Boylston, Terri; Hendrich, Suzanne; Jane, Jay-Lin; Hollis, James; Li, Li; McClelland, John; Moore, Samuel; Phillips, Gregory J; Rowling, Matthew; Schalinske, Kevin; Scott, M Paul; Whitley, Elizabeth M

    2013-11-01

    Ongoing research to develop digestion-resistant starch for human health promotion integrates the disciplines of starch chemistry, agronomy, analytical chemistry, food science, nutrition, pathology, and microbiology. The objectives of this research include identifying components of starch structure that confer digestion resistance, developing novel plants and starches, and modifying foods to incorporate these starches. Furthermore, recent and ongoing studies address the impact of digestion-resistant starches on the prevention and control of chronic human diseases, including diabetes, colon cancer, and obesity. This review provides a transdisciplinary overview of this field, including a description of types of resistant starches; factors in plants that affect digestion resistance; methods for starch analysis; challenges in developing food products with resistant starches; mammalian intestinal and gut bacterial metabolism; potential effects on gut microbiota; and impacts and mechanisms for the prevention and control of colon cancer, diabetes, and obesity. Although this has been an active area of research and considerable progress has been made, many questions regarding how to best use digestion-resistant starches in human diets for disease prevention must be answered before the full potential of resistant starches can be realized.

  18. Widespread Pyrethroid and DDT Resistance in the Major Malaria Vector Anopheles funestus in East Africa Is Driven by Metabolic Resistance Mechanisms

    PubMed Central

    Mulamba, Charles; Riveron, Jacob M.; Ibrahim, Sulaiman S.; Irving, Helen; Barnes, Kayla G.; Mukwaya, Louis G.; Birungi, Josephine; Wondji, Charles S.

    2014-01-01

    Background Establishing the extent, geographical distribution and mechanisms of insecticide resistance in malaria vectors is a prerequisite for resistance management. Here, we report a widespread distribution of insecticide resistance in the major malaria vector An. funestus across Uganda and western Kenya under the control of metabolic resistance mechanisms. Methodology/Principal Findings Female An. funestus collected throughout Uganda and western Kenya exhibited a Plasmodium infection rate between 4.2 to 10.4%. Widespread resistance against both type I (permethrin) and II (deltamethrin) pyrethroids and DDT was observed across Uganda and western Kenya. All populations remain highly susceptible to carbamate, organophosphate and dieldrin insecticides. Knockdown resistance plays no role in the pyrethroid and DDT resistance as no kdr mutation associated with resistance was detected despite the presence of a F1021C replacement. Additionally, no signature of selection was observed on the sodium channel gene. Synergist assays and qRT-PCR indicated that metabolic resistance plays a major role notably through elevated expression of cytochrome P450s. DDT resistance mechanisms differ from West Africa as the L119F-GSTe2 mutation only explains a small proportion of the genetic variance to DDT resistance. Conclusion The extensive distribution of pyrethroid and DDT resistance in East African An. funestus populations represents a challenge to the control of this vector. However, the observed carbamate and organophosphate susceptibility offers alternative solutions for resistance management. PMID:25333491

  19. Tackling antibiotic resistance: the environmental framework.

    PubMed

    Berendonk, Thomas U; Manaia, Célia M; Merlin, Christophe; Fatta-Kassinos, Despo; Cytryn, Eddie; Walsh, Fiona; Bürgmann, Helmut; Sørum, Henning; Norström, Madelaine; Pons, Marie-Noëlle; Kreuzinger, Norbert; Huovinen, Pentti; Stefani, Stefania; Schwartz, Thomas; Kisand, Veljo; Baquero, Fernando; Martinez, José Luis

    2015-05-01

    Antibiotic resistance is a threat to human and animal health worldwide, and key measures are required to reduce the risks posed by antibiotic resistance genes that occur in the environment. These measures include the identification of critical points of control, the development of reliable surveillance and risk assessment procedures, and the implementation of technological solutions that can prevent environmental contamination with antibiotic resistant bacteria and genes. In this Opinion article, we discuss the main knowledge gaps, the future research needs and the policy and management options that should be prioritized to tackle antibiotic resistance in the environment.

  20. Deciphering MCR-2 Colistin Resistance

    PubMed Central

    Sun, Jian; Xu, Yongchang; Gao, Rongsui; Lin, Jingxia; Wei, Wenhui; Srinivas, Swaminath; Li, Defeng; Yang, Run-Shi; Li, Xing-Ping; Liao, Xiao-Ping

    2017-01-01

    ABSTRACT Antibiotic resistance is a prevalent problem in public health worldwide. In general, the carbapenem β-lactam antibiotics are considered a final resort against lethal infections by multidrug-resistant bacteria. Colistin is a cationic polypeptide antibiotic and acts as the last line of defense for treatment of carbapenem-resistant bacteria. Very recently, a new plasmid-borne colistin resistance gene, mcr-2, was revealed soon after the discovery of the paradigm gene mcr-1, which has disseminated globally. However, the molecular mechanisms for MCR-2 colistin resistance are poorly understood. Here we show a unique transposon unit that facilitates the acquisition and transfer of mcr-2. Evolutionary analyses suggested that both MCR-2 and MCR-1 might be traced to their cousin phosphoethanolamine (PEA) lipid A transferase from a known polymyxin producer, Paenibacillus. Transcriptional analyses showed that the level of mcr-2 transcripts is relatively higher than that of mcr-1. Genetic deletions revealed that the transmembrane regions (TM1 and TM2) of both MCR-1 and MCR-2 are critical for their location and function in bacterial periplasm, and domain swapping indicated that the TM2 is more efficient than TM1. Matrix-assisted laser desorption ionization–time of flight mass spectrometry (MALDI-TOF MS) confirmed that all four MCR proteins (MCR-1, MCR-2, and two chimeric versions [TM1-MCR-2 and TM2-MCR-1]) can catalyze chemical modification of lipid A moiety anchored on lipopolysaccharide (LPS) with the addition of phosphoethanolamine to the phosphate group at the 4′ position of the sugar. Structure-guided site-directed mutagenesis defined an essential 6-residue-requiring zinc-binding/catalytic motif for MCR-2 colistin resistance. The results further our mechanistic understanding of transferable colistin resistance, providing clues to improve clinical therapeutics targeting severe infections by MCR-2-containing pathogens. PMID:28487432

  1. Overview: Global and Local Impact of Antibiotic Resistance.

    PubMed

    Watkins, Richard R; Bonomo, Robert A

    2016-06-01

    The rapid and ongoing spread of antibiotic resistance poses a serious threat to global public health. The indiscriminant use of antibiotics in agriculture and human medicine along with increasingly connected societies has fueled the distribution of antibiotic-resistant bacteria. These factors together have led to rising numbers of infections caused by multidrug-resistant and pan-resistant bacteria, with increases in morbidity and mortality. This article summarizes the trends in antibiotic resistance, discusses the impact of antibiotic resistance on society, and reviews the use of antibiotics in agriculture. Feasible ways to tackle antibiotic resistance to avert a post-antibiotic era are suggested. Copyright © 2016 Elsevier Inc. All rights reserved.

  2. Two whitebacked planthopper resistance genes in rice share the same loci with those for brown planthopper resistance.

    PubMed

    Tan, G X; Weng, Q M; Ren, X; Huang, Z; Zhu, L L; He, G C

    2004-03-01

    The whitebacked planthopper (WBPH), Sogatella furcifera, and brown planthopper (BPH) Nilaparvata lugens Stål are important sucking insects of rice (Oryza sativa L.) crops throughout the world. Rice 'B5', which has derived its resistance genes from the wild rice O. officinalis Wall ex Watt, is a line that is highly resistant to both WBPH and BPH. Previously, two resistance genes against BPH, Qbp1, and Qbp2 in 'B5' had been mapped onto chromosome 3 and chromosome 4, respectively. In this study, we employed a mapping population composed of 187 recombinant inbred lines (RILs), produced from a cross between 'B5' and susceptible variety 'Minghui63', to locate the WBPH and BPH resistance genes. A RFLP survey of the bulked extremes from the RIL population identified two genomic regions, one on chromosome 3 and the other on chromosome 4, likely containing the resistance genes to planthoppers. QTL analysis of the RILs further confirmed that two WBPH resistance genes were mapped on the same loci as Qbp1 and Qbp2, using a linkage map with 242 molecular markers distributed on 12 rice chromosomes. Of the two WBPH resistance genes, one designated Wbph7(t) was located within a 1.1-cM region between R1925 and G1318 on chromosome 3, the other designated Wbph8(t) was within a 0.3-cM region flanked by R288 and S11182 on chromosome 4. A two-way analysis of variance showed that two loci acted independently with each other in determining WBPH resistance. The results have significant implications in studying the interactions between sucking insects and plants and in breeding programs of resistance to rice planthoppers.

  3. Occurrence of carbapenem-resistant Escherichia coli from ...

    EPA Pesticide Factsheets

    E. coli isolates from primary and secondary effluents collected from seven WWTPs between 2003 and 2004 were recovered and then screened using one of four antibiotics (trimethoprim-sulfamethoxazole, ampicillin, tetracycline, and trimethoprim). We now report on the testing of a subset of these isolates to determine whether they met the Centers for Disease Control and Prevention (CDC) 2012 CRE definition (intermediate or full resistance to one or more carbapenem antibiotics (imipenem) and resistant to at least two extended-spectrum cephalosporins (cefotaxime, ceftazidime)) or the updated CDC 2015 definition (resistant to a carbapenem antibiotic or producing a carbapenemase). Based on minimum inhibitory concentrations (MICs), isolates classified as nonsusceptible to imipenem or resistant to the two cephalosporin antibiotics or resistant to a fluoroquinolone (ciprofloxacin) were used for PCR assays targeting nine carbapenemase and extended-spectrum -lactamase (ESBL) genes. Of the 500 antibiotic-resistant E. coli isolates tested, the most prevalent resistance was to cefotaxime (3.6%), followed by ciprofloxacin (2.6%), ceftazidime (2.2%) and imipenem (1.8%). Six (1.2%) isolates were nonsusceptible to imipenem, and resistant to cefotaxime and ceftazidime, meeting the CDC 2012 CRE definition. According to the CDC’s updated definition, eight (1.6%) isolates were CRE with full resistance to imipenem; only two of these eight isolates were also determined to be CRE acco

  4. Oxytetracycline-Resistant Coliforms in Commercial Poultry Products

    PubMed Central

    Corey, R. Reece; Byrnes, Joseph M.

    1963-01-01

    The presence of oxytetracycline-resistant bacteria was investigated with commercially frozen chicken thighs and drumsticks. Bacterial flora were surveyed by means of total and coliform counts with Tryptone Glucose Extract Agar and Desoxycholate Agar, respectively. After counting, the Desoxycholate Agar plates were replicated on the same medium containing 25, 50, 75, and 100 ppm of oxytetracycline. Resistant colonies were found on all samples that were replicated. Of 2613 colonies isolated on Desoxycholate Agar, 47.8% grew in the presence of 25 ppm of oxytetracycline. From 50 to 100 ppm, the number of resistant isolates remained essentially the same, near 34%. Of 812 colonies of antibiotic-resistant bacteria identified with dulcitol-lactose-iron-agar, 82.5% were paracolons, 13.7% were pseudomonads, and 3.8% were Escherichia or Aerobacter. Bacteria resistant to oxytetracycline were shown to be present on commercially processed chicken. The origin of the resistance to oxytetracycline was not established; however, since the antibiotic was not used during processing, it appeared that these antibiotic-resistant bacteria arose in the intestines of the chickens as a result of feed which contained antibiotic. This is supported by a comparison with the antibiotic resistance of coliforms from chickens raised on feed both with and without oxytetracycline, for the percentages of resistant colonies are similar in both commercial chicken and chicken raised on feed containing the antibiotic. PMID:14075046

  5. Genome-Wide Association Studies of Drug-Resistance Determinants.

    PubMed

    Volkman, Sarah K; Herman, Jonathan; Lukens, Amanda K; Hartl, Daniel L

    2017-03-01

    Population genetic strategies that leverage association, selection, and linkage have identified drug-resistant loci. However, challenges and limitations persist in identifying drug-resistance loci in malaria. In this review we discuss the genetic basis of drug resistance and the use of genome-wide association studies, complemented by selection and linkage studies, to identify and understand mechanisms of drug resistance and response. We also discuss the implications of nongenetic mechanisms of drug resistance recently reported in the literature, and present models of the interplay between nongenetic and genetic processes that contribute to the emergence of drug resistance. Throughout, we examine artemisinin resistance as an example to emphasize challenges in identifying phenotypes suitable for population genetic studies as well as complications due to multiple-factor drug resistance. Copyright © 2016. Published by Elsevier Ltd.

  6. Orexin: Pathways to obesity resistance?

    PubMed Central

    Butterick, Tammy A.; Billington, Charles J.; Kotz, Catherine M.; Nixon, Joshua P.

    2016-01-01

    Obesity has increased in prevalence worldwide, attributed in part to the influences of an obesity-promoting environment and genetic factors. While obesity and overweight increasingly seem to be the norm, there remain individuals who resist obesity. We present here an overview of data supporting the idea that hypothalamic neuropeptide orexin A (OXA; hypocretin 1) may be a key component of brain mechanisms underlying obesity resistance. Prior work with models of obesity and obesity resistance in rodents has shown that increased orexin and/or orexin sensitivity is correlated with elevated spontaneous physical activity (SPA), and that orexin-induced SPA contributes to obesity resistance via increased non-exercise activity thermogenesis (NEAT). However, central hypothalamic orexin signaling mechanisms that regulate SPA remain undefined. Our ongoing studies and work of others support the hypothesis that one such mechanism may be upregulation of a hypoxia-inducible factor 1 alpha (HIF-1α)-dependent pathway, suggesting that orexin may promote obesity resistance both by increasing SPA and by influencing the metabolic state of orexin-responsive hypothalamic neurons. We discuss potential mechanisms based on both animal and in vitro pharmacological studies, in the context of elucidating potential molecular targets for obesity prevention and therapy. PMID:24005942

  7. Insecticide resistance and intracellular proteases.

    PubMed

    Wilkins, Richard M

    2017-12-01

    Pesticide resistance is an example of evolution in action with mechanisms of resistance arising from mutations or increased expression of intrinsic genes. Intracellular proteases have a key role in maintaining healthy cells and in responding to stressors such as pesticides. Insecticide-resistant insects have constitutively elevated intracellular protease activity compared to corresponding susceptible strains. This increase was shown for some cases originally through biochemical enzyme studies and subsequently putatively by transcriptomics and proteomics methods. Upregulation and expression of proteases have been characterised in resistant strains of some insect species, including mosquitoes. This increase in proteolysis results in more degradation products (amino acids) of intracellular proteins. These may be utilised in the resistant strain to better protect the cell from stress. There are changes in insect intracellular proteases shortly after insecticide exposure, suggesting a role in stress response. The use of protease and proteasome inhibitors or peptide mimetics as synergists with improved application techniques and through protease gene knockdown using RNA interference (possibly expressed in crop plants) may be potential pest management strategies, in situations where elevated intracellular proteases are relevant. © 2017 Society of Chemical Industry. © 2017 Society of Chemical Industry.

  8. Environmental and Public Health Implications of Water Reuse: Antibiotics, Antibiotic Resistant Bacteria, and Antibiotic Resistance Genes

    PubMed Central

    Hong, Pei-Ying; Al-Jassim, Nada; Ansari, Mohd Ikram; Mackie, Roderick I.

    2013-01-01

    Water scarcity is a global problem, and is particularly acute in certain regions like Africa, the Middle East, as well as the western states of America. A breakdown on water usage revealed that 70% of freshwater supplies are used for agricultural irrigation. The use of reclaimed water as an alternative water source for agricultural irrigation would greatly alleviate the demand on freshwater sources. This paradigm shift is gaining momentum in several water scarce countries like Saudi Arabia. However, microbial problems associated with reclaimed water may hinder the use of reclaimed water for agricultural irrigation. Of particular concern is that the occurrence of antibiotic residues in the reclaimed water can select for antibiotic resistance genes among the microbial community. Antibiotic resistance genes can be associated with mobile genetic elements, which in turn allow a promiscuous transfer of resistance traits from one bacterium to another. Together with the pathogens that are present in the reclaimed water, antibiotic resistant bacteria can potentially exchange mobile genetic elements to create the “perfect microbial storm”. Given the significance of this issue, a deeper understanding of the occurrence of antibiotics in reclaimed water, and their potential influence on the selection of resistant microorganisms would be essential. In this review paper, we collated literature over the past two decades to determine the occurrence of antibiotics in municipal wastewater and livestock manure. We then discuss how these antibiotic resistant bacteria may impose a potential microbial risk to the environment and public health, and the knowledge gaps that would have to be addressed in future studies. Overall, the collation of the literature in wastewater treatment and agriculture serves to frame and identify potential concerns with respect to antibiotics, antibiotic resistant bacteria, and antibiotic resistance genes in reclaimed water. PMID:27029309

  9. Resistance and cross-resistance in populations of the leafrollers, Choristoneura rosaceana and Pandemis pyrusana, in Washington apples

    PubMed Central

    Dunley, John E.; Brunner, Jay F.; Doerr, Michael D.; Beers, E. H.

    2006-01-01

    Insecticide bioassays of the leafrollers, Choristoneura rosaceana (Harris), and Pandemis pyrusana Kearfott (Lepidoptera: Tortricidae), were used to investigate resistance and cross-resistance between azinphosmethyl and other insecticides. Comparisons of field-collected populations with susceptible laboratory colonies of both leafroller species were made in 1996–97, prior to registration and field introduction of several of insecticides, and were re-tested in 2000–2001 following several years of use in the field. Insecticides tested included azinphosmethyl, chlorpyrifos, methyl parathion, tebufenozide, methoxyfenozide, spinosad, indoxacarb, acetamiprid, Bacillus thuringiensis, and azadirachtin. Azinphosmethyl-susceptible laboratory colonies were used for comparison to field populations. Resistance to azinphosmethyl was found in all populations of C. rosaceana (5.2–26.8 fold) and P. pyrusana (8.4–24.9 fold) collected from commercial orchards. Cross-resistance between azinphosmethyl and the insect growth regulators tebufenozide and methoxyfenozide was found in all but one population of the two leafroller species. No cross-resistance was found to chlorpyrifos. Some of the populations tested were cross-resistant to spinosad and indoxacarb, but the responses to these materials were more variable. PMID:19537964

  10. Probing minority population of antibiotic-resistant bacteria.

    PubMed

    Huang, Tianxun; Zheng, Yan; Yan, Ya; Yang, Lingling; Yao, Yihui; Zheng, Jiaxin; Wu, Lina; Wang, Xu; Chen, Yuqing; Xing, Jinchun; Yan, Xiaomei

    2016-06-15

    The evolution and spread of antibiotic-resistant pathogens has become a major threat to public health. Advanced tools are urgently needed to quickly diagnose antibiotic-resistant infections to initiate appropriate treatment. Here we report the development of a highly sensitive flow cytometric method to probe minority population of antibiotic-resistant bacteria via single cell detection. Monoclonal antibody against TEM-1 β-lactamase and Alexa Fluor 488-conjugated secondary antibody were used to selectively label resistant bacteria green, and nucleic acid dye SYTO 62 was used to stain all the bacteria red. A laboratory-built high sensitivity flow cytometer (HSFCM) was applied to simultaneously detect the side scatter and dual-color fluorescence signals of single bacteria. By using E. coli JM109/pUC19 and E. coli JM109 as the model systems for antibiotic-resistant and antibiotic-susceptible bacteria, respectively, as low as 0.1% of antibiotic-resistant bacteria were accurately quantified. By monitoring the dynamic population change of a bacterial culture with the administration of antibiotics, we confirmed that under the antimicrobial pressure, the original low population of antibiotic-resistant bacteria outcompeted susceptible strains and became the dominant population after 5hours of growth. Detection of antibiotic-resistant infection in clinical urine samples was achieved without cultivation, and the bacterial load of susceptible and resistant strains can be faithfully quantified. Overall, the HSFCM-based quantitative method provides a powerful tool for the fundamental studies of antibiotic resistance and holds the potential to provide rapid and precise guidance in clinical therapies. Copyright © 2016 Elsevier B.V. All rights reserved.

  11. Occurrence of antibiotic resistance and characterization of resistant genes and integrons in Enterobacteriaceae isolated from integrated fish farms south China

    USGS Publications Warehouse

    Su, Hao-Chang; Ying, Guang-Guo; Tao, Ran; Zhang, Rui-Quan; Fogarty, Lisa R.; Kolpin, Dana W.

    2011-01-01

    Antibiotics are still widely applied in animal husbandry to prevent diseases and used as feed additives to promote animal growth. This could result in antibiotic resistance to bacteria and antibiotic residues in animals. In this paper, Enterobacteriaceae isolated from four integrated fish farms in Zhongshan, South China were tested for antibiotic resistance, tetracycline resistance genes, sulfonamide resistance genes, and class 1 integrons. The Kirby-Bauer disk diffusion method and polymerase chain reaction (PCR) assays were carried out to test antibiotic susceptibility and resistance genes, respectively. Relatively high antibiotic resistance frequencies were found, especially for ampicillin (80%), tetracycline (52%), and trimethoprim (50%). Out of 203 Enterobacteriaceae isolates, 98.5% were resistant to one or more antibiotics tested. Multiple antibiotic resistance (MAR) was found highest in animal manures with a MAR index of 0.56. Tetracycline resistance genes (tet(A), tet(C)) and sulfonamide resistance genes (sul2) were detected in more than 50% of the isolates. The intI1 gene was found in 170 isolates (83.7%). Both classic and non-classic class 1 integrons were found. Four genes, aadA5, aadA22, dfr2, and dfrA17, were detected. To our knowledge, this is the first report for molecular characterization of antibiotic resistance genes in Enterobacteriaceae isolated from integrated fish farms in China and the first time that gene cassette array dfrA17-aadA5 has been detected in such fish farms. Results of this study indicated that fish farms may be a reservoir of highly diverse and abundant antibiotic resistant genes and gene cassettes. Integrons may play a key role in multiple antibiotic resistances posing potential health risks to the general public and aquaculture.

  12. Effect of swine manure application timing on the persistence and transport of antibiotic-resistant Enterococcus and resistance genes

    USDA-ARS?s Scientific Manuscript database

    Swine manure applied to agricultural fields may lead to the transport of antibiotic resistant bacteria and antibiotic resistance genes to freshwater systems. Enterococci were studied because they are fecal indicator bacteria associated with manure. Resistance genes include genes from live cells, dea...

  13. Drug resistance. K13-propeller mutations confer artemisinin resistance in Plasmodium falciparum clinical isolates.

    PubMed

    Straimer, Judith; Gnädig, Nina F; Witkowski, Benoit; Amaratunga, Chanaki; Duru, Valentine; Ramadani, Arba Pramundita; Dacheux, Mélanie; Khim, Nimol; Zhang, Lei; Lam, Stephen; Gregory, Philip D; Urnov, Fyodor D; Mercereau-Puijalon, Odile; Benoit-Vical, Françoise; Fairhurst, Rick M; Ménard, Didier; Fidock, David A

    2015-01-23

    The emergence of artemisinin resistance in Southeast Asia imperils efforts to reduce the global malaria burden. We genetically modified the Plasmodium falciparum K13 locus using zinc-finger nucleases and measured ring-stage survival rates after drug exposure in vitro; these rates correlate with parasite clearance half-lives in artemisinin-treated patients. With isolates from Cambodia, where resistance first emerged, survival rates decreased from 13 to 49% to 0.3 to 2.4% after the removal of K13 mutations. Conversely, survival rates in wild-type parasites increased from ≤0.6% to 2 to 29% after the insertion of K13 mutations. These mutations conferred elevated resistance to recent Cambodian isolates compared with that of reference lines, suggesting a contemporary contribution of additional genetic factors. Our data provide a conclusive rationale for worldwide K13-propeller sequencing to identify and eliminate artemisinin-resistant parasites. Copyright © 2015, American Association for the Advancement of Science.

  14. Dynamic absorption coefficients of chemically amplified resists and nonchemically amplified resists at extreme ultraviolet

    NASA Astrophysics Data System (ADS)

    Fallica, Roberto; Stowers, Jason K.; Grenville, Andrew; Frommhold, Andreas; Robinson, Alex P. G.; Ekinci, Yasin

    2016-07-01

    The dynamic absorption coefficients of several chemically amplified resists (CAR) and non-CAR extreme ultraviolet (EUV) photoresists are measured experimentally using a specifically developed setup in transmission mode at the x-ray interference lithography beamline of the Swiss Light Source. The absorption coefficient α and the Dill parameters ABC were measured with unprecedented accuracy. In general, the α of resists match very closely with the theoretical value calculated from elemental densities and absorption coefficients, whereas exceptions are observed. In addition, through the direct measurements of the absorption coefficients and dose-to-clear values, we introduce a new figure of merit called chemical sensitivity to account for all the postabsorption chemical reaction ongoing in the resist, which also predicts a quantitative clearing volume and clearing radius, due to the photon absorption in the resist. These parameters may help provide deeper insight into the underlying mechanisms of the EUV concepts of clearing volume and clearing radius, which are then defined and quantitatively calculated.

  15. Quantifying Antimicrobial Resistance at Veal Calf Farms

    PubMed Central

    Bosman, Angela B.; Wagenaar, Jaap; Stegeman, Arjan; Vernooij, Hans; Mevius, Dik

    2012-01-01

    This study was performed to determine a sampling strategy to quantify the prevalence of antimicrobial resistance on veal calf farms, based on the variation in antimicrobial resistance within and between calves on five farms. Faecal samples from 50 healthy calves (10 calves/farm) were collected. From each individual sample and one pooled faecal sample per farm, 90 selected Escherichia coli isolates were tested for their resistance against 25 mg/L amoxicillin, 25 mg/L tetracycline, 0.5 mg/L cefotaxime, 0.125 mg/L ciprofloxacin and 8/152 mg/L trimethoprim/sulfamethoxazole (tmp/s) by replica plating. From each faecal sample another 10 selected E. coli isolates were tested for their resistance by broth microdilution as a reference. Logistic regression analysis was performed to compare the odds of testing an isolate resistant between both test methods (replica plating vs. broth microdilution) and to evaluate the effect of pooling faecal samples. Bootstrap analysis was used to investigate the precision of the estimated prevalence of resistance to each antimicrobial obtained by several simulated sampling strategies. Replica plating showed similar odds of E. coli isolates tested resistant compared to broth microdilution, except for ciprofloxacin (OR 0.29, p≤0.05). Pooled samples showed in general lower odds of an isolate being resistant compared to individual samples, although these differences were not significant. Bootstrap analysis showed that within each antimicrobial the various compositions of a pooled sample provided consistent estimates for the mean proportion of resistant isolates. Sampling strategies should be based on the variation in resistance among isolates within faecal samples and between faecal samples, which may vary by antimicrobial. In our study, the optimal sampling strategy from the perspective of precision of the estimated levels of resistance and practicality consists of a pooled faecal sample from 20 individual animals, of which 90 isolates are

  16. Comparison of DNQ/novolac resists for e-beam exposure

    NASA Astrophysics Data System (ADS)

    Fedynyshyn, Theodore H.; Doran, Scott P.; Lind, Michele L.; Lyszczarz, Theodore M.; DiNatale, William F.; Lennon, Donna; Sauer, Charles A.; Meute, Jeff

    1999-12-01

    We have surveyed the commercial resist market with the dual purpose of identifying diazoquinone/novolac based resists that have potential for use as e-beam mask making resists and baselining these resists for comparison against future mask making resist candidates. For completeness, this survey would require that each resist be compared with an optimized developer and development process. To accomplish this task in an acceptable time period, e-beam lithography modeling was employed to quickly identify the resist and developer combinations that lead to superior resist performance. We describe the verification of a method to quickly screen commercial i-line resists with different developers, by determining modeling parameters for i-line resists from e-beam exposures, modeling the resist performance, and comparing predicted performance versus actual performance. We determined the lithographic performance of several DNQ/novolac resists whose modeled performance suggests that sensitivities of less than 40 (mu) C/cm2 coupled with less than 10-nm CD change per percent change in dose are possible for target 600-nm features. This was accomplished by performing a series of statistically designed experiments on the leading resists candidates to optimize processing variables, followed by comparing experimentally determined resist sensitivities, latitudes, and profiles of the DNQ/novolac resists a their optimized process.

  17. Antimicrobial resistance in Libya: 1970–2011

    PubMed Central

    Ghenghesh, Khalifa Sifaw; Rahouma, Amal; Tawil, Khaled; Zorgani, Abdulaziz; Franka, Ezzedin

    2013-01-01

    Resistance to antimicrobial agents is a major health problem that affects the whole world. Providing information on the past state of antimicrobial resistance in Libya may assist the health authorities in addressing the problem more effectively in the future. Information was obtained mainly from Highwire Press (including PubMed) search for the period 1970–2011 using the terms ‘antibiotic resistance in Libya’, ‘antimicrobial resistance in Libya’, ‘tuberculosis in Libya’, and ‘primary and acquired resistance in Libya’ in title and abstract. From 1970 to 2011 little data was available on antimicrobial resistance in Libya due to lack of surveillance and few published studies. Available data shows high resistance rates for Salmonella species in the late 1970s and has remained high to the present day. High prevalence rates (54–68%) of methicillin-resistant Staphylococcus aureus (MRSA) were reported in the last decade among S. aureus from patients with burns and surgical wound infections. No reports were found of vancomycin-resistant S. aureus (VRSA) or vancomycin-intermediate-resistant S. aureus (VISA) using standard methods from Libya up to the end of 2011. Reported rates of primary (i.e. new cases) and acquired (i.e. retreatment cases) multidrug-resistant tuberculosis (MDR-TB) from the eastern region of Libya in 1971 were 16.6 and 33.3% and in 1976 were 8.6 and 14.7%, in western regions in 1984–1986 were 11 and 21.5% and in the whole country in 2011 were estimated at 3.4 and 29%, respectively. The problem of antibiotic resistance is very serious in Libya. The health authorities in particular and society in general should address this problem urgently. Establishing monitoring systems based on the routine testing of antimicrobial sensitivity and education of healthcare workers, pharmacists, and the community on the health risks associated with the problem and benefits of prudent use of antimicrobials are some steps that can be taken to tackle the

  18. Probiotic approach to prevent antibiotic resistance.

    PubMed

    Ouwehand, Arthur C; Forssten, Sofia; Hibberd, Ashley A; Lyra, Anna; Stahl, Buffy

    2016-01-01

    Probiotics are live microorganisms, mainly belonging to the genera Lactobacillus and Bifidobacterium, although also strain of other species are commercialized, that have a beneficial effect on the host. From the perspective of antibiotic use, probiotics have been observed to reduce the risk of certain infectious disease such as certain types of diarrhea and respiratory tract infection. This may be accompanied with a reduced need of antibiotics for secondary infections. Antibiotics tend to be effective against most common diseases, but increasingly resistance is being observed among pathogens. Probiotics are specifically selected to not contribute to the spread of antibiotic resistance and not carry transferable antibiotic resistance. Concomitant use of probiotics with antibiotics has been observed to reduce the incidence, duration and/or severity of antibiotic-associated diarrhea. This contributes to better adherence to the antibiotic prescription and thereby reduces the evolution of resistance. To what extent probiotics directly reduce the spread of antibiotic resistance is still much under investigation; but maintaining a balanced microbiota during antibiotic use may certainly provide opportunities for reducing the spread of resistances. Key messages Probiotics may reduce the risk for certain infectious diseases and thereby reduce the need for antibiotics. Probiotics may reduce the risk for antibiotic-associated diarrhea Probiotics do not contribute to the spread of antibiotic resistance and may even reduce it.

  19. Electrical Resistivity Measurements: a Review

    NASA Astrophysics Data System (ADS)

    Singh, Yadunath

    World-wide interest on the use of ceramic materials for aerospace and other advanced engineering applications, has led to the need for inspection techniques capable of detecting unusually electrical and thermal anomalies in these compounds. Modern ceramic materials offer many attractive physical, electrical and mechanical properties for a wide and rapidly growing range of industrial applications; moreover specific use may be made of their electrical resistance, chemical resistance, and thermal barrier properties. In this review, we report the development and various techniques for the resistivity measurement of solid kind of samples.

  20. Resistance to Arrenurus spp. Parasitism in Odonates: Patterns Across Species and Comparisons Between a Resistant and Susceptible Host

    PubMed Central

    Worthen, Wade B.

    2016-01-01

    Some adult odonates resist parasitism by larval water mites (Arrenurus spp.) with melanotic encapsulation, in which the mite’s stylestome is clogged and the mite starves. In summer 2014, we counted the engorged and resisted mites on 2,729 adult odonates sampled by aerial net at 11 water bodies in Greenville Co. and Pickens Co., SC, and tested the hypothesis that the frequency and intensity of resistance correlates with parasite prevalence (the percentage of parasitized hosts). Resistance prevalence (the percentage of parasitized hosts that resisted at least one mite) varied significantly among host species, exceeding 60% for Argia fumipennis (Burmeister) and Celithemis fasciata Kirby but less than 20% for other species. However, neither resistance prevalence nor mean resistance intensity (mean percentage of resisted mites on resisting hosts) correlated with parasite prevalence. We described potential effects of parasitism on host development of A. fumipennis and Pachydiplax longipennis (Burmeister) by comparing the percent asymmetry of forewing lengths between parasitized and unparasitized individuals. There was no significant difference in asymmetry for either males or females of A. fumipennis, or males of Pa. longipennis (females were not sampled). We also evaluated differences in melanotic encapsulation between A. fumipennis, which readily encapsulates mites in nature, and Pa. longipennis. We inserted a 2.0-mm piece of sterile monofilament line into the thorax of captured individuals for 24 h and compared mean gray value scores of inserted and emergent ends using Image-J software. There was no difference in melanotic encapsulation between species. PMID:27067302

  1. Resistance to Arrenurus spp. Parasitism in Odonates: Patterns Across Species and Comparisons Between a Resistant and Susceptible Host.

    PubMed

    Worthen, Wade B; Hart, Thomas M

    2016-01-01

    Some adult odonates resist parasitism by larval water mites (Arrenurus spp.) with melanotic encapsulation, in which the mite's stylestome is clogged and the mite starves. In summer 2014, we counted the engorged and resisted mites on 2,729 adult odonates sampled by aerial net at 11 water bodies in Greenville Co. and Pickens Co., SC, and tested the hypothesis that the frequency and intensity of resistance correlates with parasite prevalence (the percentage of parasitized hosts). Resistance prevalence (the percentage of parasitized hosts that resisted at least one mite) varied significantly among host species, exceeding 60% for Argia fumipennis(Burmeister) and Celithemis fasciata Kirby but less than 20% for other species. However, neither resistance prevalence nor mean resistance intensity (mean percentage of resisted mites on resisting hosts) correlated with parasite prevalence. We described potential effects of parasitism on host development ofA. fumipennis and Pachydiplax longipennis(Burmeister) by comparing the percent asymmetry of forewing lengths between parasitized and unparasitized individuals. There was no significant difference in asymmetry for either males or females of A. fumipennis, or males of Pa. longipennis(females were not sampled). We also evaluated differences in melanotic encapsulation between A. fumipennis, which readily encapsulates mites in nature, and Pa. longipennis We inserted a 2.0-mm piece of sterile monofilament line into the thorax of captured individuals for 24 h and compared mean gray value scores of inserted and emergent ends using Image-J software. There was no difference in melanotic encapsulation between species. © The Author 2016. Published by Oxford University Press on behalf of the Entomological Society of America.

  2. Alkyl-Lysophospholipid Resistance in Multidrug-Resistant Leishmania tropica and Chemosensitization by a Novel P-Glycoprotein-Like Transporter Modulator

    PubMed Central

    Pérez-Victoria, José M.; Pérez-Victoria, F. Javier; Parodi-Talice, Adriana; Jiménez, Ignacio A.; Ravelo, Angel G.; Castanys, Santiago; Gamarro, Francisco

    2001-01-01

    Drug resistance has emerged as a major impediment in the treatment of leishmaniasis. Alkyl-lysophospholipids (ALP), originally developed as anticancer drugs, are considered to be the most promising antileishmanial agents. In order to anticipate probable clinical failure in the near future, we have investigated possible mechanisms of resistance to these drugs in Leishmania spp. The results presented here support the involvement of a member of the ATP-binding cassette (ABC) superfamily, the Leishmania P-glycoprotein-like transporter, in the resistance to ALP. (i) First, a multidrug resistance (MDR) Leishmania tropica line overexpressing a P-glycoprotein-like transporter displays significant cross-resistance to the ALP miltefosine and edelfosine, with resistant indices of 9.2- and 7.1-fold, respectively. (ii) Reduced expression of P-glycoprotein in the MDR line correlates with a significant decrease in ALP resistance. (iii) The ALP were able to modulate the P-glycoprotein-mediated resistance to daunomycin in the MDR line. (iv) We have found a new inhibitor of this transporter, the sesquiterpene C-3, that completely sensitizes MDR parasites to ALP. (v) Finally, the MDR line exhibits a lower accumulation than the wild-type line of bodipy-C5-PC, a fluorescent analogue of phosphatidylcholine that has a structure resembling that of edelfosine. Also, C-3 significantly increases the accumulation of the fluorescent analogue to levels similar to those of wild-type parasites. The involvement of the Leishmania P-glycoprotein-like transporter in resistance to drugs used in the treatment of leishmaniasis also supports the importance of developing new specific inhibitors of this ABC transporter. PMID:11502516

  3. Exploring Post-Treatment Reversion of Antimicrobial Resistance in Enteric Bacteria of Food Animals as a Resistance Mitigation Strategy.

    PubMed

    Volkova, Victoriya V; KuKanich, Butch; Riviere, Jim E

    2016-11-01

    Antimicrobial drug use in food animals is associated with an elevation in relative abundance of bacteria resistant to the drug among the animal enteric bacteria. Some of these bacteria are potential foodborne pathogens. Evidence suggests that at least in the enteric nontype-specific Escherichia coli, after treatment the resistance abundance reverts to the background pre-treatment levels, without further interventions. We hypothesize that it is possible to define the distribution of the time period after treatment within which resistance to the administered drug, and possibly other drugs in case of coselection, in fecal bacteria of the treated animals returns to the background pre-treatment levels. Furthermore, it is possible that a novel resistance mitigation strategy for microbiological food safety could be developed based on this resistance reversion phenomenon. The strategy would be conceptually similar to existing antimicrobial drug withdrawal periods, which is a well-established and accepted mitigation strategy for avoiding violative drug residues in the edible products from the treated animals. For developing resistance-relevant withdrawals, a mathematical framework can be used to join the necessary pharmacological, microbiological, and animal production components to project the distributions of the post-treatment resistance reversion periods in the production animal populations for major antimicrobial drug classes in use. The framework can also help guide design of empirical studies into the resistance-relevant withdrawal periods and development of mitigation approaches to reduce the treatment-associated elevation of resistance in animal enteric bacteria. We outline this framework, schematically and through exemplar equations, and how its components could be formulated.

  4. Impact of extensive antibiotic treatment on faecal carriage of antibiotic-resistant enterobacteria in children in a low resistance prevalence setting

    PubMed Central

    Brandtzaeg, Petter; Høiby, E. Arne; Bohlin, Jon; Samuelsen, Ørjan; Steinbakk, Martin; Abrahamsen, Tore G.; Müller, Fredrik; Gammelsrud, Karianne Wiger

    2017-01-01

    We prospectively studied the consequences of extensive antibiotic treatment on faecal carriage of antibiotic-resistant enterobacteria in a cohort of children with cystic fibrosis (CF) and a cohort of children with cancer compared to healthy children with no or low antibiotic exposure. The study was conducted in Norway in a low resistance prevalence setting. Sixty longitudinally collected faecal samples from children with CF (n = 32), 88 samples from children with cancer (n = 45) and 127 samples from healthy children (n = 70) were examined. A direct MIC-gradient strip method was used to detect resistant Enterobacteriaceae by applying Etest strips directly onto agar-plates swabbed with faecal samples. Whole genome sequencing (WGS) data were analysed to identify resistance mechanisms in 28 multidrug-resistant Escherichia coli isolates. The prevalence of resistance to third-generation cephalosporins, gentamicin and ciprofloxacin was low in all the study groups. At inclusion the prevalence of ampicillin-resistant E. coli and trimethoprim-sulfamethoxazole-resistant E. coli in the CF group compared to healthy controls was 58.6% vs. 28.4% (p = 0.005) and 48.3% vs. 14.9% (p = 0.001), respectively, with a similar prevalence at the end of the study. The prevalence of resistant enterobacteria was not significantly different in the children with cancer compared to the healthy children, not even at the end of the study when the children with cancer had been treated with repeated courses of broad-spectrum antibiotics. Children with cancer were mainly treated with intravenous antibiotics, while the CF group mainly received peroral treatment. Our observations indicate that the mode of administration of antibiotics and the general level of antimicrobial resistance in the community may have an impact on emergence of resistance in intestinal enterobacteria during antibiotic treatment. The WGS analyses detected acquired resistance genes and/or chromosomal mutations that explained the

  5. Genotypic and phenotypic characterization of methicillin-resistant Staphylococcus aureus (MRSA) clones with high-level mupirocin resistance.

    PubMed

    González-Domínguez, María; Seral, Cristina; Potel, Carmen; Sáenz, Yolanda; Álvarez, Maximiliano; Torres, Carmen; Castillo, Francisco Javier

    2016-06-01

    A high proportion of methicillin-resistant Staphylococcus aureus isolates recovered in one year period showed high-level mupirocin-resistance (HLMUPR-MRSA) in our environment (27.2%). HLMUPR-MRSA isolates were mainly collected from skin and soft tissue samples, and diabetes was the main related comorbidity condition. These isolates were more frequently found in vascular surgery. HLMUPR-MRSA was more resistant to aminoglycosides than mupirocin-susceptible MRSA, linked to the presence of bifunctional and/or nucleotidyltransferase enzymes with/without macrolide resistance associated with the msr(A) gene. Most of HLMUPR-MRSA isolates belonged to ST125/t067. Nine IS257-ileS2 amplification patterns (p3 was the most frequent) were observed in HLMUPR-MRSA isolates, suggesting the presence of several mupirocin-resistance-carrying plasmids in our environment and promoting the emergence of mupirocin resistance. The presence of the same IS257-ileS2 amplification pattern p3 in 65% of HLMUPR-MRSA, all of them ST125/t067, suggests a clonal spread in our hospital and community environment which could explain the high prevalence of HLMUPR-MRSA during the study period. An outbreak situation or an increase in mupirocin consumption was not observed. Copyright © 2016 Elsevier Inc. All rights reserved.

  6. Quinolone Resistance Reversion by Targeting the SOS Response.

    PubMed

    Recacha, E; Machuca, J; Díaz de Alba, P; Ramos-Güelfo, M; Docobo-Pérez, F; Rodriguez-Beltrán, J; Blázquez, J; Pascual, A; Rodríguez-Martínez, J M

    2017-10-10

    Suppression of the SOS response has been postulated as a therapeutic strategy for potentiating antimicrobial agents. We aimed to evaluate the impact of its suppression on reversing resistance using a model of isogenic strains of Escherichia coli representing multiple levels of quinolone resistance. E. coli mutants exhibiting a spectrum of SOS activity were constructed from isogenic strains carrying quinolone resistance mechanisms with susceptible and resistant phenotypes. Changes in susceptibility were evaluated by static (MICs) and dynamic (killing curves or flow cytometry) methodologies. A peritoneal sepsis murine model was used to evaluate in vivo impact. Suppression of the SOS response was capable of resensitizing mutant strains with genes encoding three or four different resistance mechanisms (up to 15-fold reductions in MICs). Killing curve assays showed a clear disadvantage for survival (Δlog 10 CFU per milliliter [CFU/ml] of 8 log units after 24 h), and the in vivo efficacy of ciprofloxacin was significantly enhanced (Δlog 10 CFU/g of 1.76 log units) in resistant strains with a suppressed SOS response. This effect was evident even after short periods (60 min) of exposure. Suppression of the SOS response reverses antimicrobial resistance across a range of E. coli phenotypes from reduced susceptibility to highly resistant, playing a significant role in increasing the in vivo efficacy. IMPORTANCE The rapid rise of antibiotic resistance in bacterial pathogens is now considered a major global health crisis. New strategies are needed to block the development of resistance and to extend the life of antibiotics. The SOS response is a promising target for developing therapeutics to reduce the acquisition of antibiotic resistance and enhance the bactericidal activity of antimicrobial agents such as quinolones. Significant questions remain regarding its impact as a strategy for the reversion or resensitization of antibiotic-resistant bacteria. To address this

  7. Homologues of a single resistance-gene cluster in potato confer resistance to distinct pathogens: a virus and a nematode.

    PubMed

    van der Vossen, E A; van der Voort, J N; Kanyuka, K; Bendahmane, A; Sandbrink, H; Baulcombe, D C; Bakker, J; Stiekema, W J; Klein-Lankhorst, R M

    2000-09-01

    The isolation of the nematode-resistance gene Gpa2 in potato is described, and it is demonstrated that highly homologous resistance genes of a single resistance-gene cluster can confer resistance to distinct pathogen species. Molecular analysis of the Gpa2 locus resulted in the identification of an R-gene cluster of four highly homologous genes in a region of approximately 115 kb. At least two of these genes are active: one corresponds to the previously isolated Rx1 gene that confers resistance to potato virus X, while the other corresponds to the Gpa2 gene that confers resistance to the potato cyst nematode Globodera pallida. The proteins encoded by the Gpa2 and the Rx1 genes share an overall homology of over 88% (amino-acid identity) and belong to the leucine-zipper, nucleotide-binding site, leucine-rich repeat (LZ-NBS-LRR)-containing class of plant resistance genes. From the sequence conservation between Gpa2 and Rx1 it is clear that there is a direct evolutionary relationship between the two proteins. Sequence diversity is concentrated in the LRR region and in the C-terminus. The putative effector domains are more conserved suggesting that, at least in this case, nematode and virus resistance cascades could share common components. These findings underline the potential of protein breeding for engineering new resistance specificities against plant pathogens in vitro.

  8. A critical role for Arabidopsis MILDEW RESISTANCE LOCUS O2 in systemic acquired resistance.

    PubMed

    Gruner, Katrin; Zeier, Tatyana; Aretz, Christina; Zeier, Jürgen

    2018-04-16

    Members of the MILDEW RESISTANCE LOCUS O (MLO) gene family confer susceptibility to powdery mildews in different plant species, and their existence therefore seems to be disadvantageous for the plant. We recognized that expression of the Arabidopsis MLO2 gene is induced after inoculation with the bacterial pathogen Pseudomonas syringae, promoted by salicylic acid (SA) signaling, and systemically enhanced in the foliage of plants exhibiting systemic acquired resistance (SAR). Importantly, distinct mlo2 mutant lines were unable to systemically increase resistance to bacterial infection after inoculation with P. syringae, indicating that the function of MLO2 is necessary for biologically induced SAR in Arabidopsis. Our data also suggest that the close homolog MLO6 has a supportive but less critical role in SAR. In contrast to SAR, basal resistance to bacterial infection was not affected in mlo2. Remarkably, SAR-defective mlo2 mutants were still competent in systemically increasing the levels of the SAR-activating metabolites pipecolic acid (Pip) and SA after inoculation, and to enhance SAR-related gene expression in distal plant parts. Furthermore, although MLO2 was not required for SA- or Pip-inducible defense gene expression, it was essential for the proper induction of disease resistance by both SAR signals. We conclude that MLO2 acts as a critical downstream component in the execution of SAR to bacterial infection, being required for the translation of elevated defense responses into disease resistance. Moreover, our data suggest a function for MLO2 in the activation of plant defense priming during challenge by P. syringae. © 2018 The Authors The Plant Journal © 2018 John Wiley & Sons Ltd.

  9. Comparative Genome Analysis of Ciprofloxacin-Resistant Pseudomonas aeruginosa Reveals Genes Within Newly Identified High Variability Regions Associated With Drug Resistance Development

    PubMed Central

    Su, Hsun-Cheng; Khatun, Jainab; Kanavy, Dona M.

    2013-01-01

    The alarming rise of ciprofloxacin-resistant Pseudomonas aeruginosa has been reported in several clinical studies. Though the mutation of resistance genes and their role in drug resistance has been researched, the process by which the bacterium acquires high-level resistance is still not well understood. How does the genomic evolution of P. aeruginosa affect resistance development? Could the exposure of antibiotics to the bacteria enrich genomic variants that lead to the development of resistance, and if so, how are these variants distributed through the genome? To answer these questions, we performed 454 pyrosequencing and a whole genome analysis both before and after exposure to ciprofloxacin. The comparative sequence data revealed 93 unique resistance strain variation sites, which included a mutation in the DNA gyrase subunit A gene. We generated variation-distribution maps comparing the wild and resistant types, and isolated 19 candidates from three discrete resistance-associated high variability regions that had available transposon mutants, to perform a ciprofloxacin exposure assay. Of these region candidates with transposon disruptions, 79% (15/19) showed a reduction in the ability to gain high-level resistance, suggesting that genes within these high variability regions might enrich for certain functions associated with resistance development. PMID:23808957

  10. [Effects of long-term application of pig manure containing residual tetracycline on the formation of drug-resistant bacteria and resistance genes].

    PubMed

    Zhang, Jun; Yang, Xiao-Hong; Ge, Feng; Wang, Na; Jiao, Shao-Jun; Jiao, Shao-Jun

    2014-06-01

    The effect of residual veterinary tetracycline on the formation of drug-resistant bacteria and corresponding resistance genes was investigated. During the research, the soil with long-term application of pig manure containing residual tetracycline was collected in autumn and summer respectively in the farmland around a certain pig farm in Shuyang City, Huang Huai area, north of Jiangsu province. At the same time, soils without application of pig manure in the farmland of this area were collected as the reference sample. Composition of drug-resistant bacteria in all soil samples was analyzed and three common tetracycline-resistance genes (tetA, tetC, tetE) were studied by PCR as well. During the research, 59 drug-resistant bacteria belonging to 13 bacterial genus respectively were separated from the soil sample collected in autumn while 35 drug- resistant bacteria belonging to 10 bacterial genus respectively were separated from the soil sample collected in summer and as for the reference sample, 3 drug-resistant bacteria belonging to 1 bacterial genus (Streptomyces) were separated with pathogenic bacteria up to 38.14% of total drug-resistant bacteria. PCR result showed that resistance genes were detected in all drug-resistant bacteria and tetC accounted for the most. At the same time, the residual tetracycline in the soil which was in a range of 41.1-61.9 microg x kg(-1) correlated with the amount of resistance genes (4.63 x 10(5)-37.42 x 10(5) copies x g(-1)). Besides, the climate was found accelerating the formation of drug-resistant bacteria and resistance genes.

  11. Origin of negative resistance in anion migration controlled resistive memory

    NASA Astrophysics Data System (ADS)

    Banerjee, Writam; Wu, Facai; Hu, Yuan; Wu, Quantan; Wu, Zuheng; Liu, Qi; Liu, Ming

    2018-03-01

    Resistive random access memory (RRAM) is one of the most promising emerging nonvolatile technologies for the futuristic memory devices. Resistive switching behavior often shows negative resistance (NR), either voltage controlled or current controlled. In this work, the origin of a current compliance dependent voltage controlled NR effect during the resetting of anion migration based RRAM devices is discussed. The N-type voltage controlled NR is a high field driven phenomena. The current conduction within the range of a certain negative voltage is mostly dominated by space charge limited current. But with the higher negative voltage, a field induced tunneling effect is generated in the NR region. The voltage controlled NR is strongly dependent on the compliance current. The area independent behavior indicates the filamentary switching. The peak to valley ratio (PVR) is > 5. The variation of PVR as a function of the conduction band offset is achieved. Compared to other reported works, based on the PVR, it is possible to distinguish the RRAM types. Generally, due to the higher electric field effect on the metallic bridge during RESET, the electrochemical metallization type RRAM shows much higher PVR than the valance change type RRAM.

  12. Drug Resistance

    MedlinePlus

    ... Drug-resistance testing is also recommended for all pregnant women with HIV before starting HIV medicines and also in some pregnant women already taking HIV medicines. Pregnant women will work with their health ...

  13. Rifampicin Resistance and Multidrug-Resistant Tuberculosis Detection Using Xpert MTB/RIF in Wuhan, China: A Retrospective Study.

    PubMed

    Huang, Hai; Zhang, Yanlin; Li, Sheng; Wang, Jun; Chen, Jun; Pan, Zhiyun; Gan, Hui

    2018-06-01

    The Xpert MTB/RIF test (Cepheid, Sunnyvale, CA) can simultaneously detect the Mycobacterium tuberculosis (MTB) complex DNA and rifampicin (RFP) resistance and can rapidly determine RFP resistance and predict multidrug-resistant tuberculosis (MDR-TB). In this study, we analyzed clinical examination results of a hospital specializing in TB treatment in Wuhan, Hubei, China, and examined the use of traditional culture and drug-sensitive test (DST) results as a gold standard to assess the diagnosis value of the Xpert MTB/RIF test in RFP resistance and MDR-TB. A total of 2,910 specimens were received in the Mycobacteriology Laboratory, Wuhan Pulmonary Hospital, for Xpert MTB/RIF testing between December 2013 and December 2014. After the results were reviewed by exclusion criteria, 1,066 Xpert test results were eligible for our study. We then compared the Xpert test results with sputum acid-fast bacilli staining, cultures, and DST results. In total, Xpert correctly identified 96.71% (147/152) RFP-resistant TB and 98.25% (898/914) RFP-sensitive TB specimens. Of the 147 RFP-resistant TB specimens detected by Xpert, 122 MDR-TB (82.99%) were identified by traditional culture and DST techniques. Xpert can simultaneously detect MTB and RFP resistance with high sensitivity and specificity. Thus, Xpert testing aids in saving a considerable amount of time in the diagnosis and treatment of MDR-TB.

  14. Neratinib resistance and cross-resistance to other HER2-targeted drugs due to increased activity of metabolism enzyme cytochrome P4503A4.

    PubMed

    Breslin, Susan; Lowry, Michelle C; O'Driscoll, Lorraine

    2017-02-28

    Neratinib is in Phase 3 clinical trials but, unfortunately, the development of resistance is inevitable. Here, we investigated the effects of acquired neratinib resistance on cellular phenotype and the potential mechanism of this resistance. Neratinib-resistant variants of HER2-positive breast cancer cells were developed and their cross-resistance investigated using cytotoxicity assays. Similarly, sensitivity of trastuzumab-resistant and lapatinib-resistant cells to neratinib was assessed. Cellular phenotype changes were evaluated using migration, invasion and anoikis assays. Immunoblotting for HER family members and drug efflux pumps, as well as enzyme activity assays were performed. Neratinib resistance conferred cross-resistance to trastuzumab, lapatinib and afatinib. Furthermore, the efficacy of neratinib was reduced in trastuzumab- and lapatinib-resistant cells. Neratinib-resistant cells were more aggressive than their drug-sensitive counterparts, with increased CYP3A4 activity identified as a novel mechanism of neratinib resistance. The potential of increased CYP3A4 activity as a biomarker and/or target to add value to neratinib warrants investigation.

  15. Overcoming Drug Resistance in Pancreatic Cancer

    PubMed Central

    Long, Jiang; Zhang, Yuqing; Yu, Xianjun; Yang, Jingxuan; LeBrun, Drake; Chen, Changyi; Yao, Qizhi; Li, Min

    2011-01-01

    Introduction Pancreatic cancer has the worst survival rate of all cancers. The current standard care for metastatic pancreatic cancer is gemcitabine, however, the success of this treatment is poor and overall survival has not improved for decades. Drug resistance (both intrinsic and acquired) is thought to be a major reason for the limited benefit of most pancreatic cancer therapies. Areas covered Previous studies have indicated various mechanisms of drug resistance in pancreatic cancer, including changes in individual genes or signaling pathways, the influence of the tumor microenvironment, and the presence of highly resistant stem cells. This review summarizes recent advances in the mechanisms of drug resistance in pancreatic cancer, and potential strategies to overcome this. Expert Opinion Increasing drug delivery efficiency and decreasing drug resistance is the current aim in pancreatic cancer treatment, and will also benefit the treatment of other cancers. Understanding the molecular and cellular basis of drug resistance in pancreatic cancer will lead to the development of novel therapeutic strategies with the potential to sensitize pancreatic cancer to chemotherapy, and to increase the efficacy of current treatments in a wide variety of human cancers. PMID:21391891

  16. Epidemiology of echinocandin resistance in Candida

    PubMed Central

    Grossman, Nina T.; Chiller, Tom M.; Lockhart, Shawn R.

    2018-01-01

    Echinocandins are the newest antifungal agents approved for use in treating Candida infections in the US. They act by interfering with 1,3-β-D-glucan synthase and therefore disrupt cell wall production and lead to Candida cell death. There is no intrinsic resistance to echinocandins among Candida species, and isolates from historic collections archived before the release of the echinocandins show no resistance. Resistance to the echinocandins remains low among most Candida species and ranges overall from 0–1%. Among isolates of Candida glabrata, the proportion of resistant isolates is higher and has been reported to be as high as 13.5% in at least one hospital. Antifungal resistance is due to specific amino acid mutations in the Fksp subunit(s) of the 1,3-β-D-glucan synthase protein which are localized to one of two hotspots. These mutations are being recognized in isolates from patients who have failed echinocandin therapy, and often lead to a poor outcome. While the future looks bright for the echinocandins against most Candida species, C. glabrata remains a species of concern and resistance rates of C. glabrata to the echinocandins should be monitored closely. PMID:29780439

  17. Epidemiology of echinocandin resistance in Candida.

    PubMed

    Grossman, Nina T; Chiller, Tom M; Lockhart, Shawn R

    2014-12-01

    Echinocandins are the newest antifungal agents approved for use in treating Candida infections in the US. They act by interfering with 1,3-β-D-glucan synthase and therefore disrupt cell wall production and lead to Candida cell death. There is no intrinsic resistance to echinocandins among Candida species, and isolates from historic collections archived before the release of the echinocandins show no resistance. Resistance to the echinocandins remains low among most Candida species and ranges overall from 0-1%. Among isolates of Candida glabrata , the proportion of resistant isolates is higher and has been reported to be as high as 13.5% in at least one hospital. Antifungal resistance is due to specific amino acid mutations in the Fksp subunit(s) of the 1,3-β-D-glucan synthase protein which are localized to one of two hotspots. These mutations are being recognized in isolates from patients who have failed echinocandin therapy, and often lead to a poor outcome. While the future looks bright for the echinocandins against most Candida species, C. glabrata remains a species of concern and resistance rates of C. glabrata to the echinocandins should be monitored closely.

  18. The molecular genetics of insecticide resistance.

    PubMed

    Ffrench-Constant, Richard H

    2013-08-01

    The past 60 years have seen a revolution in our understanding of the molecular genetics of insecticide resistance. While at first the field was split by arguments about the relative importance of mono- vs. polygenic resistance and field- vs. laboratory-based selection, the application of molecular cloning to insecticide targets and to the metabolic enzymes that degrade insecticides before they reach those targets has brought out an exponential growth in our understanding of the mutations involved. Molecular analysis has confirmed the relative importance of single major genes in target-site resistance and has also revealed some interesting surprises about the multi-gene families, such as cytochrome P450s, involved in metabolic resistance. Identification of the mutations involved in resistance has also led to parallel advances in our understanding of the enzymes and receptors involved, often with implications for the role of these receptors in humans. This Review seeks to provide an historical perspective on the impact of molecular biology on our understanding of resistance and to begin to look forward to the likely impact of rapid advances in both sequencing and genome-wide association analysis.

  19. Drug-resistant Neisseria gonorrhoeae: latest developments.

    PubMed

    Suay-García, B; Pérez-Gracia, M T

    2017-07-01

    Gonorrhea is the second most frequently reported notifiable disease in the United States and is becoming increasingly common in Europe. The purpose of this review was to assess the current state of drug-resistant Neisseria gonorrhoeae in order to evaluate future prospects for its treatment. An exhaustive literature search was conducted to include the latest research regarding drug resistance and treatment guidelines for gonorrhea. Gonococci have acquired all known resistance mechanisms to all antimicrobials used for treatment. Currently, the European Union, the United States, and the United Kingdom have established surveillance programs to assess, on a yearly basis, the development of gonococcal resistance. Current treatment guidelines are being threatened by the increasing number of ceftriaxone-, cefixime-, and azithromycin-resistant N. gonorrhoeae strains being detected worldwide. This has led the scientific community to develop new treatment options with new molecules in order to persevere in the battle against this "superbug".

  20. Mechanisms of antibiotic resistance in enterococci

    PubMed Central

    Miller, William R; Munita, Jose M; Arias, Cesar A

    2015-01-01

    Multidrug-resistant (MDR) enterococci are important nosocomial pathogens and a growing clinical challenge. These organisms have developed resistance to virtually all antimicrobials currently used in clinical practice using a diverse number of genetic strategies. Due to this ability to recruit antibiotic resistance determinants, MDR enterococci display a wide repertoire of antibiotic resistance mechanisms including modification of drug targets, inactivation of therapeutic agents, overexpression of efflux pumps and a sophisticated cell envelope adaptive response that promotes survival in the human host and the nosocomial environment. MDR enterococci are well adapted to survive in the gastrointestinal tract and can become the dominant flora under antibiotic pressure, predisposing the severely ill and immunocompromised patient to invasive infections. A thorough understanding of the mechanisms underlying antibiotic resistance in enterococci is the first step for devising strategies to control the spread of these organisms and potentially establish novel therapeutic approaches. PMID:25199988