Sample records for keck aperture masking

  1. The Keck Aperture Masking Experiment: Dust Enshrouded Red Giants

    NASA Technical Reports Server (NTRS)

    Blasius, T. D.; Monnier, J. D.; Tuthill, P. G.; Danchi, W. C.; Anderson, M.

    2012-01-01

    While the importance of dusty asymptotic giant branch (AGB) stars to galactic chemical enrichment is widely recognised, a sophisticated understanding of the dust formation and wind-driving mechanisms has proven elusive due in part to the difficulty in spatially-resolving the dust formation regions themselves. We have observed twenty dust-enshrouded AGB stars as part of the Keck Aperture Masking Experiment, resolving all of them in multiple near-infrared bands between 1.5 m and 3.1 m. We find 45% of the targets to show measurable elongations that, when correcting for the greater distances of the targets, would correspond to significantly asymmetric dust shells on par with the well-known cases of IRC +10216 or CIT 6. Using radiative transfer models, we find the sublimation temperature of Tsub(silicates) = 1130 90K and Tsub(amorphous carbon) = 1170 60 K, both somewhat lower than expected from laboratory measurements and vastly below temperatures inferred from the inner edge of YSO disks. The fact that O-rich and C-rich dust types showed the same sublimation temperature was surprising as well. For the most optically-thick shells ( 2.2 m > 2), the temperature profile of the inner dust shell is observed to change substantially, an effect we suggest could arise when individual dust clumps become optically-thick at the highest mass-loss rates.

  2. Secondary gamma-ray production in a coded aperture mask

    NASA Technical Reports Server (NTRS)

    Owens, A.; Frye, G. M., Jr.; Hall, C. J.; Jenkins, T. L.; Pendleton, G. N.; Carter, J. N.; Ramsden, D.; Agrinier, B.; Bonfand, E.; Gouiffes, C.

    1985-01-01

    The application of the coded aperture mask to high energy gamma-ray astronomy will provide the capability of locating a cosmic gamma-ray point source with a precision of a few arc-minutes above 20 MeV. Recent tests using a mask in conjunction with drift chamber detectors have shown that the expected point spread function is achieved over an acceptance cone of 25 deg. A telescope employing this technique differs from a conventional telescope only in that the presence of the mask modifies the radiation field in the vicinity of the detection plane. In addition to reducing the primary photon flux incident on the detector by absorption in the mask elements, the mask will also be a secondary radiator of gamma-rays. The various background components in a CAMTRAC (Coded Aperture Mask Track Chamber) telescope are considered. Monte-Carlo calculations are compared with recent measurements obtained using a prototype instrument in a tagged photon beam line.

  3. Design criteria for small coded aperture masks in gamma-ray astronomy

    NASA Technical Reports Server (NTRS)

    Sembay, S.; Gehrels, Neil

    1990-01-01

    Most theoretical work on coded aperture masks in X-ray and low-energy gamma-ray astronomy has concentrated on masks with large numbers of elements. For gamma-ray spectrometers in the MeV range, the detector plane usually has only a few discrete elements, so that masks with small numbers of elements are called for. For this case it is feasible to analyze by computer all the possible mask patterns of given dimension to find the ones that best satisfy the desired performance criteria. A particular set of performance criteria for comparing the flux sensitivities, source positioning accuracies and transparencies of different mask patterns is developed. The results of such a computer analysis for masks up to dimension 5 x 5 unit cell are presented and it is concluded that there is a great deal of flexibility in the choice of mask pattern for each dimension.

  4. Large Coded Aperture Mask for Spaceflight Hard X-ray Images

    NASA Technical Reports Server (NTRS)

    Vigneau, Danielle N.; Robinson, David W.

    2002-01-01

    The 2.6 square meter coded aperture mask is a vital part of the Burst Alert Telescope on the Swift mission. A random, but known pattern of more than 50,000 lead tiles, each 5 mm square, was bonded to a large honeycomb panel which projects a shadow on the detector array during a gamma ray burst. A two-year development process was necessary to explore ideas, apply techniques, and finalize procedures to meet the strict requirements for the coded aperture mask. Challenges included finding a honeycomb substrate with minimal gamma ray attenuation, selecting an adhesive with adequate bond strength to hold the tiles in place but soft enough to allow the tiles to expand and contract without distorting the panel under large temperature gradients, and eliminating excess adhesive from all untiled areas. The largest challenge was to find an efficient way to bond the > 50,000 lead tiles to the panel with positional tolerances measured in microns. In order to generate the desired bondline, adhesive was applied and allowed to cure to each tile. The pre-cured tiles were located in a tool to maintain positional accuracy, wet adhesive was applied to the panel, and it was lowered to the tile surface with synchronized actuators. Using this procedure, the entire tile pattern was transferred to the large honeycomb panel in a single bond. The pressure for the bond was achieved by enclosing the entire system in a vacuum bag. Thermal vacuum and acoustic tests validated this approach. This paper discusses the methods, materials, and techniques used to fabricate this very large and unique coded aperture mask for the Swift mission.

  5. Near-Infrared Keck Interferometer and IOTA Closure Phase Observations of Wolf-Rayet stars

    NASA Astrophysics Data System (ADS)

    Rajagopal, J.; Wallace, D.; Barry, R.; Richardson, L. J.; Traub, W.; Danchi, W. C.

    We present first results from observations of a small sample of IR-bright Wolf-Rayet stars with the Keck Interferometer in the near-infrared, and with the IONIC beam three-telescope beam combiner at the Infrared and Optical Telescope Array (IOTA) observatory. The former results were obtained as part of shared-risk observations in commissioning the Keck Interferometer and form a subset of a high-resolution study of dust around Wolf-Rayet stars using multiple interferometers in progress in our group. The latter results are the first closure phase observations of these stars in the near-infrared in a separated telescope interferometer. Earlier aperture-masking observations with the Keck-I telescope provide strong evidence that dust-formation in late-type WC stars are a result of wind-wind collision in short-period binaries.Our program with the Keck interferometer seeks to further examine this paradigm at much higher resolution. We have spatially resolved the binary in the prototypical dusty WC type star WR 140. WR 137, another episodic dust-producing star, has been partially resolved for the first time, providing the first direct clue to its possible binary nature.We also include WN stars in our sample to investigate circumstellar dust in this other main sub-type of WRs. We have been unable to resolve any of these, indicating a lack of extended dust.Complementary observations using the MIDI instrument on the VLTI in the mid-infrared are presented in another contribution to this workshop.

  6. Studying the inner regions of young stars and their disks with aperture masking interferometry

    NASA Astrophysics Data System (ADS)

    Greenbaum, Alexandra; Sivaramakrishnan, Anand; GPI Instrument Team; NIRISS Instrument Team

    2017-01-01

    High resolution aperture masking interferometry complements coronagraphic imagers to provide a unique perspective on star and planet formation at more moderate contrast. By targeting young stars, especially those with disks, we aim to understand complex protoplanetary environments. Ground-based non-redundant masking (NRM) paired with spectrographs and polarimeters probes both thermally emitting young companions, possibly embedded in the disk or gap and scattered light in protoplanetary disks. And soon the community will have access to the most stable NRM conditions yet, with the Near Infrared Imager and Slitless Spectrograph (NIRISS) Aperture Masking Interferometry (AMI) mode on the James Webb Space Telescope. I will present my thesis work commissioning the Gemini Planet Imager’s NRM, highlighting results through both its spectroscopy and polarimetry modes, which set the stage for future space-based imaging. I will also give an overview of NIRISS-AMI capabilities and performance predictions for imaging young low-mass companions and disks, and how it will complement other instruments on JWST.

  7. Accelerator test of the coded aperture mask technique for gamma-ray astronomy

    NASA Technical Reports Server (NTRS)

    Jenkins, T. L.; Frye, G. M., Jr.; Owens, A.; Carter, J. N.; Ramsden, D.

    1982-01-01

    A prototype gamma-ray telescope employing the coded aperture mask technique has been constructed and its response to a point source of 20 MeV gamma-rays has been measured. The point spread function is approximately a Gaussian with a standard deviation of 12 arc minutes. This resolution is consistent with the cell size of the mask used and the spatial resolution of the detector. In the context of the present experiment, the error radius of the source position (90 percent confidence level) is 6.1 arc minutes.

  8. bicep2/KECK ARRAY. IV. OPTICAL CHARACTERIZATION AND PERFORMANCE OF THE bicep2 AND KECK ARRAY EXPERIMENTS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ade, P. A. R.; Aikin, R. W.; Bock, J. J.

    2015-06-20

    bicep2 and the Keck Array are polarization-sensitive microwave telescopes that observe the cosmic microwave background (CMB) from the South Pole at degree angular scales in search of a signature of inflation imprinted as B-mode polarization in the CMB. bicep2 was deployed in late 2009, observed for three years until the end of 2012 at 150 GHz with 512 antenna-coupled transition edge sensor bolometers, and has reported a detection of B-mode polarization on degree angular scales. The Keck Array was first deployed in late 2010 and will observe through 2016 with five receivers at several frequencies (95, 150, and 220 GHz). bicep2 and the Keck Array sharemore » a common optical design and employ the field-proven bicep1 strategy of using small-aperture, cold, on-axis refractive optics, providing excellent control of systematics while maintaining a large field of view. This design allows for full characterization of far-field optical performance using microwave sources on the ground. Here we describe the optical design of both instruments and report a full characterization of the optical performance and beams of bicep2 and the Keck Array at 150 GHz.« less

  9. Partially Filled Aperture Interferometric Telescopes: Achieving Large Aperture and Coronagraphic Performance

    NASA Astrophysics Data System (ADS)

    Moretto, G.; Kuhn, J.; Langlois, M.; Berdugyna, S.; Tallon, M.

    2017-09-01

    Telescopes larger than currently planned 30-m class instruments must break the mass-aperture scaling relationship of the Keck-generation of multi-segmented telescopes. Partially filled aperture, but highly redundant baseline interferometric instruments may achieve both large aperture and high dynamic range. The PLANETS FOUNDATION group has explored hybrid telescope-interferometer concepts for narrow-field optical systems that exhibit coronagraphic performance over narrow fields-of-view. This paper describes how the Colossus and Exo-Life Finder telescope designs achieve 10x lower moving masses than current Extremely Large Telescopes.

  10. bicep2/ KECK ARRAY . IV. OPTICAL CHARACTERIZATION AND PERFORMANCE OF THE bicep2 AND KECK ARRAY EXPERIMENTS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ade, P. A. R.; Aikin, R. W.; Barkats, D.

    2015-06-18

    bicep2/KECK ARRAY. IV. OPTICAL CHARACTERIZATION AND PERFORMANCE OF THE bicep2 AND KECK ARRAY EXPERIMENTS P. A. R. Ade1, R. W. Aikin2, D. Barkats3, S. J. Benton4, C. A. Bischoff5, J. J. Bock2,6, K. J. Bradford5, J. A. Brevik2, I. Buder5, E. Bullock7Show full author list Published 2015 June 18 • © 2015. The American Astronomical Society. All rights reserved. The Astrophysical Journal, Volume 806, Number 2 Article PDF Figures Tables References Citations 273 Total downloads Cited by 6 articles Turn on MathJax Share this article Get permission to re-use this article Article information Abstract bicep2 and the Keck Array aremore » polarization-sensitive microwave telescopes that observe the cosmic microwave background (CMB) from the South Pole at degree angular scales in search of a signature of inflation imprinted as B-mode polarization in the CMB. bicep2 was deployed in late 2009, observed for three years until the end of 2012 at 150 GHz with 512 antenna-coupled transition edge sensor bolometers, and has reported a detection of B-mode polarization on degree angular scales. The Keck Array was first deployed in late 2010 and will observe through 2016 with five receivers at several frequencies (95, 150, and 220 GHz). bicep2 and the Keck Array share a common optical design and employ the field-proven bicep1 strategy of using small-aperture, cold, on-axis refractive optics, providing excellent control of systematics while maintaining a large field of view. This design allows for full characterization of far-field optical performance using microwave sources on the ground. Here we describe the optical design of both instruments and report a full characterization of the optical performance and beams of bicep2 and the Keck Array at 150 GHz.« less

  11. Nulling at the Keck Interferometer

    NASA Technical Reports Server (NTRS)

    Colavita, M. Mark; Serabyn, Gene; Wizinowich, Peter L.; Akeson, Rachel L.

    2006-01-01

    The nulling mode of the Keck Interferometer is being commissioned at the Mauna Kea summit. The nuller combines the two Keck telescope apertures in a split-pupil mode to both cancel the on-axis starlight and to coherently detect the residual signal. The nuller, working at 10 um, is tightly integrated with the other interferometer subsystems including the fringe and angle trackers, the delay lines and laser metrology, and the real-time control system. Since first 10 um light in August 2004, the system integration is proceeding with increasing functionality and performance, leading to demonstration of a 100:1 on-sky null in 2005. That level of performance has now been extended to observations with longer coherent integration times. An overview of the overall system is presented, with emphasis on the observing sequence, phasing system, and differences with respect to the V2 system, along with a presentation of some recent engineering data.

  12. Method for measuring the focal spot size of an x-ray tube using a coded aperture mask and a digital detector.

    PubMed

    Russo, Paolo; Mettivier, Giovanni

    2011-04-01

    The goal of this study is to evaluate a new method based on a coded aperture mask combined with a digital x-ray imaging detector for measurements of the focal spot sizes of diagnostic x-ray tubes. Common techniques for focal spot size measurements employ a pinhole camera, a slit camera, or a star resolution pattern. The coded aperture mask is a radiation collimator consisting of a large number of apertures disposed on a predetermined grid in an array, through which the radiation source is imaged onto a digital x-ray detector. The method of the coded mask camera allows one to obtain a one-shot accurate and direct measurement of the two dimensions of the focal spot (like that for a pinhole camera) but at a low tube loading (like that for a slit camera). A large number of small apertures in the coded mask operate as a "multipinhole" with greater efficiency than a single pinhole, but keeping the resolution of a single pinhole. X-ray images result from the multiplexed output on the detector image plane of such a multiple aperture array, and the image of the source is digitally reconstructed with a deconvolution algorithm. Images of the focal spot of a laboratory x-ray tube (W anode: 35-80 kVp; focal spot size of 0.04 mm) were acquired at different geometrical magnifications with two different types of digital detector (a photon counting hybrid silicon pixel detector with 0.055 mm pitch and a flat panel CMOS digital detector with 0.05 mm pitch) using a high resolution coded mask (type no-two-holes-touching modified uniformly redundant array) with 480 0.07 mm apertures, designed for imaging at energies below 35 keV. Measurements with a slit camera were performed for comparison. A test with a pinhole camera and with the coded mask on a computed radiography mammography unit with 0.3 mm focal spot was also carried out. The full width at half maximum focal spot sizes were obtained from the line profiles of the decoded images, showing a focal spot of 0.120 mm x 0.105 mm at 35

  13. Sparse aperture masking interferometry survey of transitional discs. Search for substellar-mass companions and asymmetries in their parent discs

    NASA Astrophysics Data System (ADS)

    Willson, M.; Kraus, S.; Kluska, J.; Monnier, J. D.; Ireland, M.; Aarnio, A.; Sitko, M. L.; Calvet, N.; Espaillat, C.; Wilner, D. J.

    2016-10-01

    Context. Transitional discs are a class of circumstellar discs around young stars with extensive clearing of dusty material within their inner regions on 10s of au scales. One of the primary candidates for this kind of clearing is the formation of planet(s) within the disc that then accrete or clear their immediate area as they migrate through the disc. Aims: The goal of this survey was to search for asymmetries in the brightness distribution around a selection of transitional disc targets. We then aimed to determine whether these asymmetries trace dynamically-induced structures in the disc or the gap-opening planets themselves. Methods: Our sample included eight transitional discs. Using the Keck/NIRC2 instrument we utilised the Sparse Aperture Masking (SAM) interferometry technique to search for asymmetries indicative of ongoing planet formation. We searched for close-in companions using both model fitting and interferometric image reconstruction techniques. Using simulated data, we derived diagnostics that helped us to distinguish between point sources and extended asymmetric disc emission. In addition, we investigated the degeneracy between the contrast and separation that appear for marginally resolved companions. Results: We found FP Tau to contain a previously unseen disc wall, and DM Tau, LkHα330, and TW Hya to contain an asymmetric signal indicative of point source-like emission. We placed upper limits on the contrast of a companion in RXJ 1842.9-3532 and V2246 Oph. We ruled the asymmetry signal in RXJ 1615.3-3255 and V2062 Oph to be false positives. In the cases where our data indicated a potential companion we computed estimates for the value of McṀc and found values in the range of . Conclusions: We found significant asymmetries in four targets. Of these, three were consistent with companions. We resolved a previously unseen gap in the disc of FP Tau extending inwards from approximately 10 au. Based on observations made with the Keck observatory

  14. VizieR Online Data Catalog: Keck/MOSFIRE spectroscopy of ZFOURGE galaxies (Tran+, 2017)

    NASA Astrophysics Data System (ADS)

    Tran, K.-V. H.; Alcorn, L. Y.; Kacprzak, G. G.; Nanayakkara, T.; Straatman, C.; Yuan, T.; Cowley, M.; Dave, R.; Glazebrook, K.; Kewley, L. J.; Labbe, I.; Martizzi, D.; Papovich, C.; Quadri, R.; Spitler, L. R.; Tomczak, A.

    2017-06-01

    Here we combine Hα emission from our ZFIRE survey (Nanayakkara+ 2016, J/ApJ/828/21) with galaxy properties from the ZFOURGE survey (Straatman+ 2016, J/ApJ/830/51) and IR luminosities from Spitzer to track how galaxies grow at z~2. ZFIRE is a near-IR spectroscopic survey with MOSFIRE on Keck I where targets are selected from ZFOURGE, an imaging survey that combines deep near-IR observations taken with the FourStar Imager at the Magellan Observatory with public multi-wavelength observations, e.g., Hubble Space Telescope (HST) imaging from CANDELS (Grogin+ 2011ApJS..197...35G). The Keck/MOSFIRE spectroscopy was obtained on observing runs in 2013 December and 2014 February. A total of eight slit masks were observed in the K-band (1.93-2.38um). We also observed two masks in the H-band covering 1.46-1.81um. (1 data file).

  15. Small feature sizes and high aperture ratio organic light-emitting diodes by using laser-patterned polyimide shadow masks

    NASA Astrophysics Data System (ADS)

    Kajiyama, Yoshitaka; Joseph, Kevin; Kajiyama, Koichi; Kudo, Shuji; Aziz, Hany

    2014-02-01

    A shadow mask technique capable of realizing high resolution (>330 pixel-per-inch) and ˜100% aperture ratio Organic Light-Emitting Diode (OLED) full color displays is demonstrated. The technique utilizes polyimide contact shadow masks, patterned by laser ablation. Red, green, and blue OLEDs with very small feature sizes (<25 μm) are fabricated side by side on one substrate. OLEDs fabricated via this technique have the same performance as those made by established technology. This technique has a strong potential to achieve high resolution OLED displays via standard vacuum deposition processes even on flexible substrates.

  16. Programmable 2-D Addressable Cryogenic Aperture Masks

    NASA Technical Reports Server (NTRS)

    Kutyrev, A. S.; Moseley, S. H.; Jhabvala, M.; Li, M.; Schwinger, D. S.; Silverberg, R. F.; Wesenberg, R. P.

    2004-01-01

    We are developing a two-dimensional array of square microshutters (programmable aperture mask) for a multi-object spectrometer for the James Webb Space Telescope (JWST). This device will provide random access selection of the areas in the field to be studied. The device is in essence a close packed array of square slits, each of which can be opened independently to select areas of the sky for detailed study.The device is produced using a 100-micron thick silicon wafer as a substrate with 0.5-micron thick silicon nitride shutters on top of it. Silicon nitride has been selected as the blade and flexure material because its stiffness allows thinner and lighter structures than single crystal Si, the chief alternative, and because of its ease of manufacture. The 100 micron silicon wafer is backetched in a high aspect ratio Deep Reactive Ion Etching (Deep RIE) to leave only a support grid for the shutters and the address electronics. The shutter actuation is done magnetically whereas addressing is electrostatic. 128x128 format microshutter arrays have been produced. Their operation has been demostarted on 32x32 subarrays. Good reliability of the fabrication process and good quality of the microshutters has been achieved. The mechanical behavior and optical performance of the fabricated arrays at cryogenic temperature are being studied.

  17. AN IMAGE-PLANE ALGORITHM FOR JWST'S NON-REDUNDANT APERTURE MASK DATA

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Greenbaum, Alexandra Z.; Pueyo, Laurent; Sivaramakrishnan, Anand

    2015-01-10

    The high angular resolution technique of non-redundant masking (NRM) or aperture masking interferometry (AMI) has yielded images of faint protoplanetary companions of nearby stars from the ground. AMI on James Webb Space Telescope (JWST)'s Near Infrared Imager and Slitless Spectrograph (NIRISS) has a lower thermal background than ground-based facilities and does not suffer from atmospheric instability. NIRISS AMI images are likely to have 90%-95% Strehl ratio between 2.77 and 4.8 μm. In this paper we quantify factors that limit the raw point source contrast of JWST NRM. We develop an analytic model of the NRM point spread function which includesmore » different optical path delays (pistons) between mask holes and fit the model parameters with image plane data. It enables a straightforward way to exclude bad pixels, is suited to limited fields of view, and can incorporate effects such as intra-pixel sensitivity variations. We simulate various sources of noise to estimate their effect on the standard deviation of closure phase, σ{sub CP} (a proxy for binary point source contrast). If σ{sub CP} < 10{sup –4} radians—a contrast ratio of 10 mag—young accreting gas giant planets (e.g., in the nearby Taurus star-forming region) could be imaged with JWST NIRISS. We show the feasibility of using NIRISS' NRM with the sub-Nyquist sampled F277W, which would enable some exoplanet chemistry characterization. In the presence of small piston errors, the dominant sources of closure phase error (depending on pixel sampling, and filter bandwidth) are flat field errors and unmodeled variations in intra-pixel sensitivity. The in-flight stability of NIRISS will determine how well these errors can be calibrated by observing a point source. Our results help develop efficient observing strategies for space-based NRM.« less

  18. Dual-sided coded-aperture imager

    DOEpatents

    Ziock, Klaus-Peter [Clinton, TN

    2009-09-22

    In a vehicle, a single detector plane simultaneously measures radiation coming through two coded-aperture masks, one on either side of the detector. To determine which side of the vehicle a source is, the two shadow masks are inverses of each other, i.e., one is a mask and the other is the anti-mask. All of the data that is collected is processed through two versions of an image reconstruction algorithm. One treats the data as if it were obtained through the mask, the other as though the data is obtained through the anti-mask.

  19. Reconfigurable mask for adaptive coded aperture imaging (ACAI) based on an addressable MOEMS microshutter array

    NASA Astrophysics Data System (ADS)

    McNie, Mark E.; Combes, David J.; Smith, Gilbert W.; Price, Nicola; Ridley, Kevin D.; Brunson, Kevin M.; Lewis, Keith L.; Slinger, Chris W.; Rogers, Stanley

    2007-09-01

    Coded aperture imaging has been used for astronomical applications for several years. Typical implementations use a fixed mask pattern and are designed to operate in the X-Ray or gamma ray bands. More recent applications have emerged in the visible and infra red bands for low cost lens-less imaging systems. System studies have shown that considerable advantages in image resolution may accrue from the use of multiple different images of the same scene - requiring a reconfigurable mask. We report on work to develop a novel, reconfigurable mask based on micro-opto-electro-mechanical systems (MOEMS) technology employing interference effects to modulate incident light in the mid-IR band (3-5μm). This is achieved by tuning a large array of asymmetric Fabry-Perot cavities by applying an electrostatic force to adjust the gap between a moveable upper polysilicon mirror plate supported on suspensions and underlying fixed (electrode) layers on a silicon substrate. A key advantage of the modulator technology developed is that it is transmissive and high speed (e.g. 100kHz) - allowing simpler imaging system configurations. It is also realised using a modified standard polysilicon surface micromachining process (i.e. MUMPS-like) that is widely available and hence should have a low production cost in volume. We have developed designs capable of operating across the entire mid-IR band with peak transmissions approaching 100% and high contrast. By using a pixelated array of small mirrors, a large area device comprising individually addressable elements may be realised that allows reconfiguring of the whole mask at speeds in excess of video frame rates.

  20. Experimental Verification of Sparse Aperture Mask for Low Order Wavefront Sensing

    NASA Astrophysics Data System (ADS)

    Subedi, Hari; Kasdin, N. Jeremy

    2017-01-01

    To directly image exoplanets, future space-based missions are equipped with coronagraphs which manipulate the diffraction of starlight and create regions of high contrast called dark holes. Theoretically, coronagraphs can be designed to achieve the high level of contrast required to image exoplanets, which are billions of times dimmer than their host stars, however the aberrations caused by optical imperfections and thermal fluctuations cause the degradation of contrast in the dark holes. Focal plane wavefront control (FPWC) algorithms using deformable mirrors (DMs) are used to mitigate the quasi-static aberrations caused by optical imperfections. Although the FPWC methods correct the quasi-static aberrations, they are blind to dynamic errors caused by telescope jitter and thermal fluctuations. At Princeton's High Contrast Imaging Lab we have developed a new technique that integrates a sparse aperture mask with the coronagraph to estimate these low-order dynamic wavefront errors. This poster shows the effectiveness of a SAM Low-Order Wavefront Sensor in estimating and correcting these errors via simulation and experiment and compares the results to other methods, such as the Zernike Wavefront Sensor planned for WFIRST.

  1. VAMPIRES: probing the innermost regions of protoplanetary systems with polarimetric aperture-masking

    NASA Astrophysics Data System (ADS)

    Norris, Barnaby R. M.; Tuthill, Peter G.; Jovanovic, Nemanja; Schworer, Guillaume; Guyon, Olivier; Martinache, Frantz; Stewart, Paul N.

    2014-07-01

    VAMPIRES is a high-angular resolution imager developed to directly image planet-forming circumstellar disks, and the signatures of forming planets that lie within. The instrument leverages aperture masking interferometry - providing diffraction-limited imaging despite seeing - in combination with fast-switching differential polarimetry to directly image structure in the inner-most regions of protoplanetary systems. VAMPIRES will use starlight scattered by dust in such systems to precisely map the disk, gaps, knots and waves that are key to understanding disk evolution and planet formation. It also promises to image the dusty circumstellar environments of AGB stars. This instrument perfectly compliments coronagraphic observations in the near-IR, and can operate simultaneously with a coronagraph, as part of the SCExAO extreme-AO system at the Subaru telescope. In this paper the design of the instrument will be presented, along with an explanation of the unique data analysis process and the results of the first on-sky tests.

  2. Design of wavefront coding optical system with annular aperture

    NASA Astrophysics Data System (ADS)

    Chen, Xinhua; Zhou, Jiankang; Shen, Weimin

    2016-10-01

    Wavefront coding can extend the depth of field of traditional optical system by inserting a phase mask into the pupil plane. In this paper, the point spread function (PSF) of wavefront coding system with annular aperture are analyzed. Stationary phase method and fast Fourier transform (FFT) method are used to compute the diffraction integral respectively. The OTF invariance is analyzed for the annular aperture with cubic phase mask under different obscuration ratio. With these analysis results, a wavefront coding system using Maksutov-Cassegrain configuration is designed finally. It is an F/8.21 catadioptric system with annular aperture, and its focal length is 821mm. The strength of the cubic phase mask is optimized with user-defined operand in Zemax. The Wiener filtering algorithm is used to restore the images and the numerical simulation proves the validity of the design.

  3. RHIC Prefire Protection Masks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Drees, A.; Biscardi, C.; Curcio, T.

    2015-01-07

    The protection of the RHIC experimental detectors from damage due to beam hitting close upstream elements in cases of abort kicker prefires requires some dedicated precautionary measures with two general options: to bring the beam close to a limiting aperture (i.e. the beam pipe wall), as far upstream of the detector components as possible or, alternatively, to bring a limiting aperture close to the circulating beam. During the FY 2014 RHIC Heavy Ion run the first option was chosen because of the limited time available for preparation before the start of the run. For future runs the second option, inmore » this case the installation of dual-sided movable masks, is preferred. The installation of the masks, one per ring, is planned before the start of the FY 2015 run.« less

  4. Beam Combination for Stellar Imager and its Application to Full-Aperture Imaging

    NASA Technical Reports Server (NTRS)

    Mozurkewich, D.; Carpenter, K. G.; Lyon, R. G.

    2007-01-01

    Stellar Imager (SI) will be a Space-Based telescope consisting of 20 to 30 separated apertures. It is designed for UV/Optical imaging of stellar surfaces and asteroseismology. This report describes details of an alternative optical design for the beam combiner, dubbed the Spatial Frequency Remapper (SFR). It sacrifices the large field of view of the Fizeau combiner. In return, spectral resolution is obtained with a diffraction grating rather than an array of energy-resolving detectors. The SFR design works in principle and has been implemented with MIRC at CHARA for a small number of apertures. Here, we show the number of optical surfaces can be reduced and the concept scales gracefully to the large number of apertures needed for Stellar Imager. We also describe a potential application of this spatial frequency remapping to improved imaging with filled aperture systems. For filled-aperture imaging, the SFR becomes the core of an improved aperture masking system. To date, aperture-masking has produced the best images with ground-based telescopes but at the expense of low sensitivity due to short exposures and discarding most of the light collected by the telescope. This design eliminates the light-loss problem previously claimed to be inherent in all aperture-masking designs. We also argue that at least in principle, the short-integration time limit can also be overcome. With these improvements, it becomes an ideal camera for TPF-C; since it can form speckle-free images in the presence of wavefront errors, it should significantly relax the stability requirements of the current designs.

  5. Fast-neutron, coded-aperture imager

    NASA Astrophysics Data System (ADS)

    Woolf, Richard S.; Phlips, Bernard F.; Hutcheson, Anthony L.; Wulf, Eric A.

    2015-06-01

    This work discusses a large-scale, coded-aperture imager for fast neutrons, building off a proof-of concept instrument developed at the U.S. Naval Research Laboratory (NRL). The Space Science Division at the NRL has a heritage of developing large-scale, mobile systems, using coded-aperture imaging, for long-range γ-ray detection and localization. The fast-neutron, coded-aperture imaging instrument, designed for a mobile unit (20 ft. ISO container), consists of a 32-element array of 15 cm×15 cm×15 cm liquid scintillation detectors (EJ-309) mounted behind a 12×12 pseudorandom coded aperture. The elements of the aperture are composed of 15 cm×15 cm×10 cm blocks of high-density polyethylene (HDPE). The arrangement of the aperture elements produces a shadow pattern on the detector array behind the mask. By measuring of the number of neutron counts per masked and unmasked detector, and with knowledge of the mask pattern, a source image can be deconvolved to obtain a 2-d location. The number of neutrons per detector was obtained by processing the fast signal from each PMT in flash digitizing electronics. Digital pulse shape discrimination (PSD) was performed to filter out the fast-neutron signal from the γ background. The prototype instrument was tested at an indoor facility at the NRL with a 1.8-μCi and 13-μCi 252Cf neutron/γ source at three standoff distances of 9, 15 and 26 m (maximum allowed in the facility) over a 15-min integration time. The imaging and detection capabilities of the instrument were tested by moving the source in half- and one-pixel increments across the image plane. We show a representative sample of the results obtained at one-pixel increments for a standoff distance of 9 m. The 1.8-μCi source was not detected at the 26-m standoff. In order to increase the sensitivity of the instrument, we reduced the fastneutron background by shielding the top, sides and back of the detector array with 10-cm-thick HDPE. This shielding configuration led

  6. Aperture Mask for Unambiguous Parity Determination in Long Wavelength Imagers

    NASA Technical Reports Server (NTRS)

    Bos, Brent

    2011-01-01

    A document discusses a new parity pupil mask design that allows users to unambiguously determine the image space coordinate system of all the James Webb Space Telescope (JWST) science instruments by using two out-of-focus images. This is an improvement over existing mask designs that could not completely eliminate the coordinate system parity ambiguity at a wavelength of 5.6 microns. To mitigate the problem of how the presence of diffraction artifacts can obscure the pupil mask detail, this innovation has been created with specifically designed edge features so that the image space coordinate system parity can be determined in the presence of diffraction, even at long wavelengths.

  7. The magic of 4X mask reduction

    NASA Astrophysics Data System (ADS)

    Lercel, Michael

    2006-06-01

    Although changing the mask reduction factor from 4X to a larger value offers several technical advantages, previous attempts to enact this change have not identified enough clear technical advantages to overcome the impact to productivity. Improvements in mask manufacturing, mask polarization effects, and optics cost have not been thought to be sufficient reason to accept a reduced throughput and field size. This paper summarizes the latest workshop and discussion revisiting the mask reduction factor for 32nm half-pitch lithography with hyper-numerical aperture (NA) optical or extreme ultraviolet lithography (EUVL). The workshop consensus was strongly in favor of maintaining the current magnification ratio and field size as long as mask costs can be contained.

  8. The Keck Task Library (KTL)

    NASA Technical Reports Server (NTRS)

    Lupton, W. F.; Conrad, A. R.

    1992-01-01

    KTL is a set of routines which eases the job of writing applications which must interact with a variety of underlying sub-systems (known as services). A typical application is an X Window user interface coordinating telescope and instruments. In order to connect to a service, application code specifies a service name--typically an instrument name--and a style, which defines the way in which the application will interact with the service. Two styles are currently supported: keyword, where the application reads and writes named keywords and the resulting inter-task message traffic is hidden; and message, where the application deals directly with messages. The keyword style is intended mainly for user interfaces, and the message style is intended mainly for lower-level applications. KTL applications are event driven: a typical application first connects to all its desired services, then expresses interest in specified events. The application then enters an event dispatch loop in which it waits for events and calls the appropriate service's event-handling routine. Each event is associated with a call-back routine which is invoked when the event occurs. Call-back routines may (and typically do) interact with other sub-systems and KTL provides the means of doing so without blocking the application (vital for X Window user interfaces). This approach is a marriage of ideas culled from the X window, ADAM, Keck instrument, and Keck telescope control systems. A novel feature of KTL is that it knows nothing about any services or styles. Instead it defines a generic set of routines which must be implemented by all services and styles (essentially open(), ioctl(), read(), write(), event(), and close()) and activates sharable libraries at run-time. Services have been implemented (in both keyword and message styles) for HIRES (the Keck high resolution echelle spectrograph built by Lick Observatory), LWS (the Keck long wavelength spectrometer built by UC San Diego), and the Keck

  9. FIRST, a fibered aperture masking instrument: Results of the Lick observing campaign

    NASA Astrophysics Data System (ADS)

    Bordwell, Baylee; Duchene, Gaspard; Huby, Elsa; Goebel, Sean; Marchis, Franck; Perrin, Guy; Lacour, Sylvestre; Kotani, Takayuki; Gates, Elinor L.; Choquet, Elodie

    2015-01-01

    FIRST is a prototype instrument aimed at achieving high dynamic range and angular resolution in ground-based images at visible wavelengths near the diffraction limit. FIRST utilizes an aperture masking-like technique that makes use of single-mode fibers and pupil remapping to maximize the area of the telescope mirror in use. While located at Lick observatory in 2011 and 2012, FIRST observed 25 binary systems with the Shane 3m telescope, with separations ranging from 20 to 200 mas, comparable to the 50 mas diffraction limit for our central wavelength. Huby et al. (2013) has reported results for the Capella system that established the utility of FIRST for characterizing stellar binaries using the directly measured spectral flux ratio. Using an improved data analysis pipeline, we obtained closure phase measurements for a majority of the targets observed at Lick, and derived angular separations and spectral flux ratios. From the spectral flux ratios we obtained spectra for the companions over at least 600-850 nm with R~300. Finally, by obtaining results for many binary systems we have better constrained the current performance of FIRST, which has an exciting future ahead at its current location behind SCExAO at the Subaru 8.2 m telescope, where it will eventually become available for general use by the astronomical community.

  10. Keck adaptive optics: control subsystem

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brase, J.M.; An, J.; Avicola, K.

    1996-03-08

    Adaptive optics on the Keck 10 meter telescope will provide an unprecedented level of capability in high resolution ground based astronomical imaging. The system is designed to provide near diffraction limited imaging performance with Strehl {gt} 0.3 n median Keck seeing of r0 = 25 cm, T =10 msec at 500 nm wavelength. The system will be equipped with a 20 watt sodium laser guide star to provide nearly full sky coverage. The wavefront control subsystem is responsible for wavefront sensing and the control of the tip-tilt and deformable mirrors which actively correct atmospheric turbulence. The spatial sampling interval formore » the wavefront sensor and deformable mirror is de=0.56 m which gives us 349 actuators and 244 subapertures. This paper summarizes the wavefront control system and discusses particular issues in designing a wavefront controller for the Keck telescope.« less

  11. Advances in instrumentation at the W. M. Keck Observatory

    NASA Astrophysics Data System (ADS)

    Adkins, Sean M.; Armandroff, Taft E.; Johnson, James; Lewis, Hilton A.; Martin, Christopher; McLean, Ian S.; Wizinowich, Peter

    2012-09-01

    In this paper we describe both recently completed instrumentation projects and our current development efforts in terms of their role in the strategic plan, the key science areas they address, and their performance as measured or predicted. Projects reaching completion in 2012 include MOSFIRE, a near IR multi-object spectrograph, a laser guide star adaptive optics facility on the Keck I telescope, and an upgrade to the guide camera for the HIRES instrument on Keck I. Projects in development include a new seeing limited integral field spectrograph for the visible wavelength range called the Keck Cosmic Web Imager (KCWI), an upgrade to the telescope control systems on both Keck telescopes, a near-IR tip/tilt sensor for the Keck I adaptive optics system, and a new grating for the OSIRIS integral field spectrograph.

  12. Active galactic nucleus and quasar science with aperture masking interferometry on the James Webb Space Telescope

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ford, K. E. Saavik; McKernan, Barry; Sivaramakrishnan, Anand

    Due to feedback from accretion onto supermassive black holes (SMBHs), active galactic nuclei (AGNs) are believed to play a key role in ΛCDM cosmology and galaxy formation. However, AGNs extreme luminosities and the small angular size of their accretion flows create a challenging imaging problem. We show that the James Webb Space Telescope's Near Infrared Imager and Slitless Spectrograph (JWST-NIRISS) Aperture Masking Interferometry (AMI) mode will enable true imaging (i.e., without any requirement of prior assumptions on source geometry) at ∼65 mas angular resolution at the centers of AGNs. This is advantageous for studying complex extended accretion flows around SMBHsmore » and in other areas of angular-resolution-limited astrophysics. By simulating data sequences incorporating expected sources of noise, we demonstrate that JWST-NIRISS AMI mode can map extended structure at a pixel-to-pixel contrast of ∼10{sup –2} around an L = 7.5 point source, using short exposure times (minutes). Such images will test models of AGN feedback, fueling, and structure (complementary with ALMA observations), and are not currently supported by any ground-based IR interferometer or telescope. Binary point source contrast with NIRISS is ∼10{sup –4} (for observing binary nuclei in merging galaxies), significantly better than current ground-based optical or IR interferometry. JWST-NIRISS's seven-hole non-redundant mask has a throughput of 15%, and utilizes NIRISS's F277W (2.77 μm), F380M (3.8 μm), F430M (4.3 μm), and F480M (4.8 μm) filters. NIRISS's square pixels are 65 mas per side, with a field of view ∼2' × 2'. We also extrapolate our results to AGN science enabled by non-redundant masking on future 2.4 m and 16 m space telescopes working at long-UV to near-IR wavelengths.« less

  13. Commissioning and first light results of an L'-band vortex coronagraph with the Keck II adaptive optics NIRC2 science instrument

    NASA Astrophysics Data System (ADS)

    Femenía Castellá, Bruno; Serabyn, Eugene; Mawet, Dimitri; Absil, Olivier; Wizinowich, Peter; Matthews, Keith; Huby, Elsa; Bottom, Michael; Campbell, Randy; Chan, Dwight; Carlomagno, Brunella; Cetre, Sylvain; Defrère, Denis; Delacroix, Christian; Gomez Gonzalez, Carlos; Jolivet, Aïssa; Karlsson, Mikael; Lanclos, Kyle; Lilley, Scott; Milner, Steven; Ngo, Henry; Reggiani, Maddalena; Simmons, Julia; Tran, Hien; Vargas Catalan, Ernesto; Wertz, Olivier

    2016-07-01

    On March 2015 an L'-band vortex coronagraph based on an Annular Groove Phase Mask made up of a diamond sub-wavelength grating was installed on NIRC2 as a demonstration project. This vortex coronagraph operates in the L' band not only in order to take advantage from the favorable star/planet contrast ratio when observing beyond the K band, but also to exploit the fact that the Keck II Adaptive Optics (AO) system delivers nearly extreme adaptive optics image quality (Strehl ratios values near 90%) at 3.7μm. We describe the hardware installation of the vortex phase mask during a routine NIRC2 service mission. The success of the project depends on extensive software development which has allowed the achievement of exquisite real-time pointing control as well as further contrast improvements by using speckle nulling to mitigate the effect of static speckles. First light of the new coronagraphic mode was on June 2015 with already very good initial results. Subsequent commissioning nights were interlaced with science nights by members of the VORTEX team with their respective scientific programs. The new capability and excellent results so far have motivated the VORTEX team and the Keck Science Steering Committee (KSSC) to offer the new mode in shared risk mode for 2016B.

  14. The Keck Cosmic Web Imager (KCWI): A Powerful New Integral Field Spectrograph for the Keck Observatory

    NASA Astrophysics Data System (ADS)

    Morrissey, Patrick; KCWI Team

    2013-01-01

    The Keck Cosmic Web Imager (KCWI) is a new facility instrument being developed for the W. M. Keck Observatory and funded for construction by the Telescope System Instrumentation Program (TSIP) of the National Science Foundation (NSF). KCWI is a bench-mounted spectrograph for the Keck II right Nasmyth focal station, providing integral field spectroscopy over a seeing-limited field up to 20"x33" in extent. Selectable Volume Phase Holographic (VPH) gratings provide high efficiency and spectral resolution in the range of 1000 to 20000. The dual-beam design of KCWI passed a Preliminary Design Review in summer 2011. The detailed design of the KCWI blue channel (350 to 700 nm) is now nearly complete, with the red channel (530 to 1050 nm) planned for a phased implementation contingent upon additional funding. KCWI builds on the experience of the Caltech team in implementing the Cosmic Web Imager (CWI), in operation since 2009 at Palomar Observatory. KCWI adds considerable flexibility to the CWI design, and will take full advantage of the excellent seeing and dark sky above Mauna Kea with a selectable nod-and-shuffle observing mode. The KCWI team is lead by Caltech (project management, design and implementation) in partnership with the University of California at Santa Cruz (camera optical and mechanical design) and the W. M. Keck Observatory (program oversight and observatory interfaces).

  15. New developments in instrumentation at the W. M. Keck Observatory

    NASA Astrophysics Data System (ADS)

    Adkins, Sean M.; Armandroff, Taft E.; Fitzgerald, Michael P.; Johnson, James; Larkin, James E.; Lewis, Hilton A.; Martin, Christopher; Matthews, Keith Y.; Prochaska, J. X.; Wizinowich, Peter

    2014-07-01

    The W. M. Keck Observatory continues to develop new capabilities in support of our science driven strategic plan which emphasizes leadership in key areas of observational astronomy. This leadership is a key component of the scientific productivity of our observing community and depends on our ability to develop new instrumentation, upgrades to existing instrumentation, and upgrades to supporting infrastructure at the observatory. In this paper we describe the as measured performance of projects completed in 2014 and the expected performance of projects currently in the development or construction phases. Projects reaching completion in 2014 include a near-IR tip/tilt sensor for the Keck I adaptive optics system, a new center launch system for the Keck II laser guide star facility, and NIRES, a near-IR Echelle spectrograph for the Keck II telescope. Projects in development include a new seeing limited integral field spectrograph for the visible wavelength range called the Keck Cosmic Web Imager, a deployable tertiary mirror for the Keck I telescope, upgrades to the spectrograph detector and the imager of the OSIRIS instrument, and an upgrade to the telescope control systems on both Keck telescopes.

  16. VizieR Online Data Catalog: Astrometric monitoring of ultracool dwarf binaries (Dupuy+, 2017)

    NASA Astrophysics Data System (ADS)

    Dupuy, T. J.; Liu, M. C.

    2017-09-01

    In Table 1 we list all 33 binaries in our Keck+CFHT astrometric monitoring sample, along with three other binaries that have published orbit and parallax measurements. We began obtaining resolved Keck AO astrometry in 2007-2008, and we combined our new astrometry with available data in the literature or public archives (e.g., HST and Gemini) to refine our orbital period estimates and thereby our prioritization for Keck observations. We present here new Keck/NIRC2 AO imaging and non-redundant aperture-masking observations, in addition to a re-analysis of our own previously published data and publicly available archival data for our sample binaries. Table 2 gives our measured astrometry and flux ratios for all Keck AO data used in our orbital analysis spanning 2003 Apr 15 to 2016 May 13. In total there are 339 distinct measurements (unique bandpass and epoch for a given target), where 302 of these are direct imaging and 37 are non-redundant aperture masking. Eight of the imaging measurements are from six unpublished archival data sets. See section 3.1.1 for further details. In addition to our Keck AO monitoring, we also obtained data for three T dwarf binaries over a three-year HST program using the Advanced Camera for Surveys (ACS) Wide Field Camera (WFC) in the F814W bandpass. See section 3.1.2 for further details. Many of our sample binaries have HST imaging data in the public archive. We have re-analyzed the available archival data coming from the WFPC2 Planetary Camera (WFPC2-PC1), ACS High Resolution Channel (ACS-HRC), and NICMOS Camera 1 (NICMOS-NIC1). See section 3.1.3 for further details. We present here an updated analysis of our data from the Hawaii Infrared Parallax Program that uses the CFHT facility infrared camera WIRCam. Our observing strategy and custom astrometry pipeline are described in detail in Dupuy & Liu (2012, J/ApJS/201/19). See section 3.2 for further explanations. (10 data files).

  17. Evaluation of the cosmic-ray induced background in coded aperture high energy gamma-ray telescopes

    NASA Technical Reports Server (NTRS)

    Owens, Alan; Barbier, Loius M.; Frye, Glenn M.; Jenkins, Thomas L.

    1991-01-01

    While the application of coded-aperture techniques to high-energy gamma-ray astronomy offers potential arc-second angular resolution, concerns were raised about the level of secondary radiation produced in a thick high-z mask. A series of Monte-Carlo calculations are conducted to evaluate and quantify the cosmic-ray induced neutral particle background produced in a coded-aperture mask. It is shown that this component may be neglected, being at least a factor of 50 lower in intensity than the cosmic diffuse gamma-rays.

  18. Post-coronagraphic tip-tilt sensing for vortex phase masks: The QACITS technique

    NASA Astrophysics Data System (ADS)

    Huby, E.; Baudoz, P.; Mawet, D.; Absil, O.

    2015-12-01

    Context. Small inner working angle coronagraphs, such as the vortex phase mask, are essential to exploit the full potential of ground-based telescopes in the context of exoplanet detection and characterization. However, the drawback of this attractive feature is a high sensitivity to pointing errors, which degrades the performance of the coronagraph. Aims: We propose a tip-tilt retrieval technique based on the analysis of the final coronagraphic image, hereafter called Quadrant Analysis of Coronagraphic Images for Tip-tilt Sensing (QACITS). Methods: Under the assumption of small phase aberrations, we show that the behavior of the vortex phase mask can be simply described from the entrance pupil to the Lyot stop plane with Zernike polynomials. This convenient formalism is used to establish the theoretical basis of the QACITS technique. We performed simulations to demonstrate the validity and limits of the technique, including the case of a centrally obstructed pupil. Results: The QACITS technique principle is validated with experimental results in the case of an unobstructed circular aperture, as well as simulations in presence of a central obstruction. The typical configuration of the Keck telescope (24% central obstruction) has been simulated with additional high order aberrations. In these conditions, our simulations show that the QACITS technique is still adapted to centrally obstructed pupils and performs tip-tilt retrieval with a precision of 5 × 10-2λ/D when wavefront errors amount to λ/ 14 rms and 10-2λ/D for λ/ 70 rms errors (with λ the wavelength and D the pupil diameter). Conclusions: We have developed and demonstrated a tip-tilt sensing technique for vortex coronagraphs. The implementation of the QACITS technique is based on the analysis of the scientific image and does not require any modification of the original setup. Current facilities equipped with a vortex phase mask can thus directly benefit from this technique to improve the contrast

  19. SU-8 microcantilever with an aperture, fluidic channel, and sensing mechanisms for biological and other applications.

    PubMed

    Gaitas, Angelo; Hower, Robert W

    2014-09-15

    We describe a method for fabricating an aperture on a fluidic cantilever device using SU-8 as a structural material. The device can ultimately be used for patch clamping, microinjections, fluidic delivery, fluidic deposition, and micromaterial removal. In the first generation of this device, the initial aperture diameter is 10 μ m and is fabricated on a silicon-on-insulator (SOI) wafer that is structurally used to define the aperture. The aperture can be reduced in size through mask design. This self-aligned process allows for patterning on the sharp tip projecting out of the fluidic plane on the cantilever and is batch fabricated, reducing the cost and time for manufacture. The initial mask, SOI device layer thickness, and the width of the base of the tip define the size of the aperture. The SU-8 micromachined cantilever includes an electrode and a force sensing mechanism. The cantilever can be easily integrated with an atomic force microscope or an optical microscope.

  20. Characterizing polarized illumination in high numerical aperture optical lithography with phase shifting masks

    NASA Astrophysics Data System (ADS)

    McIntyre, Gregory Russell

    The primary objective of this dissertation is to develop the phase shifting mask (PSM) as a precision instrument to characterize effects in optical lithography related to the use of polarized partially coherent illumination. The intent is to provide an in-situ characterization technique to add to the lithographer's tool-kit to help enable the stable and repeatable mass production of integrated circuits with feature sizes approaching 1/6th the wavelength of light being used. A series of complex-valued mathematical functions have been derived from basic principles and recent advances in photomask fabrication technology have enabled their implementation with four-phase mask making. When located in the object plane of an imaging system, these test functions serve to engineer a wavefiront that interacts with one particular optical effect, creating a measurable signal in the image plane. In most cases, these test patterns leverage proximity effects to create a central image intensity and are theoretically the most sensitive to the desired effect. Five novel classes of test patterns have been developed for in-situ characterization. The first two classes, The Linear Phase Grating (LPG) and Linear Phase Ring (LPR), both serve to characterize illumination angular distribution and uniformity by creating signals dependent on illumination angular frequency. The third class consists of the Radial Phase Grating (RPG) and Proximity Effect Polarization Analyzers (PEPA), which each create a polarization-dependent signal by taking advantage of the image reversal of one polarization component at high numerical aperture (NA). PSM Polarimetry employs a series of these patterns to form a complete polarization characterization of any arbitrary illumination scheme. The fourth and fifth classes employ sub-resolution interferometric reference probes to coherently interact with proximity effect spillover from a surrounding pattern. They measure the effective phase and transmission of the

  1. Evaluation of coded aperture radiation detectors using a Bayesian approach

    NASA Astrophysics Data System (ADS)

    Miller, Kyle; Huggins, Peter; Labov, Simon; Nelson, Karl; Dubrawski, Artur

    2016-12-01

    We investigate tradeoffs arising from the use of coded aperture gamma-ray spectrometry to detect and localize sources of harmful radiation in the presence of noisy background. Using an example application scenario of area monitoring and search, we empirically evaluate weakly supervised spectral, spatial, and hybrid spatio-spectral algorithms for scoring individual observations, and two alternative methods of fusing evidence obtained from multiple observations. Results of our experiments confirm the intuition that directional information provided by spectrometers masked with coded aperture enables gains in source localization accuracy, but at the expense of reduced probability of detection. Losses in detection performance can however be to a substantial extent reclaimed by using our new spatial and spatio-spectral scoring methods which rely on realistic assumptions regarding masking and its impact on measured photon distributions.

  2. High Contrast Internal and External Coronagraph Masks Produced by Various Techniques

    NASA Technical Reports Server (NTRS)

    Balasubramanian, Kunjithapatha; Wilson, Daniel; White, Victor; Muller, Richard; Dickie, Matthew; Yee, Karl; Ruiz, Ronald; Shaklan, Stuart; Cady, Eric; Kern, Brian; hide

    2013-01-01

    Masks for high contrast internal and external coronagraphic imaging require a variety of masks depending on different architectures to suppress star light. Various fabrication technologies are required to address a wide range of needs including gradient amplitude transmission, tunable phase profiles, ultra-low reflectivity, precise small scale features, and low-chromaticity. We present the approaches employed at JPL to produce pupil plane and image plane coronagraph masks, and lab-scale external occulter type masks by various techniques including electron beam, ion beam, deep reactive ion etching, and black silicon technologies with illustrative examples of each. Further development is in progress to produce circular masks of various kinds for obscured aperture telescopes.

  3. SHIELD: FITGALAXY -- A Software Package for Automatic Aperture Photometry of Extended Sources

    NASA Astrophysics Data System (ADS)

    Marshall, Melissa

    2013-01-01

    Determining the parameters of extended sources, such as galaxies, is a common but time-consuming task. Finding a photometric aperture that encompasses the majority of the flux of a source and identifying and excluding contaminating objects is often done by hand - a lengthy and difficult to reproduce process. To make extracting information from large data sets both quick and repeatable, I have developed a program called FITGALAXY, written in IDL. This program uses minimal user input to automatically fit an aperture to, and perform aperture and surface photometry on, an extended source. FITGALAXY also automatically traces the outlines of surface brightness thresholds and creates surface brightness profiles, which can then be used to determine the radial properties of a source. Finally, the program performs automatic masking of contaminating sources. Masks and apertures can be applied to multiple images (regardless of the WCS solution or plate scale) in order to accurately measure the same source at different wavelengths. I present the fluxes, as measured by the program, of a selection of galaxies from the Local Volume Legacy Survey. I then compare these results with the fluxes given by Dale et al. (2009) in order to assess the accuracy of FITGALAXY.

  4. An improved land mask for the SSM/I grid

    NASA Technical Reports Server (NTRS)

    Martino, Michael G.; Cavalieri, Donald J.; Gloersen, Per; Zwally, H. Jay; Acker, James G. (Editor)

    1995-01-01

    This paper discusses the development of a new land/ocean/coastline mask for use with Defense Meteorological Satellite Program (DMSP) Special Sensor Microwave/Imager (SSM/I) data, and other types of data which are mapped to the polar stereographic SSM/I grid. Pre-existing land masks were found to disagree, to lack certain land features, and to disagree with land boundaries that are visible in high resolution sensor imagery, such as imagery from the Synthetic Aperture Radar (SAR) on the Earth Resources Satellite (ERS-1). The Digital Chart of the World (DCW) database was initially selected as a source of shoreline data for this effort. Techniques for developing a land mask from these shoreline data are discussed. The resulting land mask, although not perfect, is seen to exhibit significant improvement over previous land mask products.

  5. VizieR Online Data Catalog: Keck+Magellan survey for LLSs. III. (Prochaska+, 2015)

    NASA Astrophysics Data System (ADS)

    Prochaska, J. X.; O'Meara, J. M.; Fumagalli, M.; Bernstein, R. A.; Burles, S. M.

    2016-01-01

    The sample presented in this manuscript is intended to be a nearly all-inclusive set of Lyman Limit Systems (LLSs) discovered in the high-dispersion (echelle or echellette; R>5000) spectra that we have gathered at the Keck and Magellan telescopes. Regarding Keck, we have examined all of the data obtained by Principal Investigators (PIs) A. M. Wolfe and J. X. Prochaska at the W. M. Keck Observatory through 2012 April, and from PIs Burles, O'Meara, Bernstein, and Fumagalli at Magellan through 2012 July. We also include the Keck spectra analyzed by Penprase et al. (2010, J/ApJ/721/1). We present data obtained at the W. M. Keck and Las Campanas Observatories using the twin 10m Keck I and Keck II telescopes and the twin 6.5m Baade and Clay telescopes. Altogether, we used four spectrometers: (1) the High Resolution Echelle Spectrometer (HIRES); (2) the Echellette Spectrograph and Imager (ESI); (3) the Magellan Inamori Kyocera Echelle (MIKE); and (4) the Magellan Echellette Spectrograph (MagE). Observing logs for the HIRES and MIKE spectra are provided in Tables 1 and 2. (5 data files).

  6. Optical DC overlay measurement in the 2nd level process of 65 nm alternating phase shift mask

    NASA Astrophysics Data System (ADS)

    Ma, Jian; Han, Ke; Lee, Kyung; Korobko, Yulia; Silva, Mary; Chavez, Joas; Irvine, Brian; Henrichs, Sven; Chakravorty, Kishore; Olshausen, Robert; Chandramouli, Mahesh; Mammen, Bobby; Padmanaban, Ramaswamy

    2005-11-01

    Alternating phase shift mask (APSM) techniques help bridge the significant gap between the lithography wavelength and the patterning of minimum features, specifically, the poly line of 35 nm gate length (1x) in Intel's 65 nm technology. One of key steps in making APSM mask is to pattern to within the design tolerances the 2nd level resist so that the zero-phase apertures will be protected by the resist and the pi-phase apertures will be wide open for quartz etch. The ability to align the 2nd level to the 1st level binary pattern, i.e. the 2nd level overlay capability is very important, so is the capability of measuring the overlay accurately. Poor overlay could cause so-called the encroachment after quartz etch, producing undesired quartz bumps in the pi-apertures or quartz pits in the zero-apertures. In this paper, a simple, low-cost optical setup for the 2nd level DC (develop check) overlay measurements in the high volume manufacturing (HVM) of APSM masks is presented. By removing systematic errors in overlay associated with TIS and MIS (tool-induced shift and Mask-process induced shift), it is shown that this setup is capable of supporting the measurement of DC overlay with a tolerance as small as +/- 25 nm. The outstanding issues, such as DC overlay error component analysis, DC - FC (final check) overlay correlation and the overlay linearity (periphery vs. indie), are discussed.

  7. Asteroid (16) Psyche: Triaxial Ellipsoid Dimensions and Rotational Pole from Keck II NIRC2 AO Images and Keck I OSIRIS Images

    NASA Astrophysics Data System (ADS)

    Drummond, Jack D.; Conrad, Al; Reddy, Vishnu; de Kleer, Katherine R.; Adamkovics, Mate; de Pater, Imke; Merline, William J.; Tamblyn, Peter

    2016-10-01

    Adaptive optics (AO) images of asteroid (16) Psyche obtained at 4 epochs with the NIRC2 camera at the 10m W. M. Keck Observatory (Keck II) on UT 2015 December 25 lead to triaxial ellipsoid diameters of 279±4 x 230±2 x 195±14 km, and a rotational pole at RA=29° and Dec=-2°. Adding 6 more epochs obtained nearly simultaneously with the OSIRIS system at Keck I, as well as two more epochs from Keck II in 2009, yields diameters of 273±2 x 232±2 x 165±3 km, and a pole at RA=37° and Dec=+1°. (Errors are formal fit parameter uncertainties; an additional 4% uncertainty is possible from systematic biases.) The differing perspectives between 2015 (sub-Earth latitude Θ=-50°) and 2009 (Θ=-6°) improves primarily the c dimension and the location of the rotational pole, but illustrates how well images from even a single night can determine the size, shape, and pole of an asteroid. The 2015 observations were obtained as part of a campaign to study Psyche with many techniques over a few months, including radar from Arecibo and images from Magellan.These handful of images show the same rugged outline as the radius vector model available on the DAMIT website, constructed from many lightcurves and scaled by previous Keck AO images. In fact Psyche has rotated some 125,350 times between the first lightcurve in 1955 and our 2015 AO images, exactly 60 years apart to the day. Since the asteroid has such a high obliquity, these lightcurves have scanned well into both northern and southern hemispheres. The difference between the pole derived from our images and the radius vector model pole is only 7°, and the mean diameters of Psyche are 219 and 211 km, respectively.

  8. Polarization Angle Calibration and B-Mode Characterization with the BICEP and Keck Array CMB Telescopes

    NASA Astrophysics Data System (ADS)

    Bullock, Eric

    Since its discovery in 1964, the Cosmic Microwave Background (CMB) has led to widespread acceptance of the Big Bang cosmological paradigm as an explanation for the evolution of the Universe. However, this paradigm does not explain the origin of the initial conditions, leading to such issues as the "horizon problem" and "flatness problem." In the early 1980's, the inflationary paradigm was introduced as a possible source for the initial conditions. This theory postulates that the Universe underwent a period of exponential expansion within a tiny fraction of a second after the beginning. Such an expansion is predicted to inject a stochastic background of gravitational waves that could imprint a detectable B-mode (curl-like) signal in the polarization of the CMB. It is this signal that the family of telescopes used by the B ICEP1, BICEP2, and Keck Array collaborations were designed to detect. These telescopes are small aperture, on-axis, refracting telescopes. We have used the data from these telescopes, particularly BICEP2 and the Keck Array, to place the tightest constraints, as of March 2016, on the tensor-to-scalar ratio of the CMB of r 0.05 < 0.07. In this dissertation, we provide an overview of the Keck Array telescopes and analysis of the data. We also investigate, as the main focus of this dissertation, a device we call the Dielectric Sheet Calibrator (DSC) that is used to measure the polarization angles of our detectors as projected on the sky. With these measurements, we gain the potential to separate the polarization rotation effects of parity-violating physics, such as cosmic birefringence, from a systematic uncertainty on our detectors' polarization angles. Current calibration techniques for polarization sensitive CMB detectors claim an accuracy of +/-0.5°, which sets a limit for determining the usefulness of the DSC. Through a series of consistency tests on a single Keck Array receiver, we demonstrate a statistical uncertainty on the DSC measurements of

  9. High angular resolution observations of the cool giant V Hya

    NASA Astrophysics Data System (ADS)

    Pedretti, E.; Monnier, J. D.; Millan Gabet, R.; Traub, W. A.; Tuthill, P.; Danchi, W.; Berger, J.; Schloerb, F. P.; Thureau, N. D.; Carleton, N. P.; Lacasse, M. G.; Schuller, P. A.; Ragland, S.; Brewer, M.

    2005-12-01

    We present the preliminary interferometric observations of the cool giant star V Hya. V Hya, which is known to have mass-loss and to be surrounded by a dust shell,was observed in three narrow-band filters in the H bandpass at the infrared optical telescope array (IOTA), using the IONIC three-telescope beam combiner. The star was also observed at the Keck telescope using an aperture mask. We discuss the results and try to fit simple models to the observed data.

  10. Remote observing with the Keck Telescopes from the U.S. mainland

    NASA Astrophysics Data System (ADS)

    Kibrick, Robert I.; Allen, Steve L.; Conrad, Albert

    2000-06-01

    We describe the current status of efforts to establish a high-bandwidth network from the U.S. mainland to Mauna Kea and a facility in California to support Keck remote observing and engineering via the Internet. The California facility will be an extension of the existing Keck remote operations facility located in Waimea, Hawaii. It will be targeted towards short-duration observing runs which now comprise roughly half of all scheduled science runs on the Keck Telescope. Keck technical staff in Hawaii will support remote observers on the mainland via video conferencing and collaborative software tools. Advantages and disadvantages of remote operation from California versus Hawaii are explored, and costs of alternative communication paths examined. We describe a plan for a backup communications path to protect against failure of the primary network. Alternative software models for remote operation are explored, and recent operational results described.

  11. An Aperture Photometry Pipeline for K2 Data

    NASA Astrophysics Data System (ADS)

    Buzasi, Derek L.; Carboneau, Lindsey; Lezcano, Andy; Vydra, Ekaterina

    2016-01-01

    As part of an ongoing research program with undergraduate students at Florida Gulf Coast University, we have constructed an aperture photometry pipeline for K2 data. The pipeline performs dynamic automated aperture mask definition for all targets in the K2 fields, followed by aperture photometry and detrending. Our pipeline is currently used to support a number of projects, including studies of stellar rotation and activity, red giant asteroseismology, gyrochronology, and exoplanet searches. In addition, output is used to support an undergraduate class on exoplanets aimed at a student audience of both majors and non-majors. The pipeline is designed for both batch and single-target use, and is easily extensible to data from other missions, and pipeline output is available to the community. This paper will describe our pipeline and its capabilities and illustrate the quality of the results, drawing on all of the applications for which it is currently used.

  12. High-Resolution N-Band Observations of the Nova RS Ophiuchi with the Keck Interferometer Nuller

    NASA Technical Reports Server (NTRS)

    Barry, R. K.; Danchi, W. C.; Sokoloski, J. L.; Koresko, C.; Wisniewski, J. P.; Serabyn, E.; Traub, W.; Kuchner, M.; Greenhouse, M. A.

    2007-01-01

    We report new observations of the nova RS Ophiuchi (RS Oph) using the Keck Interferometer Nulling Instrument, approximately 3.8 days following the most recent outburst that occurred on 2006 February 12. The Keck Interferometer Nuller (KIN) operates in K-band from 8 to 12.5 pm in a nulling mode, which means that the central broad-band interference fringe is a dark fringe - with an angular width of 25 mas at mid band - rather than the bright fringe used ill a conventional optical interferometer. In this mode the stellar light itself is suppressed by the destructive fringe, effectively enhancing the contrast of the circumstellar material located near the star. By subsequently shifting the neighboring bright fringe onto the center of the source brightness distribution and integrating, a second spatial regime dominated by light from the central portion of the source is almost simultaneously sampled. The nulling technique is the sparse aperture equivalent of the conventional corongraphic technique used in filled aperture telescopes. By fitting the unique KIK inner and outer spatial regime data, we have obtained an angular size of the mid-infrared continuum of 6.2, 4.0. or 5.4 mas for a disk profile, gaussian profile (fwhm), and shell profile respectively. The data show evidence of enhanced neutral atomic hydrogen emission located in the inner spatial regime relative to the outer regime. There is also evidence of a 9.7 micron silicate feature seen outside of this region. Importantly, we see spectral lines excited by the nova flash in the outer region before the blast wave reaches these regions. These lines are from neutral, weakly excited atoms which support the following interpretation. We discuss the present results in terms of a unifying model of the system that includes an increase in density in the plane of the orbit of the two stars created by a spiral shock wave caused by the motion of the stars through the cool wind of the red giant star. These data show the power

  13. Invited Article: Mask-modulated lensless imaging with multi-angle illuminations

    NASA Astrophysics Data System (ADS)

    Zhang, Zibang; Zhou, You; Jiang, Shaowei; Guo, Kaikai; Hoshino, Kazunori; Zhong, Jingang; Suo, Jinli; Dai, Qionghai; Zheng, Guoan

    2018-06-01

    The use of multiple diverse measurements can make lensless phase retrieval more robust. Conventional diversity functions include aperture diversity, wavelength diversity, translational diversity, and defocus diversity. Here we discuss a lensless imaging scheme that employs multiple spherical-wave illuminations from a light-emitting diode array as diversity functions. In this scheme, we place a binary mask between the sample and the detector for imposing support constraints for the phase retrieval process. This support constraint enforces the light field to be zero at certain locations and is similar to the aperture constraint in Fourier ptychographic microscopy. We use a self-calibration algorithm to correct the misalignment of the binary mask. The efficacy of the proposed scheme is first demonstrated by simulations where we evaluate the reconstruction quality using mean square error and structural similarity index. The scheme is then experimentally tested by recovering images of a resolution target and biological samples. The proposed scheme may provide new insights for developing compact and large field-of-view lensless imaging platforms. The use of the binary mask can also be combined with other diversity functions for better constraining the phase retrieval solution space. We provide the open-source implementation code for the broad research community.

  14. The Last Gasps of VY Canis Majoris: Aperture Synthesis and Adaptive Optics Imagery

    NASA Astrophysics Data System (ADS)

    Monnier, J. D.; Tuthill, P. G.; Lopez, B.; Cruzalebes, P.; Danchi, W. C.; Haniff, C. A.

    1999-02-01

    We present new observations of the red supergiant VY CMa at 1.25, 1.65, 2.26, 3.08, and 4.8 μm. Two complementary observational techniques were utilized: nonredundant aperture masking on the 10 m Keck I telescope, yielding images of the innermost regions at unprecedented resolution, and adaptive optics imaging on the ESO 3.6 m telescope at La Silla, attaining an extremely high (~105) peak-to-noise dynamic range over a wide field. For the first time the inner dust shell has been resolved in the near-infrared to reveal a one-sided extension of circumstellar emission within 0.1" (~15 R*) of the star. The line-of-sight optical depths of the circumstellar dust shell at 1.65, 2.26, and 3.08 μm have been estimated to be 1.86+/-0.42, 0.85+/-0.20, and 0.44+/-0.11, respectively. These new results allow the bolometric luminosity of VY CMa to be estimated independent of the dust shell geometry, yielding L*~2×105 Lsolar. A variety of dust condensations, including a large scattering plume and a bow-shaped dust feature, were observed in the faint, extended nebula up to 4" from the central source. While the origin of the nebulous plume remains uncertain, a geometrical model is developed assuming the plume is produced by radially driven dust grains forming at a rotating flow insertion point with a rotational period between 1200 and 4200 yr, which is perhaps the stellar rotational period or the orbital period of an unseen companion.

  15. Self-Referencing Hartmann Test for Large-Aperture Telescopes

    NASA Technical Reports Server (NTRS)

    Korechoff, Robert P.; Oseas, Jeffrey M.

    2010-01-01

    A method is proposed for end-to-end, full aperture testing of large-aperture telescopes using an innovative variation of a Hartmann mask. This technique is practical for telescopes with primary mirrors tens of meters in diameter and of any design. Furthermore, it is applicable to the entire optical band (near IR, visible, ultraviolet), relatively insensitive to environmental perturbations, and is suitable for ambient laboratory as well as thermal-vacuum environments. The only restriction is that the telescope optical axis must be parallel to the local gravity vector during testing. The standard Hartmann test utilizes an array of pencil beams that are cut out of a well-corrected wavefront using a mask. The pencil beam array is expanded to fill the full aperture of the telescope. The detector plane of the telescope is translated back and forth along the optical axis in the vicinity of the nominal focal plane, and the centroid of each pencil beam image is recorded. Standard analytical techniques are then used to reconstruct the telescope wavefront from the centroid data. The expansion of the array of pencil beams is usually accomplished by double passing the beams through the telescope under test. However, this requires a well-corrected, autocollimation flat, the diameter or which is approximately equal to that of the telescope aperture. Thus, the standard Hartmann method does not scale well because of the difficulty and expense of building and mounting a well-corrected, large aperture flat. The innovation in the testing method proposed here is to replace the large aperture, well-corrected, monolithic autocollimation flat with an array of small-aperture mirrors. In addition to eliminating the need for a large optic, the surface figure requirement for the small mirrors is relaxed compared to that required of the large autocollimation flat. The key point that allows this method to work is that the small mirrors need to operate as a monolithic flat only with regard to

  16. 3D-printed coded apertures for x-ray backscatter radiography

    NASA Astrophysics Data System (ADS)

    Muñoz, André A. M.; Vella, Anna; Healy, Matthew J. F.; Lane, David W.; Jupp, Ian; Lockley, David

    2017-09-01

    Many different mask patterns can be used for X-ray backscatter imaging using coded apertures, which can find application in the medical, industrial and security sectors. While some of these patterns may be considered to have a self-supporting structure, this is not the case for some of the most frequently used patterns such as uniformly redundant arrays or any pattern with a high open fraction. This makes mask construction difficult and usually requires a compromise in its design by drilling holes or adopting a no two holes touching version of the original pattern. In this study, this compromise was avoided by 3D printing a support structure that was then filled with a radiopaque material to create the completed mask. The coded masks were manufactured using two different methods, hot cast and cold cast. Hot casting involved casting a bismuth alloy at 80°C into the 3D printed acrylonitrile butadiene styrene mould which produced an absorber with density of 8.6 g cm-3. Cold casting was undertaken at room temperature, when a tungsten/epoxy composite was cast into a 3D printed polylactic acid mould. The cold cast procedure offered a greater density of around 9.6 to 10 g cm-3 and consequently greater X-ray attenuation. It was also found to be much easier to manufacture and more cost effective. A critical review of the manufacturing procedure is presented along with some typical images. In both cases the 3D printing process allowed square apertures to be created avoiding their approximation by circular holes when conventional drilling is used.

  17. University of Maryland MRSEC - Facilities: Keck Laboratory

    Science.gov Websites

    MRSEC Templates Opportunities Search Home » Facilities » Keck Laboratory Shared Experimental educational institutions for non-profit administrative or educational purposes if proper credit is given to

  18. Performance of the Keck Observatory adaptive-optics system.

    PubMed

    van Dam, Marcos A; Le Mignant, David; Macintosh, Bruce A

    2004-10-10

    The adaptive-optics (AO) system at the W. M. Keck Observatory is characterized. We calculate the error budget of the Keck AO system operating in natural guide star mode with a near-infrared imaging camera. The measurement noise and bandwidth errors are obtained by modeling the control loops and recording residual centroids. Results of sky performance tests are presented: The AO system is shown to deliver images with average Strehl ratios of as much as 0.37 at 1.58 microm when a bright guide star is used and of 0.19 for a magnitude 12 star. The images are consistent with the predicted wave-front error based on our error budget estimates.

  19. Phase measurements of EUV mask defects

    DOE PAGES

    Claus, Rene A.; Wang, Yow-Gwo; Wojdyla, Antoine; ...

    2015-02-22

    Extreme Ultraviolet (EUV) Lithography mask defects were examined on the actinic mask imaging system, SHARP, at Lawrence Berkeley National Laboratory. Also, a quantitative phase retrieval algorithm based on the Weak Object Transfer Function was applied to the measured through-focus aerial images to examine the amplitude and phase of the defects. The accuracy of the algorithm was demonstrated by comparing the results of measurements using a phase contrast zone plate and a standard zone plate. Using partially coherent illumination to measure frequencies that would otherwise fall outside the numerical aperture (NA), it was shown that some defects are smaller than themore » conventional resolution of the microscope. We found that the programmed defects of various sizes were measured and shown to have both an amplitude and a phase component that the algorithm is able to recover.« less

  20. Complex Pupil Masks for Aberrated Imaging of Closely Spaced Objects

    NASA Astrophysics Data System (ADS)

    Reddy, A. N. K.; Sagar, D. K.; Khonina, S. N.

    2017-12-01

    Current approach demonstrates the suppression of optical side-lobes and the contraction of the main lobe in the composite image of two object points of the optical system under the influence of defocusing effect when an asymmetric phase edges are imposed over the apodized circular aperture. The resolution of two point sources having different intensity ratio is discussed in terms of the modified Sparrow criterion, functions of the degree of coherence of the illumination, the intensity difference and the degree of asymmetric phase masking. Here we have introduced and explored the effects of focus aberration (defect-of-focus) on the two-point resolution of the optical systems. Results on the aberrated composite image of closely spaced objects with amplitude mask and asymmetric phase masks forms a significant contribution in astronomical and microscopic observations.

  1. Photorefractive keratectomy at 193 nm using an erodible mask

    NASA Astrophysics Data System (ADS)

    Gordon, Michael; Brint, Stephen F.; Durrie, Daniel S.; Seiler, Theo; Friedman, Marc D.; Johnsson, N. M. F.; King, Michael C.; Muller, David F.

    1992-08-01

    Clinical experience with more than ten thousand sighted eyes has demonstrated great promise for correcting myopia with photorefractive keratectomy (PRK). Previously reported techniques have incorporated computer-controlled irises, diaphragms, and apertures to regulate the desired distribution of 193 nm radiation onto the eye. This paper reports on an entirely new approach for performing PRK which utilizes an erodible mask to control the shape transfer process. Compared to the more traditional techniques, the erodible mask offers promise of correcting a broad range of refractive errors. In this paper the erodible mask and associated hardware are described in detail. We describe the shape transfer experiments used to predict the functional relationship between the desired refractive correction and the mask shape. We report on early clinical results from five patients with myopic astigmatism. We conclude that the early shape transfer experiments overestimated the spherical component of the correction by 1.25 diopters and underestimated the cylindrical component by approximately 0.85 diopters. The data suggest there may be biological effects which evoke different healing responses when myopic PRK corrections are performed with and without astigmatism. Clinical trials are proceeding with the mask shapes adjusted for these observations.

  2. Aperture shape dependencies in extended depth of focus for imaging camera by wavefront coding

    NASA Astrophysics Data System (ADS)

    Sakita, Koichi; Ohta, Mitsuhiko; Shimano, Takeshi; Sakemoto, Akito

    2015-02-01

    Optical transfer functions (OTFs) on various directional spatial frequency axes for cubic phase mask (CPM) with circular and square apertures are investigated. Although OTF has no zero points, it has a very close value to zero for a circular aperture at low frequencies on diagonal axis, which results in degradation of restored images. The reason for close-to-zero value in OTF is also analyzed in connection with point spread function profiles using Fourier slice theorem. To avoid close-to-zero condition, square aperture with CPM is indispensable in WFC. We optimized cubic coefficient α of CPM and coefficients of digital filter, and succeeded to get excellent de-blurred images at large depth of field.

  3. Photon-Weighted Midpoint Exposure Meter for Keck/HIRES Extrasolar Planet Research

    NASA Technical Reports Server (NTRS)

    1999-01-01

    NASA Grant was received for research involving the construction of a photon-weighting midpoint exposure meter for the Keck HIRES spectrometer, and for support of our NASA/Keck-based planet research with this instrumentation. The research funds were also to be used to make our iodine cell calibration system and exposure meter available to the NASA Keck observing community. Progress this past year, the second of the 3-year granting period, involved work in 4 areas: 1) Further construction of the midpoint exposure meter. 2) Assisting observers with use of the Iodine system. 3) Acquisition of precision radial velocity data on our program star sample with continued monitoring to proceed in subsequent years as available telescope time permits. 4) Reduction and analysis of incoming precision radial velocity data to reject problematic and uninteresting program stars, and to identify promising planet candidates.

  4. Advances in instrumentation at the W. M. Keck Observatory

    NASA Astrophysics Data System (ADS)

    Adkins, Sean M.; Armandroff, Taft; Lewis, Hilton; Martin, Chris; McLean, Ian S.; Rockosi, Constance; Wizinowich, Peter

    2010-07-01

    In this paper we describe both recently completed instrumentation projects and our current development efforts in the context of the Observatory's science driven strategic plan which seeks to address key questions in observational astronomy for extra-galactic, Galactic, and planetary science with both seeing limited capabilities and high angular resolution adaptive optics capabilities. This paper will review recently completed projects as well as new instruments in development including MOSFIRE, a near IR multi-object spectrograph nearing completion, a new seeing limited integral field spectrograph for the visible wavelength range called the Keck Cosmic Web Imager, and the Keck Next Generation Adaptive Optics facility and its first light science instrument DAVINCI.

  5. Masked areas in shear peak statistics. A forward modeling approach

    DOE PAGES

    Bard, D.; Kratochvil, J. M.; Dawson, W.

    2016-03-09

    The statistics of shear peaks have been shown to provide valuable cosmological information beyond the power spectrum, and will be an important constraint of models of cosmology in forthcoming astronomical surveys. Surveys include masked areas due to bright stars, bad pixels etc., which must be accounted for in producing constraints on cosmology from shear maps. We advocate a forward-modeling approach, where the impacts of masking and other survey artifacts are accounted for in the theoretical prediction of cosmological parameters, rather than correcting survey data to remove them. We use masks based on the Deep Lens Survey, and explore the impactmore » of up to 37% of the survey area being masked on LSST and DES-scale surveys. By reconstructing maps of aperture mass the masking effect is smoothed out, resulting in up to 14% smaller statistical uncertainties compared to simply reducing the survey area by the masked area. We show that, even in the presence of large survey masks, the bias in cosmological parameter estimation produced in the forward-modeling process is ≈1%, dominated by bias caused by limited simulation volume. We also explore how this potential bias scales with survey area and evaluate how much small survey areas are impacted by the differences in cosmological structure in the data and simulated volumes, due to cosmic variance.« less

  6. MASKED AREAS IN SHEAR PEAK STATISTICS: A FORWARD MODELING APPROACH

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bard, D.; Kratochvil, J. M.; Dawson, W., E-mail: djbard@slac.stanford.edu

    2016-03-10

    The statistics of shear peaks have been shown to provide valuable cosmological information beyond the power spectrum, and will be an important constraint of models of cosmology in forthcoming astronomical surveys. Surveys include masked areas due to bright stars, bad pixels etc., which must be accounted for in producing constraints on cosmology from shear maps. We advocate a forward-modeling approach, where the impacts of masking and other survey artifacts are accounted for in the theoretical prediction of cosmological parameters, rather than correcting survey data to remove them. We use masks based on the Deep Lens Survey, and explore the impactmore » of up to 37% of the survey area being masked on LSST and DES-scale surveys. By reconstructing maps of aperture mass the masking effect is smoothed out, resulting in up to 14% smaller statistical uncertainties compared to simply reducing the survey area by the masked area. We show that, even in the presence of large survey masks, the bias in cosmological parameter estimation produced in the forward-modeling process is ≈1%, dominated by bias caused by limited simulation volume. We also explore how this potential bias scales with survey area and evaluate how much small survey areas are impacted by the differences in cosmological structure in the data and simulated volumes, due to cosmic variance.« less

  7. Bubble masks for time-encoded imaging of fast neutrons.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brubaker, Erik; Brennan, James S.; Marleau, Peter

    2013-09-01

    Time-encoded imaging is an approach to directional radiation detection that is being developed at SNL with a focus on fast neutron directional detection. In this technique, a time modulation of a detected neutron signal is inducedtypically, a moving mask that attenuates neutrons with a time structure that depends on the source position. An important challenge in time-encoded imaging is to develop high-resolution two-dimensional imaging capabilities; building a mechanically moving high-resolution mask presents challenges both theoretical and technical. We have investigated an alternative to mechanical masks that replaces the solid mask with a liquid such as mineral oil. Instead of fixedmore » blocks of solid material that move in pre-defined patterns, the oil is contained in tubing structures, and carefully introduced air gapsbubblespropagate through the tubing, generating moving patterns of oil mask elements and air apertures. Compared to current moving-mask techniques, the bubble mask is simple, since mechanical motion is replaced by gravity-driven bubble propagation; it is flexible, since arbitrary bubble patterns can be generated by a software-controlled valve actuator; and it is potentially high performance, since the tubing and bubble size can be tuned for high-resolution imaging requirements. We have built and tested various single-tube mask elements, and will present results on bubble introduction and propagation as a function of tubing size and cross-sectional shape; real-time bubble position tracking; neutron source imaging tests; and reconstruction techniques demonstrated on simple test data as well as a simulated full detector system.« less

  8. The JWST/NIRCam Coronagraph: Mask Design and Fabrication

    NASA Technical Reports Server (NTRS)

    Krista, John E.; Balasubramanian, Kunjithapatha; Beichman, Charles A.; Echternach, Pierre M.; Green, Joseph J.; Liewer, Kurt M.; Muller, Richard E.; Serabyn, Eugene; Shaklan, Stuart B.; Trauger, John T.; hide

    2009-01-01

    The NIRCam instrument on the James Webb Space Telescope will provide coronagraphic imaging from lambda =1-5 microns of high contrast sources such as extrasolar planets and circumstellar disks. A Lyot coronagraph with a variety of circular and wedge-shaped occulting masks and matching Lyot pupil stops will be implemented. The occulters approximate grayscale transmission profiles using halftone binary patterns comprising wavelength-sized metal dots on anti-reflection coated sapphire substrates. The mask patterns are being created in the Micro Devices Laboratory at the Jet Propulsion Laboratory using electron beam lithography. Samples of these occulters have been successfully evaluated in a coronagraphic testbed. In a separate process, the complex apertures that form the Lyot stops will be deposited onto optical wedges. The NIRCam coronagraph flight components are expected to be completed this year.

  9. Rule of Thumb Proposing the Size of Aperture Expected to be Sufficient to Resolve Double Stars with Given Parameters

    NASA Astrophysics Data System (ADS)

    Knapp, Wilfried

    2018-01-01

    Visual observation of double stars is an anachronistic passion especially attractive for amateurs looking for sky objects suitable for visual observation even in light polluted areas. Session planning then requires a basic idea which objects might be suitable for a given equipment—this question is a long term issue for visual double star observers and obviously not easy to answer, especially for unequal bright components. Based on a reasonably large database with limited aperture observations (done with variable aperture equipment iris diaphragm or aperture masks) a heuristic approach is used to derive a statistically well founded Rule of Thumb formula.

  10. A Novel Multi-Aperture Based Sun Sensor Based on a Fast Multi-Point MEANSHIFT (FMMS) Algorithm

    PubMed Central

    You, Zheng; Sun, Jian; Xing, Fei; Zhang, Gao-Fei

    2011-01-01

    With the current increased widespread interest in the development and applications of micro/nanosatellites, it was found that we needed to design a small high accuracy satellite attitude determination system, because the star trackers widely used in large satellites are large and heavy, and therefore not suitable for installation on micro/nanosatellites. A Sun sensor + magnetometer is proven to be a better alternative, but the conventional sun sensor has low accuracy, and cannot meet the requirements of the attitude determination systems of micro/nanosatellites, so the development of a small high accuracy sun sensor with high reliability is very significant. This paper presents a multi-aperture based sun sensor, which is composed of a micro-electro-mechanical system (MEMS) mask with 36 apertures and an active pixels sensor (APS) CMOS placed below the mask at a certain distance. A novel fast multi-point MEANSHIFT (FMMS) algorithm is proposed to improve the accuracy and reliability, the two key performance features, of an APS sun sensor. When the sunlight illuminates the sensor, a sun spot array image is formed on the APS detector. Then the sun angles can be derived by analyzing the aperture image location on the detector via the FMMS algorithm. With this system, the centroid accuracy of the sun image can reach 0.01 pixels, without increasing the weight and power consumption, even when some missing apertures and bad pixels appear on the detector due to aging of the devices and operation in a harsh space environment, while the pointing accuracy of the single-aperture sun sensor using the conventional correlation algorithm is only 0.05 pixels. PMID:22163770

  11. Protection of extreme ultraviolet lithography masks. II. Showerhead flow mitigation of nanoscale particulate contamination [Protection of EUV lithography masks II: Showerhead flow mitigation of nanoscale particulate contamination

    DOE PAGES

    Klebanoff, Leonard E.; Torczynski, John R.; Geller, Anthony S.; ...

    2015-03-27

    An analysis is presented of a method to protect the reticle (mask) in an extreme ultraviolet (EUV) mask inspection tool using a showerhead plenum to provide a continuous flow of clean gas over the surface of a reticle. The reticle is suspended in an inverted fashion (face down) within a stage/holder that moves back and forth over the showerhead plenum as the reticle is inspected. It is essential that no particles of 10-nm diameter or larger be deposited on the reticle during inspection. Particles can originate from multiple sources in the system, and mask protection from each source is explicitlymore » analyzed. The showerhead plate has an internal plenum with a solid conical wall isolating the aperture. The upper and lower surfaces of the plate are thin flat sheets of porous-metal material. These porous sheets form the top and bottom showerheads that supply the region between the showerhead plate and the reticle and the region between the conical aperture and the Optics Zone box with continuous flows of clean gas. The model studies show that the top showerhead provides robust reticle protection from particles of 10-nm diameter or larger originating from the Reticle Zone and from plenum surfaces contaminated by exposure to the Reticle Zone. Protection is achieved with negligible effect on EUV transmission. Furthermore, the bottom showerhead efficiently protects the reticle from nanoscale particles originating from the Optics Zone.« less

  12. Structural colour printing from a reusable generic nanosubstrate masked for the target image

    NASA Astrophysics Data System (ADS)

    Rezaei, M.; Jiang, H.; Kaminska, B.

    2016-02-01

    Structural colour printing has advantages over traditional pigment-based colour printing. However, the high fabrication cost has hindered its applications in printing large-area images because each image requires patterning structural pixels in nanoscale resolution. In this work, we present a novel strategy to print structural colour images from a pixelated substrate which is called a nanosubstrate. The nanosubstrate is fabricated only once using nanofabrication tools and can be reused for printing a large quantity of structural colour images. It contains closely packed arrays of nanostructures from which red, green, blue and infrared structural pixels can be imprinted. To print a target colour image, the nanosubstrate is first covered with a mask layer to block all the structural pixels. The mask layer is subsequently patterned according to the target colour image to make apertures of controllable sizes on top of the wanted primary colour pixels. The masked nanosubstrate is then used as a stamp to imprint the colour image onto a separate substrate surface using nanoimprint lithography. Different visual colours are achieved by properly mixing the red, green and blue primary colours into appropriate ratios controlled by the aperture sizes on the patterned mask layer. Such a strategy significantly reduces the cost and complexity of printing a structural colour image from lengthy nanoscale patterning into high throughput micro-patterning and makes it possible to apply structural colour printing in personalized security features and data storage. In this paper, nanocone array grating pixels were used as the structural pixels and the nanosubstrate contains structures to imprint the nanocone arrays. Laser lithography was implemented to pattern the mask layer with submicron resolution. The optical properties of the nanocone array gratings are studied in detail. Multiple printed structural colour images with embedded covert information are demonstrated.

  13. Sparse aperture masking at the VLT. II. Detection limits for the eight debris disks stars β Pic, AU Mic, 49 Cet, η Tel, Fomalhaut, g Lup, HD 181327 and HR 8799

    NASA Astrophysics Data System (ADS)

    Gauchet, L.; Lacour, S.; Lagrange, A.-M.; Ehrenreich, D.; Bonnefoy, M.; Girard, J. H.; Boccaletti, A.

    2016-10-01

    Context. The formation of planetary systems is a common, yet complex mechanism. Numerous stars have been identified to possess a debris disk, a proto-planetary disk or a planetary system. The understanding of such formation process requires the study of debris disks. These targets are substantial and particularly suitable for optical and infrared observations. Sparse aperture masking (SAM) is a high angular resolution technique strongly contributing to probing the region from 30 to 200 mas around the stars. This area is usually unreachable with classical imaging, and the technique also remains highly competitive compared to vortex coronagraphy. Aims: We aim to study debris disks with aperture masking to probe the close environment of the stars. Our goal is either to find low-mass companions, or to set detection limits. Methods: We observed eight stars presenting debris disks (β Pictoris, AU Microscopii, 49 Ceti, η Telescopii, Fomalhaut, g Lupi, HD 181327, and HR 8799) with SAM technique on the NaCo instrument at the Very Large Telescope (VLT). Results: No close companions were detected using closure phase information under 0.5'' of separation from the parent stars. We obtained magnitude detection limits that we converted to Jupiter masses detection limits using theoretical isochrones from evolutionary models. Conclusions: We derived upper mass limits on the presence of companions in the area of a few times the telescope's diffraction limits around each target star. Based on observations collected at the European Southern Observatory (ESO) during runs 087.C-0450(A), 087.C-0450(B) 087.C-0750(A), 088.C-0358(A).All magnitude detection limits maps are only available at the CDS via anonymous ftp to http://cdsarc.u-strasbg.fr (http://130.79.128.5) or via http://cdsarc.u-strasbg.fr/viz-bin/qcat?J/A+A/595/A31

  14. What's in a mask? Information masking with forward and backward visual masks.

    PubMed

    Davis, Chris; Kim, Jeesun

    2011-10-01

    Three experiments tested how the physical format and information content of forward and backward masks affected the extent of visual pattern masking. This involved using different types of forward and backward masks with target discrimination measured by percentage correct in the first experiment (with a fixed target duration) and by an adaptive threshold procedure in the last two. The rationale behind the manipulation of the content of the masks stemmed from masking theories emphasizing attentional and/or conceptual factors rather than visual ones. Experiment 1 used word masks and showed that masking was reduced (a masking reduction effect) when the forward and backward masks were the same word (although in different case) compared to when the masks were different words. Experiment 2 tested the extent to which a reduction in masking might occur due to the physical similarity between the forward and backward masks by comparing the effect of the same content of the masks in the same versus different case. The result showed a significant reduction in masking for same content masks but no significant effect of case. The last experiment examined whether the reduction in masking effect would be observed with nonword masks--that is, having no high-level representation. No reduction in masking was found from same compared to different nonword masks (Experiment 3). These results support the view that the conscious perception of a rapidly displayed target stimulus is in part determined by high-level perceptual/cognitive factors concerned with masking stimulus grouping and attention.

  15. Blue camera of the Keck cosmic web imager, fabrication and testing

    NASA Astrophysics Data System (ADS)

    Rockosi, Constance; Cowley, David; Cabak, Jerry; Hilyard, David; Pfister, Terry

    2016-08-01

    The Keck Cosmic Web Imager (KCWI) is a new facility instrument being developed for the W. M. Keck Observatory and funded for construction by the Telescope System Instrumentation Program (TSIP) of the National Science Foundation (NSF). KCWI is a bench-mounted spectrograph for the Keck II right Nasmyth focal station, providing integral field spectroscopy over a seeing-limited field up to 20" x 33" in extent. Selectable Volume Phase Holographic (VPH) gratings provide high efficiency and spectral resolution in the range of 1000 to 20000. The dual-beam design of KCWI passed a Preliminary Design Review in summer 2011. The detailed design of the KCWI blue channel (350 to 700 nm) is now nearly complete, with the red channel (530 to 1050 nm) planned for a phased implementation contingent upon additional funding. KCWI builds on the experience of the Caltech team in implementing the Cosmic Web Imager (CWI), in operation since 2009 at Palomar Observatory. KCWI adds considerable flexibility to the CWI design, and will take full advantage of the excellent seeing and dark sky above Mauna Kea with a selectable nod-and-shuffle observing mode. In this paper, models of the expected KCWI sensitivity and background subtraction capability are presented, along with a detailed description of the instrument design. The KCWI team is lead by Caltech (project management, design and implementation) in partnership with the University of California at Santa Cruz (camera optical and mechanical design) and the W. M. Keck Observatory (program oversight and observatory interfaces). The optical design of the blue camera for the Keck Cosmic Web Imager (KCWI) by Harland Epps of the University of California, Santa Cruz is a lens assembly consisting of eight spherical optical elements. Half the elements are calcium fluoride and all elements are air spaced. The design of the camera barrel is unique in that all the optics are secured in their respective cells with an RTV annulus without additional hardware

  16. KPF: Keck Planet Finder

    NASA Astrophysics Data System (ADS)

    Gibson, Steven R.; Howard, Andrew W.; Marcy, Geoffrey W.; Edelstein, Jerry; Wishnow, Edward H.; Poppett, Claire L.

    2016-08-01

    KPF is a fiber-fed, high-resolution, high-stability spectrometer in development at the UC Berkeley Space Sciences Laboratory for the W.M. Keck Observatory. The instrument is designed to characterize exoplanets via Doppler spectroscopy with a single measurement precision of 0.5ms-1 or better, however its resolution and stability will enable a wide variety of astrophysical pursuits. KPF will have a 200mm collimated beam diameter and a resolving power of >80,000. The design includes a green channel (440nm to 590 nm) and red channel (590nm to 850 nm). A novel design aspect of KPF is the use of a Zerodur optical bench, and Zerodur optics with integral mounts, to provide stability against thermal expansion and contraction effects.

  17. Near infra-red astronomy with adaptive optics and laser guide stars at the Keck Observatory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Max, C.E.; Gavel, D.T.; Olivier, S.S.

    1995-08-03

    A laser guide star adaptive optics system is being built for the W. M. Keck Observatory`s 10-meter Keck II telescope. Two new near infra-red instruments will be used with this system: a high-resolution camera (NIRC 2) and an echelle spectrometer (NIRSPEC). The authors describe the expected capabilities of these instruments for high-resolution astronomy, using adaptive optics with either a natural star or a sodium-layer laser guide star as a reference. They compare the expected performance of these planned Keck adaptive optics instruments with that predicted for the NICMOS near infra-red camera, which is scheduled to be installed on the Hubblemore » Space Telescope in 1997.« less

  18. Retention of pediatric bag-mask ventilation efficacy skill by inexperienced medical student resuscitators using standard bag-mask ventilation masks, pocket masks, and blob masks.

    PubMed

    Kitagawa, Kory H; Nakamura, Nina M; Yamamoto, Loren

    2006-03-01

    To measure the ventilation efficacy with three single-sized mask types on infant and child manikin models. Medical students were recruited as study subjects inasmuch as they are inexperienced resuscitators. They were taught proper bag-mask ventilation (BMV) according to the American Heart Association guidelines on an infant and a child manikin. Subjects completed a BMV attempt successfully using the adult standard mask (to simulate the uncertainty of mask selection), pocket mask, and blob mask. Each attempt consisted of 5 ventilations assessed by chest rise of the manikin. Study subjects were asked which mask was easiest to use. Four to six weeks later, subjects repeated the procedure with no instructions (to simulate an emergency BMV encounter without immediate pre-encounter teaching). Forty-six volunteer subjects were studied. During the first attempt, subjects preferred the standard and blob masks over the pocket mask. For the second attempt, the blob mask was preferred over the standard mask, and few liked the pocket mask. Using the standard, blob, and pocket masks on the child manikin, 39, 42, and 20 subjects, respectively, were able to achieve adequate ventilation. Using the standard, blob, and pocket masks on the infant manikin, 45, 45, and 11 subjects, respectively, were able to achieve adequate ventilation. Both the standard and blob masks are more effective than the pocket mask at achieving adequate ventilation on infant and child manikins in this group of inexperienced medical student resuscitators, who most often preferred the blob mask.

  19. Evaluation Of Digital Unsharp-Mask Filtering For The Detection Of Subtle Mammographic Microcalcifications

    NASA Astrophysics Data System (ADS)

    Chan, Heang-Ping; Vyborny, Carl J.; MacMahon, Heber; Metz, Charles E.; Doi, Kunio; Sickles, Edward A.

    1986-06-01

    We have conducted a study to assess the effects of digitization and unsharp-mask filtering on the ability of observers to detect subtle microcalcifications in mammograms. Thirty-two conventional screen-film mammograms were selected from patient files by two experienced mammographers. Twelve of the mammograms contained a suspicious cluster of microcalcifications in patients who subsequently underwent biopsy. Twenty of the mammograms were normal cases which were initially interpreted as being free of clustered microcalcifications and did not demonstrate such on careful review. The mammograms were digitized with a high-quality Fuji image processing/simulation system. The system consists of two drum scanners with which an original radiograph can be digitized, processed by a minicomputer, and reconstituted on film. In this study, we employed a sampling aperture of 0.1 mm X 0.1 mm and a sampling distance of 0.1 mm. The density range from 0.2 to 2.75 was digitized to 1024 grey levels per pixel. The digitized images were printed on a single emulsion film with a display aperture having the same size as the sampling aperture. The system was carefully calibrated so that the density and contrast of a digitized image were closely matched to those of the original radiograph. Initially, we evaluated the effects of the weighting factor and the mask size of a unsharp-mask filter on the appearance of mammograms for various types of breasts. Subjective visual comparisons suggested that a mask size of 91 X 91 pixels (9.1 mm X 9.1 mm) enhances the visibility of microcalcifications without excessively increasing the high-frequency noise. Further, a density-dependent weighting factor that increases linearly from 1.5 to 3.0 in the density range of 0.2 to 2.5 enhances the contrast of microcalcifications without introducing many potentially confusing artifacts in the low-density areas. An unsharp-mask filter with these parameters was used to process the digitized mammograms. We conducted

  20. X-ray photon correlation spectroscopy using a fast pixel array detector with a grid mask resolution enhancer.

    PubMed

    Hoshino, Taiki; Kikuchi, Moriya; Murakami, Daiki; Harada, Yoshiko; Mitamura, Koji; Ito, Kiminori; Tanaka, Yoshihito; Sasaki, Sono; Takata, Masaki; Jinnai, Hiroshi; Takahara, Atsushi

    2012-11-01

    The performance of a fast pixel array detector with a grid mask resolution enhancer has been demonstrated for X-ray photon correlation spectroscopy (XPCS) measurements to investigate fast dynamics on a microscopic scale. A detecting system, in which each pixel of a single-photon-counting pixel array detector, PILATUS, is covered by grid mask apertures, was constructed for XPCS measurements of silica nanoparticles in polymer melts. The experimental results are confirmed to be consistent by comparison with other independent experiments. By applying this method, XPCS measurements can be carried out by customizing the hole size of the grid mask to suit the experimental conditions, such as beam size, detector size and sample-to-detector distance.

  1. Imaging Protoplanets: Observing Transition Disks with Non-Redundant Masking

    NASA Astrophysics Data System (ADS)

    Sallum, Stephanie

    2017-01-01

    Transition disks - protoplanetary disks with inner, solar system sized clearings - may be shaped by young planets. Directly imaging protoplanets in these objects requires high contrast and resolution, making them promising targets for future extremely large telescopes. The interferometric technique of non-redundant masking (NRM) is well suited for these observations, enabling companion detection for contrasts of 1:100 - 1:1000 at or within the diffraction limit. My dissertation focuses on searching for and characterizing companions in transition disk clearings using NRM. I will briefly describe the technique and present spatially resolved observations of the T Cha and LkCa 15 transition disks. Both of these objects hosted posited substellar companions. However multi-epoch T Cha datasets cannot be explained by planets orbiting in the disk plane. Conversely, LkCa 15 data taken with the Large Binocular Telescope (LBT) in single-aperture mode reveal the presence of multiple forming planets. The dual aperture LBT will provide triple the angular resolution of these observations, dramatically increasing the phase space for exoplanet detection. I will also present new results from the dual-aperture LBT, with similar resolution to that expected for next generation facilities like GMT.

  2. Wavefront control system for the Keck telescope

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brase, J. M., LLNL

    1998-03-01

    The laser guide star adaptive optics system currently being developed for the Keck 2 telescope consists of several major subsystems: the optical bench, wavefront control, user interface and supervisory control, and the laser system. The paper describes the design and implementation of the wavefront control subsystem that controls a 349 actuator deformable mirror for high order correction and tip-tilt mirrors for stabilizing the image and laser positions.

  3. Keck Observations of the Gas Dynamics at the Galactic Center

    NASA Astrophysics Data System (ADS)

    Campbell, Randall; Ciurlo, Anna; Morris, Mark; Sitarski, Breann N.; Ghez, Andrea M.; Do, Tuan

    2018-06-01

    In the central parsec of the Milky Way Galaxy the environment of the super-massive black hole (SMBH) presents a complicated mixture of stars, gas, and dust. These inner few tens of arcseconds of the GC have been observed at high resolution with Keck for 20 years with the primary goal of monitoring stars orbiting the SMBH. However, the gas features and their dynamics can also be closely examined using this unique baseline of data. In particular, observations with the Keck OSIRIS integral field spectrometer allow us to examine of the dynamical properties of the gas and to possibly identify new “G-type” objects, or dusty stellar objects. We present a study of morphology and orbital dynamics of sub-parsec scale gas features in the central region.

  4. The Keck keyword layer

    NASA Technical Reports Server (NTRS)

    Conrad, A. R.; Lupton, W. F.

    1992-01-01

    Each Keck instrument presents a consistent software view to the user interface programmer. The view consists of a small library of functions, which are identical for all instruments, and a large set of keywords, that vary from instrument to instrument. All knowledge of the underlying task structure is hidden from the application programmer by the keyword layer. Image capture software uses the same function library to collect data for the image header. Because the image capture software and the instrument control software are built on top of the same keyword layer, a given observation can be 'replayed' by extracting keyword-value pairs from the image header and passing them back to the control system. The keyword layer features non-blocking as well as blocking I/O. A non-blocking keyword write operation (such as setting a filter position) specifies a callback to be invoked when the operation is complete. A non-blocking keyword read operation specifies a callback to be invoked whenever the keyword changes state. The keyword-callback style meshes well with the widget-callback style commonly used in X window programs. The first keyword library was built for the two Keck optical instruments. More recently, keyword libraries have been developed for the infrared instruments and for telescope control. Although the underlying mechanisms used for inter-process communication by each of these systems vary widely (Lick MUSIC, Sun RPC, and direct socket I/O, respectively), a basic user interface has been written that can be used with any of these systems. Since the keyword libraries are bound to user interface programs dynamically at run time, only a single set of user interface executables is needed. For example, the same program, 'xshow', can be used to display continuously the telescope's position, the time left in an instrument's exposure, or both values simultaneously. Less generic tools that operate on specific keywords, for example an X display that controls optical

  5. Optimization of coronagraph design for segmented aperture telescopes

    NASA Astrophysics Data System (ADS)

    Jewell, Jeffrey; Ruane, Garreth; Shaklan, Stuart; Mawet, Dimitri; Redding, Dave

    2017-09-01

    The goal of directly imaging Earth-like planets in the habitable zone of other stars has motivated the design of coronagraphs for use with large segmented aperture space telescopes. In order to achieve an optimal trade-off between planet light throughput and diffracted starlight suppression, we consider coronagraphs comprised of a stage of phase control implemented with deformable mirrors (or other optical elements), pupil plane apodization masks (gray scale or complex valued), and focal plane masks (either amplitude only or complex-valued, including phase only such as the vector vortex coronagraph). The optimization of these optical elements, with the goal of achieving 10 or more orders of magnitude in the suppression of on-axis (starlight) diffracted light, represents a challenging non-convex optimization problem with a nonlinear dependence on control degrees of freedom. We develop a new algorithmic approach to the design optimization problem, which we call the "Auxiliary Field Optimization" (AFO) algorithm. The central idea of the algorithm is to embed the original optimization problem, for either phase or amplitude (apodization) in various planes of the coronagraph, into a problem containing additional degrees of freedom, specifically fictitious "auxiliary" electric fields which serve as targets to inform the variation of our phase or amplitude parameters leading to good feasible designs. We present the algorithm, discuss details of its numerical implementation, and prove convergence to local minima of the objective function (here taken to be the intensity of the on-axis source in a "dark hole" region in the science focal plane). Finally, we present results showing application of the algorithm to both unobscured off-axis and obscured on-axis segmented telescope aperture designs. The application of the AFO algorithm to the coronagraph design problem has produced solutions which are capable of directly imaging planets in the habitable zone, provided end

  6. Adaptive coded aperture imaging in the infrared: towards a practical implementation

    NASA Astrophysics Data System (ADS)

    Slinger, Chris W.; Gilholm, Kevin; Gordon, Neil; McNie, Mark; Payne, Doug; Ridley, Kevin; Strens, Malcolm; Todd, Mike; De Villiers, Geoff; Watson, Philip; Wilson, Rebecca; Dyer, Gavin; Eismann, Mike; Meola, Joe; Rogers, Stanley

    2008-08-01

    An earlier paper [1] discussed the merits of adaptive coded apertures for use as lensless imaging systems in the thermal infrared and visible. It was shown how diffractive (rather than the more conventional geometric) coding could be used, and that 2D intensity measurements from multiple mask patterns could be combined and decoded to yield enhanced imagery. Initial experimental results in the visible band were presented. Unfortunately, radiosity calculations, also presented in that paper, indicated that the signal to noise performance of systems using this approach was likely to be compromised, especially in the infrared. This paper will discuss how such limitations can be overcome, and some of the tradeoffs involved. Experimental results showing tracking and imaging performance of these modified, diffractive, adaptive coded aperture systems in the visible and infrared will be presented. The subpixel imaging and tracking performance is compared to that of conventional imaging systems and shown to be superior. System size, weight and cost calculations indicate that the coded aperture approach, employing novel photonic MOEMS micro-shutter architectures, has significant merits for a given level of performance in the MWIR when compared to more conventional imaging approaches.

  7. Experimental demonstration of tri-aperture Differential Synthetic Aperture Ladar

    NASA Astrophysics Data System (ADS)

    Zhao, Zhilong; Huang, Jianyu; Wu, Shudong; Wang, Kunpeng; Bai, Tao; Dai, Ze; Kong, Xinyi; Wu, Jin

    2017-04-01

    A tri-aperture Differential Synthetic Aperture Ladar (DSAL) is demonstrated in laboratory, which is configured by using one common aperture to transmit the illuminating laser and another two along-track receiving apertures to collect back-scattered laser signal for optical heterodyne detection. The image formation theory on this tri-aperture DSAL shows that there are two possible methods to reconstruct the azimuth Phase History Data (PHD) for aperture synthesis by following standard DSAL principle, either method resulting in a different matched filter as well as an azimuth image resolution. The experimental setup of the tri-aperture DSAL adopts a frequency chirped laser of about 40 mW in 1550 nm wavelength range as the illuminating source and an optical isolator composed of a polarizing beam-splitter and a quarter wave plate to virtually line the three apertures in the along-track direction. Various DSAL images up to target distance of 12.9 m are demonstrated using both PHD reconstructing methods.

  8. Spectroscopic Classification of SN 2018gv with Keck I/LRIS

    NASA Astrophysics Data System (ADS)

    Siebert, M. R.; Dimitriadis, G.; Foley, R. J.

    2018-01-01

    We obtained spectroscopic observations of SN 2018gv with the LRIS spectrograph on the 10-m Keck I telescope on 2018 Jan 16 UT. The spectrum indicates that SN 2018gv is a very young, normal Type Ia supernova.

  9. Long Baseline Nulling Interferometry with the Keck Telescopes: A Progress Report

    NASA Technical Reports Server (NTRS)

    Mennesson, Bertrand; Akeson, R.; Appleby, E.; Bell, J.; Booth, A.; Colavita, M. M.; Crawford, S.; Creech-Eakman, M. J.; Dahl, W.; Fanson, J.; hide

    2005-01-01

    The Keck Interferometer Nuller (KIN) is one of the major scientific and technical precursors to the Terrestrial Planet Finder Interferometer (TPF-I) mission. KIN's primary objective is to measure the level of exo-zodiacal mid-infrared emission around nearby main sequence stars, which requires deep broad-band nulling of astronomical sources of a few Janskys at 10 microns. A number of new capabilities are needed in order to reach that goal with the Keck telescopes: mid-infrared coherent recombination, interferometric operation in 'split pupil' mode, N-band optical path stabilization using K-band fringe tracking and internal metrology, and eventually, active atmospheric dispersion correction. We report here on the progress made implementing these new functionalities, and discuss the initial levels of extinction achieved on the sky.

  10. Spectroscopic Classifications of Optical Transients with Keck I/LRIS

    NASA Astrophysics Data System (ADS)

    Foley, R. J.; Rojas-Bravo, C.

    2018-05-01

    We report the following classifications of optical transients from spectroscopic observations with LRIS on the Keck I 10-m telescope. Targets were supplied by the ASAS-SN and PSH. All observations were made on 2018 May 10 UT. Classifications were performed with SNID (Blondin & Tonry, 2007, ApJ, 666, 1024).

  11. Ultra-compact imaging system based on multi-aperture architecture

    NASA Astrophysics Data System (ADS)

    Meyer, Julia; Brückner, Andreas; Leitel, Robert; Dannberg, Peter; Bräuer, Andreas; Tünnermann, Andreas

    2011-03-01

    As a matter of course, cameras are integrated in the field of information and communication technology. It can be observed, that there is a trend that those cameras get smaller and at the same time cheaper. Because single aperture have a limit of miniaturization, while simultaneously keeping the same space-bandwidth-product and transmitting a wide field of view, there is a need of new ideas like the multi aperture optical systems. In the proposed camera system the image is formed with many different channels each consisting of four microlenses which are arranged one after another in different microlens arrays. A partial image which fits together with the neighbouring one is formed in every single channel, so that a real erect image is generated and a conventional image sensor can be used. The microoptical fabrication process and the assembly are well established and can be carried out on wafer-level. Laser writing is used for the fabrication of the masks. UV-lithography, a reflow process and UV-molding is needed for the fabrication of the apertures and the lenses. The developed system is very small in terms of both length and lateral dimensions and has a VGA resolution and a diagonal field of view of 65 degrees. This microoptical vision system is appropriate for being implemented in electronic devices such as webcams integrated in notebookdisplays.

  12. Observations of Rosetta Target (21) Lutetia with Keck and Gemini Adaptive Optics

    NASA Astrophysics Data System (ADS)

    Conrad, A. R.; Merline, W. J.; Drummond, J.; Carry, B.; Tamblyn, P. M.; Chapman, C. R.; Dumas, C.; Weaver, H. A.

    2009-12-01

    In support of the NASA/ESA Rosetta mission’s plans to observe asteroid (21) Lutetia during a 2010 July flyby, and in conjunction with a larger ground-based plus HST campaign to support this mission, we observed Lutetia from Keck and Gemini-North during several nights spanning 2008 Oct through 2009 Jan. Observations were made using adaptive optics in the near-IR, primarily at K-band (2.1 micron), and were timed to coincide with the asteroid's most recent opposition at a distance of about 1.4 AU. From these data, we determined Lutetia’s triaxial size and shape to be 132 x 101 x 76 km, with maximum expected uncertainties of 4 x 3 x 31 km. The spin pole is found to be at (RA, Dec) = (48, +9) deg or ecliptic (long, lat) = (49,-8) deg, with a formal uncertainty radius (not including systematics) of 3 deg. We have calibrated our technique of deriving dimensions of asteroids from AO images against Pluto and 4 satellites of Saturn with accurate diameters, and we expect that our systematics (included in the size uncertainties above) are no more than 3%. We also searched for satellites and our preliminary results indicate no detection of a satellite larger than about 1 km over a significant fraction of the Hill sphere (10-240 asteroid radii). Improved limits are expected from a more refined analysis. We are grateful for telescope time made available to us by S. Kulkarni and M. Busch (Cal Tech) for a portion of this dataset. We also thank our collaborators on Team Keck, the Keck science staff, for making possible some of these observations and for observing time granted at Gemini under NOAO time allocation. Plane-of-sky short and long axes of (21) Lutetia taken from Keck AO images on 2008 Dec 2.

  13. Automating OSIRIS Data Reduction for the Keck Observatory Archive

    NASA Astrophysics Data System (ADS)

    Holt, J.; Tran, H. D.; Goodrich, R.; Berriman, G. B.; Gelino, C. R.; KOA Team

    2014-05-01

    By the end of 2013, the Keck Observatory Archive (KOA) will serve data from all active instruments on the Keck Telescopes. OSIRIS (OH-Suppressing Infra-Red Imaging Spectrograph), the last active instrument to be archived in KOA, has been in use behind the (AO) system at Keck since February 2005. It uses an array of tiny lenslets to simultaneously produce spectra at up to 4096 locations. Due to the complicated nature of the OSIRIS raw data, the OSIRIS team developed a comprehensive data reduction program. This data reduction system has an online mode for quick real-time reductions, which are used primarily for basic data visualization and quality assessment done at the telescope while observing. The offline version of the data reduction system includes an expanded reduction method list, does more iterations for a better construction of the data cubes, and is used to produce publication-quality products. It can also use reconstruction matrices that are developed after the observations were taken, and are more refined. The KOA team is currently utilizing the standard offline reduction mode to produce quick-look browse products for the raw data. Users of the offline data reduction system generally use a graphical user interface to manually setup the reduction parameters. However, in order to reduce and serve the 200,000 science files on disk, all of the reduction parameters and steps need to be fully automated. This pipeline will also be used to automatically produce quick-look browse products for future OSIRIS data after each night's observations. Here we discuss the complexities of OSIRIS data, the reduction system in place, methods for automating the system, performance using virtualization, and progress made to date in generating the KOA products.

  14. Automating OSIRIS Data Reduction for the Keck Observatory Archive

    NASA Astrophysics Data System (ADS)

    Tran, Hien D.; Holt, J.; Goodrich, R. W.; Lyke, J. E.; Gelino, C. R.; Berriman, G. B.; KOA Team

    2014-01-01

    Since the end of 2013, the Keck Observatory Archive (KOA) has served data from all active instruments on the Keck Telescopes. OSIRIS (OH-Suppressing Infra-Red Imaging Spectrograph), the last active instrument to be archived in KOA, has been in use behind the adaptive optics (AO) system at Keck since February 2005. It uses an array of tiny lenslets to simultaneously produce spectra at up to 4096 locations. Due to the complicated nature of the OSIRIS raw data, the OSIRIS team developed a comprehensive data reduction program. This data reduction system has an online mode for quick real-time reductions which are used primarily for basic data visualization and quality assessment done at the telescope while observing. The offline version of the data reduction system includes an expanded reduction method list, does more iterations for a better construction of the data cubes, and is used to produce publication-quality products. It can also use reconstruction matrices that are developed after the observations were taken, and are more refined. The KOA team is currently utilizing the standard offline reduction mode to produce quick-look browse products for the raw data. Users of the offline data reduction system generally use a graphical user interface to manually setup the reduction parameters. However, in order to reduce and serve the ~200,000 science files on disk, all of the reduction parameters and steps need to be fully automated. This pipeline will also be used to automatically produce quick-look browse products for future OSIRIS data after each night's observations. Here we discuss the complexities of OSIRIS data, the reduction system in place, methods for automating the system, performance using virtualization, and progress made to date in generating the KOA products.

  15. Latest Results from the Multi-Object Keck Exoplanet Tracker

    NASA Astrophysics Data System (ADS)

    Van Eyken, Julian C.; Ge, J.; Wan, X.; Zhao, B.; Hariharan, A.; Mahadevan, S.; DeWitt, C.; Guo, P.; Cohen, R.; Fleming, S. W.; Crepp, J.; Warner, C.; Kane, S.; Leger, F.; Pan, K.

    2006-12-01

    The W. M. Keck Exoplanet Tracker is a precision Doppler radial velocity instrument based on dispersed fixed-delay interferometry (DFDI) which takes advantage of the new technique to allow multi-object RV surveying. Installed at the 2.5m Sloan telescope at Apache Point Observatory, the combination of Michelson interferometer and medium resolution spectrograph allows design for simultaneous Doppler measurements of up to 60 targets, while maintaining high instrument throughput. Using a single-object prototype of the instrument at the Kitt Peak National Observatory 2.1m telescope, we previously discovered a 0.49MJup planet, HD 102195b (ET-1), orbiting with a 4.11d period, and other interesting targets are being followed up. From recent trial observations, the Keck Exoplanet Tracker now yields 59 usable simultaneous fringing stellar spectra, of a quality sufficient to attempt to detect short period hot-Jupiter type planets. Recent engineering improvements reduced errors by a factor of 2, and typical photon limits for stellar data are now at the 30m/s level for magnitude V 10.5 (depending on spectral type and v sin i), with a best value of 6.9m/s at V=7.6. Preliminary RMS precisions from solar data (daytime sky) are around 10m/s over a few days, with some spectra reaching close to their photon limit of 6-7m/s on the short term ( 1 hour). A number of targets showing interesting RV variability are currently being followed up independently. Additional engineering work is planned which should make for further significant gains in Doppler precision. Here we present the latest results and updates from the most recent engineering and observing runs with the Keck ET.

  16. Formation of multiple focal spots using a high NA lens with a complex spiral phase mask

    NASA Astrophysics Data System (ADS)

    Lalithambigai, K.; Anbarasan, P. M.; Rajesh, K. B.

    2014-07-01

    The formation of a transversally polarized beam by transmitting a tightly focused double-ring-shaped azimuthally polarized beam through a complex spiral phase mask and high numerical aperture lens is presented based on vector diffraction theory. The generation of transversally polarized focal spot segment splitting and multiple focal spots is illustrated numerically. Moreover, we found that a properly designed complex spiral phase mask can move the focal spots along the optical axis in the z direction. Therefore, one can achieve a focal segment of two, three or multiple completely transversely polarized focal spots, which finds applications in optical trapping and in material processing technologies.

  17. Two Decades (almost) of Keck Observations of Io

    NASA Astrophysics Data System (ADS)

    De Pater, I.; Davies, A. G.; de Kleer, K.

    2015-12-01

    We have regularly observed Io with the 10-m Keck Telescope since 1998, initially using the speckle imaging technique, and switching to Adaptive Optics techniques when this became available in 2001. In this talk we will discuss several eruptions that we witnessed, and present 20-30 year timelines of thermal emission from Pele, Pillan, Janus Patera, Kanehekili Fluctus, and Loki Patera, updating timelines in recent publications [1, 2] with additional Keck adaptive optics data obtained between 2002 and 2015. These new timelines are the most comprehensive plots ever produced of the volcanic thermal emission variability for these or any other locations on Io, utilizing data from multiple ground- and space-based assets. Our continuing multi-decadal observing program forms the basis for charting the variability of Io's volcanic activity, of great importance for understanding the evolution of the Galilean satellite system, and with the expectation of new missions to the jovian system in the next decade. Acknowledgements: This research is in part supported by NSF grant AST-1313485 to UC Berkeley. AGD is supported by a grant from the NASA OPR Program. References: [1] Davies et al. (2012) Icarus, 221, 466-470. [2] Rathbun and Spencer (2010) Icarus, 209, 625-630.

  18. Interferometric Shack-Hartmann wavefront sensor with an array of four-hole apertures.

    PubMed

    López, David; Ríos, Susana

    2010-04-20

    A modified Hartmann test based on the interference produced by a four-hole mask can be used to measure an unknown wavefront. To scan the wavefront, the interference pattern is measured for different positions of the mask. The position of the central fringe of the diamond-shaped interference pattern gives a measure of the local wavefront slopes. Using a set of four-hole apertures located behind an array of lenslets in such a way that each four-hole window is inside one lenslet area, a set of four-hole interference patterns can be obtained in the back focal plane of the lenslets without having to scan the wavefront. The central fringe area of each interference pattern is narrower than the area of the central maximum of the diffraction pattern of the lenslet, increasing the accuracy in the estimate of the lobe position as compared with the Shack-Hartmann wavefront sensor.

  19. Object Substitution Masking: When Does Mask Preview Work?

    ERIC Educational Resources Information Center

    Lim, Stephen Wee Hun; Chua, Fook K.

    2008-01-01

    When a target is enclosed by a 4-dot mask that persists after the target disappears, target identification is worse than it is when the mask terminates with the target. This masking effect is attributed to object substitution masking (OSM). Previewing the mask, however, attenuates OSM. This study investigated specific conditions under which mask…

  20. BICEP2 / Keck Array V: Measurements of B-mode polarization at degree angular scales and 150 GHz by the Keck Array

    DOE PAGES

    Ade, P. A. R.; Ahmed, Z.; Aikin, R. W.; ...

    2015-09-29

    Here, the Keck Array is a system of cosmic microwave background polarimeters, each similar to the Bicep2 experiment. In this paper we report results from the 2012 to 2013 observing seasons, during which the Keck Array consisted of five receivers all operating in the same (150 GHz) frequency band and observing field as Bicep2. We again find an excess of B-mode power over the lensed-ΛCDM expectation of >5σ in the range 30 < ℓ < 150 and confirm that this is not due to systematics using jackknife tests and simulations based on detailed calibration measurements. In map difference and spectralmore » difference tests these new data are shown to be consistent with Bicep2. Finally, we combine the maps from the two experiments to produce final Q and U maps which have a depth of 57 nK deg (3.4 μK arcmin) over an effective area of 400 deg 2 for an equivalent survey weight of 250,000 μK –2. The final BB band powers have noise uncertainty a factor of 2.3 times better than the previous results, and a significance of detection of excess power of >6σ.« less

  1. BICEP2/KECK ARRAY V: MEASUREMENTS OF B-MODE POLARIZATION AT DEGREE ANGULAR SCALES AND 150 GHz BY THE KECK ARRAY

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ade, P. A. R.; Ahmed, Z.; Aikin, R. W.

    2015-10-01

    The Keck Array is a system of cosmic microwave background polarimeters, each similar to the Bicep2 experiment. In this paper we report results from the 2012 to 2013 observing seasons, during which the Keck Array consisted of five receivers all operating in the same (150 GHz) frequency band and observing field as Bicep2. We again find an excess of B-mode power over the lensed-ΛCDM expectation of >5σ in the range 30 < ℓ < 150 and confirm that this is not due to systematics using jackknife tests and simulations based on detailed calibration measurements. In map difference and spectral differencemore » tests these new data are shown to be consistent with Bicep2. Finally, we combine the maps from the two experiments to produce final Q and U maps which have a depth of 57 nK deg (3.4 μK arcmin) over an effective area of 400 deg{sup 2} for an equivalent survey weight of 250,000 μK{sup −2}. The final BB band powers have noise uncertainty a factor of 2.3 times better than the previous results, and a significance of detection of excess power of >6σ.« less

  2. Preliminary results for mask metrology using spatial heterodyne interferometry

    NASA Astrophysics Data System (ADS)

    Bingham, Philip R.; Tobin, Kenneth; Bennett, Marylyn H.; Marmillion, Pat

    2003-12-01

    Spatial heterodyne interferometry (SHI) is an imaging technique that captures both the phase and amplitude of a complex wavefront in a single high-speed image. This technology was developed at the Oak Ridge National Laboratory (ORNL) and is currently being implemented for semiconductor wafer inspection by nLine Corporation. As with any system that measures phase, metrology and inspection of surface structures is possible by capturing a wavefront reflected from the surface. The interpretation of surface structure heights for metrology applications can become very difficult with the many layers of various materials used on semiconductor wafers, so inspection (defect detection) has been the primary focus for semiconductor wafers. However, masks used for photolithography typically only contain a couple well-defined materials opening the doors to high-speed mask metrology in 3 dimensions in addition to inspection. Phase shift masks often contain structures etched out of the transparent substrate material for phase shifting. While these structures are difficult to inspect using only intensity, the phase and amplitude images captured with SHI can produce very good resolution of these structures. The phase images also provide depth information that is crucial for these phase shift regions. Preliminary testing has been performed to determine the feasibility of SHI for high-speed non-contact mask metrology using a prototype SHI system with 532 nm wavelength illumination named the Visible Alpha Tool (VAT). These results show that prototype SHI system is capable of performing critical dimension measurements on 400nm lines with a repeatability of 1.4nm and line height measurements with a repeatability of 0.26nm. Additionally initial imaging of an alternating aperture phase shift mask has shown the ability of SHI to discriminate between typical phase shift heights.

  3. High numerical aperture projection system for extreme ultraviolet projection lithography

    DOEpatents

    Hudyma, Russell M.

    2000-01-01

    An optical system is described that is compatible with extreme ultraviolet radiation and comprises five reflective elements for projecting a mask image onto a substrate. The five optical elements are characterized in order from object to image as concave, convex, concave, convex, and concave mirrors. The optical system is particularly suited for ring field, step and scan lithography methods. The invention uses aspheric mirrors to minimize static distortion and balance the static distortion across the ring field width which effectively minimizes dynamic distortion. The present invention allows for higher device density because the optical system has improved resolution that results from the high numerical aperture, which is at least 0.14.

  4. Coded-Aperture X- or gamma -ray telescope with Least- squares image reconstruction. III. Data acquisition and analysis enhancements

    NASA Astrophysics Data System (ADS)

    Kohman, T. P.

    1995-05-01

    The design of a cosmic X- or gamma -ray telescope with least- squares image reconstruction and its simulated operation have been described (Rev. Sci. Instrum. 60, 3396 and 3410 (1989)). Use of an auxiliary open aperture ("limiter") ahead of the coded aperture limits the object field to fewer pixels than detector elements, permitting least-squares reconstruction with improved accuracy in the imaged field; it also yields a uniformly sensitive ("flat") central field. The design has been enhanced to provide for mask-antimask operation. This cancels and eliminates uncertainties in the detector background, and the simulated results have virtually the same statistical accuracy (pixel-by-pixel output-input RMSD) as with a single mask alone. The simulations have been made more realistic by incorporating instrumental blurring of sources. A second-stage least-squares procedure had been developed to determine the precise positions and total fluxes of point sources responsible for clusters of above-background pixels in the field resulting from the first-stage reconstruction. Another program converts source positions in the image plane to celestial coordinates and vice versa, the image being a gnomic projection of a region of the sky.

  5. Keck/HIRES Spectroscopy of V838 Monocerotis in October 2005

    NASA Astrophysics Data System (ADS)

    Kamiński, T.; Schmidt, M.; Tylenda, R.; Konacki, M.; Gromadzki, M.

    2009-05-01

    V838 Monocerotis (V838 Mon) erupted at the beginning of 2002 becoming an extremely luminous star with L sime 106 L sun. Among various scenarios proposed to explain the nature of the outburst, the most promising is a stellar merger event. In this paper, we investigate the observational properties of the star and its surroundings in the post outburst phase. We have obtained a high-resolution optical spectrum of V838 Mon in 2005 October using the Keck I telescope. We have identified numerous atomic features and molecular bands present in the spectrum and provided an atlas of those features. In order to improve the spectrum interpretation, we have performed simple modeling of the molecular bands. Our analysis indicates that the spectrum is dominated by molecular absorption features arising in photospheric regions with temperatures of ~2400 K and in colder outer layers, where the temperature decreases to ~500 K. A number of resonance lines of neutral alkali metals are observed to show P Cygni profiles. Particularly interesting are numerous prominent emission lines of [Fe II]. All of them show practically the same profile, which can be well described by a Lorentzian profile. In the blue part of the spectrum, photospheric signatures of the B-type companion are easily seen. We have fitted the observed spectrum with a synthetic one and the obtained parameters are consistent with the B3V type. We have also estimated radial and rotational velocities of the companion. The data presented herein were obtained at the W. M. Keck Observatory, which is operated as a scientific partnership among the California Institute of Technology, the University of California, and the National Aeronautics and Space Administration. The Observatory was made possible by the generous financial support of the W. M. Keck Foundation.

  6. Mobile, hybrid Compton/coded aperture imaging for detection, identification and localization of gamma-ray sources at stand-off distances

    NASA Astrophysics Data System (ADS)

    Tornga, Shawn R.

    The Stand-off Radiation Detection System (SORDS) program is an Advanced Technology Demonstration (ATD) project through the Department of Homeland Security's Domestic Nuclear Detection Office (DNDO) with the goal of detection, identification and localization of weak radiological sources in the presence of large dynamic backgrounds. The Raytheon-SORDS Tri-Modal Imager (TMI) is a mobile truck-based, hybrid gamma-ray imaging system able to quickly detect, identify and localize, radiation sources at standoff distances through improved sensitivity while minimizing the false alarm rate. Reconstruction of gamma-ray sources is performed using a combination of two imaging modalities; coded aperture and Compton scatter imaging. The TMI consists of 35 sodium iodide (NaI) crystals 5x5x2 in3 each, arranged in a random coded aperture mask array (CA), followed by 30 position sensitive NaI bars each 24x2.5x3 in3 called the detection array (DA). The CA array acts as both a coded aperture mask and scattering detector for Compton events. The large-area DA array acts as a collection detector for both Compton scattered events and coded aperture events. In this thesis, developed coded aperture, Compton and hybrid imaging algorithms will be described along with their performance. It will be shown that multiple imaging modalities can be fused to improve detection sensitivity over a broader energy range than either alone. Since the TMI is a moving system, peripheral data, such as a Global Positioning System (GPS) and Inertial Navigation System (INS) must also be incorporated. A method of adapting static imaging algorithms to a moving platform has been developed. Also, algorithms were developed in parallel with detector hardware, through the use of extensive simulations performed with the Geometry and Tracking Toolkit v4 (GEANT4). Simulations have been well validated against measured data. Results of image reconstruction algorithms at various speeds and distances will be presented as well as

  7. Design and performance of coded aperture optical elements for the CESR-TA x-ray beam size monitor

    NASA Astrophysics Data System (ADS)

    Alexander, J. P.; Chatterjee, A.; Conolly, C.; Edwards, E.; Ehrlichman, M. P.; Flanagan, J. W.; Fontes, E.; Heltsley, B. K.; Lyndaker, A.; Peterson, D. P.; Rider, N. T.; Rubin, D. L.; Seeley, R.; Shanks, J.

    2014-12-01

    We describe the design and performance of optical elements for an x-ray beam size monitor (xBSM), a device measuring e+ and e- beam sizes in the CESR-TA storage ring. The device can measure vertical beam sizes of 10 - 100 μm on a turn-by-turn, bunch-by-bunch basis at e± beam energies of 2 - 5 GeV. x-rays produced by a hard-bend magnet pass through a single- or multiple-slit (coded aperture) optical element onto a detector. The coded aperture slit pattern and thickness of masking material forming that pattern can both be tuned for optimal resolving power. We describe several such optical elements and show how well predictions of simple models track measured performances.

  8. Effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance

    NASA Astrophysics Data System (ADS)

    Dietze, Uwe; Dress, Peter; Waehler, Tobias; Singh, Sherjang; Jonckheere, Rik; Baudemprez, Bart

    2011-03-01

    Extreme Ultraviolet Lithography (EUVL) is considered the leading lithography technology choice for semiconductor devices at 16nm HP node and beyond. However, before EUV Lithography can enter into High Volume Manufacturing (HVM) of advanced semiconductor devices, the ability to guarantee mask integrity at point-of-exposure must be established. Highly efficient, damage free mask cleaning plays a critical role during the mask manufacturing cycle and throughout the life of the mask, where the absence of a pellicle to protect the EUV mask increases the risk of contamination during storage, handling and use. In this paper, we will present effective EUVL mask cleaning technology solutions for mask manufacturing and in-fab mask maintenance, which employs an intelligent, holistic approach to maximize Mean Time Between Cleans (MBTC) and extend the useful life span of the reticle. The data presented will demonstrate the protection of the capping and absorber layers, preservation of pattern integrity as well as optical and mechanical properties to avoid unpredictable CD-linewidth and overlay shifts. Experiments were performed on EUV blanks and pattern masks using various process conditions. Conditions showing high particle removal efficiency (PRE) and minimum surface layer impact were then selected for durability studies. Surface layer impact was evaluated over multiple cleaning cycles by means of UV reflectivity metrology XPS analysis and wafer prints. Experimental results were compared to computational models. Mask life time predictions where made using the same computational models. The paper will provide a generic overview of the cleaning sequence which yielded best results, but will also provide recommendations for an efficient in-fab mask maintenance scheme, addressing handling, storage, cleaning and inspection.

  9. Design and Implementation of Data Reduction Pipelines for the Keck Observatory Archive

    NASA Astrophysics Data System (ADS)

    Gelino, C. R.; Berriman, G. B.; Kong, M.; Laity, A. C.; Swain, M. A.; Campbell, R.; Goodrich, R. W.; Holt, J.; Lyke, J.; Mader, J. A.; Tran, H. D.; Barlow, T.

    2015-09-01

    The Keck Observatory Archive (KOA), a collaboration between the NASA Exoplanet Science Institute and the W. M. Keck Observatory, serves science and calibration data for all active and inactive instruments from the twin Keck Telescopes located near the summit of Mauna Kea, Hawaii. In addition to the raw data, we produce and provide quick look reduced data for four instruments (HIRES, LWS, NIRC2, and OSIRIS) so that KOA users can more easily assess the scientific content and the quality of the data, which can often be difficult with raw data. The reduced products derive from both publicly available data reduction packages (when available) and KOA-created reduction scripts. The automation of publicly available data reduction packages has the benefit of providing a good quality product without the additional time and expense of creating a new reduction package, and is easily applied to bulk processing needs. The downside is that the pipeline is not always able to create an ideal product, particularly for spectra, because the processing options for one type of target (eg., point sources) may not be appropriate for other types of targets (eg., extended galaxies and nebulae). In this poster we present the design and implementation for the current pipelines used at KOA and discuss our strategies for handling data for which the nature of the targets and the observers' scientific goals and data taking procedures are unknown. We also discuss our plans for implementing automated pipelines for the remaining six instruments.

  10. Use of simulation to optimize the pinhole diameter and mask thickness for an x-ray backscatter imaging system

    NASA Astrophysics Data System (ADS)

    Vella, A.; Munoz, Andre; Healy, Matthew J. F.; Lane, David; Lockley, D.

    2017-08-01

    The PENELOPE Monte Carlo simulation code was used to determine the optimum thickness and aperture diameter of a pinhole mask for X-ray backscatter imaging in a security application. The mask material needs to be thick enough to absorb most X-rays, and the pinhole must be wide enough for sufficient field of view whilst narrow enough for sufficient image spatial resolution. The model consisted of a fixed geometry test object, various masks with and without pinholes, and a 1040 x 1340 pixels' area detector inside a lead lined camera housing. The photon energy distribution incident upon masks was flat up to selected energy limits. This artificial source was used to avoid the optimisation being specific to any particular X-ray source technology. The pixelated detector was modelled by digitising the surface area represented by the PENELOPE phase space file and integrating the energies of the photons impacting within each pixel; a MATLAB code was written for this. The image contrast, signal to background ratio, spatial resolution, and collimation effect were calculated at the simulated detector as a function of pinhole diameter and various thicknesses of mask made of tungsten, tungsten/epoxy composite or bismuth alloy. A process of elimination was applied to identify suitable masks for a viable X-ray backscattering security application.

  11. Influence of mask type and mask position on the effectiveness of bag-mask ventilation in a neonatal manikin.

    PubMed

    Deindl, Philipp; O'Reilly, Megan; Zoller, Katharina; Berger, Angelika; Pollak, Arnold; Schwindt, Jens; Schmölzer, Georg M

    2014-01-01

    Anatomical face mask with an air cushion rim might be placed accidentally in a false orientation on the newborn's face or filled with various amounts of air during neonatal resuscitation. Both false orientation as well as variable filling may reduce a tight seal and therefore hamper effective positive pressure ventilation (PPV). We aimed to measure the influence of mask type and mask position on the effectiveness of PPV. Twenty neonatal staff members delivered PPV to a modified, leak-free manikin. Resuscitation parameters were recorded using a self-inflatable bag PPV with an Intersurgical anatomical air cushion rim face mask (IS) and a size 0/1 Laerdal round face mask. Three different positions of the IS were tested: correct position, 90° and 180° rotation in reference to the midline of the face. IS masks in each correct position on the face but with different inflation of the air cushion (empty, 10, 20 and 30 mL). Mask leak was similar with mask rotation to either 90° or 180° but significantly increased from 27 (13-73) % with an adequate filled IS mask compared to 52 (16-83) % with an emptied air cushion rim. Anatomical-shaped face mask had similar mask leaks compared to round face mask. A wrongly positioned anatomical-shaped mask does not influence mask leak. Mask leak significantly increased once the air cushion rim was empty, which may cause failure in mask PPV.

  12. Rotating Aperture System

    DOEpatents

    Rusnak, Brian; Hall, James M.; Shen, Stewart; Wood, Richard L.

    2005-01-18

    A rotating aperture system includes a low-pressure vacuum pumping stage with apertures for passage of a deuterium beam. A stator assembly includes holes for passage of the beam. The rotor assembly includes a shaft connected to a deuterium gas cell or a crossflow venturi that has a single aperture on each side that together align with holes every rotation. The rotating apertures are synchronized with the firing of the deuterium beam such that the beam fires through a clear aperture and passes into the Xe gas beam stop. Portions of the rotor are lapped into the stator to improve the sealing surfaces, to prevent rapid escape of the deuterium gas from the gas cell.

  13. Singer product apertures-A coded aperture system with a fast decoding algorithm

    NASA Astrophysics Data System (ADS)

    Byard, Kevin; Shutler, Paul M. E.

    2017-06-01

    A new type of coded aperture configuration that enables fast decoding of the coded aperture shadowgram data is presented. Based on the products of incidence vectors generated from the Singer difference sets, we call these Singer product apertures. For a range of aperture dimensions, we compare experimentally the performance of three decoding methods: standard decoding, induction decoding and direct vector decoding. In all cases the induction and direct vector methods are several orders of magnitude faster than the standard method, with direct vector decoding being significantly faster than induction decoding. For apertures of the same dimensions the increase in speed offered by direct vector decoding over induction decoding is better for lower throughput apertures.

  14. Clay Mask Workshop

    ERIC Educational Resources Information Center

    Gamble, David L.

    2012-01-01

    Masks can represent so many things, such as emotions (happy, sad, fearful) and power. The familiar "comedy and tragedy" masks, derived from ancient Greek theater, are just one example from mask history. Death masks from the ancient Egyptians influenced the ancient Romans into creating similar masks for their departed. Masks can represent many…

  15. venice: Mask utility

    NASA Astrophysics Data System (ADS)

    Coupon, Jean

    2018-02-01

    venice reads a mask file (DS9 or fits type) and a catalogue of objects (ascii or fits type) to create a pixelized mask, find objects inside/outside a mask, or generate a random catalogue of objects inside/outside a mask. The program reads the mask file and checks if a point, giving its coordinates, is inside or outside the mask, i.e. inside or outside at least one polygon of the mask.

  16. SU-C-201-03: Coded Aperture Gamma-Ray Imaging Using Pixelated Semiconductor Detectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Joshi, S; Kaye, W; Jaworski, J

    2015-06-15

    Purpose: Improved localization of gamma-ray emissions from radiotracers is essential to the progress of nuclear medicine. Polaris is a portable, room-temperature operated gamma-ray imaging spectrometer composed of two 3×3 arrays of thick CdZnTe (CZT) detectors, which detect gammas between 30keV and 3MeV with energy resolution of <1% FWHM at 662keV. Compton imaging is used to map out source distributions in 4-pi space; however, is only effective above 300keV where Compton scatter is dominant. This work extends imaging to photoelectric energies (<300keV) using coded aperture imaging (CAI), which is essential for localization of Tc-99m (140keV). Methods: CAI, similar to the pinholemore » camera, relies on an attenuating mask, with open/closed elements, placed between the source and position-sensitive detectors. Partial attenuation of the source results in a “shadow” or count distribution that closely matches a portion of the mask pattern. Ideally, each source direction corresponds to a unique count distribution. Using backprojection reconstruction, the source direction is determined within the field of view. The knowledge of 3D position of interaction results in improved image quality. Results: Using a single array of detectors, a coded aperture mask, and multiple Co-57 (122keV) point sources, image reconstruction is performed in real-time, on an event-by-event basis, resulting in images with an angular resolution of ∼6 degrees. Although material nonuniformities contribute to image degradation, the superposition of images from individual detectors results in improved SNR. CAI was integrated with Compton imaging for a seamless transition between energy regimes. Conclusion: For the first time, CAI has been applied to thick, 3D position sensitive CZT detectors. Real-time, combined CAI and Compton imaging is performed using two 3×3 detector arrays, resulting in a source distribution in space. This system has been commercialized by H3D, Inc. and is being acquired

  17. X-ray backscatter radiography with lower open fraction coded masks

    NASA Astrophysics Data System (ADS)

    Muñoz, André A. M.; Vella, Anna; Healy, Matthew J. F.; Lane, David W.; Jupp, Ian; Lockley, David

    2017-09-01

    Single sided radiographic imaging would find great utility for medical, aerospace and security applications. While coded apertures can be used to form such an image from backscattered X-rays they suffer from near field limitations that introduce noise. Several theoretical studies have indicated that for an extended source the images signal to noise ratio may be optimised by using a low open fraction (<0.5) mask. However, few experimental results have been published for such low open fraction patterns and details of their formulation are often unavailable or are ambiguous. In this paper we address this process for two types of low open fraction mask, the dilute URA and the Singer set array. For the dilute URA the procedure for producing multiple 2D array patterns from given 1D binary sequences (Barker codes) is explained. Their point spread functions are calculated and their imaging properties are critically reviewed. These results are then compared to those from the Singer set and experimental exposures are presented for both type of pattern; their prospects for near field imaging are discussed.

  18. High-numerical aperture extreme ultraviolet scanner for 8-nm lithography and beyond

    NASA Astrophysics Data System (ADS)

    Schoot, Jan van; Setten, Eelco van; Rispens, Gijsbert; Troost, Kars Z.; Kneer, Bernhard; Migura, Sascha; Neumann, Jens Timo; Kaiser, Winfried

    2017-10-01

    Current extreme ultraviolet (EUV) projection lithography systems exploit a projection lens with a numerical aperture (NA) of 0.33. It is expected that these will be used in mass production in the 2018/2019 timeframe. By then, the most difficult layers at the 7-nm logic and the mid-10-nm DRAM nodes will be exposed. These systems are a more economical alternative to multiple-exposure by 193 argon fluoride immersion scanners. To enable cost-effective shrink by EUV lithography down to 8-nm half pitch, a considerably larger NA is needed. As a result of the increased NA, the incidence angles of the light rays at the mask increase significantly. Consequently, the shadowing and the variation of the multilayer reflectivity deteriorate the aerial image contrast to unacceptably low values at the current 4× magnification. The only solution to reduce the angular range at the mask is to increase the magnification. Simulations show that the magnification has to be doubled to 8× to overcome the shadowing effects. Assuming that the mask infrastructure will not change the mask form factor, this would inevitably lead to a field size that is a quarter of the field size of the current 0.33-NA step and scan systems and reduce the throughput (TPT) of the high-NA scanner to a value below 100 wafers per hour unless additional measures are taken. This paper presents an anamorphic step and scan system capable of printing fields that are half the field size of the current full field. The anamorphic system has the potential to achieve a TPT in excess of 150 wafers per hour by increasing the transmission of the optics, as well as increasing the acceleration of the wafer stage and mask stage. This makes it an economically viable lithography solution.

  19. X-ray mask fabrication advancements at the Microlithographic Mask Development Center

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.; Hughes, Patrick J.

    1996-05-01

    The Microlithographic Mask Development Center (MMD) was established as the X-ray mask manufacturing facility at the IBM Microelectronics Division semiconductor fabricator in Essex Junction, Vermont. This center, in operation for over two years, produces high yielding, defect-free X-ray masks for competitive logic and memory products at 250nm groundrules and below. The MMD is a complete mask facility that manufactures silicon membrane mask blanks in the NIST format and finished masks with electroplated gold X-ray absorber. Mask patterning, with dimensions as small as 180 nm, is accomplished using IBM-built variable shaped spot e-beam systems. Masks are routinely inspected and repaired using state-of-the-art equipment: two KLA SEM Specs for defect inspection, a Leica LMS 2000 for image placement characterization, an Amray 2040c for image dimension characterization and a Micrion 8000 XMR for defect repair. This facility maintains a baseline mask process with daily production of 250nm, 32Mb SRAM line monitor masks for the continuous improvement of mask quality and processes. Development masks are produced for several semiconductor manufacturers including IBM, Motorola, Loral, and Sanders. Masks for 64Mb and 256Mb DRAM (IBM) and advanced logic/SRAM (IBM and Motorola) designs have also been delivered. This paper describes the MMD facility and its technical capabilities. Key manufacturing metrics such as mask turnaround time, parametric yield learning and defect reduction activities are highlighted. The challenges associated with improved mask quality, sub-180nm mask fabrication, and the transition to refractory metal absorber are discussed.

  20. Twenty Years of Precise Radial Velocities at Keck and Lick Observatories

    NASA Astrophysics Data System (ADS)

    Wright, J. T.

    2015-10-01

    The precise radial velocity survey at Keck Observatory began over 20 years ago. Its survey of thousands of stars now has the time baseline to be sensitive to planets with decade-long orbits, including Jupiter analogs. I present several newly-finished orbital solutions for long-period giant planets. Although hot Jupiters are generally ``lonely'' (i.e. they are not part of multiplanet systems), those that are not appear to often have giant companions at 5 AU or beyond. I present two of the highest period-ratios among planets in a two-planet system, and some of the longest orbital periods ever measured for exoplanets. In many cases, combining Keck radial velocities from those from other long-term surveys at Lick Observatory, McDonald Observatory, HARPS, and, of course, OHP spectrographs, produces superior orbital fits, constraining both period and eccentricity better than could be possible with any single set alone. Stellar magnetic activity cycles can masquerade as long-period planets. In most cases this effect is very small, but a loud minority of stars, including, apparently, HD 154345, show very strong RV-activity correlations.

  1. Rates of initial acceptance of PAP masks and outcomes of mask switching.

    PubMed

    Bachour, Adel; Vitikainen, Pirjo; Maasilta, Paula

    2016-05-01

    Recently, we noticed a considerable development in alleviating problems related to positive airway pressure (PAP) masks. In this study, we report on the initial PAP mask acceptance rates and the effects of mask switching on mask-related symptoms. We prospectively collected all cases of mask switching in our sleep unit for a period of 14 months. At the time of the study, we used ResMed™ CPAP devices and masks. Mask switching was defined as replacing a mask used for at least 1 day with another type of mask. Changing to a different size but keeping the same type of mask did not count as mask switching. Switching outcomes were considered failed if the initial problem persisted or reappeared during the year that followed switching. Our patient pool was 2768. We recorded 343 cases of mask switching among 267 patients. Of the 566 patients who began new PAP therapy, 108 (39 women) had switched masks, yielding an initial mask acceptance rate of 81 %. The reason for switching was poor-fit/uncomfortable mask in 39 %, leak-related in 30 %, outdated model in 25 %, and nasal stuffiness in 6 % of cases; mask switching resolved these problems in 61 %. Mask switching occurred significantly (p = 0.037) more often in women and in new PAP users. The odds ratio for abandoning PAP therapy within 1 year after mask switching was 7.2 times higher (interval 4.7-11.1) than not switching masks. The initial PAP mask acceptance rate was high. Patients who switched their masks are at greater risk for abandoning PAP therapy.

  2. Matching OPC and masks on 300-mm lithography tools utilizing variable illumination settings

    NASA Astrophysics Data System (ADS)

    Palitzsch, Katrin; Kubis, Michael; Schroeder, Uwe P.; Schumacher, Karl; Frangen, Andreas

    2004-05-01

    CD control is crucial to maximize product yields on 300mm wafers. This is particularly true for DRAM frontend lithography layers, like gate level, and deep trench (capacitor) level. In the DRAM process, large areas of the chip are taken up by array structures, which are difficult to structure due to aggressive pitch requirements. Consequently, the lithography process is centered such that the array structures are printed on target. Optical proximity correction is applied to print gate level structures in the periphery circuitry on target. Only slight differences of the different Zernike terms can cause rather large variations of the proximity curves, resulting in a difference of isolated and semi-isolated lines printed on different tools. If the deviations are too large, tool specific OPC is needed. The same is true for deep trench level, where the length to width ratio of elongated contact-like structures is an important parameter to adjust the electrical properties of the chip. Again, masks with specific biases for tools with different Zernikes are needed to optimize product yield. Additionally, mask making contributes to the CD variation of the process. Theoretically, the CD deviation caused by an off-centered mask process can easily eat up the majority of the CD budget of a lithography process. In practice, masks are very often distributed intelligently among production tools, such that lens and mask effects cancel each other. However, only dose adjusting and mask allocation may still result in a high CD variation with large systematical contributions. By adjusting the illumination settings, we have successfully implemented a method to reduce CD variation on our advanced processes. Especially inner and outer sigma for annular illumination, and the numerical aperture, can be optimized to match mask and stepper properties. This process will be shown to overcome slight lens and mask differences effectively. The effects on lithography process windows have to be

  3. Sub-aperture stitching test of a cylindrical mirror with large aperture

    NASA Astrophysics Data System (ADS)

    Xue, Shuai; Chen, Shanyong; Shi, Feng; Lu, Jinfeng

    2016-09-01

    Cylindrical mirrors are key optics of high-end equipment of national defense and scientific research such as high energy laser weapons, synchrotron radiation system, etc. However, its surface error test technology develops slowly. As a result, its optical processing quality can not meet the requirements, and the developing of the associated equipment is hindered. Computer Generated-Hologram (CGH) is commonly utilized as null for testing cylindrical optics. However, since the fabrication process of CGH with large aperture is not sophisticated yet, the null test of cylindrical optics with large aperture is limited by the aperture of the CGH. Hence CGH null test combined with sub-aperture stitching method is proposed to break the limit of the aperture of CGH for testing cylindrical optics, and the design of CGH for testing cylindrical surfaces is analyzed. Besides, the misalignment aberration of cylindrical surfaces is different from that of the rotational symmetric surfaces since the special shape of cylindrical surfaces, and the existing stitching algorithm of rotational symmetric surfaces can not meet the requirements of stitching cylindrical surfaces. We therefore analyze the misalignment aberrations of cylindrical surfaces, and study the stitching algorithm for measuring cylindrical optics with large aperture. Finally we test a cylindrical mirror with large aperture to verify the validity of the proposed method.

  4. A numerical study on the correlation between fracture transmissivity, hydraulic aperture and transport aperture

    NASA Astrophysics Data System (ADS)

    Sawada, A.; Takebe, A.; Sakamoto, K.

    2006-12-01

    Quantitative evaluation of the groundwater velocity in the fractures is a key part of contaminants transport assessment especially in the radioactive waste disposal programs. In a hydrogeological model such as the discrete fracture network model, the transport aperture of water conducting fracture is one of the important parameters for evaluating groundwater velocity. Tracer tests that measure velocity (or transport aperture) are few compared with flow tests that measure transmissivity (or hydraulic aperture). Thus it is useful to estimate transport properties from flow properties. It is commonly assumed that flow and transport aperture are the same, and that aperture is related to the cube root of transmissivity by the parallel-plate analog. Actual field experiments, however, show transport and hydraulic apertures are not always the same, and that transport aperture relates to an empirical constant times the square root of transmissivity. Compared with these field results, the cubic law underestimates transport aperture and overestimates velocity. A possible source of this discrepancy is in-plane heterogeneity of aperture and transmissivity. To study this behavior, numerical simulations using MAFIC were conducted for a single fracture model with a heterogeneous aperture distribution. The simulations varied three parameters - the mean geometrical aperture, JRC (Joint Roughness Coefficient), and the contact area ratio (fracture contact area divided by total fracture area). For each model we determined the equivalent transmissivity and cubic-law aperture under steady flow conditions. Then we simulated mass transport using particle tracking through the same fracture. The transport aperture was estimated from the particle peak arrival time at the downstream boundary. The results show that the mean geometrical aperture is the most sensitive parameter among the three variable parameters in this study. It is also found that the contact area ratio affects transmissivity

  5. Mid-Infrared Spectrally-Dispersed Visibilities of Massive Stars Observed with the MIDI Instrument on the VLTI

    NASA Astrophysics Data System (ADS)

    Wallace, D. J.; Rajagopal, J.; Barry, R.; Richardson, L. J.; Lopez, B.; Chesneau, O.; Danchi, W. C.

    The mechanism driving dust production in massive stars remains somewhat mysterious. However, recent aperture-masking and interferometric observations of late-type WC Wolf-Rayet (WR) stars strongly support the theory that dust formation in these objects is a result of colliding winds in binaries. Consistent with this theory, there is also evidence that suggests the prototypical Luminous Blue Variable (LBV) star, Eta Carinae, is a binary. To explore and quantify this possible explanation, we have conducted a high resolution interferometric survey of late-type massive stars utilizing the VLTI, Keck, and IOTA interferometers. We present here the motivation for this study as well as the first results from the MIDI instrument on the VLTI. (Details of the Keck Interferometer and IOTA interferometer observations are discussed in this workshop by Rajagopal et al.). Our VLTI study is aimed primarily at resolving and characterizing the dust around the WC9 star WR 85a and the LBV WR 122, both dust-producing but at different phases of massive star evolution. The pectrally-dispersed visibilities obtained with the MIDI observations will provide the first steps towards answering many outstanding issues in our understanding of this critical phase of massive star evolution

  6. Comparison of face masks in the bag-mask ventilation of a manikin.

    PubMed

    Redfern, D; Rassam, S; Stacey, M R; Mecklenburgh, J S

    2006-02-01

    We conducted a study investigating the effectiveness of four face mask designs in the bag-mask ventilation of a special manikin adapted to simulate a difficult airway. Forty-eight anaesthetists volunteered to bag-mask ventilate the manikin for 3 min with four different face masks. The primary outcome of the study was to calculate mean percentage leak from the face masks over 3 min. Anaesthetists were also asked to rate the face masks using a visual analogue score. The single-use scented intersurgical face mask had the lowest mean leak (20%). This was significantly lower than the mean leak from the single-use, cushioned 7,000 series Air Safety Ltd. face mask (24%) and the reusable silicone Laerdal face mask (27%) but not significantly lower than the mean leak from the reusable anatomical intersurgical face mask (23%). There was a large variation in both performance and satisfaction between anaesthetists with each design. This highlights the importance of having a variety of face masks available for emergency use.

  7. [Laryngeal mask].

    PubMed

    Villaverde Rozados, María José; Mos Reguera, M Esther; González Argibay, M Concepción; Sixto Gato, Sandra; Radío, Beatriz Alfonso; Fernández Díaz, María José

    2003-11-01

    A laryngeal mask is a very useful instrument to use both in easy as well as difficult handling situations related to the air tract. This mask is placed in the pharynx and it permits a patient to breathe in different modes, either spontaneously or mechanically. Different models are available; some even facilitate the tracheal intubation through the mask. The authors highlight that this type of mask does not protect nor isolate the air tract. As advantages, the authors point out its easy installation; thus, it is an alternative when an air tract presents difficulties; therefore, it is necessary that all personnel who have to deal with the air tract be aware of this mask and know how to handle it.

  8. Theoretical and numerical analyses of a slit-masked chicane for modulated bunch generation

    NASA Astrophysics Data System (ADS)

    Zhu, X.; Broemmelsiek, D. R.; Shin, Y.-M.

    2015-10-01

    Density modulations on electron beams can improve machine performance of beam-driven accelerators and FELs with resonance beam-wave coupling. The beam modulation is studied with a masked chicane by the analytic model and simulations with the beam parameters of the Fermilab Accelerator Science and Technology (FAST) facility. With the chicane design parameters (bending angle of 18o, bending radius of 0.95 m and R56 ~ -0.19 m) and a nominal beam of 3 ps bunch length, the analytic model showed that a slit-mask with slit period 900 μ m and aperture width 300 μ m induces a modulation of bunch-to-bunch spacing ~ 100 μ m to the bunch with 2.4% correlated energy spread. With the designed slit mask and a 3 ps bunch, particle-in-cell (PIC) simulations, including nonlinear energy distributions, space charge force, and coherent synchrotron radiation (CSR) effect, also result in beam modulation with bunch-to-bunch distance around 100 μ m and a corresponding modulation frequency of 3 THz. The beam modulation has been extensively examined with three different beam conditions, 2.25 ps (0.25 nC), 3.25 ps (1 nC), and 4.75 ps (3.2 nC), by tracking code Elegant. The simulation analysis indicates that the sliced beam by the slit-mask with 3 ~ 6% correlated energy spread has modulation lengths about 187 μ m (0.25 nC), 270 μ m (1 nC) and 325 μ m (3.2 nC). The theoretical and numerical data proved the capability of the designed masked chicane in producing modulated bunch train with micro-bunch length around 100 fs.

  9. Electron microscope aperture system

    NASA Technical Reports Server (NTRS)

    Heinemann, K. (Inventor)

    1976-01-01

    An electron microscope including an electron source, a condenser lens having either a circular aperture for focusing a solid cone of electrons onto a specimen or an annular aperture for focusing a hollow cone of electrons onto the specimen, and an objective lens having an annular objective aperture, for focusing electrons passing through the specimen onto an image plane are described. The invention also entails a method of making the annular objective aperture using electron imaging, electrolytic deposition and ion etching techniques.

  10. Nasal mask ventilation is better than face mask ventilation in edentulous patients.

    PubMed

    Kapoor, Mukul Chandra; Rana, Sandeep; Singh, Arvind Kumar; Vishal, Vindhya; Sikdar, Indranil

    2016-01-01

    Face mask ventilation of the edentulous patient is often difficult as ineffective seating of the standard mask to the face prevents attainment of an adequate air seal. The efficacy of nasal ventilation in edentulous patients has been cited in case reports but has never been investigated. Consecutive edentulous adult patients scheduled for surgery under general anesthesia with endotracheal intubation, during a 17-month period, were prospectively evaluated. After induction of anesthesia and administration of neuromuscular blocker, lungs were ventilated with a standard anatomical face mask of appropriate size, using a volume controlled anesthesia ventilator with tidal volume set at 10 ml/kg. In case of inadequate ventilation, the mask position was adjusted to achieve best-fit. Inspired and expired tidal volumes were measured. Thereafter, the face mask was replaced by a nasal mask and after achieving best-fit, the inspired and expired tidal volumes were recorded. The difference in expired tidal volumes and airway pressures at best-fit with the use of the two masks and number of patients with inadequate ventilation with use of the masks were statistically analyzed. A total of 79 edentulous patients were recruited for the study. The difference in expiratory tidal volumes with the use of the two masks at best-fit was statistically significant (P = 0.0017). Despite the best-fit mask placement, adequacy of ventilation could not be achieved in 24.1% patients during face mask ventilation, and 12.7% patients during nasal mask ventilation and the difference was statistically significant. Nasal mask ventilation is more efficient than standard face mask ventilation in edentulous patients.

  11. R-band host galaxy contamination of TeV γ-ray blazar Mrk 501: effects of aperture size and seeing

    NASA Astrophysics Data System (ADS)

    Feng, Hai-Cheng; Liu, Hong-Tao; Zhao, Ying-He; Bai, Jin-Ming; Wang, Fang; Fan, Xu-Liang

    2018-02-01

    We simulated the R-band contribution of the host galaxy of TeV γ-ray BL Lac object Mrk 501 in different aperture sizes and seeing conditions. An intensive set of observations was acquired with the 1.02 m optical telescope, managed by Yunnan Observatories, from 2010 May 15 to 18. Based on the host subtraction data usually used in the literature, the subtraction of host galaxy contamination results in significant seeing-brightness correlations. These correlations would lead to illusive large amplitude variations at short timescales, which will mask the intrinsic microvariability, thus giving rise to difficulty in detecting the intrinsic microvariability. Both aperture size and seeing condition influence the flux measurements, but the aperture size impacts the result more significantly. Based on the parameters of an elliptical galaxy provided in the literature, we simulated the host contributions of Mrk 501 in different aperture sizes and seeing conditions. Our simulation data of the host galaxy obviously weaken these significant seeing-brightness correlations for the host-subtracted brightness of Mrk 501, and can help us discover the intrinsic short timescale microvariability. The pure nuclear flux is ∼8.0mJy in the R band, i.e., the AGN has a magnitude of R ∼ 13.96 mag.

  12. Aperture Photometry Tool

    NASA Astrophysics Data System (ADS)

    Laher, Russ R.; Gorjian, Varoujan; Rebull, Luisa M.; Masci, Frank J.; Fowler, John W.; Helou, George; Kulkarni, Shrinivas R.; Law, Nicholas M.

    2012-07-01

    Aperture Photometry Tool (APT) is software for astronomers and students interested in manually exploring the photometric qualities of astronomical images. It is a graphical user interface (GUI) designed to allow the image data associated with aperture photometry calculations for point and extended sources to be visualized and, therefore, more effectively analyzed. The finely tuned layout of the GUI, along with judicious use of color-coding and alerting, is intended to give maximal user utility and convenience. Simply mouse-clicking on a source in the displayed image will instantly draw a circular or elliptical aperture and sky annulus around the source and will compute the source intensity and its uncertainty, along with several commonly used measures of the local sky background and its variability. The results are displayed and can be optionally saved to an aperture-photometry-table file and plotted on graphs in various ways using functions available in the software. APT is geared toward processing sources in a small number of images and is not suitable for bulk processing a large number of images, unlike other aperture photometry packages (e.g., SExtractor). However, APT does have a convenient source-list tool that enables calculations for a large number of detections in a given image. The source-list tool can be run either in automatic mode to generate an aperture photometry table quickly or in manual mode to permit inspection and adjustment of the calculation for each individual detection. APT displays a variety of useful graphs with just the push of a button, including image histogram, x and y aperture slices, source scatter plot, sky scatter plot, sky histogram, radial profile, curve of growth, and aperture-photometry-table scatter plots and histograms. APT has many functions for customizing the calculations, including outlier rejection, pixel “picking” and “zapping,” and a selection of source and sky models. The radial-profile-interpolation source

  13. Nasal mask ventilation is better than face mask ventilation in edentulous patients

    PubMed Central

    Kapoor, Mukul Chandra; Rana, Sandeep; Singh, Arvind Kumar; Vishal, Vindhya; Sikdar, Indranil

    2016-01-01

    Background and Aims: Face mask ventilation of the edentulous patient is often difficult as ineffective seating of the standard mask to the face prevents attainment of an adequate air seal. The efficacy of nasal ventilation in edentulous patients has been cited in case reports but has never been investigated. Material and Methods: Consecutive edentulous adult patients scheduled for surgery under general anesthesia with endotracheal intubation, during a 17-month period, were prospectively evaluated. After induction of anesthesia and administration of neuromuscular blocker, lungs were ventilated with a standard anatomical face mask of appropriate size, using a volume controlled anesthesia ventilator with tidal volume set at 10 ml/kg. In case of inadequate ventilation, the mask position was adjusted to achieve best-fit. Inspired and expired tidal volumes were measured. Thereafter, the face mask was replaced by a nasal mask and after achieving best-fit, the inspired and expired tidal volumes were recorded. The difference in expired tidal volumes and airway pressures at best-fit with the use of the two masks and number of patients with inadequate ventilation with use of the masks were statistically analyzed. Results: A total of 79 edentulous patients were recruited for the study. The difference in expiratory tidal volumes with the use of the two masks at best-fit was statistically significant (P = 0.0017). Despite the best-fit mask placement, adequacy of ventilation could not be achieved in 24.1% patients during face mask ventilation, and 12.7% patients during nasal mask ventilation and the difference was statistically significant. Conclusion: Nasal mask ventilation is more efficient than standard face mask ventilation in edentulous patients. PMID:27625477

  14. "The Mask Who Wasn't There": Visual Masking Effect with the Perceptual Absence of the Mask

    ERIC Educational Resources Information Center

    Rey, Amandine Eve; Riou, Benoit; Muller, Dominique; Dabic, Stéphanie; Versace, Rémy

    2015-01-01

    Does a visual mask need to be perceptually present to disrupt processing? In the present research, we proposed to explore the link between perceptual and memory mechanisms by demonstrating that a typical sensory phenomenon (visual masking) can be replicated at a memory level. Experiment 1 highlighted an interference effect of a visual mask on the…

  15. Mask automation: need a revolution in mask makers and equipment industry

    NASA Astrophysics Data System (ADS)

    Moon, Seong-yong; Yu, Sang-yong; Noh, Young-hwa; Son, Ki-jung; Lee, Hyun-Joo; Cho, Han-Ku

    2013-09-01

    As improving device integration for the next generation, high performance and cost down are also required accordingly in semiconductor business. Recently, significant efforts have been given on putting EUV technology into fabrication in order to improve device integration. At the same time, 450mm wafer manufacturing environment has been considered seriously in many ways in order to boost up the productivity. Accordingly, 9-inch mask has been discussed in mask fabrication business recently to support 450mm wafer manufacturing environment successfully. Although introducing 9-inch mask can be crucial for mask industry, multi-beam technology is also expected as another influential turning point to overcome currently the most critical issue in mask industry, electron beam writing time. No matter whether 9-inch mask or multi-beam technology will be employed or not, mask quality and productivity will be the key factors to survive from the device competition. In this paper, the level of facility automation in mask industry is diagnosed and analyzed and the automation guideline is suggested for the next generation.

  16. Lensless digital holography with diffuse illumination through a pseudo-random phase mask.

    PubMed

    Bernet, Stefan; Harm, Walter; Jesacher, Alexander; Ritsch-Marte, Monika

    2011-12-05

    Microscopic imaging with a setup consisting of a pseudo-random phase mask, and an open CMOS camera, without an imaging objective, is demonstrated. The pseudo random phase mask acts as a diffuser for an incoming laser beam, scattering a speckle pattern to a CMOS chip, which is recorded once as a reference. A sample which is afterwards inserted somewhere in the optical beam path changes the speckle pattern. A single (non-iterative) image processing step, comparing the modified speckle pattern with the previously recorded one, generates a sharp image of the sample. After a first calibration the method works in real-time and allows quantitative imaging of complex (amplitude and phase) samples in an extended three-dimensional volume. Since no lenses are used, the method is free from lens abberations. Compared to standard inline holography the diffuse sample illumination improves the axial sectioning capability by increasing the effective numerical aperture in the illumination path, and it suppresses the undesired so-called twin images. For demonstration, a high resolution spatial light modulator (SLM) is programmed to act as the pseudo-random phase mask. We show experimental results, imaging microscopic biological samples, e.g. insects, within an extended volume at a distance of 15 cm with a transverse and longitudinal resolution of about 60 μm and 400 μm, respectively.

  17. A cluster randomised trial of cloth masks compared with medical masks in healthcare workers.

    PubMed

    MacIntyre, C Raina; Seale, Holly; Dung, Tham Chi; Hien, Nguyen Tran; Nga, Phan Thi; Chughtai, Abrar Ahmad; Rahman, Bayzidur; Dwyer, Dominic E; Wang, Quanyi

    2015-04-22

    The aim of this study was to compare the efficacy of cloth masks to medical masks in hospital healthcare workers (HCWs). The null hypothesis is that there is no difference between medical masks and cloth masks. 14 secondary-level/tertiary-level hospitals in Hanoi, Vietnam. 1607 hospital HCWs aged ≥18 years working full-time in selected high-risk wards. Hospital wards were randomised to: medical masks, cloth masks or a control group (usual practice, which included mask wearing). Participants used the mask on every shift for 4 consecutive weeks. Clinical respiratory illness (CRI), influenza-like illness (ILI) and laboratory-confirmed respiratory virus infection. The rates of all infection outcomes were highest in the cloth mask arm, with the rate of ILI statistically significantly higher in the cloth mask arm (relative risk (RR)=13.00, 95% CI 1.69 to 100.07) compared with the medical mask arm. Cloth masks also had significantly higher rates of ILI compared with the control arm. An analysis by mask use showed ILI (RR=6.64, 95% CI 1.45 to 28.65) and laboratory-confirmed virus (RR=1.72, 95% CI 1.01 to 2.94) were significantly higher in the cloth masks group compared with the medical masks group. Penetration of cloth masks by particles was almost 97% and medical masks 44%. This study is the first RCT of cloth masks, and the results caution against the use of cloth masks. This is an important finding to inform occupational health and safety. Moisture retention, reuse of cloth masks and poor filtration may result in increased risk of infection. Further research is needed to inform the widespread use of cloth masks globally. However, as a precautionary measure, cloth masks should not be recommended for HCWs, particularly in high-risk situations, and guidelines need to be updated. Australian New Zealand Clinical Trials Registry: ACTRN12610000887077. Published by the BMJ Publishing Group Limited. For permission to use (where not already granted under a licence) please go

  18. A cluster randomised trial of cloth masks compared with medical masks in healthcare workers

    PubMed Central

    MacIntyre, C Raina; Seale, Holly; Dung, Tham Chi; Hien, Nguyen Tran; Nga, Phan Thi; Chughtai, Abrar Ahmad; Rahman, Bayzidur; Dwyer, Dominic E; Wang, Quanyi

    2015-01-01

    Objective The aim of this study was to compare the efficacy of cloth masks to medical masks in hospital healthcare workers (HCWs). The null hypothesis is that there is no difference between medical masks and cloth masks. Setting 14 secondary-level/tertiary-level hospitals in Hanoi, Vietnam. Participants 1607 hospital HCWs aged ≥18 years working full-time in selected high-risk wards. Intervention Hospital wards were randomised to: medical masks, cloth masks or a control group (usual practice, which included mask wearing). Participants used the mask on every shift for 4 consecutive weeks. Main outcome measure Clinical respiratory illness (CRI), influenza-like illness (ILI) and laboratory-confirmed respiratory virus infection. Results The rates of all infection outcomes were highest in the cloth mask arm, with the rate of ILI statistically significantly higher in the cloth mask arm (relative risk (RR)=13.00, 95% CI 1.69 to 100.07) compared with the medical mask arm. Cloth masks also had significantly higher rates of ILI compared with the control arm. An analysis by mask use showed ILI (RR=6.64, 95% CI 1.45 to 28.65) and laboratory-confirmed virus (RR=1.72, 95% CI 1.01 to 2.94) were significantly higher in the cloth masks group compared with the medical masks group. Penetration of cloth masks by particles was almost 97% and medical masks 44%. Conclusions This study is the first RCT of cloth masks, and the results caution against the use of cloth masks. This is an important finding to inform occupational health and safety. Moisture retention, reuse of cloth masks and poor filtration may result in increased risk of infection. Further research is needed to inform the widespread use of cloth masks globally. However, as a precautionary measure, cloth masks should not be recommended for HCWs, particularly in high-risk situations, and guidelines need to be updated. Trial registration number Australian New Zealand Clinical Trials Registry: ACTRN12610000887077. PMID

  19. Alternating phase-shifted mask for logic gate levels, design, and mask manufacturing

    NASA Astrophysics Data System (ADS)

    Liebmann, Lars W.; Graur, Ioana C.; Leipold, William C.; Oberschmidt, James M.; O'Grady, David S.; Regaill, Denis

    1999-07-01

    While the benefits of alternating phase shifted masks in improving lithographic process windows at increased resolution are well known throughout the lithography community, broad implementation of this potentially powerful technique has been slow due to the inherent complexity of the layout design and mask manufacturing process. This paper will review a project undertaken at IBM's Semiconductor Research and Development Center and Mask Manufacturing and Development facility to understand the technical and logistical issues associated with the application of alternating phase shifted mask technology to the gate level of a full microprocessor chip. The work presented here depicts an important milestone toward integration of alternating phase shifted masks into the manufacturing process by demonstrating an automated design solution and yielding a functional alternating phase shifted mask. The design conversion of the microprocessor gate level to a conjugate twin shifter alternating phase shift layout was accomplished with IBM's internal design system that automatically scaled the design, added required phase regions, and resolved phase conflicts. The subsequent fabrication of a nearly defect free phase shifted mask, as verified by SEM based die to die inspection, highlights the maturity of the alternating phase shifted mask manufacturing process in IBM's internal mask facility. Well defined and recognized challenges in mask inspection and repair remain and the layout of alternating phase shifted masks present a design and data preparation overhead, but the data presented here demonstrate the feasibility of designing and building manufacturing quality alternating phase shifted masks for the gate level of a microprocessor.

  20. VizieR Online Data Catalog: Team Keck Redshift Survey 2 (TKRS2) (Wirth+, 2015)

    NASA Astrophysics Data System (ADS)

    Wirth, G. D.; Trump, J. R.; Barro, G.; Guo, Y.; Koo, D. C.; Liu, F.; Kassis, M.; Lyke, J.; Rizzi, L.; Campbell, R.; Goodrich, R. W.; Faber, S. M.

    2016-04-01

    We present the Team Keck Redshift Survey 2 (TKRS2), a spectroscopic survey of 97 distant galaxies exploiting the capabilities of the Multi-Object Spectrometer For Infra-Red Exploration (MOSFIRE) on the Keck I telescope at the W. M. Keck Observatory. MOSFIRE features a 2048*2048 pixel HAWAII-2RG HgCdTe detector array from Teledyne Imaging Sensors that couples high quantum efficiency with low noise and low dark current. The operating range of 0.97-2.41μm covers the YJHK infrared passbands, with wavelength coverage of 0.97-1.12μm in Y, 1.15-1.35μm in J, 1.47-1.80μm in H, and 1.95-2.40μm in K. The resolving power for the default slit width of 0.7" is R=3380 in Y, 3310 in J, 3660 in H, and 3620 in K, corresponding to full-width-half-maximum (FWHM) spectral resolutions of 3.1Å in Y, 3.7Å in J, 4.4Å in H, and 6.0Å in K. Our survey targets the south-central region of the GOODS-North survey field (Giavalisco et al. 2004, cat. II/261). We employed MOSFIRE to acquire spectra in the GOODS-North field over a series of partial nights spanning the period from 2012 November to 2013 May. We present the results of our survey in Table3 and on the website (http://arcoiris.ucsc.edu/TKRS2/) devoted to the survey. (1 data file).

  1. EUV mask manufacturing readiness in the merchant mask industry

    NASA Astrophysics Data System (ADS)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  2. Theoretical and numerical analyses of a slit-masked chicane for modulated bunch generation

    DOE PAGES

    Zhu, Xiaofang; Broemmelsiek, Daniel R.; Shin, Young -Min; ...

    2015-10-28

    Density modulations on electron beams can improve machine performance of beam-driven accelerators and FELs with resonance beam-wave coupling. The beam modulation is studied with a masked chicane by the analytic model and simulations with the beam parameters of the Fermilab Accelerator Science and Technology (FAST) facility. With the chicane design parameters (bending angle of 18o, bending radius of 0.95 m and R 56 ~ –0.19 m) and a nominal beam of 3 ps bunch length, the analytic model showed that a slit-mask with slit period 900 μ m and aperture width 300 μ m induces a modulation of bunch-to-bunch spacingmore » ~ 100 μ m to the bunch with 2.4% correlated energy spread. With the designed slit mask and a 3 ps bunch, particle-in-cell (PIC) simulations, including nonlinear energy distributions, space charge force, and coherent synchrotron radiation (CSR) effect, also result in beam modulation with bunch-to-bunch distance around 100 μ m and a corresponding modulation frequency of 3 THz. The beam modulation has been extensively examined with three different beam conditions, 2.25 ps (0.25 nC), 3.25 ps (1 nC), and 4.75 ps (3.2 nC), by tracking code Elegant. The simulation analysis indicates that the sliced beam by the slit-mask with 3 ~ 6% correlated energy spread has modulation lengths about 187 μ m (0.25 nC), 270 μ m (1 nC) and 325 μ m (3.2 nC). As a result, the theoretical and numerical data proved the capability of the designed masked chicane in producing modulated bunch train with micro-bunch length around 100 fs.« less

  3. Nanostructure Secondary-Mirror Apodizing Mask for Transmitter Signal Suppression in a Duplex Telescope

    NASA Technical Reports Server (NTRS)

    Hagopian, John; Livas, Jeffrey; Shiri, Shahram; Getty, Stephanie; Tveekrem, June; Butler, James

    2012-01-01

    A document discusses a nanostructure apodizing mask, made of multi-walled carbon nanotubes, that is applied to the centers (or in and around the holes) of the secondary mirrors of telescopes that are used to interferometrically measure the strain of space-time in response to gravitational waves. The shape of this ultra-black mask can be adjusted to provide a smooth transition to the clear aperture of the secondary mirror to minimize diffracted light. Carbon nanotubes grown on silicon are a viable telescope mirror substrate, and can absorb significantly more light than other black treatments. The hemispherical reflectance of multi-walled carbon nanotubes grown at GSFC is approximately 3 to 10 times better than a standard aerospace paint used for stray light control. At the LISA (Laser Interferometer Space Antenna) wavelength of 1 micron, the advantage over paint is a factor of 10. Primarily, in the center of the secondary mirror (in the region of central obscuration, where no received light is lost) a black mask is applied to absorb transmitted light that could be reflected back into the receiver. In the LISA telescope, this is in the center couple of millimeters. The shape of this absorber is critical to suppress diffraction at the edge. By using the correct shape, the stray light can be reduced by approximately 10 to the 9 orders of magnitude versus no center mask. The effect of the nanotubes has been simulated in a stray-light model. The effect of the apodizing mask has been simulated in a near-field diffraction model. Specifications are geometry-dependent, but the baseline design for the LISA telescope has been modeled as well. The coatings are somewhat fragile, but work is continuing to enhance adhesion.

  4. Mask process correction (MPC) modeling and its application to EUV mask for electron beam mask writer EBM-7000

    NASA Astrophysics Data System (ADS)

    Kamikubo, Takashi; Ohnishi, Takayuki; Hara, Shigehiro; Anze, Hirohito; Hattori, Yoshiaki; Tamamushi, Shuichi; Bai, Shufeng; Wang, Jen-Shiang; Howell, Rafael; Chen, George; Li, Jiangwei; Tao, Jun; Wiley, Jim; Kurosawa, Terunobu; Saito, Yasuko; Takigawa, Tadahiro

    2010-09-01

    In electron beam writing on EUV mask, it has been reported that CD linearity does not show simple signatures as observed with conventional COG (Cr on Glass) masks because they are caused by scattered electrons form EUV mask itself which comprises stacked heavy metals and thick multi-layers. To resolve this issue, Mask Process Correction (MPC) will be ideally applicable. Every pattern is reshaped in MPC. Therefore, the number of shots would not increase and writing time will be kept within reasonable range. In this paper, MPC is extended to modeling for correction of CD linearity errors on EUV mask. And its effectiveness is verified with simulations and experiments through actual writing test.

  5. Differential Optical Synthetic Aperture Radar

    DOEpatents

    Stappaerts, Eddy A.

    2005-04-12

    A new differential technique for forming optical images using a synthetic aperture is introduced. This differential technique utilizes a single aperture to obtain unique (N) phases that can be processed to produce a synthetic aperture image at points along a trajectory. This is accomplished by dividing the aperture into two equal "subapertures", each having a width that is less than the actual aperture, along the direction of flight. As the platform flies along a given trajectory, a source illuminates objects and the two subapertures are configured to collect return signals. The techniques of the invention is designed to cancel common-mode errors, trajectory deviations from a straight line, and laser phase noise to provide the set of resultant (N) phases that can produce an image having a spatial resolution corresponding to a synthetic aperture.

  6. Competing for Consciousness: Prolonged Mask Exposure Reduces Object Substitution Masking

    ERIC Educational Resources Information Center

    Goodhew, Stephanie C.; Visser, Troy A. W.; Lipp, Ottmar V.; Dux, Paul E.

    2011-01-01

    In object substitution masking (OSM) a sparse, temporally trailing 4-dot mask impairs target identification, even though it has different contours from, and does not spatially overlap with the target. Here, we demonstrate a previously unknown characteristic of OSM: Observers show reduced masking at prolonged (e.g., 640 ms) relative to intermediate…

  7. Antenna-coupled TES bolometers used in BICEP2, Keck Array, and SPIDER

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ade, P. A. R.; Aikin, R. W.; Amiri, M.

    We have developed antenna-coupled transition-edge sensor bolometers for a wide range of cosmic microwave background (CMB) polarimetry experiments, including Bicep2, Keck Array, and the balloon borne Spider. These detectors have reached maturity and this paper reports on their design principles, overall performance, and key challenges associated with design and production. Our detector arrays repeatedly produce spectral bands with 20%–30% bandwidth at 95, 150, or 230 GHz. The integrated antenna arrays synthesize symmetric co-aligned beams with controlled side-lobe levels. Cross-polarized response on boresight is typicallymore » $$\\sim 0.5\\%$$, consistent with cross-talk in our multiplexed readout system. End-to-end optical efficiencies in our cameras are routinely 35% or higher, with per detector sensitivities of NET ~ 300 $$\\mu {{\\rm{K}}}_{\\mathrm{CMB}}\\sqrt{{\\rm{s}}}$$. Thanks to the scalability of this design, we have deployed 2560 detectors as 1280 matched pairs in Keck Array with a combined instantaneous sensitivity of $$\\sim 9\\;\\mu {{\\rm{K}}}_{\\mathrm{CMB}}\\sqrt{{\\rm{s}}}$$, as measured directly from CMB maps in the 2013 season. Furthermore, similar arrays have recently flown in the Spider instrument, and development of this technology is ongoing.« less

  8. Antenna-coupled TES bolometers used in BICEP2, Keck Array, and SPIDER

    DOE PAGES

    Ade, P. A. R.; Aikin, R. W.; Amiri, M.; ...

    2015-10-20

    We have developed antenna-coupled transition-edge sensor bolometers for a wide range of cosmic microwave background (CMB) polarimetry experiments, including Bicep2, Keck Array, and the balloon borne Spider. These detectors have reached maturity and this paper reports on their design principles, overall performance, and key challenges associated with design and production. Our detector arrays repeatedly produce spectral bands with 20%–30% bandwidth at 95, 150, or 230 GHz. The integrated antenna arrays synthesize symmetric co-aligned beams with controlled side-lobe levels. Cross-polarized response on boresight is typicallymore » $$\\sim 0.5\\%$$, consistent with cross-talk in our multiplexed readout system. End-to-end optical efficiencies in our cameras are routinely 35% or higher, with per detector sensitivities of NET ~ 300 $$\\mu {{\\rm{K}}}_{\\mathrm{CMB}}\\sqrt{{\\rm{s}}}$$. Thanks to the scalability of this design, we have deployed 2560 detectors as 1280 matched pairs in Keck Array with a combined instantaneous sensitivity of $$\\sim 9\\;\\mu {{\\rm{K}}}_{\\mathrm{CMB}}\\sqrt{{\\rm{s}}}$$, as measured directly from CMB maps in the 2013 season. Furthermore, similar arrays have recently flown in the Spider instrument, and development of this technology is ongoing.« less

  9. APT: Aperture Photometry Tool

    NASA Astrophysics Data System (ADS)

    Laher, Russ

    2012-08-01

    Aperture Photometry Tool (APT) is software for astronomers and students interested in manually exploring the photometric qualities of astronomical images. It has a graphical user interface (GUI) which allows the image data associated with aperture photometry calculations for point and extended sources to be visualized and, therefore, more effectively analyzed. Mouse-clicking on a source in the displayed image draws a circular or elliptical aperture and sky annulus around the source and computes the source intensity and its uncertainty, along with several commonly used measures of the local sky background and its variability. The results are displayed and can be optionally saved to an aperture-photometry-table file and plotted on graphs in various ways using functions available in the software. APT is geared toward processing sources in a small number of images and is not suitable for bulk processing a large number of images, unlike other aperture photometry packages (e.g., SExtractor). However, APT does have a convenient source-list tool that enables calculations for a large number of detections in a given image. The source-list tool can be run either in automatic mode to generate an aperture photometry table quickly or in manual mode to permit inspection and adjustment of the calculation for each individual detection. APT displays a variety of useful graphs, including image histogram, and aperture slices, source scatter plot, sky scatter plot, sky histogram, radial profile, curve of growth, and aperture-photometry-table scatter plots and histograms. APT has functions for customizing calculations, including outlier rejection, pixel “picking” and “zapping,” and a selection of source and sky models. The radial-profile-interpolation source model, accessed via the radial-profile-plot panel, allows recovery of source intensity from pixels with missing data and can be especially beneficial in crowded fields.

  10. Improving Undergraduate Research Experiences With An Intentional Mentoring Program: Lessons Learned Through Assessment of Keck Geology Consortium Programs

    NASA Astrophysics Data System (ADS)

    Wirth, K. R.; Garver, J. I.; Greer, L.; Pollock, M.; Varga, R. J.; Davidson, C. M.; Frey, H. M.; Hubbard, D. K.; Peck, W. H.; Wobus, R. A.

    2015-12-01

    The Keck Geology Consortium, with support from the National Science Foundation (REU Program) and ExxonMobil, is a collaborative effort by 18 colleges to improve geoscience education through high-quality research experiences. Since its inception in 1987 more than 1350 undergraduate students and 145 faculty have been involved in 189 yearlong research projects. This non-traditional REU model offers exceptional opportunities for students to address research questions at a deep level, to learn and utilize sophisticated analytical methods, and to engage in authentic collaborative research that culminates in an undergraduate research symposium and published abstracts volume. The large numbers of student and faculty participants in Keck projects also affords a unique opportunity to study the impacts of program design on undergraduate research experiences in the geosciences. Students who participate in Keck projects generally report significant gains in personal and professional dimensions, as well as in clarification of educational and career goals. Survey data from student participants, project directors, and campus advisors identify mentoring as one of the most critical and challenging elements of successful undergraduate research experiences. Additional challenges arise from the distributed nature of Keck projects (i.e., participants, project directors, advisors, and other collaborators are at different institutions) and across the span of yearlong projects. In an endeavor to improve student learning about the nature and process of science, and to make mentoring practices more intentional, the Consortium has developed workshops and materials to support both project directors and campus research advisors (e.g., best practices for mentoring, teaching ethical professional conduct, benchmarks for progress, activities to support students during research process). The Consortium continues to evolve its practices to better support students from underrepresented groups.

  11. The QACITS pointing sensor: from theory to on-sky operation on Keck/NIRC2

    NASA Astrophysics Data System (ADS)

    Huby, Elsa; Absil, Olivier; Mawet, Dimitri; Baudoz, Pierre; Femenıa Castellã, Bruno; Bottom, Michael; Ngo, Henry; Serabyn, Eugene

    2016-07-01

    Small inner working angle coronagraphs are essential to benefit from the full potential of large and future extremely large ground-based telescopes, especially in the context of the detection and characterization of exoplanets. Among existing solutions, the vortex coronagraph stands as one of the most effective and promising solutions. However, for focal-plane coronagraph, a small inner working angle comes necessarily at the cost of a high sensitivity to pointing errors. This is the reason why a pointing control system is imperative to stabilize the star on the vortex center against pointing drifts due to mechanical flexures, that generally occur during observation due for instance to temperature and/or gravity variations. We have therefore developed a technique called QACITS1 (Quadrant Analysis of Coronagraphic Images for Tip-tilt Sensing), which is based on the analysis of the coronagraphic image shape to infer the amount of pointing error. It has been shown that the flux gradient in the image is directly related to the amount of tip-tilt affecting the beam. The main advantage of this technique is that it does not require any additional setup and can thus be easily implemented on all current facilities equipped with a vortex phase mask. In this paper, we focus on the implementation of the QACITS sensor at Keck/NIRC2, where an L-band AGPM has been recently commissioned (June and October 2015), successfully validating the QACITS estimator in the case of a centrally obstructed pupil. The algorithm has been designed to be easily handled by any user observing in vortex mode, which is available for science in shared risk mode since 2016B.

  12. Imaging of spatially extended hot spots with coded apertures for intra-operative nuclear medicine applications

    NASA Astrophysics Data System (ADS)

    Kaissas, I.; Papadimitropoulos, C.; Potiriadis, C.; Karafasoulis, K.; Loukas, D.; Lambropoulos, C. P.

    2017-01-01

    Coded aperture imaging transcends planar imaging with conventional collimators in efficiency and Field of View (FOV). We present experimental results for the detection of 141 keV and 122 keV γ-photons emitted by uniformly extended 99mTc and 57Co hot-spots along with simulations of uniformly and normally extended 99mTc hot-spots. These results prove that the method can be used for intra-operative imaging of radio-traced sentinel nodes and thyroid remnants. The study is performed using a setup of two gamma cameras, each consisting of a coded-aperture (or mask) of Modified Uniformly Redundant Array (MURA) of rank 19 positioned on top of a CdTe detector. The detector pixel pitch is 350 μm and its active area is 4.4 × 4.4 cm2, while the mask element size is 1.7 mm. The detectable photon energy ranges from 15 keV up to 200 keV with an energy resolution of 3-4 keV FWHM. Triangulation is exploited to estimate the 3D spatial coordinates of the radioactive spots within the system FOV. Two extended sources, with uniform distributed activity (11 and 24 mm in diameter, respectively), positioned at 16 cm from the system and with 3 cm distance between their centers, can be resolved and localized with accuracy better than 5%. The results indicate that the estimated positions of spatially extended sources lay within their volume size and that neighboring sources, even with a low level of radioactivity, such as 30 MBq, can be clearly distinguished with an acquisition time about 3 seconds.

  13. 2013 mask industry survey

    NASA Astrophysics Data System (ADS)

    Malloy, Matt

    2013-09-01

    A comprehensive survey was sent to merchant and captive mask shops to gather information about the mask industry as an objective assessment of its overall condition. 2013 marks the 12th consecutive year for this process. Historical topics including general mask profile, mask processing, data and write time, yield and yield loss, delivery times, maintenance, and returns were included and new topics were added. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the mask industry. While each year's survey includes minor updates based on feedback from past years and the need to collect additional data on key topics, the bulk of the survey and reporting structure have remained relatively constant. A series of improvements is being phased in beginning in 2013 to add value to a wider audience, while at the same time retaining the historical content required for trend analyses of the traditional metrics. Additions in 2013 include topics such as top challenges, future concerns, and additional details in key aspects of mask masking, such as the number of masks per mask set per ground rule, minimum mask resolution shipped, and yield by ground rule. These expansions beyond the historical topics are aimed at identifying common issues, gaps, and needs. They will also provide a better understanding of real-life mask requirements and capabilities for comparison to the International Technology Roadmap for Semiconductors (ITRS).

  14. Material Measurements Using Groundplane Apertures

    NASA Technical Reports Server (NTRS)

    Komisarek, K.; Dominek, A.; Wang, N.

    1995-01-01

    A technique for material parameter determination using an aperture in a groundplane is studied. The material parameters are found by relating the measured reflected field in the aperture to a numerical model. Two apertures are studied which can have a variety of different material configurations covering the aperture. The aperture cross-sections studied are rectangular and coaxial. The material configurations involved combinations of single layer and dual layers with or without a resistive exterior resistive sheet. The resistivity of the resistive sheet can be specified to simulate a perfect electric conductor (PEC) backing (0 Ohms/square) to a free space backing (infinity Ohms/square). Numerical parameter studies and measurements were performed to assess the feasibility of the technique.

  15. Effects of hard mask etch on final topography of advanced phase shift masks

    NASA Astrophysics Data System (ADS)

    Hortenbach, Olga; Rolff, Haiko; Lajn, Alexander; Baessler, Martin

    2017-07-01

    Continuous shrinking of the semiconductor device dimensions demands steady improvements of the lithographic resolution on wafer level. These requirements challenge the photomask industry to further improve the mask quality in all relevant printing characteristics. In this paper topography of the Phase Shift Masks (PSM) was investigated. Effects of hard mask etch on phase shift uniformity and mask absorber profile were studied. Design of experiments method (DoE) was used for the process optimization, whereas gas composition, bias power of the hard mask main etch and bias power of the over-etch were varied. In addition, influence of the over-etch time was examined at the end of the experiment. Absorber depth uniformity, sidewall angle (SWA), reactive ion etch lag (RIE lag) and through pitch (TP) dependence were analyzed. Measurements were performed by means of Atomic-force microscopy (AFM) using critical dimension (CD) mode with a boot-shaped tip. Scanning electron microscope (SEM) cross-section images were prepared to verify the profile quality. Finally CD analysis was performed to confirm the optimal etch conditions. Significant dependence of the absorber SWA on hard mask (HM) etch conditions was observed revealing an improvement potential for the mask absorber profile. It was found that hard mask etch can leave a depth footprint in the absorber layer. Thus, the etch depth uniformity of hard mask etch is crucial for achieving a uniform phase shift over the active mask area. The optimized hard mask etch process results in significantly improved mask topography without deterioration of tight CD specifications.

  16. Masking Period Patterns & Forward Masking for Speech-Shaped Noise: Age-related effects

    PubMed Central

    Grose, John H.; Menezes, Denise C.; Porter, Heather L.; Griz, Silvana

    2015-01-01

    Objective The purpose of this study was to assess age-related changes in temporal resolution in listeners with relatively normal audiograms. The hypothesis was that increased susceptibility to non-simultaneous masking contributes to the hearing difficulties experienced by older listeners in complex fluctuating backgrounds. Design Participants included younger (n = 11), middle-aged (n = 12), and older (n = 11) listeners with relatively normal audiograms. The first phase of the study measured masking period patterns for speech-shaped noise maskers and signals. From these data, temporal window shapes were derived. The second phase measured forward-masking functions, and assessed how well the temporal window fits accounted for these data. Results The masking period patterns demonstrated increased susceptibility to backward masking in the older listeners, compatible with a more symmetric temporal window in this group. The forward-masking functions exhibited an age-related decline in recovery to baseline thresholds, and there was also an increase in the variability of the temporal window fits to these data. Conclusions This study demonstrated an age-related increase in susceptibility to non-simultaneous masking, supporting the hypothesis that exacerbated non-simultaneous masking contributes to age-related difficulties understanding speech in fluctuating noise. Further support for this hypothesis comes from limited speech-in-noise data suggesting an association between susceptibility to forward masking and speech understanding in modulated noise. PMID:26230495

  17. Neptune and Titan Observed with Keck Telescope Adaptive Optics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Max, C.E.; Macintosh, B.A.; Gibbard, S.

    2000-05-05

    The authors report on observations taken during engineering science validation time using the new adaptive optics system at the 10-m Keck II Telescope. They observe Neptune and Titan at near-infrared wavelengths. These objects are ideal for adaptive optics imaging because they are bright and small, yet have many diffraction-limited resolution elements across their disks. In addition Neptune and Titan have prominent physical features, some of which change markedly with time. They have observed infrared-bright storms on Neptune, and very low-albedo surface regions on Titan, Saturn's largest moon, Spatial resolution on Neptune and Titan was 0.05-0.06 and 0.04-0.05 arc sec, respectively.

  18. Spatially Resolved Emission of a z~3 Damped Lyman Alpha Galaxy with Keck/OSIRIS IFU

    NASA Astrophysics Data System (ADS)

    Christenson, Holly; Jorgenson, Regina

    2017-01-01

    The damped Lyman alpha (DLA) class of galaxies contains most of the neutral hydrogen gas over cosmic time. Few DLAs have been detected directly, which limits our knowledge of fundamental properties like size and mass. We present Keck/OSIRIS infrared integral field spectroscopy (IFU) observations of a DLA that was first detected in absorption toward a background quasar. Our observations use the Keck Laser Guide Star Adaptive Optics system to reduce the point-spread function of the quasar, making it possible to spatially resolve the DLA emission. We map this emission in O[III] 5007 Å. At redshift z~3, this DLA represents one of the highest redshift DLAs mapped with IFU spectroscopy. We present measurements of the star formation rate, metallicity, and gas mass of the galaxy.This project was supported in part by the NSF REU grant AST-1358980 and by the Nantucket Maria Mitchell Association.

  19. Optica aperture synthesis

    NASA Astrophysics Data System (ADS)

    van der Avoort, Casper

    2006-05-01

    treat related subjects, being experimental work on beam combination optics, a description of a novel formalism for aberration retrieval and experimental work on nulling interferometry. The Chapters on interferometric imaging are organized in such a way that not only the physical principles behind a stellar interferometer are clear, but these chapters also form a basis for the method of analysis applied to the interferometers - -or rather beam combination methods- under consideration. The imaging process in a stellar interferometer will be treated as the inversion of a linear system of equations. The definition of interferometric imaging in this thesis can be stated to be the reconstruction of a luminosity distribution function on the sky, that is, in angular measure, larger than the angular diffraction limited spot size -or Point-Spread Function (PSF)- of a single telescope in the array and that contains, again in angular measure, spatial structure that is much smaller than the PSF of a single telescope. This reconstruction has to be based on knowledge of the dimensions of the telescope array and the detector. The detector collects intensity data that is formed by observation of the polychromatic luminosity distribution on the sky and is deteriorated by the quantum-nature of light and an imperfect electronic detection process. Therefore, the imaging study presented in this thesis can be regarded to be a study on the signal characteristics of various interferometers while imaging a polychromatic wide-field stellar source. The collection of beam combination methods under consideration consists of four types. Among these are two well-known types, having either co-axially combined beams as in the Michelson-Morley experiment to demonstrate the existence of ether, or beams that follow optical paths as if an aperture mask were placed in front of a telescope, making the beams combine in the focus of that telescope, as suggested by Fizeau. For separated apertures rather than an

  20. Extra Solar Planet Science With a Non Redundant Mask

    NASA Astrophysics Data System (ADS)

    Minto, Stefenie Nicolet; Sivaramakrishnan, Anand; Greenbaum, Alexandra; St. Laurent, Kathryn; Thatte, Deeparshi

    2017-01-01

    To detect faint planetary companions near a much brighter star, at the Resolution Limit of the James Webb Space Telescope (JWST) the Near-Infrared Imager and Slitless Spectrograph (NIRISS) will use a non-redundant aperture mask (NRM) for high contrast imaging. I simulated NIRISS data of stars with and without planets, and run these through the code that measures interferometric image properties to determine how sensitive planetary detection is to our knowledge of instrumental parameters, starting with the pixel scale. I measured the position angle, distance, and contrast ratio of the planet (with respect to the star) to characterize the binary pair. To organize this data I am creating programs that will automatically and systematically explore multi-dimensional instrument parameter spaces and binary characteristics. In the future my code will also be applied to explore any other parameters we can simulate.

  1. Cavity-excited Huygens' metasurface antennas for near-unity aperture illumination efficiency from arbitrarily large apertures

    PubMed Central

    Epstein, Ariel; Wong, Joseph P. S.; Eleftheriades, George V.

    2016-01-01

    One of the long-standing problems in antenna engineering is the realization of highly directive beams using low-profile devices. In this paper, we provide a solution to this problem by means of Huygens' metasurfaces (HMSs), based on the equivalence principle. This principle states that a given excitation can be transformed to a desirable aperture field by inducing suitable electric and (equivalent) magnetic surface currents. Building on this concept, we propose and demonstrate cavity-excited HMS antennas, where the single-source-fed cavity is designed to optimize aperture illumination, while the HMS facilitates the current distribution that ensures phase purity of aperture fields. The HMS breaks the coupling between the excitation and radiation spectra typical to standard partially reflecting surfaces, allowing tailoring of the aperture properties to produce a desirable radiation pattern, without incurring edge-taper losses. The proposed low-profile design yields near-unity aperture illumination efficiencies from arbitrarily large apertures, offering new capabilities for microwave, terahertz and optical radiators. PMID:26790605

  2. Cavity-excited Huygens' metasurface antennas for near-unity aperture illumination efficiency from arbitrarily large apertures.

    PubMed

    Epstein, Ariel; Wong, Joseph P S; Eleftheriades, George V

    2016-01-21

    One of the long-standing problems in antenna engineering is the realization of highly directive beams using low-profile devices. In this paper, we provide a solution to this problem by means of Huygens' metasurfaces (HMSs), based on the equivalence principle. This principle states that a given excitation can be transformed to a desirable aperture field by inducing suitable electric and (equivalent) magnetic surface currents. Building on this concept, we propose and demonstrate cavity-excited HMS antennas, where the single-source-fed cavity is designed to optimize aperture illumination, while the HMS facilitates the current distribution that ensures phase purity of aperture fields. The HMS breaks the coupling between the excitation and radiation spectra typical to standard partially reflecting surfaces, allowing tailoring of the aperture properties to produce a desirable radiation pattern, without incurring edge-taper losses. The proposed low-profile design yields near-unity aperture illumination efficiencies from arbitrarily large apertures, offering new capabilities for microwave, terahertz and optical radiators.

  3. The Operation and Architecture of the Keck Observatory Archive

    NASA Astrophysics Data System (ADS)

    Berriman, G. B.; Gelino, C. R.; Laity, A.; Kong, M.; Swain, M.; Holt, J.; Goodrich, R.; Mader, J.; Tran, H. D.

    2014-05-01

    The Infrared Processing and Analysis Center (IPAC) and the W. M. Keck Observatory (WMKO) are collaborating to build an archive for the twin 10-m Keck Telescopes, located near the summit of Mauna Kea. The Keck Observatory Archive (KOA) takes advantage of IPAC's long experience with managing and archiving large and complex data sets from active missions and serving them to the community; and of the Observatory's knowledge of the operation of its sophisticated instrumentation and the organization of the data products. By the end of 2013, KOA will contain data from all eight active observatory instruments, with an anticipated volume of 28 TB. The data include raw science and observations, quick look products, weather information, and, for some instruments, reduced and calibrated products. The goal of including data from all instruments is the cumulation of a rapid expansion of the archive's holdings, and already data from four new instruments have been added since October 2012. One more active instrument, the integral field spectrograph OSIRIS, is scheduled for ingestion in December 2013. After preparation for ingestion into the archive, the data are transmitted electronically from WMKO to IPAC for curation in the physical archive. This process includes validation of the science and content of the data and verification that data were not corrupted in transmission. The archived data include both newly-acquired observations and all previously acquired observations. The older data extends back to the date of instrument commissioning; for some instruments, such as HIRES, these data can extend as far back as 1994. KOA will continue to ingest all newly obtained observations, at an anticipated volume of 4 TB per year, and plans to ingest data from two decommissioned instruments. Access to these data is governed by a data use policy that guarantees Principal Investigators (PI) exclusive access to their data for at least 18 months, and allows for extensions as granted by

  4. Astronomical Optical Interferometry. I. Methods and Instrumentation

    NASA Astrophysics Data System (ADS)

    Jankov, S.

    2010-12-01

    Previous decade has seen an achievement of large interferometric projects including 8-10m telescopes and 100m class baselines. Modern computer and control technology has enabled the interferometric combination of light from separate telescopes also in the visible and infrared regimes. Imaging with milli-arcsecond (mas) resolution and astrometry with micro-arcsecond (muas) precision have thus become reality. Here, I review the methods and instrumentation corresponding to the current state in the field of astronomical optical interferometry. First, this review summarizes the development from the pioneering works of Fizeau and Michelson. Next, the fundamental observables are described, followed by the discussion of the basic design principles of modern interferometers. The basic interferometric techniques such as speckle and aperture masking interferometry, aperture synthesis and nulling interferometry are disscused as well. Using the experience of past and existing facilities to illustrate important points, I consider particularly the new generation of large interferometers that has been recently commissioned (most notably, the CHARA, Keck, VLT and LBT Interferometers). Finally, I discuss the longer-term future of optical interferometry, including the possibilities of new large-scale ground-based projects and prospects for space interferometry.

  5. Perceptual compression of magnitude-detected synthetic aperture radar imagery

    NASA Technical Reports Server (NTRS)

    Gorman, John D.; Werness, Susan A.

    1994-01-01

    A perceptually-based approach for compressing synthetic aperture radar (SAR) imagery is presented. Key components of the approach are a multiresolution wavelet transform, a bit allocation mask based on an empirical human visual system (HVS) model, and hybrid scalar/vector quantization. Specifically, wavelet shrinkage techniques are used to segregate wavelet transform coefficients into three components: local means, edges, and texture. Each of these three components is then quantized separately according to a perceptually-based bit allocation scheme. Wavelet coefficients associated with local means and edges are quantized using high-rate scalar quantization while texture information is quantized using low-rate vector quantization. The impact of the perceptually-based multiresolution compression algorithm on visual image quality, impulse response, and texture properties is assessed for fine-resolution magnitude-detected SAR imagery; excellent image quality is found at bit rates at or above 1 bpp along with graceful performance degradation at rates below 1 bpp.

  6. The Challenge of Governance. Teacher's Guide [and Student Text]. W.M. Keck Foundation Series.

    ERIC Educational Resources Information Center

    Croddy, Marshall; Degelman, Charles; Hayes, Bill

    This teacher's guide and student text is the fourth volume in the W. M. Keck Foundation Series. The guide, which is designed to provide instructional support for classroom use of "The Challenge of Governance," gives teachers an opportunity to review content from the National Standards for Civics and Government for High School with…

  7. What Is Being Masked in Object Substitution Masking?

    ERIC Educational Resources Information Center

    Gellatly, Angus; Pilling, Michael; Cole, Geoff; Skarratt, Paul

    2006-01-01

    Object substitution masking (OSM) is said to occur when a perceptual object is hypothesized that is mismatched by subsequent sensory evidence, leading to a new hypothesized object being substituted for the first. For example, when a brief target is accompanied by a longer lasting display of nonoverlapping mask elements, reporting of target…

  8. Masking Period Patterns and Forward Masking for Speech-Shaped Noise: Age-Related Effects.

    PubMed

    Grose, John H; Menezes, Denise C; Porter, Heather L; Griz, Silvana

    2016-01-01

    The purpose of this study was to assess age-related changes in temporal resolution in listeners with relatively normal audiograms. The hypothesis was that increased susceptibility to nonsimultaneous masking contributes to the hearing difficulties experienced by older listeners in complex fluctuating backgrounds. Participants included younger (n = 11), middle-age (n = 12), and older (n = 11) listeners with relatively normal audiograms. The first phase of the study measured masking period patterns for speech-shaped noise maskers and signals. From these data, temporal window shapes were derived. The second phase measured forward-masking functions and assessed how well the temporal window fits accounted for these data. The masking period patterns demonstrated increased susceptibility to backward masking in the older listeners, compatible with a more symmetric temporal window in this group. The forward-masking functions exhibited an age-related decline in recovery to baseline thresholds, and there was also an increase in the variability of the temporal window fits to these data. This study demonstrated an age-related increase in susceptibility to nonsimultaneous masking, supporting the hypothesis that exacerbated nonsimultaneous masking contributes to age-related difficulties understanding speech in fluctuating noise. Further support for this hypothesis comes from limited speech-in-noise data, suggesting an association between susceptibility to forward masking and speech understanding in modulated noise.

  9. Estimation of Orbital Neutron Detector Spatial Resolution by Systematic Shifting of Differential Topographic Masks

    NASA Technical Reports Server (NTRS)

    McClanahan, T. P.; Mitrofanov, I. G.; Boynton, W. V.; Chin, G.; Livengood, T.; Starr, R. D.; Evans, L. G.; Mazarico, E.; Smith, D. E.

    2012-01-01

    We present a method and preliminary results related to determining the spatial resolution of orbital neutron detectors using epithermal maps and differential topographic masks. Our technique is similar to coded aperture imaging methods for optimizing photonic signals in telescopes [I]. In that approach photon masks with known spatial patterns in a telescope aperature are used to systematically restrict incoming photons which minimizes interference and enhances photon signal to noise. Three orbital neutron detector systems with different stated spatial resolutions are evaluated. The differing spatial resolutions arise due different orbital altitudes and the use of neutron collimation techniques. 1) The uncollimated Lunar Prospector Neutron Spectrometer (LPNS) system has spatial resolution of 45km FWHM from approx. 30km altitude mission phase [2]. The Lunar Rennaissance Orbiter (LRO) Lunar Exploration Neutron Detector (LEND) with two detectors at 50km altitude evaluated here: 2) the collimated 10km FWHM spatial resolution detector CSETN and 3) LEND's collimated Sensor for Epithermal Neutrons (SETN). Thus providing two orbital altitudes to study factors of: uncollimated vs collimated and two average altitudes for their effect on fields-of-view.

  10. CHANDRA, KECK, and VLA Observations of the Crab Nebula During the 2011-April Gamma-Ray Flare

    DOE PAGES

    Weisskopf, Martin C.; Tennant, Allyn F.; Arons, Jonathan; ...

    2013-02-15

    In this paper, we present results from our analysis of Chandra X-Ray Observatory, W. M. Keck Observatory, and Karl G. Jansky Very Large Array (VLA) images of the Crab Nebula that were contemporaneous with the γ-ray flare of 2011 April. Despite hints in the X-ray data, we find no evidence for statistically significant variations that pinpoint the specific location of the flares within the Nebula. The Keck observations extend this conclusion to the "inner knot," i.e., the feature within an arcsecond of the pulsar. The VLA observations support this conclusion. Lastly, we also discuss theoretical implications of the γ-ray flaresmore » and suggest that the most dramatic γ-ray flares are due to radiation-reaction-limited synchrotron emission associated with sudden, dissipative changes in the current system sustained by the central pulsar.« less

  11. 2012 Mask Industry Survey

    NASA Astrophysics Data System (ADS)

    Malloy, Matt; Litt, Lloyd C.

    2012-11-01

    A survey supported by SEMATECH and administered by David Powell Consulting was sent to semiconductor industry leaders to gather information about the mask industry as an objective assessment of its overall condition. The survey was designed with the input of semiconductor company mask technologists and merchant mask suppliers. 2012 marks the 11th consecutive year for the mask industry survey. This year's survey and reporting structure are similar to those of the previous years with minor modifications based on feedback from past years and the need to collect additional data on key topics. Categories include general mask information, mask processing, data and write time, yield and yield loss, delivery times, and maintenance and returns. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the mask industry. Results, initial observations, and key comparisons between the 2011 and 2012 survey responses are shown here, including multiple indications of a shift towards the manufacturing of higher end photomasks.

  12. Variable-aperture screen

    DOEpatents

    Savage, George M.

    1991-01-01

    Apparatus for separating material into first and second portions according to size including a plurality of shafts, a plurality of spaced disks radiating outwardly from each of the shafts to define apertures and linkage interconnecting the shafts for moving the shafts toward or away from one another to vary the size of the apertures while the apparatus is performing the separating function.

  13. Robust source and mask optimization compensating for mask topography effects in computational lithography.

    PubMed

    Li, Jia; Lam, Edmund Y

    2014-04-21

    Mask topography effects need to be taken into consideration for a more accurate solution of source mask optimization (SMO) in advanced optical lithography. However, rigorous 3D mask models generally involve intensive computation and conventional SMO fails to manipulate the mask-induced undesired phase errors that degrade the usable depth of focus (uDOF) and process yield. In this work, an optimization approach incorporating pupil wavefront aberrations into SMO procedure is developed as an alternative to maximize the uDOF. We first design the pupil wavefront function by adding primary and secondary spherical aberrations through the coefficients of the Zernike polynomials, and then apply the conjugate gradient method to achieve an optimal source-mask pair under the condition of aberrated pupil. We also use a statistical model to determine the Zernike coefficients for the phase control and adjustment. Rigorous simulations of thick masks show that this approach provides compensation for mask topography effects by improving the pattern fidelity and increasing uDOF.

  14. Initial Performance of the Keck AO Wavefront Controller System

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Johansson, E M; Acton, D S; An, J R

    2001-03-01

    The wavefront controller for the Keck Observatory AO system consists of two separate real-time control loops: a tip-tilt control loop to remove tilt from the incoming wavefront, and a deformable mirror control loop to remove higher-order aberrations. In this paper, we describe these control loops and analyze their performance using diagnostic data acquired during the integration and testing of the AO system on the telescope. Disturbance rejection curves for the controllers are calculated from the experimental data and compared to theory. The residual wavefront errors due to control loop bandwidth are also calculated from the data, and possible improvements tomore » the controller performance are discussed.« less

  15. Variable-aperture screen

    DOEpatents

    Savage, G.M.

    1991-10-29

    Apparatus is described for separating material into first and second portions according to size including a plurality of shafts, a plurality of spaced disks radiating outwardly from each of the shafts to define apertures and linkage interconnecting the shafts for moving the shafts toward or away from one another to vary the size of the apertures while the apparatus is performing the separating function. 10 figures.

  16. Variations in backward masking with different masking stimuli: II. The effects of spatially quantised masks in the light of local contour interaction, interchannel inhibition, perceptual retouch, and substitution theories.

    PubMed

    Bachmann, Talis; Luiga, Iiris; Põder, Endel

    2005-01-01

    In part I we showed that with spatially non-overlapping targets and masks both local metacontrast-like interactions and attentional processes are involved in backward masking. In this second part we extend the strategy of varying the contents of masks to pattern masking where targets and masks overlap in space, in order to compare different masking theories. Images of human faces were backward-masked by three types of spatially quantised masks (the same faces as targets, faces different from targets, and Gaussian noise with power spectra typical for faces). Configural characteristics, rather than the spectral content of the mask, predicted the extent of masking at relatively long stimulus onset asynchronies (SOAs). This poses difficulties for the theory of transient-on-sustained inhibition as the principal mechanism of masking and also for local contour interaction being a decisive factor in pattern masking. The scale of quantisation had no effect on the masking capacity of noise masks and a strong effect on the capacity of different-face masks. Also, the decrease of configural masking with an increase in the coarseness of the quantisation of the mask highlights ambiguities inherent in the re-entrance-based substitution theory of masking. Different masking theories cannot solve the problems of masking separately. They should be combined in order to create a complex, yet comprehensible mode of interaction for the different mechanisms involved in visual backward masking.

  17. Modulation cues influence binaural masking-level difference in masking-pattern experiments.

    PubMed

    Nitschmann, Marc; Verhey, Jesko L

    2012-03-01

    Binaural masking patterns show a steep decrease in the binaural masking-level difference (BMLD) when masker and signal have no frequency component in common. Experimental threshold data are presented together with model simulations for a diotic masker centered at 250 or 500 Hz and a bandwidth of 10 or 100 Hz masking a sinusoid interaurally in phase (S(0)) or in antiphase (S(π)). Simulations with a binaural model, including a modulation filterbank for the monaural analysis, indicate that a large portion of the decrease in the BMLD in remote-masking conditions may be due to an additional modulation cue available for monaural detection. © 2012 Acoustical Society of America

  18. Mask industry assessment: 2008

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Yun, Henry

    2008-10-01

    Microelectronics industry leaders routinely name the cost and cycle time of mask technology and mask supply as top critical issues. A survey was created with support from SEMATECH and administered by David Powell Consulting to gather information about the mask industry as an objective assessment of its overall condition. The survey is designed with the input of semiconductor company mask technologists, merchant mask suppliers, and industry equipment makers. This year's assessment is the seventh in the current series of annual reports. With ongoing industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments pertaining to critical path issues. This year's survey is basically the same as the 2005 through 2007 surveys. Questions are grouped into categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category is a multitude of questions that create a detailed profile of both the business and technical status of the critical mask industry.

  19. Mask industry assessment: 2009

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Yun, Henry

    2009-10-01

    Microelectronics industry leaders routinely name the cost and cycle time of mask technology and mask supply as top critical issues. A survey was created with support from SEMATECH and administered by David Powell Consulting to gather information about the mask industry as an objective assessment of its overall condition. The survey is designed with the input of semiconductor company mask technologists and merchant mask suppliers. This year's assessment is the eighth in the current series of annual reports. With ongoing industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments pertaining to critical path issues. This year's survey is basically the same as the 2005 through 2008 surveys. Questions are grouped into categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category is a multitude of questions that create a detailed profile of both the business and technical status of the critical mask industry. This in combination with the past surveys represents a comprehensive view of changes in the industry.

  20. Next Generation Virgo Survey Photometry and Keck/DEIMOS Spectroscopy of Globular Cluster Satellites of Dwarf Elliptical Galaxies in the Virgo Cluster

    NASA Astrophysics Data System (ADS)

    Guhathakurta, Puragra; Toloba, Elisa; Peng, Eric W.; Li, Biao; Gwyn, Stephen; Ferrarese, Laura; Cote, Patrick; Chu, Jason; Sparkman, Lea; Chen, Stephanie; Yagati, Samyukta; Muller, Meredith; Next Generation Virgo Survey Collaboration

    2015-01-01

    We present results from an ongoing study of globular cluster (GC) satellites of low-luminosity dwarf elliptical (dE) galaxies in the Virgo cluster. Our 21 dE targets and candidate GC satellites around them in the apparent magnitude range g ~ 20-24 were selected from the Next Generation Virgo Survey (NGVS) and followed up with medium-resolution Keck/DEIMOS spectroscopy (resolving power: R ~ 2000; wavelength coverage: 4800-9500 Angstrom). In addition, the remaining space available on the nine DEIMOS multi-slit masks were populated with "filler" targets in the form of distant Milky Way halo star candidates in a comparable apparent magnitude range. A combination of radial velocity information (measured from the Keck/DEIMOS spectra), color-color information (from four-band NGVS photometry), and sky position information was used to sort the sample into the following categories: (1) GC satellites of dEs, (2) other non-satellite GCs in the Virgo cluster (we dub them "orphan" GCs), (3) foreground Milky Way stars that are members of the Sagittarius stream, the Virgo overdensity, or the field halo population, and (4) distant background galaxies. We stack the GC satellite population across all 21 host dEs and carry out dynamical modeling of the stacked sample in order to constrain the average mass of dark matter halos that these dEs are embedded in. We study rotation in the system of GC satellites of dEs in the handful of more populated systems in our sample - i.e., those that contain 10 or more GC satellites per dE. A companion AAS poster presented at this meeting (Chu, J. et al. 2015) presents chemical composition and age constraints for these GC satellites relative to the nuclei of the host dEs based on absorption line strengths in co-added spectra. The orphan GCs are likely to be intergalactic GCs within the Virgo cluster (or, equivalently, GCs in the remote outer envelope of the cluster's central galaxy, the giant elliptical M87).This project is funded in part by the

  1. Aperture averaging in strong oceanic turbulence

    NASA Astrophysics Data System (ADS)

    Gökçe, Muhsin Caner; Baykal, Yahya

    2018-04-01

    Receiver aperture averaging technique is employed in underwater wireless optical communication (UWOC) systems to mitigate the effects of oceanic turbulence, thus to improve the system performance. The irradiance flux variance is a measure of the intensity fluctuations on a lens of the receiver aperture. Using the modified Rytov theory which uses the small-scale and large-scale spatial filters, and our previously presented expression that shows the atmospheric structure constant in terms of oceanic turbulence parameters, we evaluate the irradiance flux variance and the aperture averaging factor of a spherical wave in strong oceanic turbulence. Irradiance flux variance variations are examined versus the oceanic turbulence parameters and the receiver aperture diameter are examined in strong oceanic turbulence. Also, the effect of the receiver aperture diameter on the aperture averaging factor is presented in strong oceanic turbulence.

  2. Signal-to-noise ratio of Singer product apertures

    NASA Astrophysics Data System (ADS)

    Shutler, Paul M. E.; Byard, Kevin

    2017-09-01

    Formulae for the signal-to-noise ratio (SNR) of Singer product apertures are derived, allowing optimal Singer product apertures to be identified, and the CPU time required to decode them is quantified. This allows a systematic comparison to be made of the performance of Singer product apertures against both conventionally wrapped Singer apertures, and also conventional product apertures such as square uniformly redundant arrays. For very large images, equivalently for images at very high resolution, the SNR of Singer product apertures is asymptotically as good as the best conventional apertures, but Singer product apertures decode faster than any conventional aperture by at least a factor of ten for image sizes up to several megapixels. These theoretical predictions are verified using numerical simulations, demonstrating that coded aperture video is for the first time a realistic possibility.

  3. Set Size and Mask Duration Do Not Interact in Object-Substitution Masking

    ERIC Educational Resources Information Center

    Argyropoulos, Ioannis; Gellatly, Angus; Pilling, Michael; Carter, Wakefield

    2013-01-01

    Object-substitution masking (OSM) occurs when a mask, such as four dots that surround a brief target item, onsets simultaneously with the target and offsets a short time after the target, rather than simultaneously with it. OSM is a reduction in accuracy of reporting the target with the temporally trailing mask, compared with the simultaneously…

  4. EUVL mask dual pods to be used for mask shipping and handling in exposure tools

    NASA Astrophysics Data System (ADS)

    Gomei, Yoshio; Ota, Kazuya; Lystad, John; Halbmair, Dave; He, Long

    2007-03-01

    The concept of Extreme Ultra-Violet Lithography (EUVL) mask dual pods is proposed for use in both mask shipping and handling in exposure tools. The inner pod was specially designed to protect masks from particle contamination during shipping from mask houses to wafer factories. It can be installed in a load-lock chamber of exposure tools and evacuated while holding the mask inside. The inner pod upper cover is removed just before the mask is installed to a mask stage. Prototypes were manufactured and tested for shipping and for vacuum cycling. We counted particle adders through these actions with a detectable level of 54 nm and up. The adder count was close to zero, or we can say that the obtained result is within the noise level of our present evaluation environment. This indicates that the present concept is highly feasible for EUVL mask shipping and handling in exposure tools.

  5. Mask strategy at International SEMATECH

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.

    2002-08-01

    International SEMATECH (ISMT) is a consortium consisting of 13 leading semiconductor manufacturers from around the globe. Its objective is to develop the infrastructure necessary for its member companies to realize the International Technology Roadmap for Semiconductors (ITRS) through efficiencies of shared development resources and knowledge. The largest area of effort is lithography, recognized as a crucial enabler for microelectronics technology progress. Within the Lithography Division, most of the efforts center on mask-related issues. The development strategy at International SEMATCH will be presented and the interlock of lithography projects clarified. Because of the limited size of the mask production equipment market, the business case is weak for aggressive investment commensurate with the pace of the International Technology Roadmap for Semiconductors. With masks becoming the overwhelming component of lithography cost, new ways of reducing or eliminating mask costs are being explored. Will mask technology survive without a strong business case? Will the mask industry limit the growth of the semiconductor industry? Are advanced masks worth their escalating cost? An analysis of mask cost from the perspective of mask value imparted to the user is presented with examples and generic formulas for the reader to apply independently. A key part to the success for both International SEMATECH and the industry globally will be partnerships on both the local level between mask-maker and mask-user, and the macro level where global collaborations will be necessary to resolve technology development cost challenges.

  6. Operating a wide-area high-availability collaborative remote observing system for classically-scheduled observations at the W. M. Keck Observatory

    NASA Astrophysics Data System (ADS)

    Kibrick, Robert I.; Wirth, Gregory D.; Allen, Steven L.; Deich, William T. S.; Goodrich, Robert W.; Lanclos, Kyle; Lyke, James E.

    2011-03-01

    For over a decade, the W. M. Keck Observatory's two 10-meter telescopes have been operated remotely from its Waimea headquarters. Over the last 9 years, WMKO remote observing has expanded to allow observing teams at dedicated sites located across California to observe via the Internet either in collaboration with colleagues in Waimea or entirely from California; this capability was extended to Swinburne University in Melbourne, Australia in 2010 and to Yale University in New Haven, Connecticut in early 2011. All Keck facility science instruments are currently supported. Observers distributed between as many as four sites can collaborate in the interactive operation of each instrument by means of shared VNC desktops and multipoint video and/or telephone conferencing. Automated routers at primary remote observing sites ensure continued connectivity during Internet outages. Each Keck remote observing facility is similarly equipped and configured so observers have the same operating environment. This architecture provides observers the flexibility to conduct observations from the location best suited to their needs and to adapt to last-minute changes. It also enhances the ability of off-site technical staff to provide remote support.

  7. Finding Optimal Apertures in Kepler Data

    NASA Astrophysics Data System (ADS)

    Smith, Jeffrey C.; Morris, Robert L.; Jenkins, Jon M.; Bryson, Stephen T.; Caldwell, Douglas A.; Girouard, Forrest R.

    2016-12-01

    With the loss of two spacecraft reaction wheels precluding further data collection for the Kepler primary mission, even greater pressure is placed on the processing pipeline to eke out every last transit signal in the data. To that end, we have developed a new method to optimize the Kepler Simple Aperture Photometry (SAP) photometric apertures for both planet detection and minimization of systematic effects. The approach uses a per cadence modeling of the raw pixel data and then performs an aperture optimization based on signal-to-noise ratio and the Kepler Combined Differential Photometric Precision (CDPP), which is a measure of the noise over the duration of a reference transit signal. We have found the new apertures to be superior to the previous Kepler apertures. We can now also find a per cadence flux fraction in aperture and crowding metric. The new approach has also been proven to be robust at finding apertures in K2 data that help mitigate the larger motion-induced systematics in the photometry. The method further allows us to identify errors in the Kepler and K2 input catalogs.

  8. Addressing chronic operational issues at the W. M. Keck Observatory

    NASA Astrophysics Data System (ADS)

    Nordin, Tom; Matsuda, Richard

    2016-07-01

    The W. M. Keck Observatory (WMKO) has a good track record at addressing large critical faults which impact observing. Our performance tracking and correcting chronic minor faults has been mixed, yet this class of problems has a significant negative impact on scientific productivity and staff effectiveness. We have taken steps to address this shortcoming. This paper outlines the creation of a program to identify, categorize and rank these chronic operational issues, track them over time, and develop management options for their resolution. The success of the program at identifying these chronic operational issues and the advantages of dedicating observatory resources to this endeavor are presented.

  9. Comparison of Ventilation With One-Handed Mask Seal With an Intraoral Mask Versus Conventional Cuffed Face Mask in a Cadaver Model: A Randomized Crossover Trial.

    PubMed

    Amack, Andrew J; Barber, Gary A; Ng, Patrick C; Smith, Thomas B; April, Michael D

    2017-01-01

    We compare received minute volume with an intraoral mask versus conventional cuffed face mask among medics obtaining a 1-handed mask seal on a cadaver model. This study comprised a randomized crossover trial of adult US Army combat medic volunteers participating in a cadaver laboratory as part of their training. We randomized participants to obtain a 1-handed mask seal during ventilation of a fresh unembalmed cadaver, first using either an intraoral airway device or conventional cuffed face mask. Participants obtained a 1-handed mask seal while a ventilator delivered 10 standardized 750-mL breaths during 1 minute. After a 5-minute rest period, they repeated the study with the alternative mask. The primary outcome measure was received minute volume as measured by a respirometer. Of 27 recruited participants, all completed the study. Median received minute volume was higher with the intraoral mask compared with conventional cuffed mask by 1.7 L (95% confidence interval 1.0 to 1.9 L; P<.001). The intraoral mask resulted in greater received minute volume received compared with conventional cuffed face mask during ventilation with a 1-handed mask seal in a cadaver model. The intraoral mask may prove a useful airway adjunct for ventilation. Copyright © 2016 American College of Emergency Physicians. Published by Elsevier Inc. All rights reserved.

  10. Masks in Pedagogical Practice

    ERIC Educational Resources Information Center

    Roy, David

    2016-01-01

    In Drama Education mask work is undertaken and presented as both a methodology and knowledge base. There are numerous workshops and journal articles available for teachers that offer knowledge or implementation of mask work. However, empirical examination of the context or potential implementation of masks as a pedagogical tool remains…

  11. Keeping African Masks Real

    ERIC Educational Resources Information Center

    Waddington, Susan

    2012-01-01

    Art is a good place to learn about our multicultural planet, and African masks are prized throughout the world as powerfully expressive artistic images. Unfortunately, multicultural education, especially for young children, can perpetuate stereotypes. Masks taken out of context lose their meaning and the term "African masks" suggests that there is…

  12. Masks in Imaging Flow Cytometry

    PubMed Central

    Dominical, Venina; Samsel, Leigh; McCoy, J. Philip

    2016-01-01

    Data analysis in imaging flow cytometry incorporates elements of flow cytometry together with other aspects of morphological analysis of images. A crucial early step in this analysis is the creation of a mask to distinguish the portion of the image upon which further examination of specified features can be performed. Default masks are provided by the manufacturer of the imaging flow cytometer but additional custom masks can be created by the individual user for specific applications. Flawed or inaccurate masks can have a substantial negative impact on the overall analysis of a sample, thus great care must be taken to ensure the accuracy of masks. Here we discuss various types of masks and cite examples of their use. Furthermore we provide our insight for how to approach selecting and assessing the optimal mask for a specific analysis. PMID:27461256

  13. Ultrafast Synthetic Transmit Aperture Imaging Using Hadamard-Encoded Virtual Sources With Overlapping Sub-Apertures.

    PubMed

    Ping Gong; Pengfei Song; Shigao Chen

    2017-06-01

    The development of ultrafast ultrasound imaging offers great opportunities to improve imaging technologies, such as shear wave elastography and ultrafast Doppler imaging. In ultrafast imaging, there are tradeoffs among image signal-to-noise ratio (SNR), resolution, and post-compounded frame rate. Various approaches have been proposed to solve this tradeoff, such as multiplane wave imaging or the attempts of implementing synthetic transmit aperture imaging. In this paper, we propose an ultrafast synthetic transmit aperture (USTA) imaging technique using Hadamard-encoded virtual sources with overlapping sub-apertures to enhance both image SNR and resolution without sacrificing frame rate. This method includes three steps: 1) create virtual sources using sub-apertures; 2) encode virtual sources using Hadamard matrix; and 3) add short time intervals (a few microseconds) between transmissions of different virtual sources to allow overlapping sub-apertures. The USTA was tested experimentally with a point target, a B-mode phantom, and in vivo human kidney micro-vessel imaging. Compared with standard coherent diverging wave compounding with the same frame rate, improvements on image SNR, lateral resolution (+33%, with B-mode phantom imaging), and contrast ratio (+3.8 dB, with in vivo human kidney micro-vessel imaging) have been achieved. The f-number of virtual sources, the number of virtual sources used, and the number of elements used in each sub-aperture can be flexibly adjusted to enhance resolution and SNR. This allows very flexible optimization of USTA for different applications.

  14. Effects of non-simultaneous masking on the binaural masking level difference

    PubMed Central

    Buss, Emily; Hall III, Joseph W.

    2011-01-01

    The present study sought to clarify the role of non-simultaneous masking in the binaural masking level difference for maskers that fluctuate in level. In the first experiment the signal was a brief 500-Hz tone, and the masker was a bandpass noise (100–2000 Hz), with the initial and final 200-ms bursts presented at 40-dB spectrum level and the inter-burst gap presented at 20-dB spectrum level. Temporal windows were fitted to thresholds measured for a range of gap durations and signal positions within the gap. In the second experiment, individual differences in out of phase (NoSπ) thresholds were compared for a brief signal in a gapped bandpass masker, a brief signal in a steady bandpass masker, and a long signal in a narrowband (50-Hz-wide) noise masker. The third experiment measured brief tone detection thresholds in forward, simultaneous, and backward masking conditions for a 50- and for a 1900-Hz-wide noise masker centered on the 500-Hz signal frequency. Results are consistent with comparable temporal resolution in the in phase (NoSo) and NoSπ conditions and no effect of temporal resolution on individual observers’ ability to utilize binaural cues in narrowband noise. The large masking release observed for a narrowband noise masker may be due to binaural masking release from non-simultaneous, informational masking. PMID:21361448

  15. Masks: The Artist in Me

    ERIC Educational Resources Information Center

    Skophammer, Karen

    2009-01-01

    Whether masks are made from cardboard, papier-mache, metal, wood, leather, fabric, clay or any combination of these materials, they bring out the artist in people. Young children like to wear masks when they play to pretend they were another person or animal. Masks let them fantasize and be creative. The author's students made masks representing…

  16. Forward masking of frequency modulationa

    PubMed Central

    Byrne, Andrew J.; Wojtczak, Magdalena; Viemeister, Neal F.

    2012-01-01

    Forward masking of sinusoidal frequency modulation (FM) was measured with three types of maskers: FM, amplitude modulation (AM), and a masker created by combining the magnitude spectrum of an FM tone with random component phases. For the signal FM rates used (5, 20, and 40 Hz), an FM masker raised detection thresholds in terms of frequency deviation by a factor of about 5 relative to without a masker. The AM masker produced a much smaller effect, suggesting that FM-to-AM conversion did not contribute substantially to the FM forward masking. The modulation depth of an FM masker had a nonmonotonic effect, with maximal masking observed at an intermediate value within the range of possible depths, while the random-phase FM masker produced less masking, arguing against a spectrally-based explanation for FM forward masking. Broad FM-rate selectivity for forward masking was observed for both 4-kHz and 500-Hz carriers. Thresholds measured as a function of the masker-signal delay showed slow recovery from FM forward masking, with residual masking for delays up to 500 ms. The FM forward-masking effect resembles that observed for AM [Wojtczak and Viemeister (2005). J. Acoust. Soc. Am. 188, 3198–3210] and may reflect modulation-rate selective neural adaptation to FM. PMID:23145618

  17. Object Substitution Masking Induced by Illusory Masks: Evidence for Higher Object-Level Locus of Interference

    ERIC Educational Resources Information Center

    Hirose, Nobuyuki; Osaka, Naoyuki

    2009-01-01

    A briefly presented target can be rendered invisible by a lingering sparse mask that does not even touch it. This form of visual backward masking, called object substitution masking, is thought to occur at the object level of processing. However, it remains unclear whether object-level interference alone produces substitution masking because…

  18. Supreme Laryngeal Mask Airway versus Face Mask during Neonatal Resuscitation: A Randomized Controlled Trial.

    PubMed

    Trevisanuto, Daniele; Cavallin, Francesco; Nguyen, Loi Ngoc; Nguyen, Tien Viet; Tran, Linh Dieu; Tran, Chien Dinh; Doglioni, Nicoletta; Micaglio, Massimo; Moccia, Luciano

    2015-08-01

    To assess the effectiveness of supreme laryngeal mask airway (SLMA) over face mask ventilation for preventing need for endotracheal intubation at birth. We report a prospective, randomized, parallel 1:1, unblinded, controlled trial. After a short-term educational intervention on SLMA use, infants ≥34-week gestation and/or expected birth weight ≥1500 g requiring positive pressure ventilation (PPV) at birth were randomized to resuscitation by SLMA or face mask. The primary outcome was the success rate of the resuscitation devices (SLMA or face mask) defined as the achievement of an effective PPV preventing the need for endotracheal intubation. We enrolled 142 patients (71 in SLMA and 71 in face mask group, respectively). Successful resuscitation rate was significantly higher with the SLMA compared with face mask ventilation (91.5% vs 78.9%; P = .03). Apgar score at 5 minutes was significantly higher in SLMA than in face mask group (P = .02). Neonatal intensive care unit admission rate was significantly lower in SLMA than in face mask group (P = .02). No complications related to the procedure occurred. In newborns with gestational age ≥34 weeks and/or expected birth weight ≥1500 g needing PPV at birth, the SLMA is more effective than face mask to prevent endotracheal intubation. The SLMA is effective in clinical practice after a short-term educational intervention. Registered with ClinicalTrials.gov: NCT01963936. Copyright © 2015 Elsevier Inc. All rights reserved.

  19. Challenges and requirements of mask data processing for multi-beam mask writer

    NASA Astrophysics Data System (ADS)

    Choi, Jin; Lee, Dong Hyun; Park, Sinjeung; Lee, SookHyun; Tamamushi, Shuichi; Shin, In Kyun; Jeon, Chan Uk

    2015-07-01

    To overcome the resolution and throughput of current mask writer for advanced lithography technologies, the platform of e-beam writer have been evolved by the developments of hardware and software in writer. Especially, aggressive optical proximity correction (OPC) for unprecedented extension of optical lithography and the needs of low sensitivity resist for high resolution result in the limit of variable shaped beam writer which is widely used for mass production. The multi-beam mask writer is attractive candidate for photomask writing of sub-10nm device because of its high speed and the large degree of freedom which enable high dose and dose modulation for each pixel. However, the higher dose and almost unlimited appetite for dose modulation challenge the mask data processing (MDP) in aspects of extreme data volume and correction method. Here, we discuss the requirements of mask data processing for multi-beam mask writer and presents new challenges of the data format, data flow, and correction method for user and supplier MDP tool.

  20. Effect of mask dead space and occlusion of mask holes on delivery of nebulized albuterol.

    PubMed

    Berlinski, Ariel

    2014-08-01

    Infants and children with respiratory conditions are often prescribed bronchodilators. Face masks are used to facilitate the administration of nebulized therapy in patients unable to use a mouthpiece. Masks incorporate holes into their design, and their occlusion during aerosol delivery has been a common practice. Masks are available in different sizes and different dead volumes. The aim of this study was to compare the effect of different degrees of occlusion of the mask holes and different mask dead space on the amount of nebulized albuterol available at the mouth opening in a model of a spontaneously breathing child. A breathing simulator mimicking infant (tidal volume [VT] = 50 mL, breathing frequency = 30 breaths/min, inspiratory-expiratory ratio [I:E] = 1:3), child (VT = 155 mL, breathing frequency = 25 breaths/min, I:E = 1:2), and adult (VT = 500 mL, breathing frequency = 15 breaths/min, I:E = 1:2) breathing patterns was connected to a collection filter hidden behind a face plate. A pediatric size mask and an adult size mask connected to a continuous output jet nebulizer were sealed to the face plate. Three nebulizers were loaded with albuterol sulfate (2.5 mg/3 mL) and operated with 6 L/min compressed air for 5 min. Experiments were repeated with different degrees of occlusion (0%, 50%, and 90%). Albuterol was extracted from the filter and measured with a spectrophotometer at 276 nm. Occlusion of the holes in the large mask did not increase the amount of albuterol in any of the breathing patterns. The amount of albuterol captured at the mouth opening did not change when the small mask was switched to the large mask, except with the breathing pattern of a child, and when the holes in the mask were 50% occluded (P = .02). Neither decreasing the dead space of the mask nor occluding the mask holes increased the amount of nebulized albuterol captured at the mouth opening.

  1. Near Infrared Imaging of the Hubble Deep Field with Keck Telescope

    NASA Technical Reports Server (NTRS)

    Hogg, David W.; Neugebauer, G.; Armus, Lee; Matthews, K.; Pahre, Michael A.; Soifer, B. T.; Weinberger, A. J.

    1997-01-01

    Two deep K-band (2.2 micrometer) images, with point-source detection limits of K=25.2 mag (one sigma), taken with the Keck Telescope in subfields of the Hubble Deep Field, are presented and analyzed. A sample of objects to K=24 mag is constructed and V(sub 606)- I(sub 814) and I(sub 814)-K colors are measured. By stacking visually selected objects, mean I(sub 814)-K colors can be measured to very faint levels, the mean I(sub 814)-K color is constant with apparent magnitude down to V(sub 606)=28 mag.

  2. Origins of Sinuous and Braided Channels on Ascraeus Mons, Mars — A Keck Geology Consortium Undergraduate Research Project

    NASA Astrophysics Data System (ADS)

    de Wet, A. P.; Bleacher, J. E.; Garry, W. B.

    2012-03-01

    This Keck Geology Consortium project, involving four undergrad geology students, mapped and analyzed sinuous channel features on Ascraeus Mons, Mars, to better understand the role of volcanic and fluvial processes in the geological evolution of Mars.

  3. Emulation of anamorphic imaging on the SHARP extreme ultraviolet mask microscope

    DOE PAGES

    Benk, Markus P.; Wojdyla, Antoine; Chao, Weilun; ...

    2016-07-12

    The SHARP high-numerical aperture actinic reticle review project is a synchrotron-based, extreme ultraviolet (EUV) microscope dedicated to photomask research. SHARP emulates the illumination and imaging conditions of current EUV lithography scanners and those several generations into the future. An anamorphic imaging optic with increased mask-side numerical aperture (NA) in the horizontal and increased demagnification in the vertical direction has been proposed in this paper to overcome limitations of current multilayer coatings and extend EUV lithography beyond 0.33 NA. Zoneplate lenses with an anamorphic 4×/8× NA of 0.55 are fabricated and installed in the SHARP microscope to emulate anamorphic imaging. SHARP’smore » Fourier synthesis illuminator with a range of angles exceeding the collected solid angle of the newly designed elliptical zoneplates can produce arbitrary angular source spectra matched to anamorphic imaging. A target with anamorphic dense features down to 50-nm critical dimension is fabricated using 40 nm of nickel as the absorber. In a demonstration experiment, anamorphic imaging at 0.55 4×/8× NA and 6 deg central ray angle (CRA) is compared with conventional imaging at 0.5 4× NA and 8 deg CRA. A significant contrast loss in horizontal features is observed in the conventional images. Finally, the anamorphic images show the same image quality in the horizontal and vertical directions.« less

  4. Emulation of anamorphic imaging on the SHARP extreme ultraviolet mask microscope

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Benk, Markus P.; Wojdyla, Antoine; Chao, Weilun

    The SHARP high-numerical aperture actinic reticle review project is a synchrotron-based, extreme ultraviolet (EUV) microscope dedicated to photomask research. SHARP emulates the illumination and imaging conditions of current EUV lithography scanners and those several generations into the future. An anamorphic imaging optic with increased mask-side numerical aperture (NA) in the horizontal and increased demagnification in the vertical direction has been proposed in this paper to overcome limitations of current multilayer coatings and extend EUV lithography beyond 0.33 NA. Zoneplate lenses with an anamorphic 4×/8× NA of 0.55 are fabricated and installed in the SHARP microscope to emulate anamorphic imaging. SHARP’smore » Fourier synthesis illuminator with a range of angles exceeding the collected solid angle of the newly designed elliptical zoneplates can produce arbitrary angular source spectra matched to anamorphic imaging. A target with anamorphic dense features down to 50-nm critical dimension is fabricated using 40 nm of nickel as the absorber. In a demonstration experiment, anamorphic imaging at 0.55 4×/8× NA and 6 deg central ray angle (CRA) is compared with conventional imaging at 0.5 4× NA and 8 deg CRA. A significant contrast loss in horizontal features is observed in the conventional images. Finally, the anamorphic images show the same image quality in the horizontal and vertical directions.« less

  5. Experimental verification of PSM polarimetry: monitoring polarization at 193nm high-NA with phase shift masks

    NASA Astrophysics Data System (ADS)

    McIntyre, Gregory; Neureuther, Andrew; Slonaker, Steve; Vellanki, Venu; Reynolds, Patrick

    2006-03-01

    The initial experimental verification of a polarization monitoring technique is presented. A series of phase shifting mask patterns produce polarization dependent signals in photoresist and are capable of monitoring the Stokes parameters of any arbitrary illumination scheme. Experiments on two test reticles have been conducted. The first reticle consisted of a series of radial phase gratings (RPG) and employed special apertures to select particular illumination angles. Measurement sensitivities of about 0.3 percent of the clear field per percent change in polarization state were observed. The second test reticle employed the more sensitive proximity effect polarization analyzers (PEPA), a more robust experimental setup, and a backside pinhole layer for illumination angle selection and to enable characterization of the full illuminator. Despite an initial complication with the backside pinhole alignment, the results correlate with theory. Theory suggests that, once the pinhole alignment is corrected in the near future, the second reticle should achieve a measurement sensitivity of about 1 percent of the clear field per percent change in polarization state. This corresponds to a measurement of the Stokes parameters after test mask calibration, to within about 0.02 to 0.03. Various potential improvements to the design, fabrication of the mask, and experimental setup are discussed. Additionally, to decrease measurement time, a design modification and double exposure technique is proposed to enable electrical detection of the measurement signal.

  6. Gestalt grouping and common onset masking.

    PubMed

    Kahan, Todd A; Mathis, Katherine M

    2002-11-01

    A four-dot mask that surrounds and is presented simultaneously with a briefly presented target will reduce a person's ability to identity that target if the mask persists beyond target offset and attention is divided (Enns & Di Lollo, 1997, 2000). This masking effect, referred to as common onset masking, reflects reentrant processing in the visual system and can best be explained with a theory of object substitution (Di Lollo, Enns, & Rensink, 2000). In the present experiments, we investigated whether Gestalt grouping variables would influence the strength of common onset masking. The results indicated that (1) masking was impervious to grouping by form, similarity of color, position, luminance polarity, and common region and (2) masking increased with the number of elements in the masking display.

  7. Challenges of anamorphic high-NA lithography and mask making

    NASA Astrophysics Data System (ADS)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA single-exposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV `Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10

  8. Joint analysis of BICEP2/keck array and Planck Data.

    PubMed

    Ade, P A R; Aghanim, N; Ahmed, Z; Aikin, R W; Alexander, K D; Arnaud, M; Aumont, J; Baccigalupi, C; Banday, A J; Barkats, D; Barreiro, R B; Bartlett, J G; Bartolo, N; Battaner, E; Benabed, K; Benoît, A; Benoit-Lévy, A; Benton, S J; Bernard, J-P; Bersanelli, M; Bielewicz, P; Bischoff, C A; Bock, J J; Bonaldi, A; Bonavera, L; Bond, J R; Borrill, J; Bouchet, F R; Boulanger, F; Brevik, J A; Bucher, M; Buder, I; Bullock, E; Burigana, C; Butler, R C; Buza, V; Calabrese, E; Cardoso, J-F; Catalano, A; Challinor, A; Chary, R-R; Chiang, H C; Christensen, P R; Colombo, L P L; Combet, C; Connors, J; Couchot, F; Coulais, A; Crill, B P; Curto, A; Cuttaia, F; Danese, L; Davies, R D; Davis, R J; de Bernardis, P; de Rosa, A; de Zotti, G; Delabrouille, J; Delouis, J-M; Désert, F-X; Dickinson, C; Diego, J M; Dole, H; Donzelli, S; Doré, O; Douspis, M; Dowell, C D; Duband, L; Ducout, A; Dunkley, J; Dupac, X; Dvorkin, C; Efstathiou, G; Elsner, F; Enßlin, T A; Eriksen, H K; Falgarone, E; Filippini, J P; Finelli, F; Fliescher, S; Forni, O; Frailis, M; Fraisse, A A; Franceschi, E; Frejsel, A; Galeotta, S; Galli, S; Ganga, K; Ghosh, T; Giard, M; Gjerløw, E; Golwala, S R; González-Nuevo, J; Górski, K M; Gratton, S; Gregorio, A; Gruppuso, A; Gudmundsson, J E; Halpern, M; Hansen, F K; Hanson, D; Harrison, D L; Hasselfield, M; Helou, G; Henrot-Versillé, S; Herranz, D; Hildebrandt, S R; Hilton, G C; Hivon, E; Hobson, M; Holmes, W A; Hovest, W; Hristov, V V; Huffenberger, K M; Hui, H; Hurier, G; Irwin, K D; Jaffe, A H; Jaffe, T R; Jewell, J; Jones, W C; Juvela, M; Karakci, A; Karkare, K S; Kaufman, J P; Keating, B G; Kefeli, S; Keihänen, E; Kernasovskiy, S A; Keskitalo, R; Kisner, T S; Kneissl, R; Knoche, J; Knox, L; Kovac, J M; Krachmalnicoff, N; Kunz, M; Kuo, C L; Kurki-Suonio, H; Lagache, G; Lähteenmäki, A; Lamarre, J-M; Lasenby, A; Lattanzi, M; Lawrence, C R; Leitch, E M; Leonardi, R; Levrier, F; Lewis, A; Liguori, M; Lilje, P B; Linden-Vørnle, M; López-Caniego, M; Lubin, P M; Lueker, M; Macías-Pérez, J F; Maffei, B; Maino, D; Mandolesi, N; Mangilli, A; Maris, M; Martin, P G; Martínez-González, E; Masi, S; Mason, P; Matarrese, S; Megerian, K G; Meinhold, P R; Melchiorri, A; Mendes, L; Mennella, A; Migliaccio, M; Mitra, S; Miville-Deschênes, M-A; Moneti, A; Montier, L; Morgante, G; Mortlock, D; Moss, A; Munshi, D; Murphy, J A; Naselsky, P; Nati, F; Natoli, P; Netterfield, C B; Nguyen, H T; Nørgaard-Nielsen, H U; Noviello, F; Novikov, D; Novikov, I; O'Brient, R; Ogburn, R W; Orlando, A; Pagano, L; Pajot, F; Paladini, R; Paoletti, D; Partridge, B; Pasian, F; Patanchon, G; Pearson, T J; Perdereau, O; Perotto, L; Pettorino, V; Piacentini, F; Piat, M; Pietrobon, D; Plaszczynski, S; Pointecouteau, E; Polenta, G; Ponthieu, N; Pratt, G W; Prunet, S; Pryke, C; Puget, J-L; Rachen, J P; Reach, W T; Rebolo, R; Reinecke, M; Remazeilles, M; Renault, C; Renzi, A; Richter, S; Ristorcelli, I; Rocha, G; Rossetti, M; Roudier, G; Rowan-Robinson, M; Rubiño-Martín, J A; Rusholme, B; Sandri, M; Santos, D; Savelainen, M; Savini, G; Schwarz, R; Scott, D; Seiffert, M D; Sheehy, C D; Spencer, L D; Staniszewski, Z K; Stolyarov, V; Sudiwala, R; Sunyaev, R; Sutton, D; Suur-Uski, A-S; Sygnet, J-F; Tauber, J A; Teply, G P; Terenzi, L; Thompson, K L; Toffolatti, L; Tolan, J E; Tomasi, M; Tristram, M; Tucci, M; Turner, A D; Valenziano, L; Valiviita, J; Van Tent, B; Vibert, L; Vielva, P; Vieregg, A G; Villa, F; Wade, L A; Wandelt, B D; Watson, R; Weber, A C; Wehus, I K; White, M; White, S D M; Willmert, J; Wong, C L; Yoon, K W; Yvon, D; Zacchei, A; Zonca, A

    2015-03-13

    We report the results of a joint analysis of data from BICEP2/Keck Array and Planck. BICEP2 and Keck Array have observed the same approximately 400  deg^{2} patch of sky centered on RA 0 h, Dec. -57.5°. The combined maps reach a depth of 57 nK deg in Stokes Q and U in a band centered at 150 GHz. Planck has observed the full sky in polarization at seven frequencies from 30 to 353 GHz, but much less deeply in any given region (1.2  μK deg in Q and U at 143 GHz). We detect 150×353 cross-correlation in B modes at high significance. We fit the single- and cross-frequency power spectra at frequencies ≥150  GHz to a lensed-ΛCDM model that includes dust and a possible contribution from inflationary gravitational waves (as parametrized by the tensor-to-scalar ratio r), using a prior on the frequency spectral behavior of polarized dust emission from previous Planck analysis of other regions of the sky. We find strong evidence for dust and no statistically significant evidence for tensor modes. We probe various model variations and extensions, including adding a synchrotron component in combination with lower frequency data, and find that these make little difference to the r constraint. Finally, we present an alternative analysis which is similar to a map-based cleaning of the dust contribution, and show that this gives similar constraints. The final result is expressed as a likelihood curve for r, and yields an upper limit r_{0.05}<0.12 at 95% confidence. Marginalizing over dust and r, lensing B modes are detected at 7.0σ significance.

  9. Data Reduction and Image Reconstruction Techniques for Non-redundant Masking

    NASA Astrophysics Data System (ADS)

    Sallum, S.; Eisner, J.

    2017-11-01

    The technique of non-redundant masking (NRM) transforms a conventional telescope into an interferometric array. In practice, this provides a much better constrained point-spread function than a filled aperture and thus higher resolution than traditional imaging methods. Here, we describe an NRM data reduction pipeline. We discuss strategies for NRM observations regarding dithering patterns and calibrator selection. We describe relevant image calibrations and use example Large Binocular Telescope data sets to show their effects on the scatter in the Fourier measurements. We also describe the various ways to calculate Fourier quantities, and discuss different calibration strategies. We present the results of image reconstructions from simulated observations where we adjust prior images, weighting schemes, and error bar estimation. We compare two imaging algorithms and discuss implications for reconstructing images from real observations. Finally, we explore how the current state of the art compares to next-generation Extremely Large Telescopes.

  10. Optical inspection of NGL masks

    NASA Astrophysics Data System (ADS)

    Pettibone, Donald W.; Stokowski, Stanley E.

    2004-12-01

    For the last five years KLA-Tencor and our joint venture partners have pursued a research program studying the ability of optical inspection tools to meet the inspection needs of possible NGL lithographies. The NGL technologies that we have studied include SCALPEL, PREVAIL, EUV lithography, and Step and Flash Imprint Lithography. We will discuss the sensitivity of the inspection tools and mask design factors that affect tool sensitivity. Most of the work has been directed towards EUV mask inspection and how to optimize the mask to facilitate inspection. Our partners have succeeded in making high contrast EUV masks ranging in contrast from 70% to 98%. Die to die and die to database inspection of EUV masks have been achieved with a sensitivity that is comparable to what can be achieved with conventional photomasks, approximately 80nm defect sensitivity. We have inspected SCALPEL masks successfully. We have found a limitation of optical inspection when applied to PREVAIL stencil masks. We have run inspections on SFIL masks in die to die, reflected light, in an effort to provide feedback to improve the masks. We have used a UV inspection system to inspect both unpatterned EUV substrates (no coatings) and blanks (with EUV multilayer coatings). These inspection results have proven useful in driving down the substrate and blank defect levels.

  11. Lightweight Face Mask

    NASA Technical Reports Server (NTRS)

    Cason, W. E. I.; Baucom, R. M.; Evans, R. C.

    1982-01-01

    Lightweight face mask originally developed to protect epileptic patients during seizures could have many other medical and nonmedical applications such as muscular distrophy patients, football linesmen and riot-control police. Masks are extremely lightweight, the lightest of the configurations weighing only 136 grams.

  12. Demonstration of high contrast with an obscured aperture with the WFIRST-AFTA shaped pupil coronagraph

    NASA Astrophysics Data System (ADS)

    Cady, Eric; Prada, Camilo Mejia; An, Xin; Balasubramanian, Kunjithapatham; Diaz, Rosemary; Kasdin, N. Jeremy; Kern, Brian; Kuhnert, Andreas; Nemati, Bijan; Poberezhskiy, Ilya; Eldorado Riggs, A. J.; Zimmer, Robert; Zimmerman, Neil

    2016-01-01

    The coronagraph instrument on the Wide-Field Infrared Survey Telescope-Astrophysics-Focused Telescope Asset (WFIRST-AFTA) mission study has two coronagraphic architectures, shaped pupil and hybrid Lyot, which may be interchanged for use in different observing scenarios. Each architecture relies on newly developed mask components to function in the presence of the AFTA aperture, and so both must be matured to a high technology readiness level in advance of the mission. A series of milestones were set to track the development of the technologies required for the instrument; we report on completion of WFIRST-AFTA coronagraph milestone 2-a narrowband 10-8 contrast test with static aberrations for the shaped pupil-and the plans for the upcoming broadband coronagraph milestone 5.

  13. New mask technology challenges

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.

    2001-09-01

    Mask technology development has accelerated dramatically in recent years from the glacial pace of the last three decades to the rapid and sometimes simultaneous introductions of new wavelengths and mask-based resolution enhancement techniques. The nature of the semiconductor business has also become one driven by time-to-market as an overwhelming factor in capturing market share and profit. These are among the factors that have created enormous stress on the mask industry to produce masks with enhanced capabilities, such as phase-shifting attenuators, sub-resolution assist bars, and optical proximity correction (OPC) features, while maintaining or reducing cost and cycle time. The mask can no longer be considered a commodity item that is purchased form the lowest-cost supplier. Instead, it must now be promoted as an integral part of the technical and business case for a total lithographic solution. Improving partnership between designer, mask-maker, and wafer lithographer will be the harbinger of success in finding a profitable balance of capability, cost, and cycle time. Likewise for equipment infrastructure development, stronger partnership on the international level is necessary to control development cost and mitigate schedule and technical risks.

  14. Mask Industry Assessment: 2011

    NASA Astrophysics Data System (ADS)

    Chan, Y. David

    2011-11-01

    A survey supported by SEMATECH and administered by David Powell Consulting was sent to microelectronics industry leaders to gather information about the mask industry as an objective assessment of its overall condition. The survey was designed with the input of semiconductor company mask technologists and merchant mask suppliers. This year's assessment is the tenth in the current series of annual reports. With ongoing industry support, the report has been used as one of the baselines to gain perspective on the technical and business status of the mask and microelectronics industries. It continues to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments pertaining to critical path issues. This year's survey was essentially the same as the 2005 through 2010 surveys. Questions are grouped into following categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the critical mask industry. This profile combined with the responses to past surveys represents a comprehensive view of changes in the industry.

  15. Mask Industry Assessment: 2010

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Chan, David Y.

    2010-09-01

    A survey created supported by SEMATECH and administered by David Powell Consulting was sent to microelectronics industry leaders to gather information about the mask industry as an objective assessment of its overall condition. The survey was designed with the input of semiconductor company mask technologists and merchant mask suppliers. This year's assessment is the ninth in the current series of annual reports. With ongoing industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. It will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments pertaining to critical path issues. This year's survey was basically the same as the 2005 through 2009 surveys. Questions are grouped into categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category are multiple questions that result in a detailed profile of both the business and technical status of the critical mask industry. This profile combined with the responses to past surveys represents a comprehensive view of changes in the industry.

  16. Mask quality assessment

    NASA Astrophysics Data System (ADS)

    Regis, Larry; Paulson, Neil; Reynolds, James A.

    1994-02-01

    Product quality and timely delivery are two of the most important parameters, determining the success of a mask manufacturing facility. Because of the sensitivity of this data, however, very little is known about industry performance in these areas. Using Arthur Andersen & Co. to protect contributor identity, the authors have conducted a blind quality survey of mask shops which represents over 75% of the total merchant and captive mask volume in the US. Quantities such as return rate, plate survival yield, performance to schedule and reason for return were requested from 1988 through Q2 1993. Data is analyzed and conclusions are presented.

  17. The difficult business model for mask equipment makers and mask infrastructure development support from consortia and governments

    NASA Astrophysics Data System (ADS)

    Hector, Scott

    2005-11-01

    The extension of optical projection lithography through immersion to patterning features with half pitch <=65 nm is placing greater demands on the mask. Strong resolution enhancement techniques (RETs), such as embedded and alternating phase shift masks and complex model-based optical proximity correction, are required to compensate for diffraction and limited depth of focus (DOF). To fabricate these masks, many new or upgraded tools are required to write patterns, measure feature sizes and placement, inspect for defects, review defect printability and repair defects on these masks. Beyond the significant technical challenges, suppliers of mask fabrication equipment face the challenge of being profitable in the small market for mask equipment while encountering significant R&D expenses to bring new generations of mask fabrication equipment to market. The total available market for patterned masks is estimated to be $2.5B to $2.9B per year. The patterned mask market is about 20% of the market size for lithography equipment and materials. The total available market for mask-making equipment is estimated to be about $800M per year. The largest R&D affordability issue arises for the makers of equipment for fabricating masks where total available sales are typically less than ten units per year. SEMATECH has used discounted cash flow models to predict the affordable R&D while maintaining industry accepted internal rates of return. The results have been compared to estimates of the total R&D cost to bring a new generation of mask equipment to market for various types of tools. The analysis revealed that affordability of the required R&D is a significant problem for many suppliers of mask-making equipment. Consortia such as SEMATECH and Selete have played an important role in cost sharing selected mask equipment and material development projects. Governments in the United States, in Europe and in Japan have also helped equipment suppliers with support for R&D. This paper

  18. Inexpensive Masks for Film Deposition

    NASA Technical Reports Server (NTRS)

    Conley, W. R.

    1986-01-01

    Sputtered sprayed lines less than 2 millimeters wide made by superimposing masks with partially overlapping openings. Slits first cut in masks by stamping or other economical process. Masks superimposed so slits define new openings narrower than original slits.

  19. Mask industry assessment trend analysis

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Yun, Henry

    2009-01-01

    Microelectronics industry leaders routinely name the cost and cycle time of mask technology and mask supply as top critical issues. A survey was created with support from SEMATECH to gather information about the mask industry as an objective assessment of its overall condition. This year's survey data were presented in detail at BACUS and the detailed trend analysis presented at EMLC. The survey is designed with the input of semiconductor company mask technologists and merchant mask suppliers. This year's assessment is the seventh in the current series of annual reports. With continued industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments on critical path issues. This year's survey is basically the same as the surveys in 2005 through 2007. Questions are grouped into seven categories: General Business Profile Information, Data Processing, Yields and Yield Loss, Mechanisms, Delivery Times, Returns, and Services. (Examples are given below). Within each category is a multitude of questions that creates a detailed profile of both the business and technical status of the critical mask industry.

  20. Masking Strategies for Image Manifolds.

    PubMed

    Dadkhahi, Hamid; Duarte, Marco F

    2016-07-07

    We consider the problem of selecting an optimal mask for an image manifold, i.e., choosing a subset of the pixels of the image that preserves the manifold's geometric structure present in the original data. Such masking implements a form of compressive sensing through emerging imaging sensor platforms for which the power expense grows with the number of pixels acquired. Our goal is for the manifold learned from masked images to resemble its full image counterpart as closely as possible. More precisely, we show that one can indeed accurately learn an image manifold without having to consider a large majority of the image pixels. In doing so, we consider two masking methods that preserve the local and global geometric structure of the manifold, respectively. In each case, the process of finding the optimal masking pattern can be cast as a binary integer program, which is computationally expensive but can be approximated by a fast greedy algorithm. Numerical experiments show that the relevant manifold structure is preserved through the datadependent masking process, even for modest mask sizes.

  1. Effect of Clouds on Apertures of Space-based Air Fluorescence Detectors

    NASA Technical Reports Server (NTRS)

    Sokolsky, P.; Krizmanic, J.

    2003-01-01

    Space-based ultra-high-energy cosmic ray detectors observe fluorescence light from extensive air showers produced by these particles in the troposphere. Clouds can scatter and absorb this light and produce systematic errors in energy determination and spectrum normalization. We study the possibility of using IR remote sensing data from MODIS and GOES satellites to delimit clear areas of the atmosphere. The efficiency for detecting ultra-high-energy cosmic rays whose showers do not intersect clouds is determined for real, night-time cloud scenes. We use the MODIS SST cloud mask product to define clear pixels for cloud scenes along the equator and use the OWL Monte Carlo to generate showers in the cloud scenes. We find the efficiency for cloud-free showers with closest approach of three pixels to a cloudy pixel is 6.5% exclusive of other factors. We conclude that defining a totally cloud-free aperture reduces the sensitivity of space-based fluorescence detectors to unacceptably small levels.

  2. THE TEAM KECK REDSHIFT SURVEY 2: MOSFIRE SPECTROSCOPY OF THE GOODS-NORTH FIELD

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wirth, Gregory D.; Kassis, Marc; Lyke, Jim

    We present the Team Keck Redshift Survey 2 (TKRS2), a near-infrared spectral observing program targeting selected galaxies within the CANDELS subsection of the GOODS-North Field. The TKRS2 program exploits the unique capabilities of the Multi-Object Spectrometer For Infra-Red Exploration (MOSFIRE), which entered service on the Keck I telescope in 2012 and contributes substantially to the study of galaxy spectral features at redshifts inaccessible to optical spectrographs. The TKRS2 project targets 97 galaxies drawn from samples that include z ≈ 2 emission-line galaxies with features observable in the JHK bands as well as lower-redshift targets with features in the Y band.more » We present a detailed measurement of MOSFIRE’s sensitivity as a function of wavelength, including the effects of telluric features across the YJHK filters. The largest utility of our survey is in providing rest-frame-optical emission lines for z > 1 galaxies, and we demonstrate that the ratios of strong, optical emission lines of z ≈ 2 galaxies suggest the presence of either higher N/O abundances than are found in z ≈ 0 galaxies or low-metallicity gas ionized by an active galactic nucleus. We have released all TKRS2 data products into the public domain to allow researchers access to representative raw and reduced MOSFIRE spectra.« less

  3. EUVL Mask Blank Repair

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Barty, A; Mirkarimi, P; Stearns, D G

    2002-05-22

    EUV mask blanks are fabricated by depositing a reflective Mo/Si multilayer film onto super-polished substrates. Small defects in this thin film coating can significantly alter the reflected field and introduce defects in the printed image. Ideally one would want to produce defect-free mask blanks; however, this may be very difficult to achieve in practice. One practical way to increase the yield of mask blanks is to effectively repair multilayer defects, and to this effect they present two complementary defect repair strategies for use on multilayer-coated EUVL mask blanks. A defect is any area on the mask which causes unwanted variationsmore » in EUV dose in the aerial image obtained in a printing tool, and defect repair is correspondingly defined as any strategy that renders a defect unprintable during exposure. The term defect mitigation can be adopted to describe any strategy which renders a critical defect non-critical when printed, and in this regard a non-critical defect is one that does not adversely affect device function. Defects in the patterned absorber layer consist of regions where metal, typically chrome, is unintentionally added or removed from the pattern leading to errors in the reflected field. There currently exists a mature technology based on ion beam milling and ion beam assisted deposition for repairing defects in the absorber layer of transmission lithography masks, and it is reasonable to expect that this technology will be extended to the repair of absorber defects in EUVL masks. However, techniques designed for the repair of absorber layers can not be directly applied to the repair of defects in the mask blank, and in particular the multilayer film. In this paper they present for the first time a new technique for the repair of amplitude defects as well as recent results on the repair of phase defects.« less

  4. Growth Of Organic Semiconductor Thin Films with Multi-Micron Domain Size and Fabrication of Organic Transistors Using a Stencil Nanosieve.

    PubMed

    Fesenko, Pavlo; Flauraud, Valentin; Xie, Shenqi; Kang, Enpu; Uemura, Takafumi; Brugger, Jürgen; Genoe, Jan; Heremans, Paul; Rolin, Cédric

    2017-07-19

    To grow small molecule semiconductor thin films with domain size larger than modern-day device sizes, we evaporate the material through a dense array of small apertures, called a stencil nanosieve. The aperture size of 0.5 μm results in low nucleation density, whereas the aperture-to-aperture distance of 0.5 μm provides sufficient crosstalk between neighboring apertures through the diffusion of adsorbed molecules. By integrating the nanosieve in the channel area of a thin-film transistor mask, we show a route for patterning both the organic semiconductor and the metal contacts of thin-film transistors using one mask only and without mask realignment.

  5. SEMATECH EUVL mask program status

    NASA Astrophysics Data System (ADS)

    Yun, Henry; Goodwin, Frank; Huh, Sungmin; Orvek, Kevin; Cha, Brian; Rastegar, Abbas; Kearney, Patrick

    2009-04-01

    As we approach the 22nm half-pitch (hp) technology node, the industry is rapidly running out of patterning options. Of the several lithography techniques highlighted in the International Technology Roadmap for Semiconductors (ITRS), the leading contender for the 22nm hp insertion is extreme ultraviolet lithography (EUVL). Despite recent advances with EUV resist and improvements in source power, achieving defect free EUV mask blank and enabling the EUV mask infrastructure still remain critical issues. To meet the desired EUV high volume manufacturing (HVM) insertion target date of 2013, these obstacles must be resolved on a timely bases. Many of the EUV mask related challenges remain in the pre-competitive stage and a collaborative industry based consortia, such as SEMATECH can play an important role to enable the EUVL landscape. SEMATECH based in Albany, NY is an international consortium representing several of the largest manufacturers in the semiconductor market. Full members include Intel, Samsung, AMD, IBM, Panasonic, HP, TI, UMC, CNSE (College of Nanoscience and Engineering), and Fuller Road Management. Within the SEMATECH lithography division a major thrust is centered on enabling the EUVL ecosystem from mask development, EUV resist development and addressing EUV manufacturability concerns. An important area of focus for the SEMATECH mask program has been the Mask Blank Development Center (MBDC). At the MBDC key issues in EUV blank development such as defect reduction and inspection capabilities are actively pursued together with research partners, key suppliers and member companies. In addition the mask program continues a successful track record of working with the mask community to manage and fund critical mask tools programs. This paper will highlight recent status of mask projects and longer term strategic direction at the MBDC. It is important that mask technology be ready to support pilot line development HVM by 2013. In several areas progress has been

  6. Revolutionary astrophysics using an incoherent synthetic optical aperture

    NASA Astrophysics Data System (ADS)

    Rafanelli, Gerard L.; Cosner, Christopher M.; Spencer, Susan B.; Wolfe, Douglas; Newman, Arthur; Polidan, Ronald; Chakrabarti, Supriya

    2017-09-01

    We describe a paradigm shift for astronomical observatories that would replace circular apertures with rotating synthetic apertures. Rotating Synthetic Aperture (RSA) observatories can enable high value science measurements for the lowest mass to orbit, have superior performance relative to all sparse apertures, can provide resolution of 20m to 30m apertures having the collecting area of 8m to 12m telescopes with much less mass, risk, schedule, and cost. RSA is based on current, or near term technology and can be launched on a single, current launch vehicle to L2. Much larger apertures are possible using the NASA Space Launch System.

  7. Revolutionary Astrophysics using an Incoherent Synthetic Optical Aperture

    NASA Astrophysics Data System (ADS)

    Rafanelli, Gerard L.; Cosner, Christopher M.; Spencer, Susan B.; Wolfe, Douglas w.; Newman, Arthur M.; Polidan, Ronald S.; Chakrabarti, Supriya

    2018-01-01

    We describe a paradigm shift for astronomical observatories that would replace circular apertures with rotating synthetic apertures. Rotating Synthetic Aperture (RSA) observatories can enable high value science measurements for the lowest mass to orbit, have superior performance relative to all sparse apertures, can provide resolution of 20m to 30m apertures having the collecting area of 8m to 12m telescopes with much less mass, risk, schedule, and cost. RSA is based on current, or near term technology and can be launched on a single, current launch vehicle to L2. Much larger apertures are possible using the NASA Space Launch System.

  8. Mask_explorer: A tool for exploring brain masks in fMRI group analysis.

    PubMed

    Gajdoš, Martin; Mikl, Michal; Mareček, Radek

    2016-10-01

    Functional magnetic resonance imaging (fMRI) studies of the human brain are appearing in increasing numbers, providing interesting information about this complex system. Unique information about healthy and diseased brains is inferred using many types of experiments and analyses. In order to obtain reliable information, it is necessary to conduct consistent experiments with large samples of subjects and to involve statistical methods to confirm or reject any tested hypotheses. Group analysis is performed for all voxels within a group mask, i.e. a common space where all of the involved subjects contribute information. To our knowledge, a user-friendly interface with the ability to visualize subject-specific details in a common analysis space did not yet exist. The purpose of our work is to develop and present such interface. Several pitfalls have to be avoided while preparing fMRI data for group analysis. One such pitfall is spurious non-detection, caused by inferring conclusions in the volume of a group mask that has been corrupted due to a preprocessing failure. We describe a MATLAB toolbox, called the mask_explorer, designed for prevention of this pitfall. The mask_explorer uses a graphical user interface, enables a user-friendly exploration of subject masks and is freely available. It is able to compute subject masks from raw data and create lists of subjects with potentially problematic data. It runs under MATLAB with the widely used SPM toolbox. Moreover, we present several practical examples where the mask_explorer is usefully applied. The mask_explorer is designed to quickly control the quality of the group fMRI analysis volume and to identify specific failures related to preprocessing steps and acquisition. It helps researchers detect subjects with potentially problematic data and consequently enables inspection of the data. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  9. Interferometry in the Era of Very Large Telescopes

    NASA Technical Reports Server (NTRS)

    Barry, Richard K.

    2010-01-01

    Research in modern stellar interferometry has focused primarily on ground-based observatories, with very long baselines or large apertures, that have benefited from recent advances in fringe tracking, phase reconstruction, adaptive optics, guided optics, and modern detectors. As one example, a great deal of effort has been put into development of ground-based nulling interferometers. The nulling technique is the sparse aperture equivalent of conventional coronography used in filled aperture telescopes. In this mode the stellar light itself is suppressed by a destructive fringe, effectively enhancing the contrast of the circumstellar material located near the star. Nulling interferometry has helped to advance our understanding of the astrophysics of many distant objects by providing the spatial resolution necessary to localize the various faint emission sources near bright objects. We illustrate the current capabilities of this technique by describing the first scientific results from the Keck Interferometer Nuller that combines the light from the two largest optical telescopes in the world including new, unpublished measurements of exozodiacal dust disks. We discuss prospects in the near future for interferometry in general, the capabilities of secondary masking interferometry on very large telescopes, and of nulling interferometry using outriggers on very large telescopes. We discuss future development of a simplified space-borne NIR nulling architecture, the Fourier-Kelvin Stellar Interferometer, capable of detecting and characterizing an Earth twin in the near future and how such a mission would benefit from the optical wavelength coverage offered by large, ground-based instruments.

  10. Mask pattern generator employing EPL technology

    NASA Astrophysics Data System (ADS)

    Yoshioka, Nobuyuki; Yamabe, Masaki; Wakamiya, Wataru; Endo, Nobuhiro

    2003-08-01

    Mask cost is one of crucial issues in device fabrication, especially in SoC (System on a Chip) with small-volume production. The cost mainly depends on productivity of mask manufacturing tools such as mask writers and defect inspection tools. EPL (Electron Projection Lithography) has been developing as a high-throughput electron beam exposure technology that will succeed optical lithography. The application of EPL technology to mask writing will result in high productivity and contribute to decrease the mask cost. The concept of a mask pattern generator employing EPL technology is proposed in this paper. It is very similar to EPL technology used for pattern printing on a wafer. The mask patterns on the glass substrate are exposed by projecting the basic circuit patterns formed on the mother EPL mask. One example of the mother EPL mask is a stencil type made with 200-mm Si wafer. The basic circuit patterns are IP patterns and logical primitive patterns such as cell libraries (AND, OR, Inverter, Flip-Flop and etc.) to express the SoC device patterns. Since the SoC patterns are exposed with its collective units such as IP and logical primitive patterns by using this method, the high throughput will be expected comparing with conventional mask E-beam writers. In this paper, the mask pattern generator with the EPL technology is proposed. The concept, its advantages and issues to be solved are discussed.

  11. Shock wave absorber having apertured plate

    DOEpatents

    Shin, Y.W.; Wiedermann, A.H.; Ockert, C.E.

    1983-08-26

    The shock or energy absorber disclosed herein utilizes an apertured plate maintained under the normal level of liquid flowing in a piping system and disposed between the normal liquid flow path and a cavity pressurized with a compressible gas. The degree of openness (or porosity) of the plate is between 0.01 and 0.60. The energy level of a shock wave travelling down the piping system thus is dissipated by some of the liquid being jetted through the apertured plate toward the cavity. The cavity is large compared to the quantity of liquid jetted through the apertured plate, so there is little change in its volume. The porosity of the apertured plate influences the percentage of energy absorbed.

  12. Shock wave absorber having apertured plate

    DOEpatents

    Shin, Yong W.; Wiedermann, Arne H.; Ockert, Carl E.

    1985-01-01

    The shock or energy absorber disclosed herein utilizes an apertured plate maintained under the normal level of liquid flowing in a piping system and disposed between the normal liquid flow path and a cavity pressurized with a compressible gas. The degree of openness (or porosity) of the plate is between 0.01 and 0.60. The energy level of a shock wave travelling down the piping system thus is dissipated by some of the liquid being jetted through the apertured plate toward the cavity. The cavity is large compared to the quantity of liquid jetted through the apertured plate, so there is little change in its volume. The porosity of the apertured plate influences the percentage of energy absorbed.

  13. Creation of an instrument maintenance program at W. M. Keck Observatory

    NASA Astrophysics Data System (ADS)

    Hill, G. M.; Kwok, S. H.; Mader, J. A.; Wirth, G. D.; Dahm, S. E.; Goodrich, R. W.

    2014-08-01

    Until a few years ago, the W. M. Keck Observatory (WMKO) did not have a systematic program of instrument maintenance at a level appropriate for a world-leading observatory. We describe the creation of such a program within the context of WMKO's lean operations model which posed challenges but also guided the design of the system and resulted in some unique and notable capabilities. These capabilities and the flexibility of the system have led to its adoption across the Observatory for virtually all PM's. The success of the Observatory in implementing the program and its impact on instrument reliability are presented. Lessons learned are reviewed and strategic implications discussed.

  14. Visual Masking During Pursuit Eye Movements

    ERIC Educational Resources Information Center

    White, Charles W.

    1976-01-01

    Visual masking occurs when one stimulus interferes with the perception of another stimulus. Investigates which matters more for visual masking--that the target and masking stimuli are flashed on the same part of the retina, or, that the target and mask appear in the same place. (Author/RK)

  15. Large aperture diffractive space telescope

    DOEpatents

    Hyde, Roderick A.

    2001-01-01

    A large (10's of meters) aperture space telescope including two separate spacecraft--an optical primary objective lens functioning as a magnifying glass and an optical secondary functioning as an eyepiece. The spacecraft are spaced up to several kilometers apart with the eyepiece directly behind the magnifying glass "aiming" at an intended target with their relative orientation determining the optical axis of the telescope and hence the targets being observed. The objective lens includes a very large-aperture, very-thin-membrane, diffractive lens, e.g., a Fresnel lens, which intercepts incoming light over its full aperture and focuses it towards the eyepiece. The eyepiece has a much smaller, meter-scale aperture and is designed to move along the focal surface of the objective lens, gathering up the incoming light and converting it to high quality images. The positions of the two space craft are controlled both to maintain a good optical focus and to point at desired targets which may be either earth bound or celestial.

  16. Measurements of pore-scale flow through apertures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chojnicki, Kirsten

    Pore-scale aperture effects on flow in pore networks was studied in the laboratory to provide a parameterization for use in transport models. Four cases were considered: regular and irregular pillar/pore alignment with and without an aperture. The velocity field of each case was measured and simulated, providing quantitatively comparable results. Two aperture effect parameterizations were considered: permeability and transmission. Permeability values varied by an order of magnitude between the cases with and without apertures. However, transmission did not correlate with permeability. Despite having much greater permeability the regular aperture case permitted less transmission than the regular case. Moreover, both irregularmore » cases had greater transmission than the regular cases, a difference not supported by the permeabilities. Overall, these findings suggest that pore-scale aperture effects on flow though a pore-network may not be adequately captured by properties such as permeability for applications that are interested in determining particle transport volume and timing.« less

  17. Oronasal Masks Require a Higher Pressure than Nasal and Nasal Pillow Masks for the Treatment of Obstructive Sleep Apnea

    PubMed Central

    Deshpande, Sheetal; Joosten, Simon; Turton, Anthony; Edwards, Bradley A.; Landry, Shane; Mansfield, Darren R.; Hamilton, Garun S.

    2016-01-01

    Study Objectives: Oronasal masks are frequently used for continuous positive airway pressure (CPAP) treatment in patients with obstructive sleep apnea (OSA). The aim of this study was to (1) determine if CPAP requirements are higher for oronasal masks compared to nasal mask interfaces and (2) assess whether polysomnography and patient characteristics differed among mask preference groups. Methods: Retrospective analysis of all CPAP implementation polysomnograms between July 2013 and June 2014. Prescribed CPAP level, polysomnography results and patient data were compared according to mask type (n = 358). Results: Oronasal masks were used in 46%, nasal masks in 35% and nasal pillow masks in 19%. There was no difference according to mask type for baseline apnea-hypopnea index (AHI), body mass index (BMI), waist or neck circumference. CPAP level was higher for oronasal masks, 12 (10–15.5) cm H2O compared to nasal pillow masks, 11 (8–12.5) cm H2O and nasal masks, 10 (8–12) cm H2O, p < 0.0001 (Median [interquartile range]). Oronasal mask type, AHI, age, and BMI were independent predictors of a higher CPAP pressure (p < 0.0005, adjusted R2 = 0.26.). For patients with CPAP ≥ 15 cm H2O, there was an odds ratio of 4.5 (95% CI 2.5–8.0) for having an oronasal compared to a nasal or nasal pillow mask. Residual median AHI was higher for oronasal masks (11.3 events/h) than for nasal masks (6.4 events/h) and nasal pillows (6.7 events/h), p < 0.001. Conclusions: Compared to nasal mask types, oronasal masks are associated with higher CPAP pressures (particularly pressures ≥ 15 cm H2O) and a higher residual AHI. Further evaluation with a randomized control trial is required to definitively establish the effect of mask type on pressure requirements. Commentary: A commentary on this article appears in this issue on page 1209. Citation: Deshpande S, Joosten S, Turton A, Edwards BA, Landry S, Mansfield DR, Hamilton GS. Oronasal masks require a higher pressure than nasal and

  18. Oronasal Masks Require a Higher Pressure than Nasal and Nasal Pillow Masks for the Treatment of Obstructive Sleep Apnea.

    PubMed

    Deshpande, Sheetal; Joosten, Simon; Turton, Anthony; Edwards, Bradley A; Landry, Shane; Mansfield, Darren R; Hamilton, Garun S

    2016-09-15

    Oronasal masks are frequently used for continuous positive airway pressure (CPAP) treatment in patients with obstructive sleep apnea (OSA). The aim of this study was to (1) determine if CPAP requirements are higher for oronasal masks compared to nasal mask interfaces and (2) assess whether polysomnography and patient characteristics differed among mask preference groups. Retrospective analysis of all CPAP implementation polysomnograms between July 2013 and June 2014. Prescribed CPAP level, polysomnography results and patient data were compared according to mask type (n = 358). Oronasal masks were used in 46%, nasal masks in 35% and nasal pillow masks in 19%. There was no difference according to mask type for baseline apnea-hypopnea index (AHI), body mass index (BMI), waist or neck circumference. CPAP level was higher for oronasal masks, 12 (10-15.5) cm H2O compared to nasal pillow masks, 11 (8-12.5) cm H2O and nasal masks, 10 (8-12) cm H2O, p < 0.0001 (Median [interquartile range]). Oronasal mask type, AHI, age, and BMI were independent predictors of a higher CPAP pressure (p < 0.0005, adjusted R(2) = 0.26.). For patients with CPAP ≥ 15 cm H2O, there was an odds ratio of 4.5 (95% CI 2.5-8.0) for having an oronasal compared to a nasal or nasal pillow mask. Residual median AHI was higher for oronasal masks (11.3 events/h) than for nasal masks (6.4 events/h) and nasal pillows (6.7 events/h), p < 0.001. Compared to nasal mask types, oronasal masks are associated with higher CPAP pressures (particularly pressures ≥ 15 cm H2O) and a higher residual AHI. Further evaluation with a randomized control trial is required to definitively establish the effect of mask type on pressure requirements. A commentary on this article appears in this issue on page 1209. © 2016 American Academy of Sleep Medicine.

  19. Quasi-linearly polarized hybrid modes in tapered and metal-coated tips with circular apertures: understanding the functionality of aperture tips

    NASA Astrophysics Data System (ADS)

    Tugchin, B. N.; Janunts, N.; Steinert, M.; Dietrich, K.; Kley, E. B.; Tünnermann, A.; Pertsch, T.

    2017-06-01

    In this study, we investigate analytically and experimentally the roles of quasi-linearly polarized (LP), hybrid, plasmonic and photonic modes in optical detection and excitation with aperture tips in scanning near-field optical microscopy. Aperture tips are tapered and metal-coated optical fibers where small circular apertures are made at the apex. In aperture tips, there exist plasmonic modes that are bound at the interface of the metal cladding to the inner dielectric fiber and photonic modes that are guided in the area of the increased index in the dielectric fiber core. The fundamental photonic mode, although excited by the free-space Gaussian beam, experiences cutoff and turns into an evanescent mode. The photonic mode also becomes lossier than the plasmonic mode toward the tip aperture, and its power decay due to absorption and reflection is expected to be at least 10-9. In contrast, the fundamental plasmonic mode has no cutoff and thus reaches all the way to the tip aperture. Due to the non-adiabaticity of both modes’ propagations through the taper below a core radius of 600 nm, there occurs coupling between the modes. The transmission efficiency of the plasmonic mode, including the coupling efficiency and the propagation loss, is expected to be about 10-6 that is at least 3 orders of magnitude larger than that of the photonic mode. Toward the tip aperture, the longitudinal field of the photonic mode becomes stronger than the transverse ones while the transverse fields always dominate for the plasmonic mode. Experimentally, we obtain polarization resolved images of the near-field at the tip aperture and compare with the x- and y-components of the fundamental quasi-LP plasmonic and photonic modes. The results show that not only the pattern but also the intensity ratios of the x- and y-components of the aperture near-field match with that of the fundamental plasmonic mode. Consequently, we conclude that only the plasmonic mode reaches the tip aperture and

  20. Neuroimaging somatosensory perception and masking.

    PubMed

    Meador, Kimford J; Revill, Kathleen Pirog; Epstein, Charles M; Sathian, K; Loring, David W; Rorden, Chris

    2017-01-08

    The specific cortical and subcortical regions involved in conscious perception and masking are uncertain. This study sought to identify brain areas involved in conscious perception of somatosensory stimuli during a masking task using functional magnetic resonance (fMRI) to contrast perceived vs. non-perceived targets. Electrical trains were delivered to the right index finger for targets and to the left index finger for masks. Target intensities were adjusted to compensate for threshold drift. Sham target trials were given in ~10% of the trials, and target stimuli without masks were delivered in one of the five runs (68 trials/run). When healthy dextral adult volunteers (n=15) perceived right hand targets, greater left- than right-cerebral activations were seen with similar patterns across the parietal cortex, thalamus, insula, claustrum, and midbrain. When targets were not perceived, left/right cerebral activations were similar overall. Directly comparing perceived vs. non-perceived stimuli with similar intensities in the masking task revealed predominate activations contralateral to masks. In contrast, activations were greater contralateral to perceived targets if no masks were given or if masks were given but target stimulus intensities were greater for perceived than non-perceived targets. The novel aspects of this study include: 1) imaging of cortical and subcortical activations in healthy humans related to somatosensory perception during a masking task, 2) activations in the human thalamus and midbrain related to perception of stimuli compared to matched non-perceived stimuli, and 3) similar left/right cerebral activation patterns across cortical, thalamic and midbrain structures suggesting interactions across all three levels during conscious perception in humans. Copyright © 2016 Elsevier Ltd. All rights reserved.

  1. Direct Mask Overlay Inspection

    NASA Astrophysics Data System (ADS)

    Hsia, Liang-Choo; Su, Lo-Soun

    1983-11-01

    In this paper, we present a mask inspection methodology and procedure that involves direct X-Y measurements. A group of dice is selected for overlay measurement; four measurement targets were laid out in the kerf of each die. The measured coordinates are then fit-ted to either a "historical" grid, which reflects the individual tool bias, or to an ideal grid squares fashion. Measurements are done using a Nikon X-Y laser interferometric measurement system, which provides a reference grid. The stability of the measurement system is essential. We then apply appropriate statistics to the residual after the fit to determine the overlay performance. Statistical methods play an important role in the product disposition. The acceptance criterion is, however, a compromise between the cost for mask making and the final device yield. In order to satisfy the demand on mask houses for quality of masks and high volume, mixing lithographic tools in mask making has become more popular, in particular, mixing optical and E-beam tools. In this paper, we also discuss the inspection procedure for mixing different lithographic tools.

  2. Design and analysis of a sub-aperture scanning machine for the transmittance measurements of large-aperture optical system

    NASA Astrophysics Data System (ADS)

    He, Yingwei; Li, Ping; Feng, Guojin; Cheng, Li; Wang, Yu; Wu, Houping; Liu, Zilong; Zheng, Chundi; Sha, Dingguo

    2010-11-01

    For measuring large-aperture optical system transmittance, a novel sub-aperture scanning machine with double-rotating arms (SSMDA) was designed to obtain sub-aperture beam spot. Optical system full-aperture transmittance measurements can be achieved by applying sub-aperture beam spot scanning technology. The mathematical model of the SSMDA based on a homogeneous coordinate transformation matrix is established to develop a detailed methodology for analyzing the beam spot scanning errors. The error analysis methodology considers two fundamental sources of scanning errors, namely (1) the length systematic errors and (2) the rotational systematic errors. As the systematic errors of the parameters are given beforehand, computational results of scanning errors are between -0.007~0.028mm while scanning radius is not lager than 400.000mm. The results offer theoretical and data basis to the research on transmission characteristics of large optical system.

  3. Brown dwarf distances and atmospheres: Spitzer Parallaxes and the Keck/NIRSPEC upgrade

    NASA Astrophysics Data System (ADS)

    Martin, Emily C.

    2018-01-01

    Advances in infrared technology have been essential towards improving our understanding of the solar neighborhood, revealing a large population of brown dwarfs, which span the mass regime between planets and stars. My thesis combines near-infrared (NIR) spectroscopic and astrometric analysis of nearby low-mass stars and brown dwarfs with instrumentation work to upgrade the NIRSPEC instrument for the Keck II Telescope. I will present results from a program using Spitzer/IRAC data to measure precise locations and distances to 22 of the coldest and closest brown dwarfs. These distances allow us to constrain absolute physical properties, such as mass, radius, and age, of free-floating planetary-mass objects through comparison to atmospheric and evolutionary models. NIR spectroscopy combined with the Spitzer photometry reveals a detailed look into the atmospheres of brown dwarfs and gaseous extrasolar planets. Additionally, I will discuss the improvements we are making to the NIRSPEC instrument at Keck. NIRSPEC is a NIR echelle spectrograph, capable of R~2000 and R~25,000 observations in the 1-5 μm range. As part of the upgrade, I performed detector characterization, optical design of a new slit-viewing camera, mechanical testing, and electronics design. NIRSPEC’s increased efficiency will allow us to obtain moderate- and high-resolution NIR spectra of objects up to a magnitude fainter than the current NIRSPEC design. Finally, I will demonstrate the utility of a NIR laser frequency comb as a high-resolution calibrator. This new technology will revolutionize precision radial velocity measurements in the coming decade.

  4. Coded mask telescopes for X-ray astronomy

    NASA Astrophysics Data System (ADS)

    Skinner, G. K.; Ponman, T. J.

    1987-04-01

    The principle of the coded mask techniques are discussed together with the methods of image reconstruction. The coded mask telescopes built at the University of Birmingham, including the SL 1501 coded mask X-ray telescope flown on the Skylark rocket and the Coded Mask Imaging Spectrometer (COMIS) projected for the Soviet space station Mir, are described. A diagram of a coded mask telescope and some designs for coded masks are included.

  5. Alternating phase-shift mask and binary mask for 45-nm node and beyond: the impact on the mask error control

    NASA Astrophysics Data System (ADS)

    Kojima, Yosuke; Shirasaki, Masanori; Chiba, Kazuaki; Tanaka, Tsuyoshi; Inazuki, Yukio; Yoshikawa, Hiroki; Okazaki, Satoshi; Iwase, Kazuya; Ishikawa, Kiichi; Ozawa, Ken

    2007-05-01

    For 45 nm node and beyond, the alternating phase-shift mask (alt. PSM), one of the most expected resolution enhancement technologies (RET) because of its high image contrast and small mask error enhancement factor (MEEF), and the binary mask (BIM) attract attention. Reducing CD and registration errors and defect are their critical issues. As the solution, the new blank for alt. PSM and BIM is developed. The top film of new blank is thin Cr, and the antireflection film and shielding film composed of MoSi are deposited under the Cr film. The mask CD performance is evaluated for through pitch, CD linearity, CD uniformity, global loading, resolution and pattern fidelity, and the blank performance is evaluated for optical density, reflectivity, sheet resistance, flatness and defect level. It is found that the performance of new blank is equal to or better than that of conventional blank in all items. The mask CD performance shows significant improvement. The lithography performance of new blank is confirmed by wafer printing and AIMS measurement. The full dry type alt. PSM has been used as test plate, and the test results show that new blank can almost meet the specifications of pi-0 CD difference, CD uniformity and process margin for 45 nm node. Additionally, the new blank shows the better pattern fidelity than that of conventional blank on wafer. AIMS results are almost same as wafer results except for the narrowest pattern. Considering the result above, this new blank can reduce the mask error factors of alt. PSM and BIM for 45 nm node and beyond.

  6. Central masking with bilateral cochlear implants

    PubMed Central

    Lin, Payton; Lu, Thomas; Zeng, Fan-Gang

    2013-01-01

    Across bilateral cochlear implants, contralateral threshold shift has been investigated as a function of electrode difference between the masking and probe electrodes. For contralateral electric masking, maximum threshold elevations occurred when the position of the masker and probe electrode was approximately place-matched across ears. The amount of masking diminished with increasing masker-probe electrode separation. Place-dependent masking occurred in both sequentially implanted ears, and was not affected by the masker intensity or the time delay from the masker onset. When compared to previous contralateral masking results in normal hearing, the similarities between place-dependent central masking patterns suggest comparable mechanisms of overlapping excitation in the central auditory nervous system. PMID:23363113

  7. How do different brands of size 1 laryngeal mask airway compare with face mask ventilation in a dedicated laryngeal mask airway teaching manikin?

    PubMed

    Tracy, Mark Brian; Priyadarshi, Archana; Goel, Dimple; Lowe, Krista; Huvanandana, Jacqueline; Hinder, Murray

    2018-05-01

    International neonatal resuscitation guidelines recommend the use of laryngeal mask airway (LMA) with newborn infants (≥34 weeks' gestation or >2 kg weight) when bag-mask ventilation (BMV) or tracheal intubation is unsuccessful. Previous publications do not allow broad LMA device comparison. To compare delivered ventilation of seven brands of size 1 LMA devices with two brands of face mask using self-inflating bag (SIB). 40 experienced neonatal staff provided inflation cycles using SIB with positive end expiratory pressure (PEEP) (5 cmH 2 O) to a specialised newborn/infant training manikin randomised for each LMA and face mask. All subjects received prior education in LMA insertion and BMV. 12 415 recorded inflations for LMAs and face masks were analysed. Leak detected was lowest with i-gel brand, with a mean of 5.7% compared with face mask (triangular 42.7, round 35.7) and other LMAs (45.5-65.4) (p<0.001). Peak inspiratory pressure was higher with i-gel, with a mean of 28.9 cmH 2 O compared with face mask (triangular 22.8, round 25.8) and other LMAs (14.3-22.0) (p<0.001). PEEP was higher with i-gel, with a mean of 5.1 cmH 2 O compared with face mask (triangular 3.0, round 3.6) and other LMAs (0.6-2.6) (p<0.001). In contrast to other LMAs examined, i-gel had no insertion failures and all users found i-gel easy to use. This study has shown dramatic performance differences in delivered ventilation, mask leak and ease of use among seven different brands of LMA tested in a manikin model. This coupled with no partial or complete insertion failures and ease of use suggests i-gel LMA may have an expanded role with newborn resuscitation as a primary resuscitation device. © Article author(s) (or their employer(s) unless otherwise stated in the text of the article) 2018. All rights reserved. No commercial use is permitted unless otherwise expressly granted.

  8. Mask ventilation with two different face masks in the delivery room for preterm infants: a randomized controlled trial.

    PubMed

    Cheung, D; Mian, Q; Cheung, P-Y; O'Reilly, M; Aziz, K; van Os, S; Pichler, G; Schmölzer, G M

    2015-07-01

    If an infant fails to initiate spontaneous breathing after birth, international guidelines recommend a positive pressure ventilation (PPV). However, PPV by face mask is frequently inadequate because of leak between the face and mask. Despite a variety of available face masks, none have been prospectively compared in a randomized fashion. We aimed to evaluate and compare leak between two commercially available round face masks (Fisher & Paykel (F&P) and Laerdal) in preterm infants <33 weeks gestational age in the delivery room. Infants born at the Royal Alexandra Hospital from April to September 2013 at <33 weeks gestational age who received mask PPV in the delivery room routinely had a flow sensor placed between the mask and T-piece resuscitator. Infants were randomly assigned to receive PPV with either a F&P or Laerdal face mask. All resuscitators were trained in the use of both face masks. We compared mask leak, airway pressures, tidal volume and ventilation rate between the two groups. Fifty-six preterm infants (n=28 in each group) were enrolled; mean±s.d. gestational age 28±3 weeks; birth weight 1210±448 g; and 30 (52%) were male. Apgar scores at 1 and 5 min were 5±3 and 7±2, respectively. Infants randomized to the F&P face mask and Laerdal face mask had similar mask leak (30 (25-38) versus 35 (24-46)%, median (interquartile range), respectively, P=0.40) and tidal volume (7.1 (4.9-8.9) versus 6.6 (5.2-8.9) ml kg(-1), P=0.69) during PPV. There were no significant differences in ventilation rate, inflation time or airway pressures between groups. The use of either face mask during PPV in the delivery room yields similar mask leak in preterm infants <33 weeks gestational age.

  9. Method for correcting imperfections on a surface

    DOEpatents

    Sweatt, William C.; Weed, John W.

    1999-09-07

    A process for producing near perfect optical surfaces. A previously polished optical surface is measured to determine its deviations from the desired perfect surface. A multi-aperture mask is designed based on this measurement and fabricated such that deposition through the mask will correct the deviations in the surface to an acceptable level. Various mask geometries can be used: variable individual aperture sizes using a fixed grid for the apertures or fixed aperture sizes using a variable aperture spacing. The imperfections are filled in using a vacuum deposition process with a very thin thickness of material such as silicon monoxide to produce an amorphous surface that bonds well to a glass substrate.

  10. History and future of mask making

    NASA Astrophysics Data System (ADS)

    Levy, Ken L.

    1996-12-01

    The history of the mask industry has three main periods, which I call the Classical Period, the Dark Ages, and the Renaissance, by analogy with those periods in the history of Western Europe. During the Classical Period, people developed 1X masks and the technology to make them. In the Dark Ages, people exploited the equipment developed during the Classical Period to make 5X reduction reticle, ending the nobility of mask making. In today's Renaissance of mask making, a proliferation of mask types is requiring a rebirth of innovation and creativity. The Renaissance resembles the Classical Period: masks are once again strategic, and technological capability is once again the driver. Meanwhile, the mask industry is carrying forward the productivity and efficiency gains it achieved during the Dark Ages. We must create a new business and economic model to support these changes in the characteristics of the marketplace.

  11. Quartz 9-inch size mask blanks for ArF PSM (Phase Shift Mask)

    NASA Astrophysics Data System (ADS)

    Harashima, Noriyuki; Isozaki, Tatsuya; Kawanishi, Arata; Kanai, Shuichiro; Kageyama, Kagehiro; Iso, Hiroyuki; Chishima, Tatsuya

    2017-07-01

    Semiconductor technology nodes are steadily miniaturizing. On the other hand, various efforts have been made to reduce costs, mass production lines have shifted from 200 mmφ of Si wafer to 300 mmφ, and technology development of Si wafer 450 mmφ is also in progress. As a photomask, 6-inch size binary Cr mask has been used for many years, but in recent years, the use of 9-inch binary Cr masks for Proximity Lithography Process in automotive applications, MEMS, packages, etc. has increased, and cost reduction has been taken. Since the miniaturization will progress in the above applications in the future, products corresponding to miniaturization are also desired in 9-inch photomasks. The high grade Cr - binary mask blanks used in proximity exposure process, there is a prospect of being able to use it by ULVAC COATING CORPORATION's tireless research. As further demands for miniaturization, KrF and ArF Lithography Process, which are used for steppers and scanners , there are also a demand for 9-inch size Mask Blanks. In ULVAC COATING CORPORATION, we developed a 9 - inch size KrF PSM mask Blanks prototype in 2016 and proposed a new high grade 9 - inch photomask. This time, we have further investigated and developed 9-inch size ArF PSM Mask Blanks corresponding to ArF Lithography Process, so we report it.

  12. The automatic back-check mechanism of mask tooling database and automatic transmission of mask tooling data

    NASA Astrophysics Data System (ADS)

    Xu, Zhe; Peng, M. G.; Tu, Lin Hsin; Lee, Cedric; Lin, J. K.; Jan, Jian Feng; Yin, Alb; Wang, Pei

    2006-10-01

    Nowadays, most foundries have paid more and more attention in order to reduce the CD width. Although the lithography technologies have developed drastically, mask data accuracy is still a big challenge than before. Besides, mask (reticle) price also goes up drastically such that data accuracy needs more special treatments.We've developed a system called eFDMS to guarantee the mask data accuracy. EFDMS is developed to do the automatic back-check of mask tooling database and the data transmission of mask tooling. We integrate our own EFDMS systems to engage with the standard mask tooling system K2 so that the upriver and the downriver processes of the mask tooling main body K2 can perform smoothly and correctly with anticipation. The competition in IC marketplace is changing from high-tech process to lower-price gradually. How to control the reduction of the products' cost more plays a significant role in foundries. Before the violent competition's drawing nearer, we should prepare the cost task ahead of time.

  13. Oral mask ventilation is more effective than face mask ventilation after nasal surgery.

    PubMed

    Yazicioğlu, Dilek; Baran, Ilkay; Uzumcugil, Filiz; Ozturk, Ibrahim; Utebey, Gulten; Sayın, M Murat

    2016-06-01

    To evaluate and compare the face mask (FM) and oral mask (OM) ventilation techniques during anesthesia emergence regarding tidal volume, leak volume, and difficult mask ventilation (DMV) incidence. Prospective, randomized, crossover study. Operating room, training and research hospital. American Society of Anesthesiologists physical status I and II adult patients scheduled for nasal surgery. Patients in group FM-OM received FM ventilation first, followed by OM ventilation, and patients in group OM-FM received OM ventilation first, followed by FM ventilation, with spontaneous ventilation after deep extubation. The FM ventilation was applied with the 1-handed EC-clamp technique. The OM was placed only over the mouth, and the 1-handed EC-clamp technique was used again. A child's size FM was used for the OM ventilation technique, the mask was rotated, and the inferior part of the mask was placed toward the nose. The leak volume (MVleak), mean airway pressure (Pmean), and expired tidal volume (TVe) were assessed with each mask technique for 3 consecutive breaths. A mask ventilation grade ≥3 was considered DMV. DMV occurred more frequently during FM ventilation (75% with FM vs 8% with OM). In the FM-first sequence, the mean TVe was 249±61mL with the FM and 455±35mL with the OM (P=.0001), whereas in the OM-first sequence, it was 276±81mL with the FM and 409±37mL with the OM (P=.0001). Regardless of the order used, the OM technique significantly decreased the MVleak and increased the TVe when compared to the FM technique. During anesthesia emergence after nasal surgery the OM may offer an effective ventilation method as it decreases the incidence of DMV and the gas leak around the mask and provides higher tidal volume delivery compared with FM ventilation. Copyright © 2016 Elsevier Inc. All rights reserved.

  14. Model-based virtual VSB mask writer verification for efficient mask error checking and optimization prior to MDP

    NASA Astrophysics Data System (ADS)

    Pack, Robert C.; Standiford, Keith; Lukanc, Todd; Ning, Guo Xiang; Verma, Piyush; Batarseh, Fadi; Chua, Gek Soon; Fujimura, Akira; Pang, Linyong

    2014-10-01

    A methodology is described wherein a calibrated model-based `Virtual' Variable Shaped Beam (VSB) mask writer process simulator is used to accurately verify complex Optical Proximity Correction (OPC) and Inverse Lithography Technology (ILT) mask designs prior to Mask Data Preparation (MDP) and mask fabrication. This type of verification addresses physical effects which occur in mask writing that may impact lithographic printing fidelity and variability. The work described here is motivated by requirements for extreme accuracy and control of variations for today's most demanding IC products. These extreme demands necessitate careful and detailed analysis of all potential sources of uncompensated error or variation and extreme control of these at each stage of the integrated OPC/ MDP/ Mask/ silicon lithography flow. The important potential sources of variation we focus on here originate on the basis of VSB mask writer physics and other errors inherent in the mask writing process. The deposited electron beam dose distribution may be examined in a manner similar to optical lithography aerial image analysis and image edge log-slope analysis. This approach enables one to catch, grade, and mitigate problems early and thus reduce the likelihood for costly long-loop iterations between OPC, MDP, and wafer fabrication flows. It moreover describes how to detect regions of a layout or mask where hotspots may occur or where the robustness to intrinsic variations may be improved by modification to the OPC, choice of mask technology, or by judicious design of VSB shots and dose assignment.

  15. Mask industry assessment trend analysis

    NASA Astrophysics Data System (ADS)

    Shelden, Gilbert; Marmillion, Patricia; Hughes, Greg

    2008-04-01

    Microelectronics industry leaders routinely name the cost and cycle time of mask technology and mask supply as top critical issues. A survey was created with support from SEMATECH and administered by SEMI North America to gather information about the mask industry as an objective assessment of its overall condition. This year's survey data were presented in detail at BACUS and the detailed trend analysis presented at EMLC. The survey is designed with the input of semiconductor company mask technologists, merchant mask suppliers, and industry equipment makers. This year's assessment is the sixth in the current series of annual reports. With continued industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. The results will be used to guide future investments on critical path issues. This year's survey is basically the same as the 2005 and 2006 surveys. Questions are grouped into eight categories: General Business Profile Information, Data Processing, Yields and Yield Loss, Mechanisms, Delivery Times, Returns and Services, Operating Cost Factors, and Equipment Utilization. Within each category is a multitude of questions that creates a detailed profile of both the business and technical status of the critical mask industry. Note: the questions covering operating cost factors and equipment utilization were added to the survey only in 2005; therefore, meaningful trend analysis is not available.

  16. Computing Challenges in Coded Mask Imaging

    NASA Technical Reports Server (NTRS)

    Skinner, Gerald

    2009-01-01

    This slide presaentation reviews the complications and challenges in developing computer systems for Coded Mask Imaging telescopes. The coded mask technique is used when there is no other way to create the telescope, (i.e., when there are wide fields of view, high energies for focusing or low energies for the Compton/Tracker Techniques and very good angular resolution.) The coded mask telescope is described, and the mask is reviewed. The coded Masks for the INTErnational Gamma-Ray Astrophysics Laboratory (INTEGRAL) instruments are shown, and a chart showing the types of position sensitive detectors used for the coded mask telescopes is also reviewed. Slides describe the mechanism of recovering an image from the masked pattern. The correlation with the mask pattern is described. The Matrix approach is reviewed, and other approaches to image reconstruction are described. Included in the presentation is a review of the Energetic X-ray Imaging Survey Telescope (EXIST) / High Energy Telescope (HET), with information about the mission, the operation of the telescope, comparison of the EXIST/HET with the SWIFT/BAT and details of the design of the EXIST/HET.

  17. Summation versus suppression in metacontrast masking: On the potential pitfalls of using metacontrast masking to assess perceptual-motor dissociation.

    PubMed

    Cardoso-Leite, Pedro; Waszak, Florian

    2014-07-01

    A briefly flashed target stimulus can become "invisible" when immediately followed by a mask-a phenomenon known as backward masking, which constitutes a major tool in the cognitive sciences. One form of backward masking is termed metacontrast masking. It is generally assumed that in metacontrast masking, the mask suppresses activity on which the conscious perception of the target relies. This assumption biases conclusions when masking is used as a tool-for example, to study the independence between perceptual detection and motor reaction. This is because other models can account for reduced perceptual performance without requiring suppression mechanisms. In this study, we used signal detection theory to test the suppression model against an alternative view of metacontrast masking, referred to as the summation model. This model claims that target- and mask-related activations fuse and that the difficulty in detecting the target results from the difficulty to discriminate this fused response from the response produced by the mask alone. Our data support this alternative view. This study is not a thorough investigation of metacontrast masking. Instead, we wanted to point out that when a different model is used to account for the reduced perceptual performance in metacontrast masking, there is no need to postulate a dissociation between perceptual and motor responses to account for the data. Metacontrast masking, as implemented in the Fehrer-Raab situation, therefore is not a valid method to assess perceptual-motor dissociations.

  18. The Atmosphere of Uranus as Imaged with Keck Adaptive Optics

    NASA Astrophysics Data System (ADS)

    Hammel, H. B.; de Pater, I.; Gibbard, S. G.; Lockwood, G. W.; Rages, K.

    2004-12-01

    Adaptive optics imaging of Uranus was obtained with NIRC2 on the Keck II 10-meter telescope in October 2003 and July 2004 through J, H, and K' filters. Dozens of discrete features were detected in the atmosphere of Uranus. We report the first measurements of winds northward of +43 deg, the first direct measurement of equatorial winds, and the highest wind velocity seen yet on Uranus. At northern mid-latitudes, the winds may have accelerated when compared to earlier HST and Keck observations; southern wind speeds have not changed since Voyager measurements in 1986. The equator of Uranus exhibits a subtle wave structure, with diffuse patches roughly every 30 degs in longitude. There is no sign of a northern "polar collar" as is seen in the south, but a number of discrete features seen at the "expected" latitudes may signal its early stages of development. The largest cloud features on Uranus show complex structure extending over tens of degrees. On 4 July 2004, we detected a southern hemispheric cloud feature on Uranus at K', the first detection of a southern feature at or longward of 2 microns. H images showed an extended structure whose condensed core was co-located with the K'-bright feature. The core exhibited marked brightness variation, fading within just a few days. The initial brightness at K' indicates that the core's scattering particles reached altitudes above the 1-bar level, with the extended H feature residing below 1.1 bars. The core's rapid disappearance at K' indicates dynamical processes in the local vertical aerosol structure. HBH acknowledges support from NASA grants NAG5-11961 and NAG5-10451. IdP acknowledges support from NSF and the Technology Center for Adaptive Optics, managed by UCSC under cooperative agreement No. AST-9876783. SGG's work was performed under the auspices of the U.S. DoE National Nuclear Security Administration by the UC, LLNL under contract No. W-7405-Eng-48.

  19. Orion Emergency Mask Approach

    NASA Technical Reports Server (NTRS)

    Tuan, George C.; Graf, John C.

    2008-01-01

    Emergency mask approach on Orion poses a challenge to the traditional Shuttle or Station approaches. Currently, in the case of a fire or toxic spill event, the crew utilizes open loop oxygen masks that provide the crew with oxygen to breath, but also dumps the exhaled oxygen into the cabin. For Orion, with a small cabin volume, the extra oxygen will exceed the flammability limit within a short period of time, unless a nitrogen purge is also provided. Another approach to a fire or toxic spill event is the use of a filtering emergency masks. These masks utilize some form of chemical beds to scrub the air clean of toxic providing the crew safe breathing air for a period without elevating the oxygen level in the cabin. Using the masks and a form of smoke-eater filter, it may be possible to clean the cabin completely or to a level for safe transition to a space suit to perform a cabin purge. Issues with filters in the past have been the reaction temperature and high breathing resistance. Development in a new form of chemical filters has shown promise to make the filtering approach feasible.

  20. Orion Emergency Mask Approach

    NASA Technical Reports Server (NTRS)

    Tuan, George C.; Graf, John C.

    2009-01-01

    Emergency mask approach on Orion poses a challenge to the traditional Shuttle or Station approaches. Currently, in the case of a fire or toxic spill event, the crew utilizes open loop oxygen masks that provide the crew with oxygen to breath, but also dumps the exhaled oxygen into the cabin. For Orion, with a small cabin volume, the extra oxygen will exceed the flammability limit within a short period of time, unless a nitrogen purge is also provided. Another approach to a fire or toxic spill event is the use of a filtering emergency masks. These masks utilize some form of chemical beds to scrub the air clean of toxic providing the crew safe breathing air for a period without elevating the oxygen level in the cabin. Using the masks and a form of smoke-eater filter, it may be possible to clean the cabin completely or to a level for safe transition to a space suit to perform a cabin purge. Issues with filters in the past have been the reaction time, breakthroughs, and high breathing resistance. Development in a new form of chemical filters has shown promise to make the filtering approach feasible.

  1. Phenomenology of electromagnetic coupling: Conductors penetrating an aperture

    NASA Astrophysics Data System (ADS)

    Wright, D. B.; King, R. J.

    1987-06-01

    The purpose of this study was to investigate the coupling effects of penetrating conductors through free-standing apertures. This penetrating conductor and aperture arrangement are referred to as a modified aperture. A penetrating conductor is defined here to be a thin, single wire bent twice at 90 angles. The wire was inserted through a rectangular aperture in a metal wall. Vertical segments on both sides of the wall coupled energy from one region to the other. Energy was incident upon the modified aperture from what is referred to as the exterior region. The amount of coupling was measured by a D sensor on the other (interior) side of the wall. This configuration of an aperture in a metal wall was used as opposed to an aperture in a cavity in order to simplify the interpretation of resulting data. The added complexity of multiple cavity resonances was therefore eliminated. Determining the effects of penetrating conductors on aperture coupling is one of several topics being investigated as part of on-going research at Lawrence Livermore National Laboratory on the phenomenology of electromagnetic coupling. These phenomenology studies are concerned with the vulnerability of electronic systems to high intensity electromagnetic fields. The investigation is relevant to high altitude EMP (HEMP), enhanced HEMP (EHEMP), and high power microwave (HPM) coupling.

  2. A HIRES/Keck Spectroscopic Investigation of the Measurement of Sodium in the Atmosphere of HD 209458b

    NASA Astrophysics Data System (ADS)

    Langland-Shula, Laura E.; Vogt, Steven S.; Charbonneau, David; Butler, Paul; Marcy, Geoff

    2009-05-01

    We present high-resolution High Resolution Echelle Spectrometer (HIRES)/Keck spectra of HD 209458, and a Monte Carlo variation on the basic method used by other workers, to look for the excess in-transit absorption in the NaD doublet at 5893 Å due to the extrasolar planet. The HIRES data, binned by bandpass, allow a direct comparison with previous results. We find >3σ results in most test bandpasses around the NaD doublet, including relative absorption of (-108.8 ± 25.7) × 10-5 in the "narrow" bandpass used by other workers. This is ≈4.7 times larger than the "narrow" results reported by Charbonneau et al. for HD 209458b. However, >2σ absorption is detected in some weak Fe I and Ni I lines that were tested for comparison, raising concern about the uncertainties introduced by continuum-fitting and terrestrial atmosphere subtraction. Based on data obtained with the W. M. Keck Observatory, which is operated by a partnership consisting of the California Institute of Technology, the University of California, and the National Aeronautics and Space Administration.

  3. Migration from full-head mask to "open-face" mask for immobilization of patients with head and neck cancer.

    PubMed

    Li, Guang; Lovelock, D Michael; Mechalakos, James; Rao, Shyam; Della-Biancia, Cesar; Amols, Howard; Lee, Nancy

    2013-09-06

    To provide an alternative device for immobilization of the head while easing claustrophobia and improving comfort, an "open-face" thermoplastic mask was evaluated using video-based optical surface imaging (OSI) and kilovoltage (kV) X-ray radiography. A three-point thermoplastic head mask with a precut opening and reinforced strips was developed. After molding, it provided sufficient visible facial area as the region of interest for OSI. Using real-time OSI, the head motion of ten volunteers in the new mask was evaluated during mask locking and 15minutes lying on the treatment couch. Using a nose mark with reference to room lasers, forced head movement in open-face and full-head masks (with a nose hole) was compared. Five patients with claustrophobia were immobilized with open-face masks, set up using OSI and kV, and treated in 121 fractions, in which 61 fractions were monitored during treatment using real-time OSI. With the open-face mask, head motion was found to be 1.0 ± 0.6 mm and 0.4° ± 0.2° in volunteers during the experiment, and 0.8 ± 0.3 mm and 0.4° ± 0.2° in patients during treatment. These agree with patient motion calculated from pre-/post-treatment OSI and kV data using different anatomical landmarks. In volunteers, the head shift induced by mask-locking was 2.3 ± 1.7 mm and 1.8° ± 0.6°, and the range of forced movements in the open-face and full-head masks were found to be similar. Most (80%) of the volunteers preferred the open-face mask to the full-head mask, while claustrophobic patients could only tolerate the open-face mask. The open-face mask is characterized for its immobilization capability and can immobilize patients sufficiently (< 2 mm) during radiotherapy. It provides a clinical solution to the immobilization of patients with head and neck (HN) cancer undergoing radiotherapy, and is particularly beneficial for claustrophobic patients. This new open-face mask is readily adopted in radiotherapy clinic as a superior alternative

  4. Clean induced feature CD shift of EUV mask

    NASA Astrophysics Data System (ADS)

    Nesládek, Pavel; Schedel, Thorsten; Bender, Markus

    2016-05-01

    EUV developed in the last decade to the most promising <7nm technology candidate. Defects are considered to be one of the most critical issues of the EUV mask. There are several contributors which make the EUV mask so different from the optical one. First one is the significantly more complicated mask stack consisting currently of 40 Mo/Si double layers, covered by Ru capping layer and TaN/TaO absorber/anti-reflective coating on top of the front face of the mask. Backside is in contrary to optical mask covered as well by conductive layer consisting of Cr or CrN. Second contributor is the fact that EUV mask is currently in contrary to optical mask not yet equipped with sealed pellicle, leading to much higher risk of mask contamination. Third reason is use of EUV mask in vacuum, possibly leading to deposition of vacuum contaminants on the EUV mask surface. Latter reason in combination with tight requirements on backside cleanliness lead to the request of frequent recleaning of the EUV mask, in order to sustain mask lifetime similar to that of optical mask. Mask cleaning process alters slightly the surface of any mask - binary COG mask, as well as phase shift mask of any type and naturally also of the EUV mask as well. In case of optical masks the changes are almost negligible, as the mask is exposed to max. 10-20 re-cleans within its life time. These modifications can be expressed in terms of different specified parameters, e.g. CD shift, phase/trans shift, change of the surface roughness etc. The CD shift, expressed as thinning (or exceptionally thickening) of the dark features on the mask is typically in order of magnitude 0.1nm per process run, which is completely acceptable for optical mask. Projected on the lifetime of EUV mask, assuming 100 clean process cycles, this will lead to CD change of about 10nm. For this reason the requirements for EUV mask cleaning are significantly tighter, << 0.1 nm per process run. This task will look even more challenging, when

  5. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kraus, Stefan; Espaillat, Catherine; Wilner, David J.

    Pre-transitional disks are protoplanetary disks with a gapped disk structure, potentially indicating the presence of young planets in these systems. In order to explore the structure of these objects and their gap-opening mechanism, we observed the pre-transitional disk V1247 Orionis using the Very Large Telescope Interferometer, the Keck Interferometer, Keck-II, Gemini South, and IRTF. This allows us to spatially resolve the AU-scale disk structure from near- to mid-infrared wavelengths (1.5-13 {mu}m), tracing material at different temperatures and over a wide range of stellocentric radii. Our observations reveal a narrow, optically thick inner-disk component (located at 0.18 AU from the star)more » that is separated from the optically thick outer disk (radii {approx}> 46 AU), providing unambiguous evidence for the existence of a gap in this pre-transitional disk. Surprisingly, we find that the gap region is filled with significant amounts of optically thin material with a carbon-dominated dust mineralogy. The presence of this optically thin gap material cannot be deduced solely from the spectral energy distribution, yet it is the dominant contributor at mid-infrared wavelengths. Furthermore, using Keck/NIRC2 aperture masking observations in the H, K', and L' bands, we detect asymmetries in the brightness distribution on scales of {approx}15-40 AU, i.e., within the gap region. The detected asymmetries are highly significant, yet their amplitude and direction changes with wavelength, which is not consistent with a companion interpretation but indicates an inhomogeneous distribution of the gap material. We interpret this as strong evidence for the presence of complex density structures, possibly reflecting the dynamical interaction of the disk material with sub-stellar mass bodies that are responsible for the gap clearing.« less

  6. Beam aperture modifier design with acoustic metasurfaces

    NASA Astrophysics Data System (ADS)

    Tang, Weipeng; Ren, Chunyu

    2017-10-01

    In this paper, we present a design concept of acoustic beam aperture modifier using two metasurface-based planar lenses. By appropriately designing the phase gradient profile along the metasurface, we obtain a class of acoustic convex lenses and concave lenses, which can focus the incoming plane waves and collimate the converging waves, respectively. On the basis of the high converging and diverging capability of these lenses, two kinds of lens combination scheme, including the convex-concave type and convex-convex type, are proposed to tune up the incoming beam aperture as needed. To be specific, the aperture of the acoustic beam can be shrunk or expanded through adjusting the phase gradient of the pair of lenses and the spacing between them. These lenses and the corresponding aperture modifiers are constructed by the stacking ultrathin labyrinthine structures, which are obtained by the geometry optimization procedure and exhibit high transmission coefficient and a full range of phase shift. The simulation results demonstrate the effectiveness of our proposed beam aperture modifiers. Due to the flexibility in aperture controlling and the simplicity in fabrication, the proposed modifiers have promising potential in applications, such as acoustic imaging, nondestructive evaluation, and communication.

  7. Metacontrast masking is processed before grapheme-color synesthesia.

    PubMed

    Bacon, Michael Patrick; Bridgeman, Bruce; Ramachandran, Vilayanur S

    2013-01-01

    We investigated the physiological mechanism of grapheme-color synesthesia using metacontrast masking. A metacontrast target is rendered invisible by a mask that is delayed by about 60 ms; the target and mask do not overlap in space or time. Little masking occurs, however, if the target and mask are simultaneous. This effect must be cortical, because it can be obtained dichoptically. To compare the data for synesthetes and controls, we developed a metacontrast design in which nonsynesthete controls showed weaker dichromatic masking (i.e., the target and mask were in different colors) than monochromatic masking. We accomplished this with an equiluminant target, mask, and background for each observer. If synesthetic color affected metacontrast, synesthetes should show monochromatic masking more similar to the weak dichromatic masking among controls, because synesthetes could add their synesthetic color to the monochromatic condition. The target-mask pairs used for each synesthete were graphemes that elicited strong synesthetic colors. We found stronger monochromatic than dichromatic U-shaped metacontrast for both synesthetes and controls, with optimal masking at an asynchrony of 66 ms. The difference in performance between the monochromatic and dichromatic conditions in the synesthetes indicates that synesthesia occurs at a later processing stage than does metacontrast masking.

  8. Defect tolerant transmission lithography mask

    DOEpatents

    Vernon, Stephen P.

    2000-01-01

    A transmission lithography mask that utilizes a transparent substrate or a partially transparent membrane as the active region of the mask. A reflective single layer or multilayer coating is deposited on the membrane surface facing the illumination system. The coating is selectively patterned (removed) to form transmissive (bright) regions. Structural imperfections and defects in the coating have negligible effect on the aerial image of the mask master pattern since the coating is used to reflect radiation out of the entrance pupil of the imaging system. Similarly, structural imperfections in the clear regions of the membrane have little influence on the amplitude or phase of the transmitted electromagnetic fields. Since the mask "discards," rather than absorbs, unwanted radiation, it has reduced optical absorption and reduced thermal loading as compared to conventional designs. For EUV applications, the mask circumvents the phase defect problem, and is independent of the thermal load during exposure.

  9. A Ploidy-Sensitive Mechanism Regulates Aperture Formation on the Arabidopsis Pollen Surface and Guides Localization of the Aperture Factor INP1

    PubMed Central

    Reeder, Sarah H.; Lee, Byung Ha; Fox, Ronald; Dobritsa, Anna A.

    2016-01-01

    Pollen presents a powerful model for studying mechanisms of precise formation and deposition of extracellular structures. Deposition of the pollen wall exine leads to the generation of species-specific patterns on pollen surface. In most species, exine does not develop uniformly across the pollen surface, resulting in the formation of apertures–openings in the exine that are species-specific in number, morphology and location. A long time ago, it was proposed that number and positions of apertures might be determined by the geometry of tetrads of microspores–the precursors of pollen grains arising via meiotic cytokinesis, and by the number of last-contact points between sister microspores. We have tested this model by characterizing Arabidopsis mutants with ectopic apertures and/or abnormal geometry of meiotic products. Here we demonstrate that contact points per se do not act as aperture number determinants and that a correct geometric conformation of a tetrad is neither necessary nor sufficient to generate a correct number of apertures. A mechanism sensitive to pollen ploidy, however, is very important for aperture number and positions and for guiding the aperture factor INP1 to future aperture sites. In the mutants with ectopic apertures, the number and positions of INP1 localization sites change depending on ploidy or ploidy-related cell size and not on INP1 levels, suggesting that sites for aperture formation are specified before INP1 is brought to them. PMID:27177036

  10. Imaging performance of annular apertures. II - Line spread functions

    NASA Technical Reports Server (NTRS)

    Tschunko, H. F. A.

    1978-01-01

    Line images formed by aberration-free optical systems with annular apertures are investigated in the whole range of central obstruction ratios. Annular apertures form lines images with central and side line groups. The number of lines in each line group is given by the ratio of the outer diameter of the annular aperture divided by the width of the annulus. The theoretical energy fraction of 0.889 in the central line of the image formed by an unobstructed aperture increases for centrally obstructed apertures to 0.932 for the central line group. Energy fractions for the central and side line groups are practically constant for all obstruction ratios and for each line group. The illumination of rectangular secondary apertures of various length/width ratios by apertures of various obstruction ratios is discussed.

  11. Temporal masking of multidimensional tactual stimuli

    NASA Astrophysics Data System (ADS)

    Tan, Hong Z.; Reed, Charlotte M.; Delhorne, Lorraine A.; Durlach, Nathaniel I.; Wan, Natasha

    2003-12-01

    Experiments were performed to examine the temporal masking properties of multidimensional tactual stimulation patterns delivered to the left index finger. The stimuli consisted of fixed-frequency sinusoidal motions in the kinesthetic (2 or 4 Hz), midfrequency (30 Hz), and cutaneous (300 Hz) frequency ranges. Seven stimuli composed of one, two, or three spectral components were constructed at each of two signal durations (125 or 250 ms). Subjects identified target signals under three different masking paradigms: forward masking, backward masking, and sandwiched masking (in which the target is presented between two maskers). Target identification was studied as a function of interstimulus interval (ISI) in the range 0 to 640 ms. For both signal durations, percent-correct scores increased with ISI for each of the three masking paradigms. Scores with forward and backward masking were similar and significantly higher than scores obtained with sandwiched masking. Analyses of error trials revealed that subjects showed a tendency to respond, more often than chance, with the masker, the composite of the masker and target, or the combination of the target and a component of the masker. The current results are compared to those obtained in previous studies of tactual recognition masking with brief cutaneous spatial patterns. The results are also discussed in terms of estimates of information transfer (IT) and IT rate, are compared to previous studies with multidimensional tactual signals, and are related to research on the development of tactual aids for the deaf.

  12. Ion mobility spectrometer with virtual aperture grid

    DOEpatents

    Pfeifer, Kent B.; Rumpf, Arthur N.

    2010-11-23

    An ion mobility spectrometer does not require a physical aperture grid to prevent premature ion detector response. The last electrodes adjacent to the ion collector (typically the last four or five) have an electrode pitch that is less than the width of the ion swarm and each of the adjacent electrodes is connected to a source of free charge, thereby providing a virtual aperture grid at the end of the drift region that shields the ion collector from the mirror current of the approaching ion swarm. The virtual aperture grid is less complex in assembly and function and is less sensitive to vibrations than the physical aperture grid.

  13. Mask industry assessment trend analysis: 2010

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Yun, Henry

    2010-05-01

    Microelectronics industry leaders consistently cite the cost and cycle time of mask technology and mask supply as top critical issues. A survey was designed with input from semiconductor company mask technologists and merchant mask suppliers and support from SEMATECH to gather information about the mask industry as an objective assessment of its overall condition. This year's assessment was the eighth in the current series of annual reports. Its data were presented in detail at BACUS, and the detailed trend analysis is presented at EMLC. With continued industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. Its results will be used to guide future investments on critical path issues. This year's survey is basically the same as the surveys in 2005 through 2009. Questions are grouped into six categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category is a multitude of questions that creates a detailed profile of both the business and technical status of the critical mask industry.

  14. An interactive tool for gamut masking

    NASA Astrophysics Data System (ADS)

    Song, Ying; Lau, Cheryl; Süsstrunk, Sabine

    2014-02-01

    Artists often want to change the colors of an image to achieve a particular aesthetic goal. For example, they might limit colors to a warm or cool color scheme to create an image with a certain mood or feeling. Gamut masking is a technique that artists use to limit the set of colors they can paint with. They draw a mask over a color wheel and only use the hues within the mask. However, creating the color palette from the mask and applying the colors to the image requires skill. We propose an interactive tool for gamut masking that allows amateur artists to create an image with a desired mood or feeling. Our system extracts a 3D color gamut from the 2D user-drawn mask and maps the image to this gamut. The user can draw a different gamut mask or locally refine the image colors. Our voxel grid gamut representation allows us to represent gamuts of any shape, and our cluster-based image representation allows the user to change colors locally.

  15. Diffraction smoothing aperture for an optical beam

    DOEpatents

    Judd, O'Dean P.; Suydam, Bergen R.

    1976-01-01

    The disclosure is directed to an aperture for an optical beam having an irregular periphery or having perturbations imposed upon the periphery to decrease the diffraction effect caused by the beam passing through the aperture. Such apertures are particularly useful with high power solid state laser systems in that they minimize the problem of self-focusing which frequently destroys expensive components in such systems.

  16. [Recognition of visual objects under forward masking. Effects of cathegorial similarity of test and masking stimuli].

    PubMed

    Gerasimenko, N Iu; Slavutskaia, A V; Kalinin, S A; Kulikov, M A; Mikhaĭlova, E S

    2013-01-01

    In 38 healthy subjects accuracy and response time were examined during recognition of two categories of images--animals andnonliving objects--under forward masking. We revealed new data that masking effects depended of categorical similarity of target and masking stimuli. The recognition accuracy was the lowest and the response time was the most slow, when the target and masking stimuli belongs to the same category, that was combined with high dispersion of response times. The revealed effects were more clear in the task of animal recognition in comparison with the recognition of nonliving objects. We supposed that the revealed effects connected with interference between cortical representations of the target and masking stimuli and discussed our results in context of cortical interference and negative priming.

  17. Effectiveness of surgical masks against influenza bioaerosols.

    PubMed

    Makison Booth, C; Clayton, M; Crook, B; Gawn, J M

    2013-05-01

    Most surgical masks are not certified for use as respiratory protective devices (RPDs). In the event of an influenza pandemic, logistical and practical implications such as storage and fit testing will restrict the use of RPDs to certain high-risk procedures that are likely to generate large amounts of infectious bioaerosols. Studies have shown that in such circumstances increased numbers of surgical masks are worn, but the protection afforded to the wearer by a surgical mask against infectious aerosols is not well understood. To develop and apply a method for assessing the protection afforded by surgical masks against a bioaerosol challenge. A dummy test head attached to a breathing simulator was used to test the performance of surgical masks against a viral challenge. Several designs of surgical masks commonly used in the UK healthcare sector were evaluated by measuring levels of inert particles and live aerosolised influenza virus in the air, from in front of and behind each mask. Live influenza virus was measurable from the air behind all surgical masks tested. The data indicate that a surgical mask will reduce exposure to aerosolised infectious influenza virus; reductions ranged from 1.1- to 55-fold (average 6-fold), depending on the design of the mask. We describe a workable method to evaluate the protective efficacy of surgical masks and RPDs against a relevant aerosolised biological challenge. The results demonstrated limitations of surgical masks in this context, although they are to some extent protective. Crown Copyright © 2013. Published by Elsevier Ltd. All rights reserved.

  18. Side information in coded aperture compressive spectral imaging

    NASA Astrophysics Data System (ADS)

    Galvis, Laura; Arguello, Henry; Lau, Daniel; Arce, Gonzalo R.

    2017-02-01

    Coded aperture compressive spectral imagers sense a three-dimensional cube by using two-dimensional projections of the coded and spectrally dispersed source. These imagers systems often rely on FPA detectors, SLMs, micromirror devices (DMDs), and dispersive elements. The use of the DMDs to implement the coded apertures facilitates the capture of multiple projections, each admitting a different coded aperture pattern. The DMD allows not only to collect the sufficient number of measurements for spectrally rich scenes or very detailed spatial scenes but to design the spatial structure of the coded apertures to maximize the information content on the compressive measurements. Although sparsity is the only signal characteristic usually assumed for reconstruction in compressing sensing, other forms of prior information such as side information have been included as a way to improve the quality of the reconstructions. This paper presents the coded aperture design in a compressive spectral imager with side information in the form of RGB images of the scene. The use of RGB images as side information of the compressive sensing architecture has two main advantages: the RGB is not only used to improve the reconstruction quality but to optimally design the coded apertures for the sensing process. The coded aperture design is based on the RGB scene and thus the coded aperture structure exploits key features such as scene edges. Real reconstructions of noisy compressed measurements demonstrate the benefit of the designed coded apertures in addition to the improvement in the reconstruction quality obtained by the use of side information.

  19. Flavored Anesthetic Masks for Inhalational Induction in Children.

    PubMed

    Gupta, Aakriti; Mathew, Preethy Joseph; Bhardwaj, Neerja

    2017-10-01

    To evaluate the clinical efficacy of masking the odor of inhalational agents using fruit flavors on the anxiety behavior and compliance of children for inhalational induction. A prospective randomized double blind, placebo controlled study was conducted on 60 unpremedicated children in the age group of 4-12 y. Thirty children received anesthetic masks smeared with a flavor of child's choice while the other 30 children were induced using masks without flavor. Anxiety was assessed using modified Yale Pre-operative Anxiety Scale (mYPAS) in the pre-op room and during inhalational induction. Mask acceptance was graded by Induction Compliance Checklist (ICC). The cost-effectiveness of flavored anesthetic masks was compared to that of commercially available pre-scented masks. The baseline anxiety in the two groups was comparable. The number of children demonstrating high levels of anxiety at anesthetic induction was similar in flavored and non-flavored mask groups (p 0.45). The compliance to mask induction was also equally good (p 0.99). The authors found significant difference in the cost of flavored mask (INR 56.45 per mask) as compared to commercially available pre-scented masks (INR 660 per mask). The authors observed a placebo effect that reduced the pre-op anxiety in the control group which probably made the quality of induction equivalent with flavored and non-flavored masks. Therefore, using a flavored anesthetic mask is cost-effective than using a commercially available pre-scented mask.

  20. Brightness masking is modulated by disparity structure.

    PubMed

    Pelekanos, Vassilis; Ban, Hiroshi; Welchman, Andrew E

    2015-05-01

    The luminance contrast at the borders of a surface strongly influences surface's apparent brightness, as demonstrated by a number of classic visual illusions. Such phenomena are compatible with a propagation mechanism believed to spread contrast information from borders to the interior. This process is disrupted by masking, where the perceived brightness of a target is reduced by the brief presentation of a mask (Paradiso & Nakayama, 1991), but the exact visual stage that this happens remains unclear. In the present study, we examined whether brightness masking occurs at a monocular-, or a binocular-level of the visual hierarchy. We used backward masking, whereby a briefly presented target stimulus is disrupted by a mask coming soon afterwards, to show that brightness masking is affected by binocular stages of the visual processing. We manipulated the 3-D configurations (slant direction) of the target and mask and measured the differential disruption that masking causes on brightness estimation. We found that the masking effect was weaker when stimuli had a different slant. We suggest that brightness masking is partly mediated by mid-level neuronal mechanisms, at a stage where binocular disparity edge structure has been extracted. Copyright © 2015 The Authors. Published by Elsevier Ltd.. All rights reserved.

  1. Oronasal masks require higher levels of positive airway pressure than nasal masks to treat obstructive sleep apnea.

    PubMed

    Bettinzoli, Michela; Taranto-Montemurro, Luigi; Messineo, Ludovico; Corda, Luciano; Redolfi, Stefania; Ferliga, Mauro; Tantucci, Claudio

    2014-12-01

    The purpose of this study was to compare the therapeutic pressure determined by an automated CPAP device (AutoCPAP) during the titration period, between nasal and oronasal mask and the residual apnea-hypopnea index (AHI) on a subsequent poligraphy performed with the established therapeutic CPAP. As a retrospective study, 109 subjects with moderate and severe obstructive sleep apnea-hypopnea (apnea-hypopnea index≥15 events/h) were studied. CPAP titration was performed using an auto-titrating device. There was significant difference in the mean pressure delivered with autoCPAP between the group of patients using the nasal mask (mean 10.0 cmH2O±2.0 SD) and the group which used the oronasal mask (mean 11.2 cmH2O±2.1) (p<0.05). Residual apneas were lower when using a nasal mask: average AHI of 2.6±2.5 compared to 4.5±4.0 using an oronasal mask (p<0.05). On multivariate analysis, the only independent predictor of the level of therapeutic pressure of CPAP was the type of mask used (r=0.245, p 0.008). Therapeutic CPAP level for OSAH is higher when administered via oronasal mask, leaving more residual events. These findings suggest that nasal mask should be the first choice for OSAH treatment.

  2. Polarization-based compensation of astigmatism.

    PubMed

    Chowdhury, Dola Roy; Bhattacharya, Kallol; Chakraborty, Ajay K; Ghosh, Raja

    2004-02-01

    One approach to aberration compensation of an imaging system is to introduce a suitable phase mask at the aperture plane of an imaging system. We utilize this principle for the compensation of astigmatism. A suitable polarization mask used on the aperture plane together with a polarizer-retarder combination at the input of the imaging system provides the compensating polarization-induced phase steps at different quadrants of the apertures masked by different polarizers. The aberrant phase can be considerably compensated by the proper choice of a polarization mask and suitable selection of the polarization parameters involved. The results presented here bear out our theoretical expectation.

  3. Micro Ring Grating Spectrometer with Adjustable Aperture

    NASA Technical Reports Server (NTRS)

    Park, Yeonjoon (Inventor); King, Glen C. (Inventor); Elliott, James R. (Inventor); Choi, Sang H. (Inventor)

    2012-01-01

    A spectrometer includes a micro-ring grating device having coaxially-aligned ring gratings for diffracting incident light onto a target focal point, a detection device for detecting light intensity, one or more actuators, and an adjustable aperture device defining a circular aperture. The aperture circumscribes a target focal point, and directs a light to the detection device. The aperture device is selectively adjustable using the actuators to select a portion of a frequency band for transmission to the detection device. A method of detecting intensity of a selected band of incident light includes directing incident light onto coaxially-aligned ring gratings of a micro-ring grating device, and diffracting the selected band onto a target focal point using the ring gratings. The method includes using an actuator to adjust an aperture device and pass a selected portion of the frequency band to a detection device for measuring the intensity of the selected portion.

  4. Variable aperture collimator for high energy radiation

    DOEpatents

    Hill, Ronald A.

    1984-05-22

    An apparatus is disclosed providing a variable aperture energy beam collimator. A plurality of beam opaque blocks are in sliding interface edge contact to form a variable aperture. The blocks may be offset at the apex angle to provide a non-equilateral aperture. A plurality of collimator block assemblies may be employed for providing a channel defining a collimated beam. Adjacent assemblies are inverted front-to-back with respect to one another for preventing noncollimated energy from emerging from the apparatus. An adjustment mechanism comprises a cable attached to at least one block and a hand wheel mechanism for operating the cable. The blocks are supported by guide rods engaging slide brackets on the blocks. The guide rods are pivotally connected at each end to intermediate actuators supported on rotatable shafts to change the shape of the aperture. A divergent collimated beam may be obtained by adjusting the apertures of adjacent stages to be unequal.

  5. Method of forming aperture plate for electron microscope

    NASA Technical Reports Server (NTRS)

    Heinemann, K. (Inventor)

    1974-01-01

    An electron microscope is described with an electron source a condenser lens having either a circular aperture for focusing a solid cone of electrons onto a specimen or an annular aperture for focusing a hollow cone of electrons onto the specimen. It also has objective lens with an annular objective aperture, for focusing electrons passing through the specimen onto an image plane. A method of making the annular objective aperture using electron imaging, electrolytic deposition and ion etching techniques is included.

  6. Shadows alter facial expressions of Noh masks.

    PubMed

    Kawai, Nobuyuki; Miyata, Hiromitsu; Nishimura, Ritsuko; Okanoya, Kazuo

    2013-01-01

    A Noh mask, worn by expert actors during performance on the Japanese traditional Noh drama, conveys various emotional expressions despite its fixed physical properties. How does the mask change its expressions? Shadows change subtly during the actual Noh drama, which plays a key role in creating elusive artistic enchantment. We here describe evidence from two experiments regarding how attached shadows of the Noh masks influence the observers' recognition of the emotional expressions. In Experiment 1, neutral-faced Noh masks having the attached shadows of the happy/sad masks were recognized as bearing happy/sad expressions, respectively. This was true for all four types of masks each of which represented a character differing in sex and age, even though the original characteristics of the masks also greatly influenced the evaluation of emotions. Experiment 2 further revealed that frontal Noh mask images having shadows of upward/downward tilted masks were evaluated as sad/happy, respectively. This was consistent with outcomes from preceding studies using actually tilted Noh mask images. Results from the two experiments concur that purely manipulating attached shadows of the different types of Noh masks significantly alters the emotion recognition. These findings go in line with the mysterious facial expressions observed in Western paintings, such as the elusive qualities of Mona Lisa's smile. They also agree with the aesthetic principle of Japanese traditional art "yugen (profound grace and subtlety)", which highly appreciates subtle emotional expressions in the darkness.

  7. Synthetic aperture imaging in astronomy and aerospace: introduction.

    PubMed

    Creech-Eakman, Michelle J; Carney, P Scott; Buscher, David F; Shao, Michael

    2017-05-01

    Aperture synthesis methods allow the reconstruction of images with the angular resolutions exceeding that of extremely large monolithic apertures by using arrays of smaller apertures together in combination. In this issue we present several papers with techniques relevant to amplitude interferometry, laser radar, and intensity interferometry applications.

  8. EUVL masks: paving the path for commercialization

    NASA Astrophysics Data System (ADS)

    Mangat, Pawitter J. S.; Hector, Scott D.

    2001-09-01

    Optical projection lithography has been the principal vehicle of semiconductor manufacturing for more than 20 years and is marching aggressively to satisfy the needs of semiconductor manufacturers for 100nm devices. However, the complexity of optical lithography continues to increase as wavelength reduction continues to 157nm. Extreme Ultraviolet Lithography (EUVL), with wavelength from 13-14 nm, is evolving as a leading next generation lithography option for semiconductor industry to stay on the path laid by Moore's Law. Masks are a critical part of the success of any technology and are considered to be high risk both for optical lithography and NGL technologies for sub-100nm lithography. Two key areas of EUV mask fabrication are reflective multilayer deposition and absorber patterning. In the case of reflective multilayers, delivering defect free multilayers for mask blanks is the biggest challenge. Defect mitigation is being explored as a possible option to smooth the multilayer defects in addition to optimization of the deposition process to reduce defect density. The mask patterning process needs focus on the defect-free absorber stack patterning process, mask cleaning, inspection and repair. In addition, there is considerable effort to understand by simulations, the defect printability, thermal and mechanical distortions, and non-telecentric illumination, to mention a few. To protect the finished mask from defects added during use, a removable pellicle strategy combined with thermophoretic protection during exposure is being developed. Recent migration to square form factor using low thermal expansion material (LTEM) is advantageous as historical developments in optical masks can be applied to EUV mask patterning. This paper addresses recent developments in the EUV mask patterning and highlights critical manufacturing process controls needed to fabricate defect-free full field masks with CD and image placement specifications for sub-70nm node lithography. No

  9. Are Masking-Based Models of Risk Useful?

    PubMed

    Gisiner, Robert C

    2016-01-01

    As our understanding of directly observable effects from anthropogenic sound exposure has improved, concern about "unobservable" effects such as stress and masking have received greater attention. Equal energy models of masking such as power spectrum models have the appeal of simplicity, but do they offer biologically realistic assessments of the risk of masking? Data relevant to masking such as critical ratios, critical bandwidths, temporal resolution, and directional resolution along with what is known about general mammalian antimasking mechanisms all argue for a much more complicated view of masking when making decisions about the risk of masking inherent in a given anthropogenic sound exposure scenario.

  10. The Sensitivity of Coded Mask Telescopes

    NASA Technical Reports Server (NTRS)

    Skinner, Gerald K.

    2008-01-01

    Simple formulae are often used to estimate the sensitivity of coded mask X-ray or gamma-ray telescopes, but t,hese are strictly only applicable if a number of basic assumptions are met. Complications arise, for example, if a grid structure is used to support the mask elements, if the detector spatial resolution is not good enough to completely resolve all the detail in the shadow of the mask or if any of a number of other simplifying conditions are not fulfilled. We derive more general expressions for the Poisson-noise-limited sensitivity of astronomical telescopes using the coded mask technique, noting explicitly in what circumstances they are applicable. The emphasis is on using nomenclature and techniques that result in simple and revealing results. Where no convenient expression is available a procedure is given which allows the calculation of the sensitivity. We consider certain aspects of the optimisation of the design of a coded mask telescope and show that when the detector spatial resolution and the mask to detector separation are fixed, the best source location accuracy is obtained when the mask elements are equal in size to the detector pixels.

  11. Comparison of the OxyMask and Venturi mask in the delivery of supplemental oxygen: Pilot study in oxygen-dependent patients

    PubMed Central

    Beecroft, Jaime M; Hanly, Patrick J

    2006-01-01

    BACKGROUND: The OxyMask (Southmedic Inc, Canada) is a new face mask for oxygen delivery that uses a small ‘diffuser’ to concentrate and direct oxygen toward the mouth and nose. The authors hypothesized that this unique design would enable the OxyMask to deliver oxygen more efficiently than a Venturi mask (Hudson RCI, USA) in patients with chronic hypoxemia. METHODS: Oxygen-dependent patients with chronic, stable respiratory disease were recruited to compare the OxyMask and Venturi mask in a randomized, single-blind, cross-over design. Baseline blood oxygen saturation (SaO2) was established breathing room air, followed in a random order by supplemental oxygen through the OxyMask or Venturi mask. Oxygen delivery was titrated to maintain SaO2 4% to 5% and 8% to 9% above baseline for two separate 30 min periods of stable breathing. Oxygen flow rate, partial pressure of inspired and expired oxygen (PO2) and carbon dioxide (PCO2), minute ventilation, heart rate, nasal and oral breathing, SaO2 and transcutaneous PCO2 were collected continuously. The study was repeated following alterations to the OxyMask design, which improved clearance of carbon dioxide. RESULTS: Thirteen patients, aged 28 to 79 years, were studied initially using the original OxyMask. Oxygen flow rate was lower, inspired PO2 was higher and expired PO2 was lower while using the OxyMask. Minute ventilation and inspired and expired PCO2 were significantly higher while using the OxyMask, whereas transcutaneous PCO2, heart rate and the ratio of nasal to oral breathing did not change significantly throughout the study. Following modification of the OxyMask, 13 additional patients, aged 18 to 79 years, were studied using the same protocol. The modified OxyMask provided a higher inspired PO2 at a lower flow rate, without evidence of carbon dioxide retention. CONCLUSIONS: Oxygen is delivered safely and more efficiently by the OxyMask than by the Venturi mask in stable oxygen-dependent patients. PMID:16896425

  12. Microfabricated high-bandpass foucault aperture for electron microscopy

    DOEpatents

    Glaeser, Robert; Cambie, Rossana; Jin, Jian

    2014-08-26

    A variant of the Foucault (knife-edge) aperture is disclosed that is designed to provide single-sideband (SSB) contrast at low spatial frequencies but retain conventional double-sideband (DSB) contrast at high spatial frequencies in transmission electron microscopy. The aperture includes a plate with an inner open area, a support extending from the plate at an edge of the open area, a half-circle feature mounted on the support and located at the center of the aperture open area. The radius of the half-circle portion of reciprocal space that is blocked by the aperture can be varied to suit the needs of electron microscopy investigation. The aperture is fabricated from conductive material which is preferably non-oxidizing, such as gold, for example.

  13. Polarization masks: concept and initial assessment

    NASA Astrophysics Data System (ADS)

    Lam, Michael; Neureuther, Andrew R.

    2002-07-01

    Polarization from photomasks can be used as a new lever to improve lithographic performance in both binary and phase-shifting masks (PSMs). While PSMs manipulate the phase of light to control the temporal addition of electric field vectors, polarization masks manipulate the vector direction of electric field vectors to control the spatial addition of electric field components. This paper explores the theoretical possibilities of polarization masks, showing that it is possible to use bar structures within openings on the mask itself to polarize incident radiation. Rigorous electromagnetic scattering simulations using TEMPEST and imaging with SPLAT are used to give an initial assessment on the functionality of polarization masks, discussing the polarization quality and throughputs achieved with the masks. Openings between 1/8 and 1/3 of a wavelength provide both a low polarization ratio and good transmission. A final overall throughput of 33% - 40% is achievable, corresponding to a dose hit of 2.5x - 3x.

  14. Keck Deep Fields. II. The Ultraviolet Galaxy Luminosity Function at z ~ 4, 3, and 2

    NASA Astrophysics Data System (ADS)

    Sawicki, Marcin; Thompson, David

    2006-05-01

    We use very deep UnGRI multifield imaging obtained at the Keck telescope to study the evolution of the rest-frame 1700 Å galaxy luminosity function as the universe doubles its age from z~4 to ~2. We use exactly the same filters and color-color selection as those used by the Steidel team but probe significantly fainter limits, well below L*. The depth of our imaging allows us to constrain the faint end of the luminosity function, reaching M1700~-18.5 at z~3 (equivalent to ~1 Msolar yr-1), accounting for both N1/2 uncertainty in the number of galaxies and cosmic variance. We carefully examine many potential sources of systematic bias in our LF measurements before drawing the following conclusions. We find that the luminosity function of Lyman break galaxies evolves with time and that this evolution is differential with luminosity. The result is best constrained between the epochs at z~4 and ~3, where we find that the number density of sub-L* galaxies increases with time by at least a factor of 2.3 (11 σ statistical confidence); while the faint end of the LF evolves, the bright end appears to remain virtually unchanged, indicating that there may be differential, luminosity-dependent evolution (98.5% statistical probability). Potential systematic biases restrict our ability to draw strong conclusions about continued evolution of the luminosity function to lower redshifts, z~2.2 and ~1.7, but, nevertheless, it appears certain that the number density of z~2.2 galaxies at all luminosities we studied, -22>M1700>-18, is at least as high as that of their counterparts at z~3. While it is not yet clear what mechanism underlies the observed evolution, the fact that this evolution is differential with luminosity opens up new avenues of improving our understanding of how galaxies form and evolve at high redshift. Based on data obtained at the W. M. Keck Observatory, which is operated as a scientific partnership among the California Institute of Technology, the University of

  15. Shadows Alter Facial Expressions of Noh Masks

    PubMed Central

    Kawai, Nobuyuki; Miyata, Hiromitsu; Nishimura, Ritsuko; Okanoya, Kazuo

    2013-01-01

    Background A Noh mask, worn by expert actors during performance on the Japanese traditional Noh drama, conveys various emotional expressions despite its fixed physical properties. How does the mask change its expressions? Shadows change subtly during the actual Noh drama, which plays a key role in creating elusive artistic enchantment. We here describe evidence from two experiments regarding how attached shadows of the Noh masks influence the observers’ recognition of the emotional expressions. Methodology/Principal Findings In Experiment 1, neutral-faced Noh masks having the attached shadows of the happy/sad masks were recognized as bearing happy/sad expressions, respectively. This was true for all four types of masks each of which represented a character differing in sex and age, even though the original characteristics of the masks also greatly influenced the evaluation of emotions. Experiment 2 further revealed that frontal Noh mask images having shadows of upward/downward tilted masks were evaluated as sad/happy, respectively. This was consistent with outcomes from preceding studies using actually tilted Noh mask images. Conclusions/Significance Results from the two experiments concur that purely manipulating attached shadows of the different types of Noh masks significantly alters the emotion recognition. These findings go in line with the mysterious facial expressions observed in Western paintings, such as the elusive qualities of Mona Lisa’s smile. They also agree with the aesthetic principle of Japanese traditional art “yugen (profound grace and subtlety)”, which highly appreciates subtle emotional expressions in the darkness. PMID:23940748

  16. 21 CFR 868.5570 - Nonrebreathing mask.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Nonrebreathing mask. 868.5570 Section 868.5570...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5570 Nonrebreathing mask. (a) Identification. A nonrebreathing mask is a device fitting over a patient's face to administer oxygen. It utilizes...

  17. 37 CFR 211.3 - Mask work fees.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... 37 Patents, Trademarks, and Copyrights 1 2010-07-01 2010-07-01 false Mask work fees. 211.3 Section... PROCEDURES MASK WORK PROTECTION § 211.3 Mask work fees. (a) Section 201.3 of this chapter prescribes the fees or charges established by the Register of Copyrights for services relating to mask works. (b) Section...

  18. 37 CFR 211.3 - Mask work fees.

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... 37 Patents, Trademarks, and Copyrights 1 2011-07-01 2011-07-01 false Mask work fees. 211.3 Section... PROCEDURES MASK WORK PROTECTION § 211.3 Mask work fees. (a) Section 201.3 of this chapter prescribes the fees or charges established by the Register of Copyrights for services relating to mask works. (b) Section...

  19. Orientation tuning of contrast masking caused by motion streaks.

    PubMed

    Apthorp, Deborah; Cass, John; Alais, David

    2010-08-01

    We investigated whether the oriented trails of blur left by fast-moving dots (i.e., "motion streaks") effectively mask grating targets. Using a classic overlay masking paradigm, we varied mask contrast and target orientation to reveal underlying tuning. Fast-moving Gaussian blob arrays elevated thresholds for detection of static gratings, both monoptically and dichoptically. Monoptic masking at high mask (i.e., streak) contrasts is tuned for orientation and exhibits a similar bandwidth to masking functions obtained with grating stimuli (∼30 degrees). Dichoptic masking fails to show reliable orientation-tuned masking, but dichoptic masks at very low contrast produce a narrowly tuned facilitation (∼17 degrees). For iso-oriented streak masks and grating targets, we also explored masking as a function of mask contrast. Interestingly, dichoptic masking shows a classic "dipper"-like TVC function, whereas monoptic masking shows no dip and a steeper "handle". There is a very strong unoriented component to the masking, which we attribute to transiently biased temporal frequency masking. Fourier analysis of "motion streak" images shows interesting differences between dichoptic and monoptic functions and the information in the stimulus. Our data add weight to the growing body of evidence that the oriented blur of motion streaks contributes to the processing of fast motion signals.

  20. Feasibility of Very Large Sparse Aperture Deployable Antennas

    DTIC Science & Technology

    2014-03-27

    FEASIBILITY OF VERY LARGE SPARSE APERTURE DEPLOYABLE ANTENNAS THESIS Jason C. Heller, Captain...States. AFIT-ENY-14-M-24 FEASIBILITY OF VERY LARGE SPARSE APERTURE DEPLOYABLE ANTENNAS THESIS Presented to the Faculty...UNLIMITED AFIT-ENY-14-M-24 FEASIBILITY OF VERY LARGE SPARSE APERTURE DEPLOYABLE ANTENNAS Jason C. Heller, B.S., Aerospace

  1. The Meaning behind the Mask. [Lesson Plan].

    ERIC Educational Resources Information Center

    National Endowment for the Humanities (NFAH), Washington, DC.

    In this lesson plan, students explore the cultural significance of masks. After exploring the world of African masks and storytelling, they create masks that tell stories of their own. In these six lessons, students first recall contexts in which masks are worn in the United States, and then discuss their use in stories. Students then investigate…

  2. Metacontrast masking and attention do not interact.

    PubMed

    Agaoglu, Sevda; Breitmeyer, Bruno; Ogmen, Haluk

    2016-07-01

    Visual masking and attention have been known to control the transfer of information from sensory memory to visual short-term memory. A natural question is whether these processes operate independently or interact. Recent evidence suggests that studies that reported interactions between masking and attention suffered from ceiling and/or floor effects. The objective of the present study was to investigate whether metacontrast masking and attention interact by using an experimental design in which saturation effects are avoided. We asked observers to report the orientation of a target bar randomly selected from a display containing either two or six bars. The mask was a ring that surrounded the target bar. Attentional load was controlled by set-size and masking strength by the stimulus onset asynchrony between the target bar and the mask ring. We investigated interactions between masking and attention by analyzing two different aspects of performance: (i) the mean absolute response errors and (ii) the distribution of signed response errors. Our results show that attention affects observers' performance without interacting with masking. Statistical modeling of response errors suggests that attention and metacontrast masking exert their effects by independently modulating the probability of "guessing" behavior. Implications of our findings for models of attention are discussed.

  3. 21 CFR 868.5590 - Scavenging mask.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Scavenging mask. 868.5590 Section 868.5590 Food... DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5590 Scavenging mask. (a) Identification. A scavenging mask is a device positioned over a patient's nose to deliver anesthetic or analgesic gases to the...

  4. 21 CFR 868.5600 - Venturi mask.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Venturi mask. 868.5600 Section 868.5600 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5600 Venturi mask. (a) Identification. A venturi mask is a...

  5. Inadequate face mask ventilation--clinical applications.

    PubMed

    Goranović, Tatjana; Milić, Morena; Holjevac, Jadranka Katancić; Maldini, Branka; Sakić, Katarina

    2010-09-01

    Face mask ventilation is a life saving technique. This article will review aetiology and patophysiological consequences of inadequate mask ventilation. The main focus will be on circulatory changes during induction of anesthesia, before and in a short period after intubation that could be attributed to inadequate mask ventilation in humans.

  6. Fabrication of coronagraph masks and laboratory scale star-shade masks: characteristics, defects, and performance

    NASA Astrophysics Data System (ADS)

    Balasubramanian, Kunjithapatham; Riggs, A. J. Eldorado; Cady, Eric; White, Victor; Yee, Karl; Wilson, Daniel; Echternach, Pierre; Muller, Richard; Mejia Prada, Camilo; Seo, Byoung-Joon; Shi, Fang; Ryan, Daniel; Fregoso, Santos; Metzman, Jacob; Wilson, Robert Casey

    2017-09-01

    NASA WFIRST mission has planned to include a coronagraph instrument to find and characterize exoplanets. Masks are needed to suppress the host star light to better than 10-8 - 10-9 level contrast over a broad bandwidth to enable the coronagraph mission objectives. Such masks for high contrast coronagraphic imaging require various fabrication technologies to meet a wide range of specifications, including precise shapes, micron scale island features, ultra-low reflectivity regions, uniformity, wave front quality, etc. We present the technologies employed at JPL to produce these pupil plane and image plane coronagraph masks, and lab-scale external occulter masks, highlighting accomplishments from the high contrast imaging testbed (HCIT) at JPL and from the high contrast imaging lab (HCIL) at Princeton University. Inherent systematic and random errors in fabrication and their impact on coronagraph performance are discussed with model predictions and measurements.

  7. Measurements of Aperture Averaging on Bit-Error-Rate

    NASA Technical Reports Server (NTRS)

    Bastin, Gary L.; Andrews, Larry C.; Phillips, Ronald L.; Nelson, Richard A.; Ferrell, Bobby A.; Borbath, Michael R.; Galus, Darren J.; Chin, Peter G.; Harris, William G.; Marin, Jose A.; hide

    2005-01-01

    We report on measurements made at the Shuttle Landing Facility (SLF) runway at Kennedy Space Center of receiver aperture averaging effects on a propagating optical Gaussian beam wave over a propagation path of 1,000 in. A commercially available instrument with both transmit and receive apertures was used to transmit a modulated laser beam operating at 1550 nm through a transmit aperture of 2.54 cm. An identical model of the same instrument was used as a receiver with a single aperture that was varied in size up to 20 cm to measure the effect of receiver aperture averaging on Bit Error Rate. Simultaneous measurements were also made with a scintillometer instrument and local weather station instruments to characterize atmospheric conditions along the propagation path during the experiments.

  8. Measurements of aperture averaging on bit-error-rate

    NASA Astrophysics Data System (ADS)

    Bastin, Gary L.; Andrews, Larry C.; Phillips, Ronald L.; Nelson, Richard A.; Ferrell, Bobby A.; Borbath, Michael R.; Galus, Darren J.; Chin, Peter G.; Harris, William G.; Marin, Jose A.; Burdge, Geoffrey L.; Wayne, David; Pescatore, Robert

    2005-08-01

    We report on measurements made at the Shuttle Landing Facility (SLF) runway at Kennedy Space Center of receiver aperture averaging effects on a propagating optical Gaussian beam wave over a propagation path of 1,000 m. A commercially available instrument with both transmit and receive apertures was used to transmit a modulated laser beam operating at 1550 nm through a transmit aperture of 2.54 cm. An identical model of the same instrument was used as a receiver with a single aperture that was varied in size up to 20 cm to measure the effect of receiver aperture averaging on Bit Error Rate. Simultaneous measurements were also made with a scintillometer instrument and local weather station instruments to characterize atmospheric conditions along the propagation path during the experiments.

  9. Fast mask writers: technology options and considerations

    NASA Astrophysics Data System (ADS)

    Litt, Lloyd C.; Groves, Timothy; Hughes, Greg

    2011-04-01

    The semiconductor industry is under constant pressure to reduce production costs even as the complexity of technology increases. Lithography represents the most expensive process due to its high capital equipment costs and the implementation of low-k1 lithographic processes, which have added to the complexity of making masks because of the greater use of optical proximity correction, pixelated masks, and double or triple patterning. Each of these mask technologies allows the production of semiconductors at future nodes while extending the utility of current immersion tools. Low-k1 patterning complexity combined with increased data due to smaller feature sizes is driving extremely long mask write times. While a majority of the industry is willing to accept times of up to 24 hours, evidence suggests that the write times for many masks at the 22 nm node and beyond will be significantly longer. It has been estimated that funding on the order of 50M to 90M for non-recurring engineering (NRE) costs will be required to develop a multiple beam mask writer system, yet the business case to recover this kind of investment is not strong. Moreover, funding such a development poses a high risk for an individual supplier. The structure of the mask fabrication marketplace separates the mask writer equipment customer (the mask supplier) from the final customer (wafer manufacturer) that will be most effected by the increase in mask cost that will result if a high speed mask writer is not available. Since no individual company will likely risk entering this market, some type of industry-wide funding model will be needed.

  10. 21 CFR 868.5580 - Oxygen mask.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... 21 Food and Drugs 8 2012-04-01 2012-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and... ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device placed over a patient's nose, mouth, or tracheostomy to administer oxygen or aerosols. (b) Classification...

  11. 21 CFR 868.5580 - Oxygen mask.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 21 Food and Drugs 8 2014-04-01 2014-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and... ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device placed over a patient's nose, mouth, or tracheostomy to administer oxygen or aerosols. (b) Classification...

  12. 21 CFR 868.5580 - Oxygen mask.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 8 2011-04-01 2011-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and... ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device placed over a patient's nose, mouth, or tracheostomy to administer oxygen or aerosols. (b) Classification...

  13. 21 CFR 868.5580 - Oxygen mask.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... 21 Food and Drugs 8 2013-04-01 2013-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and... ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device placed over a patient's nose, mouth, or tracheostomy to administer oxygen or aerosols. (b) Classification...

  14. 21 CFR 868.5580 - Oxygen mask.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Oxygen mask. 868.5580 Section 868.5580 Food and... ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5580 Oxygen mask. (a) Identification. An oxygen mask is a device placed over a patient's nose, mouth, or tracheostomy to administer oxygen or aerosols. (b) Classification...

  15. Pinch aperture proprioception: reliability and feasibility study

    PubMed Central

    Yahya, Abdalghani; von Behren, Timothy; Levine, Shira; dos Santos, Marcio

    2018-01-01

    [Purpose] To establish the reliability and feasibility of a novel pinch aperture device to measure proprioceptive joint position sense. [Subjects and Methods] Reliability of the pinch aperture device was assessed in 21 healthy subjects. Following familiarization with a 15° target position of the index finger and thumb, subjects performed 5 trials in which they attempted to actively reproduce the target position without visual feedback. This procedure was repeated at a testing session on a separate date, and the between-session intraclass correlation coefficient (ICC) was calculated. In addition, extensor tendon vibration was applied to 19 healthy subjects, and paired t-tests were conducted to compare performance under vibration and no-vibration conditions. Pinch aperture proprioception was also assessed in two individuals with known diabetic neuropathy. [Results] The pinch aperture device demonstrated excellent reliability in healthy subjects (ICC 0.88, 95% confidence interval 0.70–0.95). Tendon vibration disrupted pinch aperture proprioception, causing subjects to undershoot the target position (18.1 ± 2.6° vs. 14.8° ± 0.76, p<0.001). This tendency to undershoot the target position was also noted in individuals with diabetic neuropathy. [Conclusion] This study describes a reliable, feasible, and functional means of measuring finger proprioception. Further research should investigate the assessment and implications of pinch aperture proprioception in neurological and orthopedic populations. PMID:29765192

  16. Coded aperture ptychography: uniqueness and reconstruction

    NASA Astrophysics Data System (ADS)

    Chen, Pengwen; Fannjiang, Albert

    2018-02-01

    Uniqueness of solution is proved for any ptychographic scheme with a random mask under a minimum overlap condition and local geometric convergence analysis is given for the alternating projection (AP) and Douglas-Rachford (DR) algorithms. DR is shown to possess a unique fixed point in the object domain and for AP a simple criterion for distinguishing the true solution among possibly many fixed points is given. A minimalist scheme, where the adjacent masks overlap 50% of the area and each pixel of the object is illuminated by exactly four illuminations, is conveniently parametrized by the number q of shifted masks in each direction. The lower bound 1  -  C/q 2 is proved for the geometric convergence rate of the minimalist scheme, predicting a poor performance with large q which is confirmed by numerical experiments. The twin-image ambiguity is shown to arise for certain Fresnel masks and degrade the performance of reconstruction. Extensive numerical experiments are performed to explore the general features of a well-performing mask, the optimal value of q and the robustness with respect to measurement noise.

  17. Enhancement in Informational Masking

    ERIC Educational Resources Information Center

    Cao, Xiang; Richards, Virginia M.

    2012-01-01

    Purpose: The ability to detect a tone added to a random masker improves when a preview of the masker is provided. In 2 experiments, the authors explored the role that perceptual organization plays in this release from masking. Method: Detection thresholds were measured in informational masking studies. The maskers were drawn at random prior to…

  18. Simulation-based MDP verification for leading-edge masks

    NASA Astrophysics Data System (ADS)

    Su, Bo; Syrel, Oleg; Pomerantsev, Michael; Hagiwara, Kazuyuki; Pearman, Ryan; Pang, Leo; Fujimara, Aki

    2017-07-01

    For IC design starts below the 20nm technology node, the assist features on photomasks shrink well below 60nm and the printed patterns of those features on masks written by VSB eBeam writers start to show a large deviation from the mask designs. Traditional geometry-based fracturing starts to show large errors for those small features. As a result, other mask data preparation (MDP) methods have become available and adopted, such as rule-based Mask Process Correction (MPC), model-based MPC and eventually model-based MDP. The new MDP methods may place shot edges slightly differently from target to compensate for mask process effects, so that the final patterns on a mask are much closer to the design (which can be viewed as the ideal mask), especially for those assist features. Such an alteration generally produces better masks that are closer to the intended mask design. Traditional XOR-based MDP verification cannot detect problems caused by eBeam effects. Much like model-based OPC verification which became a necessity for OPC a decade ago, we see the same trend in MDP today. Simulation-based MDP verification solution requires a GPU-accelerated computational geometry engine with simulation capabilities. To have a meaningful simulation-based mask check, a good mask process model is needed. The TrueModel® system is a field tested physical mask model developed by D2S. The GPU-accelerated D2S Computational Design Platform (CDP) is used to run simulation-based mask check, as well as model-based MDP. In addition to simulation-based checks such as mask EPE or dose margin, geometry-based rules are also available to detect quality issues such as slivers or CD splits. Dose margin related hotspots can also be detected by setting a correct detection threshold. In this paper, we will demonstrate GPU-acceleration for geometry processing, and give examples of mask check results and performance data. GPU-acceleration is necessary to make simulation-based mask MDP verification

  19. Dual aperture dipole magnet with second harmonic component

    DOEpatents

    Praeg, Walter F.

    1985-01-01

    An improved dual aperture dipole electromagnet includes a second-harmonic frequency magnetic guide field winding which surrounds first harmonic frequency magnetic guide field windings associated with each aperture. The second harmonic winding and the first harmonic windings cooperate to produce resultant magnetic waveforms in the apertures which have extended acceleration and shortened reset portions of electromagnet operation.

  20. Dual aperture dipole magnet with second harmonic component

    DOEpatents

    Praeg, W.F.

    1983-08-31

    An improved dual aperture dipole electromagnet includes a second-harmonic frequency magnetic guide field winding which surrounds first harmonic frequency magnetic guide field windings associated with each aperture. The second harmonic winding and the first harmonic windings cooperate to produce resultant magnetic waveforms in the apertures which have extended acceleration and shortened reset portions of electromagnet operation.

  1. VizieR Online Data Catalog: LCES HIRES/Keck radial velocity Exoplanet Survey (Butler+, 2017)

    NASA Astrophysics Data System (ADS)

    Butler, R. P.; Vogt, S. S.; Laughlin, G.; Burt, J. A.; Rivera, E. J.; Tuomi, M.; Teske, J.; Arriagada, P.; Diaz, M.; Holden, B.; Keiser, S.

    2017-08-01

    We present 60949 precision radial velocities of 1624 stars obtained over the past 20 years from the Lick-Carnegie Exoplanet Survey Team (LCES) survey with the HIgh-Resolution Echelle Spectrometer (HIRES) spectrometer on the Keck I telescope. We tabulate a list of 357 significant periodic signals that are of constant period and phase, and not coincident in period and/or phase with stellar activity indices. For this survey, the HIRES spectrometer was configured to operate at a nominal spectral resolving power of R~60000 and wavelength range of 3700-8000Å. (4 data files).

  2. The impact of reverberant self-masking and overlap-masking effects on speech intelligibility by cochlear implant listeners (L).

    PubMed

    Kokkinakis, Kostas; Loizou, Philipos C

    2011-09-01

    The purpose of this study is to determine the relative impact of reverberant self-masking and overlap-masking effects on speech intelligibility by cochlear implant listeners. Sentences were presented in two conditions wherein reverberant consonant segments were replaced with clean consonants, and in another condition wherein reverberant vowel segments were replaced with clean vowels. The underlying assumption is that self-masking effects would dominate in the first condition, whereas overlap-masking effects would dominate in the second condition. Results indicated that the degradation of speech intelligibility in reverberant conditions is caused primarily by self-masking effects that give rise to flattened formant transitions. © 2011 Acoustical Society of America

  3. Characterization of fracture aperture for groundwater flow and transport

    NASA Astrophysics Data System (ADS)

    Sawada, A.; Sato, H.; Tetsu, K.; Sakamoto, K.

    2007-12-01

    This paper presents experiments and numerical analyses of flow and transport carried out on natural fractures and transparent replica of fractures. The purpose of this study was to improve the understanding of the role of heterogeneous aperture patterns on channelization of groundwater flow and dispersion in solute transport. The research proceeded as follows: First, a precision plane grinder was applied perpendicular to the fracture plane to characterize the aperture distribution on a natural fracture with 1 mm of increment size. Although both time and labor were intensive, this approach provided a detailed, three dimensional picture of the pattern of fracture aperture. This information was analyzed to provide quantitative measures for the fracture aperture distribution, including JRC (Joint Roughness Coefficient) and fracture contact area ratio. These parameters were used to develop numerical models with corresponding synthetic aperture patterns. The transparent fracture replica and numerical models were then used to study how transport is affected by the aperture spatial pattern. In the transparent replica, transmitted light intensity measured by a CCD camera was used to image channeling and dispersion due to the fracture aperture spatial pattern. The CCD image data was analyzed to obtain the quantitative fracture aperture and tracer concentration data according to Lambert-Beer's law. The experimental results were analyzed using the numerical models. Comparison of the numerical models to the transparent replica provided information about the nature of channeling and dispersion due to aperture spatial patterns. These results support to develop a methodology for defining representative fracture aperture of a simplified parallel fracture model for flow and transport in heterogeneous fractures for contaminant transport analysis.

  4. Reconfigurable metasurface aperture for security screening and microwave imaging

    NASA Astrophysics Data System (ADS)

    Sleasman, Timothy; Imani, Mohammadreza F.; Boyarsky, Michael; Pulido-Mancera, Laura; Reynolds, Matthew S.; Smith, David R.

    2017-05-01

    Microwave imaging systems have seen growing interest in recent decades for applications ranging from security screening to space/earth observation. However, hardware architectures commonly used for this purpose have not seen drastic changes. With the advent of metamaterials a wealth of opportunities have emerged for honing metasurface apertures for microwave imaging systems. Recent thrusts have introduced dynamic reconfigurability directly into the aperture layer, providing powerful capabilities from a physical layer with considerable simplicity. The waveforms generated from such dynamic metasurfaces make them suitable for application in synthetic aperture radar (SAR) and, more generally, computational imaging. In this paper, we investigate a dynamic metasurface aperture capable of performing microwave imaging in the K-band (17.5-26.5 GHz). The proposed aperture is planar and promises an inexpensive fabrication process via printed circuit board techniques. These traits are further augmented by the tunability of dynamic metasurfaces, which provides the dexterity necessary to generate field patterns ranging from a sequence of steered beams to a series of uncorrelated radiation patterns. Imaging is experimentally demonstrated with a voltage-tunable metasurface aperture. We also demonstrate the aperture's utility in real-time measurements and perform volumetric SAR imaging. The capabilities of a prototype are detailed and the future prospects of general dynamic metasurface apertures are discussed.

  5. Transport of Particle Swarms Through Variable Aperture Fractures

    NASA Astrophysics Data System (ADS)

    Boomsma, E.; Pyrak-Nolte, L. J.

    2012-12-01

    Particle transport through fractured rock is a key concern with the increased use of micro- and nano-size particles in consumer products as well as from other activities in the sub- and near surface (e.g. mining, industrial waste, hydraulic fracturing, etc.). While particle transport is often studied as the transport of emulsions or dispersions, particles may also enter the subsurface from leaks or seepage that lead to particle swarms. Swarms are drop-like collections of millions of colloidal-sized particles that exhibit a number of unique characteristics when compared to dispersions and emulsions. Any contaminant or engineered particle that forms a swarm can be transported farther, faster, and more cohesively in fractures than would be expected from a traditional dispersion model. In this study, the effects of several variable aperture fractures on colloidal swarm cohesiveness and evolution were studied as a swarm fell under gravity and interacted with the fracture walls. Transparent acrylic was used to fabricate synthetic fracture samples with (1) a uniform aperture, (2) a converging region followed by a uniform region (funnel shaped), (3) a uniform region followed by a diverging region (inverted funnel), and (4) a cast of a an induced fracture from a carbonate rock. All of the samples consisted of two blocks that measured 100 x 100 x 50 mm. The minimum separation between these blocks determined the nominal aperture (0.5 mm to 20 mm). During experiments a fracture was fully submerged in water and swarms were released into it. The swarms consisted of a dilute suspension of 3 micron polystyrene fluorescent beads (1% by mass) with an initial volume of 5μL. The swarms were illuminated with a green (525 nm) LED array and imaged optically with a CCD camera. The variation in fracture aperture controlled swarm behavior. Diverging apertures caused a sudden loss of confinement that resulted in a rapid change in the swarm's shape as well as a sharp increase in its velocity

  6. Water Vapor Measurement and Compensation in the Near and Mid-infrared with the Keck Interferometer Nuller

    NASA Technical Reports Server (NTRS)

    Koresko, Chris D.; Colavita, Mark M.; Serabyn, Eugene; Booth, Andrew; Garcia, Jean I.

    2006-01-01

    A viewgraph presentation describing the methods, motivation and methods for water vapor measurement with the Keck interferometer near and mid infrared radiation band is shown. The topics include: 1) Motivation: Why measure H2O?; 2) Method: How do we measure H2O?; 3) Data: Phase and Group Delays for the K and N Bands; 4) Predicted and Actual Nband Phase and Dispersion; and 5) Validation of Atmospheric Turbulence Models with KI Data.

  7. Reinforced Masks for Ion Plating of Solar Cells

    NASA Technical Reports Server (NTRS)

    Conley, W. R.; Swick, E. G.; Volkers, J. C.

    1987-01-01

    Proposed mask for ion plating of surface electrodes on silicon solar cells reinforced to hold shape better during handling. Fabrication process for improved mask similar to conventional mask. Additional cuts and bends made in wide diametral strip to form bridges between pairs of mask fingers facing each other across this strip. Bridges high enough not to act as masks so entire strip area plated.

  8. Class of near-perfect coded apertures

    NASA Technical Reports Server (NTRS)

    Cannon, T. M.; Fenimore, E. E.

    1977-01-01

    Coded aperture imaging of gamma ray sources has long promised an improvement in the sensitivity of various detector systems. The promise has remained largely unfulfilled, however, for either one of two reasons. First, the encoding/decoding method produces artifacts, which even in the absence of quantum noise, restrict the quality of the reconstructed image. This is true of most correlation-type methods. Second, if the decoding procedure is of the deconvolution variety, small terms in the transfer function of the aperture can lead to excessive noise in the reconstructed image. It is proposed to circumvent both of these problems by use of a uniformly redundant array (URA) as the coded aperture in conjunction with a special correlation decoding method.

  9. Mask industry assessment trend analysis: 2012

    NASA Astrophysics Data System (ADS)

    Chan, Y. David

    2012-02-01

    Microelectronics industry leaders consistently cite the cost and cycle time of mask technology and mask supply among the top critical issues for lithography. A survey was designed by SEMATECH with input from semiconductor company mask technologists and merchant mask suppliers to objectively assess the overall conditions of the mask industry. With the continued support of the industry, this year's assessment was the tenth in the current series of annual reports. This year's survey is basically the same as the 2005 through 2011 surveys. Questions are grouped into six categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category is a multitude of questions that ultimately produce a detailed profile of both the business and technical status of the critical mask industry. We received data from 11 companies this year, which was a record high since the beginning of the series. The responding companies represented more than 96% of the volume shipped and about 90% of the 2011 revenue for the photomask industry. These survey reports are often used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. They will continue to serve as a valuable reference to identify strengths and opportunities. Results can also be used to guide future investments in critical path issues.

  10. Evaluation of a new pediatric positive airway pressure mask.

    PubMed

    Kushida, Clete A; Halbower, Ann C; Kryger, Meir H; Pelayo, Rafael; Assalone, Valerie; Cardell, Chia-Yu; Huston, Stephanie; Willes, Leslee; Wimms, Alison J; Mendoza, June

    2014-09-15

    The choice and variety of pediatric masks for continuous positive airway pressure (CPAP) is limited in the US. Therefore, clinicians often prescribe modified adult masks. Until recently a mask for children aged < 7 years was not available. This study evaluated apnea-hypopnea index (AHI) equivalence and acceptability of a new pediatric CPAP mask for children aged 2-7 years (Pixi; ResMed Ltd, Sydney, Australia). Patients aged 2-7 years were enrolled and underwent in-lab baseline polysomnography (PSG) using their previous mask, then used their previous mask and the VPAP III ST-A flow generator for ≥ 10 nights at home. Thereafter, patients switched to the Pixi mask for ≥ 2 nights before returning for a PSG during PAP therapy via the Pixi mask. Patients then used the Pixi mask at home for ≥ 21 nights. Patients and their parents/guardians returned to the clinic for follow-up and provided feedback on the Pixi mask versus their previous mask. AHI with the Pixi mask was 1.1 ± 1.5/h vs 2.6 ± 5.4/h with the previous mask (p = 0.3538). Parents rated the Pixi mask positively for: restfulness of the child's sleep, trouble in getting the child to sleep, and trouble in having the child stay asleep. The Pixi mask was also rated highly for leaving fewer or no marks on the upper lip and under the child's ears, and being easy to remove. The Pixi mask is suitable for children aged 2-7 years and provides an alternative to other masks available for PAP therapy in this age group. © 2014 American Academy of Sleep Medicine.

  11. On the origin of increased sensitivity and mass resolution using silicon masks in MALDI.

    PubMed

    Diologent, Laurent; Franck, Julien; Wisztorski, Maxence; Treizebre, Anthony; Focsa, Cristian; Fournier, Isabelle; Ziskind, Michael

    2014-02-04

    Since its development, MALDI has proved its performance in the analysis of intact biomolecules up to high molecular weights, regardless of their polarity. Sensitivity of MALDI instruments is a key point for breaking the limits of observing biomolecules of lower abundances. Instrumentation is one way to improve sensitivity by increasing ion transmission and using more sensitive detection systems. On the other side, improving MALDI ion production yields would have important outcomes. MALDI ion production is still not well-controlled and, indeed, the amount of ions produced per laser shot with respect to the total volume of desorbed material is very low. This has particular implications for certain applications, such as MALDI MS imaging where laser beam focusing as fine as possible (5-10 μm) is searched in order to reach higher spatial resolution images. However, various studies point out an intrinsic decrease in signal intensity for strong focusing. We have therefore been interested in developing silicon mask systems to decrease an irradiated area by cutting rather than focusing the laser beam and to study the parameters affecting sensitivity using such systems. For this, we systematically examined variation with laser fluence of intensity and spectral resolution in MALDI of standard peptides when using silicon-etched masks of various aperture sizes. These studies demonstrate a simultaneous increase in spectral resolution and signal intensity. Origin of this effect is discussed in the frame of the two-step ionization model. Experimental data in the low fluence range are fitted with an increase of the primary ionization through matrix-silicon edge contact provided by the masks. On the other hand, behavior at higher fluence could be explained by an effect on the secondary ionization via changes in the plume dynamics.

  12. Rapid iconic erasure without masking.

    PubMed

    Tijus, Charles Albert; Reeves, Adam

    2004-01-01

    We report on the erasure of the iconic memory of an array of 12 black letters flashed on a continuously- present white field. Erasure is accomplished by replacing the 16 ms letter array (frame 1) with a blank white frame for 16 ms (frame 2). The letter array returns in frame 3, with from one to six letters missing. Report of the missing letters is accurate without the blank white frame but is impoverished with it, as if interposing the blank erases the icon. Erasure occurs without any obvious luminance masking, 'mud splashes', pattern masking (backward, forward, or metacontrast), lateral masking, or masking by object substitution. Erasure is greatly decreased if the blank is presented one frame earlier or later. We speculate that erasure is due to a rapid reset of the icon produced by an informational mis-match.

  13. Migration from full‐head mask to “open‐face” mask for immobilization of patients with head and neck cancer

    PubMed Central

    Lovelock, D. Michael; Mechalakos, James; Rao, Shyam; Della‐Biancia, Cesar; Amols, Howard; Lee, Nancy

    2013-01-01

    To provide an alternative device for immobilization of the head while easing claustrophobia and improving comfort, an “open‐face” thermoplastic mask was evaluated using video‐based optical surface imaging (OSI) and kilovoltage (kV) X‐ray radiography. A three‐point thermoplastic head mask with a precut opening and reinforced strips was developed. After molding, it provided sufficient visible facial area as the region of interest for OSI. Using real‐time OSI, the head motion of ten volunteers in the new mask was evaluated during mask locking and 15 minutes lying on the treatment couch. Using a nose mark with reference to room lasers, forced head movement in open‐face and full‐head masks (with a nose hole) was compared. Five patients with claustrophobia were immobilized with open‐face masks, set up using OSI and kV, and treated in 121 fractions, in which 61 fractions were monitored during treatment using real‐time OSI. With the open‐face mask, head motion was found to be 1.0 ± 0.6 mm and 0.4° ± 0.2° in volunteers during the experiment, and 0.8 ± 0.3 mm and 0.4° ± 0.2° in patients during treatment. These agree with patient motion calculated from pre‐/post‐treatment OSI and kV data using different anatomical landmarks. In volunteers, the head shift induced by mask‐locking was 2.3 ± 1.7 mm and 1.8° ± 0.6°, and the range of forced movements in the open‐face and full‐head masks were found to be similar. Most (80%) of the volunteers preferred the open‐face mask to the full‐head mask, while claustrophobic patients could only tolerate the open‐face mask. The open‐face mask is characterized for its immobilization capability and can immobilize patients sufficiently (< 2 mm) during radiotherapy. It provides a clinical solution to the immobilization of patients with head and neck (HN) cancer undergoing radiotherapy, and is particularly beneficial for claustrophobic patients. This new open

  14. EUVL mask patterning with blanks from commercial suppliers

    NASA Astrophysics Data System (ADS)

    Yan, Pei-Yang; Zhang, Guojing; Nagpal, Rajesh; Shu, Emily Y.; Li, Chaoyang; Qu, Ping; Chen, Frederick T.

    2004-12-01

    Extreme Ultraviolet Lithography (EUVL) reflective mask blank development includes low thermal expansion material fabrication, mask substrate finishing, reflective multi-layer (ML) and capping layer deposition, buffer (optional)/absorber stack deposition, EUV specific metrology, and ML defect inspection. In the past, we have obtained blanks deposited with various layer stacks from several vendors. Some of them are not commercial suppliers. As a result, the blank and patterned mask qualities are difficult to maintain and improve. In this paper we will present the evaluation results of the EUVL mask pattering processes with the complete EUVL mask blanks supplied by the commercial blank supplier. The EUVL mask blanks used in this study consist of either quartz or ULE substrates which is a type of low thermal expansion material (LTEM), 40 pairs of molybdenum/silicon (Mo/Si) ML layer, thin ruthenium (Ru) capping layer, tantalum boron nitride (TaBN) absorber, and chrome (Cr) backside coating. No buffer layer is used. Our study includes the EUVL mask blank characterization, patterned EUVL mask characterization, and the final patterned EUVL mask flatness evaluation.

  15. The Core of NGC 6240 from Keck Adaptive Optics and HST NICMOS Observations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Max, C E; Canalizo, G; Macintosh, B A

    2004-06-28

    We present results of near infrared imaging of the disk-galaxy-merger NGC 6240 using adaptive optics on the Keck II Telescope and reprocessed archival data from NICMOS on the Hubble Space Telescope. Both the North and South nuclei of NGC 6240 are clearly elongated, with considerable sub-structure within each nucleus. In K' band there are at least two point-sources within the North nucleus; we tentatively identify the south-western point-source within the North nucleus as the position of one of the two AGNs. Within the South nucleus, the northern subnucleus is more highly reddened. Based upon the nuclear separation measured at 5more » GHz, we suggest that the AGN in the South nucleus is still enshrouded in dust at K' band, and is located slightly to the north of the brightest point in K' band. Within the South nucleus there is strong H{sub 2} 1-0 S(1) line emission from the northern sub-nucleus, contrary to the conclusions of previous seeing-limited observations. Narrowband H{sub 2} emission-line images show that a streamer or ribbon of excited molecular hydrogen connects the North and South nuclei. We suggest that this linear feature corresponds to a bridge of gas connecting the two nuclei, as seen in computer simulations of mergers. Many point-like regions are seen around the two nuclei. These are most prominent at 1.1 microns with NICMOS, and in K'-band with Keck adaptive optics. We suggest that these point-sources represent star clusters formed in the course of the merger.« less

  16. The Core of NGC 6240 from Keck Adaptive Optics and HST NICMOS Observations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Max, C E; Canalizo, G; Macintosh, B A

    2004-11-19

    We present results of near infrared imaging of the disk-galaxy-merger NGC 6240 using adaptive optics on the Keck II Telescope and reprocessed archival data from NICMOS on the Hubble Space Telescope. Both the North and South nuclei of NGC 6240 are clearly elongated, with considerable sub-structure within each nucleus. In K' band there are at least two point-sources within the North nucleus; we tentatively identify the south-western point-source within the North nucleus as the position of one of the two AGNs. Within the South nucleus, the northern subnucleus is more highly reddened. Based upon the nuclear separation measured at 5more » GHz, we suggest that the AGN in the South nucleus is still enshrouded in dust at K' band, and is located slightly to the north of the brightest point in K' band. Within the South nucleus there is strong H{sub 2} 1-0 S(1) line emission from the northern sub-nucleus, contrary to the conclusions of previous seeing-limited observations. Narrowband H{sub 2} emission-line images show that a streamer or ribbon of excited molecular hydrogen connects the North and South nuclei. We suggest that this linear feature corresponds to a bridge of gas connecting the two nuclei, as seen in computer simulations of mergers. Many any point-like regions are seen around the two nuclei. These are most prominent at 1.1 microns with NICMOS, and in K'-band with Keck adaptive optics. We suggest that these point-sources represent young star clusters formed in the course of the merger.« less

  17. Design of precise assembly equipment of large aperture optics

    NASA Astrophysics Data System (ADS)

    Pei, Guoqing; Xu, Xu; Xiong, Zhao; Yan, Han; Qin, Tinghai; Zhou, Hai; Yuan, Xiaodong

    2017-05-01

    High-energy solid-state laser is an important way to achieve laser fusion research. Laser fusion facility includes thousands of various types of large aperture optics. These large aperture optics should be assembled with high precision and high efficiency. Currently, however, the assembly of large aperture optics is by man's hand which is in low level of efficiency and labor-intensive. Here, according to the characteristics of the assembly of large aperture optics, we designed three kinds of grasping devices. Using Finite Element Method, we simulated the impact of the grasping device on the PV value and the RMS value of the large aperture optics. The structural strength of the grasping device's key part was analyzed. An experiment was performed to illustrate the reliability and precision of the grasping device. We anticipate that the grasping device would complete the assembly of large aperture optics precisely and efficiently.

  18. Anticipating and controlling mask costs within EDA physical design

    NASA Astrophysics Data System (ADS)

    Rieger, Michael L.; Mayhew, Jeffrey P.; Melvin, Lawrence S.; Lugg, Robert M.; Beale, Daniel F.

    2003-08-01

    For low k1 lithography, more aggressive OPC is being applied to critical layers, and the number of mask layers with OPC treatments is growing rapidly. The 130 nm, process node required, on average, 8 layers containing rules- or model-based OPC. The 90 nm node will have 16 OPC layers, of which 14 layers contain aggressive model-based OPC. This escalation of mask pattern complexity, coupled with the predominant use of vector-scan e-beam (VSB) mask writers contributes to the rising costs of advanced mask sets. Writing times for OPC layouts are several times longer than for traditional layouts, making mask exposure the single largest cost component for OPC masks. Lower mask yields, another key factor in higher mask costs, is also aggravated by OPC. Historical mask set costs are plotted below. The initial cost of a 90 nm-node mask set will exceed one million dollars. The relative impact of mask cost on chip depends on how many total wafers are printed with each mask set. For many foundry chips, where unit production is often well below 1000 wafers, mask costs are larger than wafer processing costs. Further increases in NRE may begin to discourage these suppliers' adoption to 90 nm and smaller nodes. In this paper we will outline several alternatives for reducing mask costs by strategically leveraging dimensional margins. Dimensional specifications for a particular masking layer usually are applied uniformly to all features on that layer. As a practical matter, accuracy requirements on different features in the design may vary widely. Take a polysilicon layer, for example: global tolerance specifications for that layer are driven by the transistor-gate requirements; but these parameters over-specify interconnect feature requirements. By identifying features where dimensional accuracy requirements can be reduced, additional margin can be leveraged to reduce OPC complexity. Mask writing time on VSB tools will drop in nearly direct proportion to reduce shot count. By

  19. Self-masking: Listening during vocalization. Normal hearing.

    PubMed

    Borg, Erik; Bergkvist, Christina; Gustafsson, Dan

    2009-06-01

    What underlying mechanisms are involved in the ability to talk and listen simultaneously and what role does self-masking play under conditions of hearing impairment? The purpose of the present series of studies is to describe a technique for assessment of masked thresholds during vocalization, to describe normative data for males and females, and to focus on hearing impairment. The masking effect of vocalized [a:] on narrow-band noise pulses (250-8000 Hz) was studied using the maximum vocalization method. An amplitude-modulated series of sound pulses, which sounded like a steam engine, was masked until the criterion of halving the perceived pulse rate was reached. For masking of continuous reading, a just-follow-conversation criterion was applied. Intra-session test-retest reproducibility and inter-session variability were calculated. The results showed that female voices were more efficient in masking high frequency noise bursts than male voices and more efficient in masking both a male and a female test reading. The male had to vocalize 4 dBA louder than the female to produce the same masking effect on the test reading. It is concluded that the method is relatively simple to apply and has small intra-session and fair inter-session variability. Interesting gender differences were observed.

  20. EUV mask pilot line at Intel Corporation

    NASA Astrophysics Data System (ADS)

    Stivers, Alan R.; Yan, Pei-Yang; Zhang, Guojing; Liang, Ted; Shu, Emily Y.; Tejnil, Edita; Lieberman, Barry; Nagpal, Rajesh; Hsia, Kangmin; Penn, Michael; Lo, Fu-Chang

    2004-12-01

    The introduction of extreme ultraviolet (EUV) lithography into high volume manufacturing requires the development of a new mask technology. In support of this, Intel Corporation has established a pilot line devoted to encountering and eliminating barriers to manufacturability of EUV masks. It concentrates on EUV-specific process modules and makes use of the captive standard photomask fabrication capability of Intel Corporation. The goal of the pilot line is to accelerate EUV mask development to intersect the 32nm technology node. This requires EUV mask technology to be comparable to standard photomask technology by the beginning of the silicon wafer process development phase for that technology node. The pilot line embodies Intel's strategy to lead EUV mask development in the areas of the mask patterning process, mask fabrication tools, the starting material (blanks) and the understanding of process interdependencies. The patterning process includes all steps from blank defect inspection through final pattern inspection and repair. We have specified and ordered the EUV-specific tools and most will be installed in 2004. We have worked with International Sematech and others to provide for the next generation of EUV-specific mask tools. Our process of record is run repeatedly to ensure its robustness. This primes the supply chain and collects information needed for blank improvement.

  1. Aperture effects in squid jet propulsion.

    PubMed

    Staaf, Danna J; Gilly, William F; Denny, Mark W

    2014-05-01

    Squid are the largest jet propellers in nature as adults, but as paralarvae they are some of the smallest, faced with the inherent inefficiency of jet propulsion at a low Reynolds number. In this study we describe the behavior and kinematics of locomotion in 1 mm paralarvae of Dosidicus gigas, the smallest squid yet studied. They swim with hop-and-sink behavior and can engage in fast jets by reducing the size of the mantle aperture during the contraction phase of a jetting cycle. We go on to explore the general effects of a variable mantle and funnel aperture in a theoretical model of jet propulsion scaled from the smallest (1 mm mantle length) to the largest (3 m) squid. Aperture reduction during mantle contraction increases propulsive efficiency at all squid sizes, although 1 mm squid still suffer from low efficiency (20%) because of a limited speed of contraction. Efficiency increases to a peak of 40% for 1 cm squid, then slowly declines. Squid larger than 6 cm must either reduce contraction speed or increase aperture size to maintain stress within maximal muscle tolerance. Ecological pressure to maintain maximum velocity may lead them to increase aperture size, which reduces efficiency. This effect might be ameliorated by nonaxial flow during the refill phase of the cycle. Our model's predictions highlight areas for future empirical work, and emphasize the existence of complex behavioral options for maximizing efficiency at both very small and large sizes.

  2. Does technology acceleration equate to mask cost acceleration?

    NASA Astrophysics Data System (ADS)

    Trybula, Walter J.; Grenon, Brian J.

    2003-06-01

    The technology acceleration of the ITRS Roadmap has many implications on both the semiconductor sup-plier community and the manufacturers. INTERNATIONAL SEMATECH has revaluated the projected cost of advanced technology masks. Building on the methodology developed in 1996 for mask costs, this work provided a critical review of mask yields and factors relating to the manufacture of photolithography masks. The impact of the yields provided insight into the learning curve for leading edge mask manufac-turing. The projected mask set cost was surprising, and the ability to provide first and second year cost estimates provided additional information on technology introduction. From this information, the impact of technology acceleration can be added to the projected yields to evaluate the impact on mask costs.

  3. Masks For Deposition Of Aspherical Optical Surfaces

    NASA Technical Reports Server (NTRS)

    Rogers, John R.; Martin, John D.

    1992-01-01

    Masks of improved design developed for use in fabrication of aspherical, rotationally symmetrical surfaces of mirrors, lenses, and lens molds by evaporative deposition onto rotating substrates. In deposition chamber, source and mask aligned with axis of rotation of substrate. Mask shadows source of rotating substrate. Azimuthal opening (as function of radius) in mask proportional to desired thickness (as function of radius) to which material deposited on substrate. Combination of improved masks and modern coating chambers provides optical surfaces comparable or superior to those produced by conventional polishing, computer-controlled polishing, replication from polished molds, and diamond turning, at less cost in material, labor, and capital expense.

  4. Removable pellicle for lithographic mask protection and handling

    DOEpatents

    Klebanoff, Leonard E.; Rader, Daniel J.; Hector, Scott D.; Nguyen, Khanh B.; Stulen, Richard H.

    2002-01-01

    A removable pellicle for a lithographic mask that provides active and robust particle protection, and which utilizes a traditional pellicle and two deployments of thermophoretic protection to keep particles off the mask. The removable pellicle is removably attached via a retaining structure to the mask substrate by magnetic attraction with either contacting or non-contacting magnetic capture mechanisms. The pellicle retaining structural is composed of an anchor piece secured to the mask substrate and a frame member containing a pellicle. The anchor piece and the frame member are in removable contact or non-contact by the magnetic capture or latching mechanism. In one embodiment, the frame member is retained in a floating (non-contact) relation to the anchor piece by magnetic levitation. The frame member and the anchor piece are provided with thermophoretic fins which are interdigitated to prevent particles from reaching the patterned area of the mask. Also, the anchor piece and mask are maintained at a higher temperature than the frame member and pellicle which also prevents particles from reaching the patterned mask area by thermophoresis. The pellicle can be positioned over the mask to provide particle protection during mask handling, inspection, and pumpdown, but which can be removed manually or robotically for lithographic use of the mask.

  5. Masked response priming in expert typists.

    PubMed

    Heinemann, Alexander; Kiesel, Andrea; Pohl, Carsten; Kunde, Wilfried

    2010-03-01

    In masked priming tasks responses are usually faster when prime and target require identical rather than different responses. Previous research has extensively manipulated the nature and number of response-affording stimuli. However, little is known about the constraints of masked priming regarding the nature and number of response alternatives. The present study explored the limits of masked priming in a six-choice reaction time task, where responses from different fingers of both hands were required. We studied participants that were either experts for the type of response (skilled typists) or novices. Masked primes facilitated responding to targets that required the same response, responses with a different finger of the same hand, and with a homologous finger of the other hand. These effects were modulated by expertise. The results show that masked primes facilitate responding especially for experts in the S-R mapping and with increasing similarity of primed and required response.

  6. Reticle writer for next-generation SEMI mask standard: mask handling and exposure

    NASA Astrophysics Data System (ADS)

    Ehrlich, Christian

    1998-12-01

    The world semiconductor industry is currently preparing itself for the next evolutionary step in the ongoing development of the integrated circuit, characterized by the 0.18 to 0.15 micrometer technology. The already complex engineering task for the mask tool makers is furthermore complicated by the introduction of the new SEMI reticle standard with a 230 mm by 230 mm large and 9 mm thick quartz glass blank that will have a weight of more than one kilogram. The production of these advanced masks is already identified as a key enabling technology which will stretch the capabilities of the manufacturing process, and its equipment, to the limit. The mask making e-beam system Leica ZBA320, capable of exposing a 230 mm reticle and featuring the variable shaped beam approach with a 20 kV accelerating voltage has been introduced recently. Now the first results of e-beam exposures with this new type of mask writer are presented. Enhancements form the previous generation system include improved deflection systems, stage metrology, pattern data handling, and an address grid down to 10 nanometers. This system's specified performance enables it to produce reticles designed to support semiconductor fabrication utilizing 180 nanometer design rules, and beyond, with high accuracy and productivity.

  7. Eyeglass: A Very Large Aperture Diffractive Space Telescope

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hyde, R; Dixit, S; Weisberg, A

    2002-07-29

    Eyeglass is a very large aperture (25-100 meter) space telescope consisting of two distinct spacecraft, separated in space by several kilometers. A diffractive lens provides the telescope's large aperture, and a separate, much smaller, space telescope serves as its mobile eyepiece. Use of a transmissive diffractive lens solves two basic problems associated with very large aperture space telescopes; it is inherently fieldable (lightweight and flat, hence packagable and deployable) and virtually eliminates the traditional, very tight, surface shape tolerances faced by reflecting apertures. The potential drawback to use of a diffractive primary (very narrow spectral bandwidth) is eliminated by correctivemore » optics in the telescope's eyepiece. The Eyeglass can provide diffraction-limited imaging with either single-band, multiband, or continuous spectral coverage. Broadband diffractive telescopes have been built at LLNL and have demonstrated diffraction-limited performance over a 40% spectral bandwidth (0.48-0.72 {micro}m). As one approach to package a large aperture for launch, a foldable lens has been built and demonstrated. A 75 cm aperture diffractive lens was constructed from 6 panels of 1 m thick silica; it achieved diffraction-limited performance both before and after folding. This multiple panel, folding lens, approach is currently being scaled-up at LLNL. We are building a 5 meter aperture foldable lens, involving 72 panels of 700 {micro}m thick glass sheets, diffractively patterned to operate as coherent f/50 lens.« less

  8. Comodulation masking release in an off-frequency masking paradigm.

    PubMed

    Grzeschik, Ramona; Lübken, Björn; Verhey, Jesko L

    2015-08-01

    Detection threshold of a sinusoidal signal masked by a broadband masker is lower when on- and off-frequency masker components have a correlated envelope, compared to a condition in which these masker components have different envelopes. This effect is commonly referred to as comodulation masking release (CMR). The present study investigated if there is a CMR in the absence of a masker component at the signal frequency, i.e., in an off-frequency masking paradigm. Thresholds were measured for a 500-Hz signal in the presence of a broadband masker with a spectral notch at the signal frequency. Thresholds were significantly lower for a (co-)modulated than for an unmodulated masker for all notch widths up to 400 Hz. An additional experiment showed that the particularly large CMR for the no-notch condition was due to the way the modulated masker was generated. No CMR was measured when the notched-noise masker was replaced by a pair of narrowband noises. The addition of more remote masker bands resulted in a CMR of about 3-4 dB. The notched-noise data were predicted on the basis of a modulation-filterbank model. The predictions of the narrowband noise conditions indicated that all mechanisms underlying CMR might still not be fully understood.

  9. Jig Aligns Shadow Mask On CCD

    NASA Technical Reports Server (NTRS)

    Matus, Carlos V.

    1989-01-01

    Alignment viewed through microscope. Alignment jig positions shadow mask on charge-coupled device (CCD) so metal film deposited on it precisely. Allows CCD package to be inserted and removed without disturbing alignment of mask. Holds CCD packages securely and isolates it electrostatically while providing electrical contact to each of its pins. When alignment jig assembled with CCD, used to move mask under micrometer control.

  10. Masked Hypertension is Associated With Cognitive Decline in Geriatric Age-Geriatric MASked Hypertension and Cognition (G-MASH-cog) Study.

    PubMed

    Esme, Mert; Yavuz, Burcu Balam; Yavuz, Bunyamin; Asil, Serkan; Tuna Dogrul, Rana; Sumer, Fatih; Kilic, Mustafa Kemal; Kizilarslanoglu, Muhammet Cemal; Varan, Hacer Dogan; Sagir, Aykut; Balci, Cafer; Halil, Meltem; Cankurtaran, Mustafa

    2018-01-16

    Masked hypertension is described as high ambulatory blood pressure measurements (ABPM) where office blood pressure measurements are normal. Effect of hypertension on cognitive functions is well known. However, the effect of masked hypertension on cognitive functions is unclear. The aim of this study is to examine the relationship between masked hypertension and cognitive functions. One hundred-two normotensive patients admitted to the Geriatric Medicine outpatient clinic were included. Exclusion criteria were hypertension, dementia, major depression, and usage of antihypertensive medication. All patients underwent ABPM procedures and average daytime blood pressure, mean blood pressure at night and the 24-hour average blood pressure measurements were recorded. Comprehensive geriatric assessment tests and neuropsychological tests were administered. The diagnosis of masked hypertension was based on the definitions in the 2013 guideline of the European Society of Cardiology. Forty-four patients (43%) were diagnosed with masked hypertension. Patients with masked hypertension had significantly lower scores on Mini-Mental State Examination (MMSE) test, Quick Mild Cognitive Impairment Test (QMCI), and Categorical Fluency Test than the normotensive patients (p = .011; p = .046; and p = .004; respectively). Montreal Cognitive Assessment Scale test score was lower in masked hypertension, although this was not statistically significant. This study may indicate that geriatric patients with masked hypertension, compared to normotensive patients have decreased cognitive functions. Masked hypertension should be kept in mind while assessing older adults. When masked hypertension is detected, cognitive assessment is essential to diagnose possible cognitive dysfunction at early stage. © The Author 2017. Published by Oxford University Press on behalf of The Gerontological Society of America. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com.

  11. Assessment of molecular contamination in mask pod

    NASA Astrophysics Data System (ADS)

    Foray, Jean Marie; Dejaune, Patrice; Sergent, Pierre; Gough, Stuart; Cheung, D.; Davenet, Magali; Favre, Arnaud; Rude, C.; Trautmann, T.; Tissier, Michel; Fontaine, H.; Veillerot, M.; Avary, K.; Hollein, I.; Lerit, R.

    2008-04-01

    Context/ study Motivation: Contamination and especially Airbone Molecular Contamination (AMC) is a critical issue for mask material flow with a severe and fairly unpredictable risk of induced contamination and damages especially for 193 nm lithography. It is therefore essential to measure, to understand and then try to reduce AMC in mask environment. Mask material flow was studied in a global approach by a pool of European partners, especially within the frame of European MEDEA+ project, so called "MUSCLE". This paper deals with results and assessment of mask pod environment in term of molecular contamination in a first step, then in a second step preliminary studies to reduce mask pod influence and contamination due to material out gassing. Approach and techniques: A specific assessment of environmental / molecular contamination along the supply chain was performed by all partners. After previous work presented at EMLC 07, further studies were performed on real time contamination measurement pod at different sites locations (including Mask manufacturing site, blank manufacturing sites, IC fab). Studies were linked to the main critical issues: cleaning, storage, handling, materials and processes. Contamination measurement campaigns were carried out along the mask supply chain using specific Adixen analyzer in order to monitor in real time organic contaminants (ppb level) in mask pods. Key results would be presented: VOC, AMC and humidity level on different kinds of mask carriers, impact of basic cleaning on pod outgassing measurement (VOC, NH3), and process influence on pod contamination... In a second step, preliminary specific pod conditioning studies for better pod environment were performed based on Adixen vacuum process. Process influence had been experimentally measured in term of molecular outgassing from mask pods. Different AMC experimental characterization methods had been carried out leading to results on a wide range of organic and inorganic

  12. A mask manufacturer's perspective on maskless lithography

    NASA Astrophysics Data System (ADS)

    Buck, Peter; Biechler, Charles; Kalk, Franklin

    2005-11-01

    Maskless Lithography (ML2) is again being considered for use in mainstream CMOS IC manufacturing. Sessions at technical conferences are being devoted to ML2. A multitude of new companies have been formed in the last several years to apply new concepts to breaking the throughput barrier that has in the past prevented ML2 from achieving the cost and cycle time performance necessary to become economically viable, except in rare cases. Has Maskless Lithography's (we used to call it "Direct Write Lithography") time really come? If so, what is the expected impact on the mask manufacturer and does it matter? The lithography tools used today in mask manufacturing are similar in concept to ML2 except for scale, both in throughput and feature size. These mask tools produce highly accurate lithographic images directly from electronic pattern files, perform multi-layer overlay, and mix-n-match across multiple tools, tool types and sites. Mask manufacturers are already accustomed to the ultimate low volume - one substrate per design layer. In order to achieve the economically required throughput, proposed ML2 systems eliminate or greatly reduce some of the functions that are the source of the mask writer's accuracy. Can these ML2 systems meet the demanding lithographic requirements without these functions? ML2 may eliminate the reticle but many of the processes and procedures performed today by the mask manufacturer are still required. Examples include the increasingly complex mask data preparation step and the verification performed to ensure that the pattern on the reticle is accurately representing the design intent. The error sources that are fixed on a reticle are variable with time on an ML2 system. It has been proposed that if ML2 is successful it will become uneconomical to be in the mask business - that ML2, by taking the high profit masks will take all profitability out of mask manufacturing and thereby endanger the entire semiconductor industry. Others suggest that a

  13. The Keck/OSIRIS Nearby AGN Survey (KONA). I. The Nuclear K-band Properties of Nearby AGN

    NASA Astrophysics Data System (ADS)

    Müller-Sánchez, F.; Hicks, E. K. S.; Malkan, M.; Davies, R.; Yu, P. C.; Shaver, S.; Davis, B.

    2018-05-01

    We introduce the Keck OSIRIS Nearby AGN survey (KONA), a new adaptive optics-assisted integral-field spectroscopic survey of Seyfert galaxies. KONA permits at ∼0.″1 resolution a detailed study of the nuclear kinematic structure of gas and stars in a representative sample of 40 local bona fide active galactic nucleus (AGN). KONA seeks to characterize the physical processes responsible for the coevolution of supermassive black holes and galaxies, principally inflows and outflows. With these IFU data of the nuclear regions of 40 Seyfert galaxies, the KONA survey will be able to study, for the first time, a number of key topics with meaningful statistics. In this paper we study the nuclear K-band properties of nearby AGN. We find that the K-band (2.1 μm) luminosities of the compact Seyfert 1 nuclei are correlated with the hard X-ray luminosities, implying a non-stellar origin for the majority of the continuum emission. The best-fit correlation is log L K = 0.9log L 2–10 keV + 4 over three orders of magnitude in both K-band and X-ray luminosities. We find no strong correlation between 2.1 μm luminosity and hard X-ray luminosity for the Seyfert 2 galaxies. The spatial extent and spectral slope of the Seyfert 2 galaxies indicate the presence of nuclear star formation and attenuating material (gas and dust), which in some cases is compact and in some galaxies extended. We detect coronal-line emission in 36 galaxies and for the first time in 5 galaxies. Finally, we find 4/20 galaxies that are usually classified as Seyfert 2 based on their optical spectra exhibit a broad component of Brγ emission, and one galaxy (NGC 7465) shows evidence of a double nucleus. Based on observations at the W. M. Keck Observatory, which is operated as a scientific partnership among the California Institute of Technology, the University of California, and the National Aeronautics and Space Administration. The observatory was made possible by the generous financial support of the W. M

  14. Evaluation of a New Pediatric Positive Airway Pressure Mask

    PubMed Central

    Kushida, Clete A.; Halbower, Ann C.; Kryger, Meir H.; Pelayo, Rafael; Assalone, Valerie; Cardell, Chia-Yu; Huston, Stephanie; Willes, Leslee; Wimms, Alison J.; Mendoza, June

    2014-01-01

    Study Objectives: The choice and variety of pediatric masks for continuous positive airway pressure (CPAP) is limited in the US. Therefore, clinicians often prescribe modified adult masks. Until recently a mask for children aged < 7 years was not available. This study evaluated apnea-hypopnea index (AHI) equivalence and acceptability of a new pediatric CPAP mask for children aged 2-7 years (Pixi; ResMed Ltd, Sydney, Australia). Methods: Patients aged 2-7 years were enrolled and underwent in-lab baseline polysomnography (PSG) using their previous mask, then used their previous mask and the VPAP III ST-A flow generator for ≥ 10 nights at home. Thereafter, patients switched to the Pixi mask for ≥ 2 nights before returning for a PSG during PAP therapy via the Pixi mask. Patients then used the Pixi mask at home for ≥ 21 nights. Patients and their parents/guardians returned to the clinic for follow-up and provided feedback on the Pixi mask versus their previous mask. Results: AHI with the Pixi mask was 1.1 ± 1.5/h vs 2.6 ± 5.4/h with the previous mask (p = 0.3538). Parents rated the Pixi mask positively for: restfulness of the child's sleep, trouble in getting the child to sleep, and trouble in having the child stay asleep. The Pixi mask was also rated highly for leaving fewer or no marks on the upper lip and under the child's ears, and being easy to remove. Conclusions: The Pixi mask is suitable for children aged 2-7 years and provides an alternative to other masks available for PAP therapy in this age group. Citation: Kushida CA, Halbower AC, Kryger MH, Pelayo R, Assalone V, Cardell CY, Huston S, Willes L, Wimms AJ, Mendoza J. Evaluation of a new pediatric positive airway pressure mask. J Clin Sleep Med 2014;10(9):979-984. PMID:25142768

  15. Low-Cost Large Aperture Telescopes for Optical Communications

    NASA Technical Reports Server (NTRS)

    Hemmati, Hamid

    2006-01-01

    Low-cost, 0.5-1 meter ground apertures are required for near-Earth laser communications. Low-cost ground apertures with equivalent diameters greater than 10 meters are desired for deep-space communications. This presentation focuses on identifying schemes to lower the cost of constructing networks of large apertures while continuing to meet the requirements for laser communications. The primary emphasis here is on the primary mirror. A slumped glass spherical mirror, along with passive secondary mirror corrector and active adaptive optic corrector show promise as a low-cost alternative to large diameter monolithic apertures. To verify the technical performance and cost estimate, development of a 1.5-meter telescope equipped with gimbal and dome is underway.

  16. Deep Keck u-Band Imaging of the Hubble Ultra Deep Field: A Catalog of z ~ 3 Lyman Break Galaxies

    NASA Astrophysics Data System (ADS)

    Rafelski, Marc; Wolfe, Arthur M.; Cooke, Jeff; Chen, Hsiao-Wen; Armandroff, Taft E.; Wirth, Gregory D.

    2009-10-01

    We present a sample of 407 z ~ 3 Lyman break galaxies (LBGs) to a limiting isophotal u-band magnitude of 27.6 mag in the Hubble Ultra Deep Field. The LBGs are selected using a combination of photometric redshifts and the u-band drop-out technique enabled by the introduction of an extremely deep u-band image obtained with the Keck I telescope and the blue channel of the Low Resolution Imaging Spectrometer. The Keck u-band image, totaling 9 hr of integration time, has a 1σ depth of 30.7 mag arcsec-2, making it one of the most sensitive u-band images ever obtained. The u-band image also substantially improves the accuracy of photometric redshift measurements of ~50% of the z ~ 3 LBGs, significantly reducing the traditional degeneracy of colors between z ~ 3 and z ~ 0.2 galaxies. This sample provides the most sensitive, high-resolution multi-filter imaging of reliably identified z ~ 3 LBGs for morphological studies of galaxy formation and evolution and the star formation efficiency of gas at high redshift.

  17. Keck Deep Fields. I. Observations, Reductions, and the Selection of Faint Star-forming Galaxies at Redshifts z ~ 4, 3, and 2

    NASA Astrophysics Data System (ADS)

    Sawicki, Marcin; Thompson, David

    2005-12-01

    We introduce a very deep, Rlim~27, multicolor imaging survey of very faint star-forming galaxies at z~4, 3, 2.2, and 1.7. This survey, carried out on the Keck I telescope, uses the very same UnGRI filter system that is employed by the Steidel team to select galaxies at these redshifts and thus allows us to construct identically selected but much fainter samples. However, our survey reaches ~1.5 mag deeper than the work of Steidel and his group, letting us probe substantially below the characteristic luminosity L* and thus study the properties and redshift evolution of the faint component of the high-z galaxy population. The survey covers 169 arcmin2 in three spatially independent patches on the sky and-to R<=27-contains 427 GRI-selected z~4 Lyman break galaxies, 1481 UnGR-selected z~3 Lyman break galaxies, 2417 UnGR-selected z~2.2 star-forming galaxies, and 2043 UnGR-selected z~1.7 star-forming galaxies. In this paper, the first in a series, we introduce the survey, describe our observing and data reduction strategies, and outline the selection of our z~4, 3, 2.2, and 1.7 samples. Based on data obtained at the W. M. Keck Observatory, which is operated as a scientific partnership among the California Institute of Technology, the University of California, and the National Aeronautics and Space Administration. The Observatory was made possible by the generous financial support of the W. M. Keck Foundation.

  18. Ipsilateral masking between acoustic and electric stimulations.

    PubMed

    Lin, Payton; Turner, Christopher W; Gantz, Bruce J; Djalilian, Hamid R; Zeng, Fan-Gang

    2011-08-01

    Residual acoustic hearing can be preserved in the same ear following cochlear implantation with minimally traumatic surgical techniques and short-electrode arrays. The combined electric-acoustic stimulation significantly improves cochlear implant performance, particularly speech recognition in noise. The present study measures simultaneous masking by electric pulses on acoustic pure tones, or vice versa, to investigate electric-acoustic interactions and their underlying psychophysical mechanisms. Six subjects, with acoustic hearing preserved at low frequencies in their implanted ear, participated in the study. One subject had a fully inserted 24 mm Nucleus Freedom array and five subjects had Iowa/Nucleus hybrid implants that were only 10 mm in length. Electric masking data of the long-electrode subject showed that stimulation from the most apical electrodes produced threshold elevations over 10 dB for 500, 625, and 750 Hz probe tones, but no elevation for 125 and 250 Hz tones. On the contrary, electric stimulation did not produce any electric masking in the short-electrode subjects. In the acoustic masking experiment, 125-750 Hz pure tones were used to acoustically mask electric stimulation. The acoustic masking results showed that, independent of pure tone frequency, both long- and short-electrode subjects showed threshold elevations at apical and basal electrodes. The present results can be interpreted in terms of underlying physiological mechanisms related to either place-dependent peripheral masking or place-independent central masking.

  19. 47 CFR 25.134 - Licensing provisions of Very Small Aperture Terminal (VSAT) and C-band Small Aperture Terminal...

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... Terminal (VSAT) and C-band Small Aperture Terminal (CSAT) networks. 25.134 Section 25.134 Telecommunication...) and C-band Small Aperture Terminal (CSAT) networks. (a)(1) VSAT networks operating in the 12/14 GHz bands. All applications for digital VSAT networks granted on or before September 15, 2005, with a...

  20. 47 CFR 25.134 - Licensing provisions of Very Small Aperture Terminal (VSAT) and C-band Small Aperture Terminal...

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... Terminal (VSAT) and C-band Small Aperture Terminal (CSAT) networks. 25.134 Section 25.134 Telecommunication...) and C-band Small Aperture Terminal (CSAT) networks. (a)(1) VSAT networks operating in the 12/14 GHz bands. All applications for digital VSAT networks granted on or before September 15, 2005, with a...

  1. Masking reduces orientation selectivity in rat visual cortex

    PubMed Central

    Alwis, Dasuni S.; Richards, Katrina L.

    2016-01-01

    In visual masking the perception of a target stimulus is impaired by a preceding (forward) or succeeding (backward) mask stimulus. The illusion is of interest because it allows uncoupling of the physical stimulus, its neuronal representation, and its perception. To understand the neuronal correlates of masking, we examined how masks affected the neuronal responses to oriented target stimuli in the primary visual cortex (V1) of anesthetized rats (n = 37). Target stimuli were circular gratings with 12 orientations; mask stimuli were plaids created as a binarized sum of all possible target orientations. Spatially, masks were presented either overlapping or surrounding the target. Temporally, targets and masks were presented for 33 ms, but the stimulus onset asynchrony (SOA) of their relative appearance was varied. For the first time, we examine how spatially overlapping and center-surround masking affect orientation discriminability (rather than visibility) in V1. Regardless of the spatial or temporal arrangement of stimuli, the greatest reductions in firing rate and orientation selectivity occurred for the shortest SOAs. Interestingly, analyses conducted separately for transient and sustained target response components showed that changes in orientation selectivity do not always coincide with changes in firing rate. Given the near-instantaneous reductions observed in orientation selectivity even when target and mask do not spatially overlap, we suggest that monotonic visual masking is explained by a combination of neural integration and lateral inhibition. PMID:27535373

  2. Integrated electrochromic aperture diaphragm

    NASA Astrophysics Data System (ADS)

    Deutschmann, T.; Oesterschulze, E.

    2014-05-01

    In the last years, the triumphal march of handheld electronics with integrated cameras has opened amazing fields for small high performing optical systems. For this purpose miniaturized iris apertures are of practical importance because they are essential to control both the dynamic range of the imaging system and the depth of focus. Therefore, we invented a micro optical iris based on an electrochromic (EC) material. This material changes its absorption in response to an applied voltage. A coaxial arrangement of annular rings of the EC material is used to establish an iris aperture without need of any mechanical moving parts. The advantages of this device do not only arise from the space-saving design with a thickness of the device layer of 50μm. But it also benefits from low power consumption. In fact, its transmission state is stable in an open circuit, phrased memory effect. Only changes of the absorption require a voltage of up to 2 V. In contrast to mechanical iris apertures the absorption may be controlled on an analog scale offering the opportunity for apodization. These properties make our device the ideal candidate for battery powered and space-saving systems. We present optical measurements concerning control of the transmitted intensity and depth of focus, and studies dealing with switching times, light scattering, and stability. While the EC polymer used in this study still has limitations concerning color and contrast, the presented device features all functions of an iris aperture. In contrast to conventional devices it offers some special features. Owing to the variable chemistry of the EC material, its spectral response may be adjusted to certain applications like color filtering in different spectral regimes (UV, optical range, infrared). Furthermore, all segments may be switched individually to establish functions like spatial Fourier filtering or lateral tunable intensity filters.

  3. Overlay improvement by exposure map based mask registration optimization

    NASA Astrophysics Data System (ADS)

    Shi, Irene; Guo, Eric; Chen, Ming; Lu, Max; Li, Gordon; Li, Rivan; Tian, Eric

    2015-03-01

    Along with the increased miniaturization of semiconductor electronic devices, the design rules of advanced semiconductor devices shrink dramatically. [1] One of the main challenges of lithography step is the layer-to-layer overlay control. Furthermore, DPT (Double Patterning Technology) has been adapted for the advanced technology node like 28nm and 14nm, corresponding overlay budget becomes even tighter. [2][3] After the in-die mask registration (pattern placement) measurement is introduced, with the model analysis of a KLA SOV (sources of variation) tool, it's observed that registration difference between masks is a significant error source of wafer layer-to-layer overlay at 28nm process. [4][5] Mask registration optimization would highly improve wafer overlay performance accordingly. It was reported that a laser based registration control (RegC) process could be applied after the pattern generation or after pellicle mounting and allowed fine tuning of the mask registration. [6] In this paper we propose a novel method of mask registration correction, which can be applied before mask writing based on mask exposure map, considering the factors of mask chip layout, writing sequence, and pattern density distribution. Our experiment data show if pattern density on the mask keeps at a low level, in-die mask registration residue error in 3sigma could be always under 5nm whatever blank type and related writer POSCOR (position correction) file was applied; it proves random error induced by material or equipment would occupy relatively fixed error budget as an error source of mask registration. On the real production, comparing the mask registration difference through critical production layers, it could be revealed that registration residue error of line space layers with higher pattern density is always much larger than the one of contact hole layers with lower pattern density. Additionally, the mask registration difference between layers with similar pattern density

  4. Evaluation of a native vegetation masking technique

    NASA Technical Reports Server (NTRS)

    Kinsler, M. C.

    1984-01-01

    A crop masking technique based on Ashburn's vegetative index (AVI) was used to evaluate native vegetation as an indicator of crop moisture condition. A mask of the range areas (native vegetation) was generated for each of thirteen Great Plains LANDSAT MSS sample segments. These masks were compared to the digitized ground truth and accuracies were computed. An analysis of the types of errors indicates a consistency in errors among the segments. The mask represents a simple quick-look technique for evaluating vegetative cover.

  5. Inverse lithography using sparse mask representations

    NASA Astrophysics Data System (ADS)

    Ionescu, Radu C.; Hurley, Paul; Apostol, Stefan

    2015-03-01

    We present a novel optimisation algorithm for inverse lithography, based on optimization of the mask derivative, a domain inherently sparse, and for rectilinear polygons, invertible. The method is first developed assuming a point light source, and then extended to general incoherent sources. What results is a fast algorithm, producing manufacturable masks (the search space is constrained to rectilinear polygons), and flexible (specific constraints such as minimal line widths can be imposed). One inherent trick is to treat polygons as continuous entities, thus making aerial image calculation extremely fast and accurate. Requirements for mask manufacturability can be integrated in the optimization without too much added complexity. We also explain how to extend the scheme for phase-changing mask optimization.

  6. Is masked priming modulated by memory load? A test of the automaticity of masked identity priming in lexical decision.

    PubMed

    Perea, Manuel; Marcet, Ana; Lozano, Mario; Gomez, Pablo

    2018-05-29

    One of the key assumptions of the masked priming lexical decision task (LDT) is that primes are processed without requiring attentional resources. Here, we tested this assumption by presenting a dual-task manipulation to increase memory load and measure the change in masked identity priming on the targets in the LDT. If masked priming does not require attentional resources, increased memory load should have no influence on the magnitude of the observed identity priming effects. We conducted two LDT experiments, using a within-subjects design, to investigate the effect of memory load (via a concurrent matching task Experiment 1 and a concurrent search task in Experiment 2) on masked identity priming. Results showed that the magnitude of masked identity priming on word targets was remarkably similar under high and low memory load. Thus, these experiments provide empirical evidence for the automaticity assumption of masked identity priming in the LDT.

  7. Cost-effective masks for deep x-ray lithography

    NASA Astrophysics Data System (ADS)

    Scheunemann, Heinz-Ulrich; Loechel, Bernd; Jian, Linke; Schondelmaier, Daniel; Desta, Yohannes M.; Goettert, Jost

    2003-04-01

    The production of X-ray masks is one of the key techniques for X-ray lithography and the LIGA process. Different ways for the fabrication of X-ray masks has been established. Very sophisticated, difficult and expensive procedures are required to produce high precision and high quality X-ray masks. In order to minimize the cost of an X-ray mask, the mask blank must be inexpensive and readily available. The steps involved in the fabrication process must also be minimal. In the past, thin membranes made of titanium, silicon carbide, silicon nitride (2-5μm) or thick beryllium substrates (500μm) have been used as mask blanks. Thin titanium and silicon compounds have very high transparency for X-rays; therefore, these materials are predestined for use as mask membrane material. However, the handling and fabrication of thin membranes is very difficult, thus expensive. Beryllium is highly transparent to X-rays, but the processing and use of beryllium is risky due to potential toxicity. During the past few years graphite based X-ray masks have been in use at various research centers, but the sidewall quality of the generated resist patterns is in the range of 200-300 nm Ra. We used polished graphite to improve the sidewall roughness, but polished graphite causes other problems in the fabrication of X-ray masks. This paper describes the advantages associated with the use of polished graphite as mask blank as well as the fabrication process for this low cost X-ray mask. Alternative membrane materials will also be discussed.

  8. Effect of Masked Regions on Weak-lensing Statistics

    NASA Astrophysics Data System (ADS)

    Shirasaki, Masato; Yoshida, Naoki; Hamana, Takashi

    2013-09-01

    Sky masking is unavoidable in wide-field weak-lensing observations. We study how masks affect the measurement of statistics of matter distribution probed by weak gravitational lensing. We first use 1000 cosmological ray-tracing simulations to examine in detail the impact of masked regions on the weak-lensing Minkowski Functionals (MFs). We consider actual sky masks used for a Subaru Suprime-Cam imaging survey. The masks increase the variance of the convergence field and the expected values of the MFs are biased. The bias then compromises the non-Gaussian signals induced by the gravitational growth of structure. We then explore how masks affect cosmological parameter estimation. We calculate the cumulative signal-to-noise ratio (S/N) for masked maps to study the information content of lensing MFs. We show that the degradation of S/N for masked maps is mainly determined by the effective survey area. We also perform simple χ2 analysis to show the impact of lensing MF bias due to masked regions. Finally, we compare ray-tracing simulations with data from a Subaru 2 deg2 survey in order to address if the observed lensing MFs are consistent with those of the standard cosmology. The resulting χ2/n dof = 29.6/30 for three combined MFs, obtained with the mask effects taken into account, suggests that the observational data are indeed consistent with the standard ΛCDM model. We conclude that the lensing MFs are a powerful probe of cosmology only if mask effects are correctly taken into account.

  9. EFFECT OF MASKED REGIONS ON WEAK-LENSING STATISTICS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shirasaki, Masato; Yoshida, Naoki; Hamana, Takashi, E-mail: masato.shirasaki@utap.phys.s.u-tokyo.ac.jp

    2013-09-10

    Sky masking is unavoidable in wide-field weak-lensing observations. We study how masks affect the measurement of statistics of matter distribution probed by weak gravitational lensing. We first use 1000 cosmological ray-tracing simulations to examine in detail the impact of masked regions on the weak-lensing Minkowski Functionals (MFs). We consider actual sky masks used for a Subaru Suprime-Cam imaging survey. The masks increase the variance of the convergence field and the expected values of the MFs are biased. The bias then compromises the non-Gaussian signals induced by the gravitational growth of structure. We then explore how masks affect cosmological parameter estimation.more » We calculate the cumulative signal-to-noise ratio (S/N) for masked maps to study the information content of lensing MFs. We show that the degradation of S/N for masked maps is mainly determined by the effective survey area. We also perform simple {chi}{sup 2} analysis to show the impact of lensing MF bias due to masked regions. Finally, we compare ray-tracing simulations with data from a Subaru 2 deg{sup 2} survey in order to address if the observed lensing MFs are consistent with those of the standard cosmology. The resulting {chi}{sup 2}/n{sub dof} = 29.6/30 for three combined MFs, obtained with the mask effects taken into account, suggests that the observational data are indeed consistent with the standard {Lambda}CDM model. We conclude that the lensing MFs are a powerful probe of cosmology only if mask effects are correctly taken into account.« less

  10. Extended Aperture Photometry of K2 RR Lyrae stars

    NASA Astrophysics Data System (ADS)

    Plachy, Emese; Klagyivik, Péter; Molnár, László; Sódor, Ádám; Szabó, Róbert

    2017-10-01

    We present the method of the Extended Aperture Photometry (EAP) that we applied on K2 RR Lyrae stars. Our aim is to minimize the instrumental variations of attitude control maneuvers by using apertures that cover the positional changes in the field of view thus contain the stars during the whole observation. We present example light curves that we compared to the light curves from the K2 Systematics Correction (K2SC) pipeline applied on the automated Single Aperture Photometry (SAP) and on the Pre-search Data Conditioning Simple Aperture Photometry (PDCSAP) data.

  11. Mask technology for EUV lithography

    NASA Astrophysics Data System (ADS)

    Bujak, M.; Burkhart, Scott C.; Cerjan, Charles J.; Kearney, Patrick A.; Moore, Craig E.; Prisbrey, Shon T.; Sweeney, Donald W.; Tong, William M.; Vernon, Stephen P.; Walton, Christopher C.; Warrick, Abbie L.; Weber, Frank J.; Wedowski, Marco; Wilhelmsen, Karl C.; Bokor, Jeffrey; Jeong, Sungho; Cardinale, Gregory F.; Ray-Chaudhuri, Avijit K.; Stivers, Alan R.; Tejnil, Edita; Yan, Pei-yang; Hector, Scott D.; Nguyen, Khanh B.

    1999-04-01

    Extreme UV Lithography (EUVL) is one of the leading candidates for the next generation lithography, which will decrease critical feature size to below 100 nm within 5 years. EUVL uses 10-14 nm light as envisioned by the EUV Limited Liability Company, a consortium formed by Intel and supported by Motorola and AMD to perform R and D work at three national laboratories. Much work has already taken place, with the first prototypical cameras operational at 13.4 nm using low energy laser plasma EUV light sources to investigate issues including the source, camera, electro- mechanical and system issues, photoresists, and of course the masks. EUV lithograph masks are fundamentally different than conventional photolithographic masks as they are reflective instead of transmissive. EUV light at 13.4 nm is rapidly absorbed by most materials, thus all light transmission within the EUVL system from source to silicon wafer, including EUV reflected from the mask, is performed by multilayer mirrors in vacuum.

  12. Keck spectroscopy of millisecond pulsar J2215+5135: a moderate-M

    DOE PAGES

    Romani, Roger W.; Graham, Melissa L.; Filippenko, Alexei V.; ...

    2015-08-07

    We present Keck spectroscopic measurements of the millisecond pulsar binary J2215+5135. These data indicate a neutron-star (NS) massmore » $${M}_{\\mathrm{NS}}=1.6\\;{M}_{\\odot }$$, much less than previously estimated. The pulsar heats the companion face to $${T}_{D}\\approx 9000$$ K; the large heating efficiency may be mediated by the intrabinary shock dominating the X-ray light curve. At the best-fit inclination i = 88 $$^o\\atop{.}$$ 8, the pulsar should be eclipsed. Here, we find weak evidence for such eclipses in the pulsed gamma-rays; an improved radio ephemeris allows use of up to five times more Fermi-Large Area Telescope gamma-ray photons for a definitive test of this picture. If confirmed, the gamma-ray eclipse provides a novel probe of the dense companion wind and the pulsar magnetosphere.« less

  13. Aperture excited dielectric antennas

    NASA Technical Reports Server (NTRS)

    Crosswell, W. F.; Chatterjee, J. S.; Mason, V. B.; Tai, C. T.

    1974-01-01

    The results of a comprehensive experimental and theoretical study of the effect of placing dielectric objects over the aperture of waveguide antennas are presented. Experimental measurements of the radiation patterns, gain, impedance, near-field amplitude, and pattern and impedance coupling between pairs of antennas are given for various Plexiglas shapes, including the sphere and the cube, excited by rectangular, circular, and square waveguide feed apertures. The waveguide excitation of a dielectric sphere is modeled using the Huygens' source, and expressions for the resulting electric fields, directivity, and efficiency are derived. Calculations using this model show good overall agreement with experimental patterns and directivity measurements. The waveguide under an infinite dielectric slab is used as an impedance model. Calculations using this model agree qualitatively with the measured impedance data. It is concluded that dielectric loaded antennas such as the waveguide excited sphere, cube, or sphere-cylinder can produce directivities in excess of that obtained by a uniformly illuminated aperture of the same cross section, particularly for dielectric objects with dimensions of 2 wavelengths or less. It is also shown that for certain configurations coupling between two antennas of this type is less than that for the same antennas without dielectric loading.

  14. Homophone Dominance Modulates the Phonemic-Masking Effect.

    ERIC Educational Resources Information Center

    Berent, Iris; Van Orden, Guy C.

    2000-01-01

    Finds (1) positive phonemic-masking effects occurred for dominant homophones; (2) null phonemic-masking effects occurred for subordinate homophones; and (3) subordinate homophones were much more likely to be falsely identified as their dominant mate. Suggests the source of these null phonemic-masking is itself a phonology effect. Concludes…

  15. The total face mask is more comfortable than the oronasal mask in noninvasive ventilation but is not associated with improved outcome.

    PubMed

    Chacur, Fernando Hauaji; Vilella Felipe, Luis Marcelo; Fernandes, Cintia Gonçalves; Lazzarini, Luiz Claudio Oliveira

    2011-01-01

    Noninvasive positive-pressure ventilation (NPPV) is commonly used to improve ventilation and oxygenation and avoid endotracheal intubation and mechanical ventilation. Although clinically indicated, most patients fail to use NPPV due to mask intolerance. A total face mask was designed to increase compliance, but whether this translates into better outcome (improvement in clinical and blood gas parameters and less intubation) is unknown. We compared the evolution of the clinical parameters, blood gases, levels of ventilatory support and rate of endotracheal intubation using the total face mask or the traditional oronasal mask during NPPV. A total of 60 patients were randomized to use either mask during NPPV. The clinical and laboratory parameters, as well as the level of ventilatory support were recorded at different intervals in both groups for up to 6 h. In addition, the tolerance for each mask and the need for endotracheal intubation were compared. Patients tolerated the total face mask significantly better (p = 0.0010) and used NPPV for a longer time (p = 0.0017) when compared with the oronasal mask. Just 1 patient switched to the total face mask because of intolerance. Although better tolerated, the rate of endotracheal intubation was similar in both groups (p = 0.4376), as was the clinical and laboratory evolution. The total face mask was more comfortable, allowing the patients to tolerate NPPV longer; however, these accomplishments did not translate into a better outcome. Due to its comfort, the total face mask should be available, at least as an option, in units where NPPVs are routinely applied. Copyright © 2011 S. Karger AG, Basel.

  16. 21 CFR 868.5560 - Gas mask head strap.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Gas mask head strap. 868.5560 Section 868.5560...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5560 Gas mask head strap. (a) Identification. A gas mask head strap is a device used to hold an anesthetic gas mask in position on a patient's...

  17. Effect of SPM-based cleaning POR on EUV mask performance

    NASA Astrophysics Data System (ADS)

    Choi, Jaehyuck; Lee, Han-shin; Yoon, Jinsang; Shimomura, Takeya; Friz, Alex; Montgomery, Cecilia; Ma, Andy; Goodwin, Frank; Kang, Daehyuk; Chung, Paul; Shin, Inkyun; Cho, H.

    2011-11-01

    EUV masks include many different layers of various materials rarely used in optical masks, and each layer of material has a particular role in enhancing the performance of EUV lithography. Therefore, it is crucial to understand how the mask quality and patterning performance can change during mask fabrication, EUV exposure, maintenance cleaning, shipping, or storage. The fact that a pellicle is not used to protect the mask surface in EUV lithography suggests that EUV masks may have to undergo more cleaning cycles during their lifetime. More frequent cleaning, combined with the adoption of new materials for EUV masks, necessitates that mask manufacturers closely examine the performance change of EUV masks during cleaning process. We have investigated EUV mask quality and patterning performance during 30 cycles of Samsung's EUV mask SPM-based cleaning and 20 cycles of SEMATECH ADT exposure. We have observed that the quality and patterning performance of EUV masks does not significantly change during these processes except mask pattern CD change. To resolve this issue, we have developed an acid-free cleaning POR and substantially improved EUV mask film loss compared to the SPM-based cleaning POR.

  18. How color, regularity, and good Gestalt determine backward masking.

    PubMed

    Sayim, Bilge; Manassi, Mauro; Herzog, Michael

    2014-06-18

    The strength of visual backward masking depends on the stimulus onset asynchrony (SOA) between target and mask. Recently, it was shown that the conjoint spatial layout of target and mask is as crucial as SOA. Particularly, masking strength depends on whether target and mask group with each other. The same is true in crowding where the global spatial layout of the flankers and target-flanker grouping determine crowding strength. Here, we presented a vernier target followed by different flanker configurations at varying SOAs. Similar to crowding, masking of a red vernier target was strongly reduced for arrays of 10 green compared with 10 red flanking lines. Unlike crowding, single green lines flanking the red vernier showed strong masking. Irregularly arranged flanking lines yielded stronger masking than did regularly arranged lines, again similar to crowding. While cuboid flankers reduced crowding compared with single lines, this was not the case in masking. We propose that, first, masking is reduced when the flankers are part of a larger spatial structure. Second, spatial factors counteract color differences between the target and the flankers. Third, complex Gestalts, such as cuboids, seem to need longer processing times to show ungrouping effects as observed in crowding. Strong parallels between masking and crowding suggest similar underlying mechanism; however, temporal factors in masking additionally modulate performance, acting as an additional grouping cue. © 2014 ARVO.

  19. Combining Simultaneous with Temporal Masking

    ERIC Educational Resources Information Center

    Hermens, Frouke; Herzog, Michael H.; Francis, Gregory

    2009-01-01

    Simultaneous and temporal masking are two frequently used techniques in psychology and vision science. Although there are many studies and theories related to each masking technique, there are no systematic investigations of their mutual relationship, even though both techniques are often applied together. Here, the authors show that temporal…

  20. Experimental investigations of 3 mm aperture PPLN structures

    NASA Astrophysics Data System (ADS)

    Kolker, D.; Pronyushkina, A.; Boyko, A.; Kostyukova, N.; Trashkeev, S.; Nuyshkov, B.; Shur, V.

    2017-01-01

    We are reporting about investigation of domestic 3 mm aperture periodically polled lithium niobate (PPLN) structures for cascaded mid-IR OPO. Wide aperture periodically poled MgO-doped lithium niobate (LiNbO3) structures at multigrating, fan-out and multi fan-out configuration were prepared at “Labfer LTD”. Laser source based on such structures can be used for special applications. Four different PPLN structures were investigated and effective aperture for effective pumping was defined.

  1. Masked mycotoxins: A review

    PubMed Central

    Berthiller, Franz; Crews, Colin; Dall'Asta, Chiara; Saeger, Sarah De; Haesaert, Geert; Karlovsky, Petr; Oswald, Isabelle P; Seefelder, Walburga; Speijers, Gerrit; Stroka, Joerg

    2013-01-01

    The aim of this review is to give a comprehensive overview of the current knowledge on plant metabolites of mycotoxins, also called masked mycotoxins. Mycotoxins are secondary fungal metabolites, toxic to human and animals. Toxigenic fungi often grow on edible plants, thus contaminating food and feed. Plants, as living organisms, can alter the chemical structure of mycotoxins as part of their defence against xenobiotics. The extractable conjugated or non-extractable bound mycotoxins formed remain present in the plant tissue but are currently neither routinely screened for in food nor regulated by legislation, thus they may be considered masked. Fusarium mycotoxins (deoxynivalenol, zearalenone, fumonisins, nivalenol, fusarenon-X, T-2 toxin, HT-2 toxin, fusaric acid) are prone to metabolisation or binding by plants, but transformation of other mycotoxins by plants (ochratoxin A, patulin, destruxins) has also been described. Toxicological data are scarce, but several studies highlight the potential threat to consumer safety from these substances. In particular, the possible hydrolysis of masked mycotoxins back to their toxic parents during mammalian digestion raises concerns. Dedicated chapters of this article address plant metabolism as well as the occurrence of masked mycotoxins in food, analytical aspects for their determination, toxicology and their impact on stakeholders. PMID:23047235

  2. 21 CFR 868.5550 - Anesthetic gas mask.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  3. 21 CFR 868.5550 - Anesthetic gas mask.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 8 2011-04-01 2011-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  4. 21 CFR 868.5550 - Anesthetic gas mask.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... 21 Food and Drugs 8 2012-04-01 2012-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  5. 21 CFR 868.5550 - Anesthetic gas mask.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... 21 Food and Drugs 8 2013-04-01 2013-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  6. 21 CFR 868.5550 - Anesthetic gas mask.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 21 Food and Drugs 8 2014-04-01 2014-04-01 false Anesthetic gas mask. 868.5550 Section 868.5550...) MEDICAL DEVICES ANESTHESIOLOGY DEVICES Therapeutic Devices § 868.5550 Anesthetic gas mask. (a) Identification. An anesthetic gas mask is a device, usually made of conductive rubber, that is positioned over a...

  7. Informational masking and musical training

    NASA Astrophysics Data System (ADS)

    Oxenham, Andrew J.; Fligor, Brian J.; Mason, Christine R.; Kidd, Gerald

    2003-09-01

    The relationship between musical training and informational masking was studied for 24 young adult listeners with normal hearing. The listeners were divided into two groups based on musical training. In one group, the listeners had little or no musical training; the other group was comprised of highly trained, currently active musicians. The hypothesis was that musicians may be less susceptible to informational masking, which is thought to reflect central, rather than peripheral, limitations on the processing of sound. Masked thresholds were measured in two conditions, similar to those used by Kidd et al. [J. Acoust. Soc. Am. 95, 3475-3480 (1994)]. In both conditions the signal was comprised of a series of repeated tone bursts at 1 kHz. The masker was comprised of a series of multitone bursts, gated with the signal. In one condition the frequencies of the masker were selected randomly for each burst; in the other condition the masker frequencies were selected randomly for the first burst of each interval and then remained constant throughout the interval. The difference in thresholds between the two conditions was taken as a measure of informational masking. Frequency selectivity, using the notched-noise method, was also estimated in the two groups. The results showed no difference in frequency selectivity between the two groups, but showed a large and significant difference in the amount of informational masking between musically trained and untrained listeners. This informational masking task, which requires no knowledge specific to musical training (such as note or interval names) and is generally not susceptible to systematic short- or medium-term training effects, may provide a basis for further studies of analytic listening abilities in different populations.

  8. Effects of immobilization mask material on surface dose

    PubMed Central

    Hadley, Scott W.; Kelly, Robin; Lam, Kwok

    2005-01-01

    This work investigates the increase in surface dose caused by thermoplastic masks used for patient positioning and immobilization. A thermoplastic mask is custom fit by stretching a heated mask over the patient at the time of treatment simulation. This mask is then used at treatment to increase the reproducibility of the patient position. The skin sparing effect of mega‐voltage X‐ray beams can be reduced when the patient's skin surface is under the mask material. The sheet of thermoplastic mask has holes to reduce this effect and is available from one manufacturer with two different sizes of holes, one larger than the other. This work investigates the increase in surface dose caused by the mask material and quantifies the difference between the two samples of masks available. The change in the dose buildup was measured using an Attix parallel plate chamber by measuring tissue maximum ratios (TMRs) using solid water. Measurements were made with and without the mask material on the surface of the solid water for 6‐MV and 15‐MV X‐ray beams. The effective thickness of equivalent water was estimated from the TMR curves, and the increase in surface dose was estimated. The buildup effect was measured to be equivalent to 2.2 mm to 0.6 mm for masks that have been stretched by different amounts. The surface dose was estimated to change from 16% and 12% for 6 MV and 15 MV, respectively, to 27% to 61% for 6 MV and 18% to 40% for 15 MV with the mask samples. PACS number: 87.53.Dq PMID:15770192

  9. Emergency face-mask removal effectiveness: a comparison of traditional and nontraditional football helmet face-mask attachment systems.

    PubMed

    Swartz, Erik E; Belmore, Keith; Decoster, Laura C; Armstrong, Charles W

    2010-01-01

    Football helmet face-mask attachment design changes might affect the effectiveness of face-mask removal. To compare the efficiency of face-mask removal between newly designed and traditional football helmets. Controlled laboratory study. Applied biomechanics laboratory. Twenty-five certified athletic trainers. The independent variable was face-mask attachment system on 5 levels: (1) Revolution IQ with Quick Release (QR), (2) Revolution IQ with Quick Release hardware altered (QRAlt), (3) traditional (Trad), (4) traditional with hardware altered (TradAlt), and (5) ION 4D (ION). Participants removed face masks using a cordless screwdriver with a back-up cutting tool or only the cutting tool for the ION. Investigators altered face-mask hardware to unexpectedly challenge participants during removal for traditional and Revolution IQ helmets. Participants completed each condition twice in random order and were blinded to hardware alteration. Removal success, removal time, helmet motion, and rating of perceived exertion (RPE). Time and 3-dimensional helmet motion were recorded. If the face mask remained attached at 3 minutes, the trial was categorized as unsuccessful. Participants rated each trial for level of difficulty (RPE). We used repeated-measures analyses of variance (α  =  .05) with follow-up comparisons to test for differences. Removal success was 100% (48 of 48) for QR, Trad, and ION; 97.9% (47 of 48) for TradAlt; and 72.9% (35 of 48) for QRAlt. Differences in time for face-mask removal were detected (F(4,20)  =  48.87, P  =  .001), with times ranging from 33.96 ± 14.14 seconds for QR to 99.22 ± 20.53 seconds for QRAlt. Differences were found in range of motion during face-mask removal (F(4,20)  =  16.25, P  =  .001), with range of motion from 10.10° ± 3.07° for QR to 16.91° ± 5.36° for TradAlt. Differences also were detected in RPE during face-mask removal (F(4,20)  =  43.20, P  =  .001), with participants reporting average

  10. Emergency Face-Mask Removal Effectiveness: A Comparison of Traditional and Nontraditional Football Helmet Face-Mask Attachment Systems

    PubMed Central

    Swartz, Erik E.; Belmore, Keith; Decoster, Laura C.; Armstrong, Charles W.

    2010-01-01

    Abstract Context: Football helmet face-mask attachment design changes might affect the effectiveness of face-mask removal. Objective: To compare the efficiency of face-mask removal between newly designed and traditional football helmets. Design: Controlled laboratory study. Setting: Applied biomechanics laboratory. Participants: Twenty-five certified athletic trainers. Intervention(s): The independent variable was face-mask attachment system on 5 levels: (1) Revolution IQ with Quick Release (QR), (2) Revolution IQ with Quick Release hardware altered (QRAlt), (3) traditional (Trad), (4) traditional with hardware altered (TradAlt), and (5) ION 4D (ION). Participants removed face masks using a cordless screwdriver with a back-up cutting tool or only the cutting tool for the ION. Investigators altered face-mask hardware to unexpectedly challenge participants during removal for traditional and Revolution IQ helmets. Participants completed each condition twice in random order and were blinded to hardware alteration. Main Outcome Measure(s): Removal success, removal time, helmet motion, and rating of perceived exertion (RPE). Time and 3-dimensional helmet motion were recorded. If the face mask remained attached at 3 minutes, the trial was categorized as unsuccessful. Participants rated each trial for level of difficulty (RPE). We used repeated-measures analyses of variance (α  =  .05) with follow-up comparisons to test for differences. Results: Removal success was 100% (48 of 48) for QR, Trad, and ION; 97.9% (47 of 48) for TradAlt; and 72.9% (35 of 48) for QRAlt. Differences in time for face-mask removal were detected (F4,20  =  48.87, P  =  .001), with times ranging from 33.96 ± 14.14 seconds for QR to 99.22 ± 20.53 seconds for QRAlt. Differences were found in range of motion during face-mask removal (F4,20  =  16.25, P  =  .001), with range of motion from 10.10° ± 3.07° for QR to 16.91° ± 5.36° for TradAlt. Differences also were detected

  11. Mask etcher data strategy for 45nm and beyond

    NASA Astrophysics Data System (ADS)

    Lewington, Richard; Ibrahim, Ibrahim M.; Panayil, Sheeba; Kumar, Ajay; Yamartino, John

    2006-05-01

    Mask Etching for the 45nm technology node and beyond requires a system-level data and diagnostics strategy. This necessity stems from the need to control the performance of the mask etcher to increasingly stringent and diverse requirements of the mask production environment. Increasing mask costs and the capability to acquire and consolidate a wealth of data within the mask etch platform are primary motivators towards harnessing data mines for feedback into the mask etching optimization. There are offline and real-time possibilities and scenarios. Here, we discuss the data architecture, acquisition, and strategies of the Applied Materials Tetra II TM Mask Etch System.

  12. Mask-induced aberration in EUV lithography

    NASA Astrophysics Data System (ADS)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  13. The sonar aperture and its neural representation in bats.

    PubMed

    Heinrich, Melina; Warmbold, Alexander; Hoffmann, Susanne; Firzlaff, Uwe; Wiegrebe, Lutz

    2011-10-26

    As opposed to visual imaging, biosonar imaging of spatial object properties represents a challenge for the auditory system because its sensory epithelium is not arranged along space axes. For echolocating bats, object width is encoded by the amplitude of its echo (echo intensity) but also by the naturally covarying spread of angles of incidence from which the echoes impinge on the bat's ears (sonar aperture). It is unclear whether bats use the echo intensity and/or the sonar aperture to estimate an object's width. We addressed this question in a combined psychophysical and electrophysiological approach. In three virtual-object playback experiments, bats of the species Phyllostomus discolor had to discriminate simple reflections of their own echolocation calls differing in echo intensity, sonar aperture, or both. Discrimination performance for objects with physically correct covariation of sonar aperture and echo intensity ("object width") did not differ from discrimination performances when only the sonar aperture was varied. Thus, the bats were able to detect changes in object width in the absence of intensity cues. The psychophysical results are reflected in the responses of a population of units in the auditory midbrain and cortex that responded strongest to echoes from objects with a specific sonar aperture, regardless of variations in echo intensity. Neurometric functions obtained from cortical units encoding the sonar aperture are sufficient to explain the behavioral performance of the bats. These current data show that the sonar aperture is a behaviorally relevant and reliably encoded cue for object size in bat sonar.

  14. Polyurethane Masks Large Areas in Electroplating

    NASA Technical Reports Server (NTRS)

    Beasley, J. L.

    1985-01-01

    Polyurethane foam provides effective mask in electroplating of copper or nickel. Thin layer of Turco maskant painted on area to be masked: Layer ensures polyurethane foam removed easily after served its purpose. Component A, isocyanate, and component B, polyol, mixed together and brushed or sprayed on mask area. Mixture reacts, yielding polyurethane foam. Foam prevents deposition of nickel or copper on covered area. New method saves time, increases productivity and uses less material than older procedures.

  15. Optimal mask characterization by Surrogate Wafer Print (SWaP) method

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.; Hoellein, Ingo; Peters, Jan Hendrick; Ackmann, Paul; Connolly, Brid; West, Craig

    2008-10-01

    Traditionally, definition of mask specifications is done completely by the mask user, while characterization of the mask relative to the specifications is done completely by the mask maker. As the challenges of low-k1 imaging continue to grow in scope of designs and in absolute complexity, the inevitable partnership between wafer lithographers and mask makers has strengthened as well. This is reflected in the jointly owned mask facilities and device manufacturers' continued maintenance of fully captive mask shops which foster the closer mask-litho relationships. However, while some device manufacturers have leveraged this to optimize mask specifications before the mask is built and, therefore, improve mask yield and cost, the opportunity for post-fabrication partnering on mask characterization is more apparent and compelling. The Advanced Mask Technology Center (AMTC) has been investigating the concept of assessing how a mask images, rather than the mask's physical attributes, as a technically superior and lower-cost method to characterize a mask. The idea of printing a mask under its intended imaging conditions, then characterizing the imaged wafer as a surrogate for traditional mask inspections and measurements represents the ultimate method to characterize a mask's performance, which is most meaningful to the user. Surrogate wafer print (SWaP) is already done as part of leading-edge wafer fab mask qualification to validate defect and dimensional performance. In the past, the prospect of executing this concept has generally been summarily discarded as technically untenable and logistically intractable. The AMTC published a paper at BACUS 2007 successfully demonstrating the performance of SWaP for the characterization of defects as an alternative to traditional mask inspection [1]. It showed that this concept is not only feasible, but, in some cases, desirable. This paper expands on last year's work at AMTC to assess the full implementation of SWaP as an

  16. Possible Overlaps Between Blobs, Grism Apertures, and Dithers

    NASA Astrophysics Data System (ADS)

    Ryan, R. E.; McCullough, P. R.

    2017-06-01

    We present a investigation into possible overlaps between the known IR blobs with the grism aperture reference positions and the IR dither patterns. Each aperture was designed to place the science target (e.g. a specific star) on a cosmetically clean area of the IR detector. Similarly, the dither patterns were designed to mitigate cosmetic defects by rarely (or ideally never) placing such targets on known defects. Because blobs accumulate with time, the originally defined apertures and dither patterns may no longer accomplish their goals, it is important to reverify these combinations. We find two potential overlaps between the blob, aperture, and dither combinations, but do not recommend any changes to the current suite of aperture references positions and/or dither patterns for two reasons. First, one of the overlaps occurs with a dither/aperture combination that is seldom used for high-value science operations, but rather more common for wide-field surveys/mosaics. Second, the other overlap is 8.7 pix from a blob that has a fiducial radius of 10 pix, which already represents a very conservative distance. We conclude that a similar analysis should be repeated as new blobs occur, to continue to ensure ideal operations for high-value science targets. The purpose of this report is to document the analysis in order to facilitate its repetition in the future.

  17. Coronagraphic mask design using Hermite functions.

    PubMed

    Cagigal, Manuel P; Canales, Vidal F; Valle, Pedro J; Oti, José E

    2009-10-26

    We introduce a stellar coronagraph that uses a coronagraphic mask described by a Hermite function or a combination of them. It allows the detection of exoplanets providing both deep starlight extinction and high angular resolution. This angular resolution depends on the order of the Hermite function used. An analysis of the coronagraph performance is carried out for different even order masks. Numerical simulations of the ideal case, with no phase errors and perfect telescope pointing, show that on-axis starlight is reduced to very low intensity levels corresponding to a gain of at least 25 magnitudes (10(-10) light intensity reduction). The coronagraphic throughput depends on the Hermite function or combination selected. The proposed mask series presents the same advantages of band limited masks along with the benefit of reducing the light diffracted by the mask border thanks to its particular shape. Nevertheless, for direct detection of Earth-like exoplanets it requires the use of adaptive optics facilities for compensating the perturbations introduced by the atmosphere and by the optical system.

  18. Influence of coma aberration on aperture averaged scintillations in oceanic turbulence

    NASA Astrophysics Data System (ADS)

    Luo, Yujuan; Ji, Xiaoling; Yu, Hong

    2018-01-01

    The influence of coma aberration on aperture averaged scintillations in oceanic turbulence is studied in detail by using the numerical simulation method. In general, in weak oceanic turbulence, the aperture averaged scintillation can be effectively suppressed by means of the coma aberration, and the aperture averaged scintillation decreases as the coma aberration coefficient increases. However, in moderate and strong oceanic turbulence the influence of coma aberration on aperture averaged scintillations can be ignored. In addition, the aperture averaged scintillation dominated by salinity-induced turbulence is larger than that dominated by temperature-induced turbulence. In particular, it is shown that for coma-aberrated Gaussian beams, the behavior of aperture averaged scintillation index is quite different from the behavior of point scintillation index, and the aperture averaged scintillation index is more suitable for characterizing scintillations in practice.

  19. Current status of x-ray mask manufacturing at the Microlithographic Mask Development Center

    NASA Astrophysics Data System (ADS)

    Kimmel, Kurt R.; Hughes, Patrick J.

    1996-07-01

    The Microlithographic Mask Development Center (MMD) has been the focal point of X-ray mask development efforts in the United States since its inception in 1993. Funded by the Advanced Research Projects Agency (ARPA), and with technical support from the Proximity X-ray Lithography Association (AT&T, IBM, Loral Federal Systems, and Motorola) the MMD has recently made dramatic advances in mask fabrication. Numerous defect-free 64Mb and 256Mb DRAM masks have been made on both boron-doped silicon and silicon carbide substrates. Image-placement error of less than 35nm 3 sigma is achieved with high yield. Image-size (critical dimension) control of 25nm 3 sigma on 250nm nominal images is representative performance. This progress is being made in a manufacturing environment with significant volumes, multiple customers, multiple substrate configurations, and fast turnaround-time (TAT) requirements. The MMD state-of-the-art equipment infrastructure has made much of this progress possible. This year the MMD qualified the EL-4, an IBM-designed-and-built variable-shaped-spot e-beam system. The fundamental performance parameters of this system will be described. Operational techniques of multiple partial exposure writing and product specific emulation (PSE) have been implemented to improve image-placement accuracy with remarkable success. Image-size control was studied in detail with contributory components separated. Defect density was systematically reduced to yield defect-free masks while simultaneously tightening inspection criteria. Information about these and other recent engineering highlights will be reported. An outline of the primary engineering challenges and goals for 1996 and status of progress toward 100 nm design rule capability will also be given.

  20. The performances of standard and ResMed masks during bag-valve-mask ventilation.

    PubMed

    Lee, Hyoung Youn; Jeung, Kyung Woon; Lee, Byung Kook; Lee, Seung Joon; Jung, Yong Hun; Lee, Geo Sung; Min, Yong Il; Heo, Tag

    2013-01-01

    A tight mask seal is frequently difficult to obtain and maintain during single-rescuer bag-valve-mask (BVM) ventilation. The ResMed mask (Bella Vista, NSW, Australia) is a continuous-positive-airway-pressure mask (CM) designed for noninvasive ventilation. In this study, we compared the ventilation performances of a standard mask (SM) and a ResMed CM using a simulation manikin in an out-of-hospital single-rescuer BVM ventilation scenario. Thirty emergency medical technicians (EMTs) performed two 2-minute attempts to ventilate a simulation manikin using BVM ventilation, alternatively, with the SM or the ResMed CM in a randomized order. Ventilation parameters including tidal volume and peak airway pressure were measured using computer analysis software connected to the simulation manikin. Successful volume delivery was defined as delivery of 440-540 mL of tidal volume in accord with present cardiopulmonary resuscitation guidelines. BVM ventilation using the ResMed CM produced higher mean (± standard deviation) tidal volumes (452 ± 50 mL vs. 394 ± 113 mL, p = 0.014) and had a higher proportion of successful volume deliveries (65.3% vs. 26.7%, p < 0.001) than that using the SM. Peak airway pressure was higher in BVM ventilation using the ResMed CM (p = 0.035). Stomach insufflation did not occur during either method. Twenty-nine of the participants (96.7%) preferred BVM ventilation using the ResMed CM. BVM ventilations using ResMed CM resulted in a significantly higher proportion of successful volume deliveries meeting the currently recommended range of tidal volume. Clinical studies are needed to determine the value of the ResMed CM for BVM ventilation.

  1. Mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Selinidis, Kosta S.; Jones, Chris; Doyle, Gary F.; Brown, Laura; Imhof, Joseph; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2011-11-01

    The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105imprints. This suggests that tens of thousands of templates/masks will be required to satisfy the needs of a manufacturing environment. Electron-beam patterning is too slow to feasibly deliver these volumes, but instead can provide a high quality "master" mask which can be replicated many times with an imprint lithography tool. This strategy has the capability to produce the required supply of "working" templates/masks. In this paper, we review the development of the mask form factor, imprint replication tools and the semiconductor mask replication process. A PerfectaTM MR5000 mask replication tool has been developed specifically to pattern replica masks from an ebeam written master. Performance results, including image placement, critical dimension uniformity, and pattern transfer are covered in detail.

  2. The AdaptiSPECT Imaging Aperture

    PubMed Central

    Chaix, Cécile; Moore, Jared W.; Van Holen, Roel; Barrett, Harrison H.; Furenlid, Lars R.

    2015-01-01

    In this paper, we present the imaging aperture of an adaptive SPECT imaging system being developed at the Center for Gamma Ray Imaging (AdaptiSPECT). AdaptiSPECT is designed to automatically change its configuration in response to preliminary data, in order to improve image quality for a particular task. In a traditional pinhole SPECT imaging system, the characteristics (magnification, resolution, field of view) are set by the geometry of the system, and any modification can be accomplished only by manually changing the collimator and the distance of the detector to the center of the field of view. Optimization of the imaging system for a specific task on a specific individual is therefore difficult. In an adaptive SPECT imaging system, on the other hand, the configuration can be conveniently changed under computer control. A key component of an adaptive SPECT system is its aperture. In this paper, we present the design, specifications, and fabrication of the adaptive pinhole aperture that will be used for AdaptiSPECT, as well as the controls that enable autonomous adaptation. PMID:27019577

  3. Respiratory Source Control Using Surgical Masks With Nanofiber Media

    PubMed Central

    Skaria, Shaji D.; Smaldone, Gerald C.

    2014-01-01

    Background: Potentially infected individuals (‘source’) are sometimes encouraged to use face masks to reduce exposure of their infectious aerosols to others (‘receiver’). To improve compliance with Respiratory Source Control via face mask and therefore reduce receiver exposure, a mask should be comfortable and effective. We tested a novel face mask designed to improve breathability and filtration using nanofiber filtration. Methods: Using radiolabeled test aerosols and a calibrated exposure chamber simulating source to receiver interaction, facepiece function was measured with a life-like ventilated manikin model. Measurements included mask airflow resistance (pressure difference during breathing), filtration, (mask capture of exhaled radiolabeled test aerosols), and exposure (the transfer of ‘infectious’ aerosols from the ‘source’ to a ‘receiver’). Polydisperse aerosols were measured at the source with a mass median aerodynamic diameter of 0.95 µm. Approximately 90% of the particles were <2.0 µm. Tested facepieces included nanofiber prototype surgical masks, conventional surgical masks, and for comparison, an N95-class filtering facepiece respirator (commonly known as an ‘N95 respirator’). Airflow through and around conventional surgical face mask and nanofiber prototype face mask was visualized using Schlieren optical imaging. Results: Airflow resistance [ΔP, cmH2O] across sealed surgical masks (means: 0.1865 and 0.1791 cmH2O) approached that of the N95 (mean: 0.2664 cmH2O). The airflow resistance across the nanofiber face mask whether sealed or not sealed (0.0504 and 0.0311 cmH2O) was significantly reduced in comparison. In addition, ‘infected’ source airflow filtration and receiver exposure levels for nanofiber face masks placed on the source were comparable to that achieved with N95 placed on the source; 98.98% versus 82.68% and 0.0194 versus 0.0557, respectively. Compared to deflection within and around the conventional face

  4. Respiratory source control using surgical masks with nanofiber media.

    PubMed

    Skaria, Shaji D; Smaldone, Gerald C

    2014-07-01

    Potentially infected individuals ('source') are sometimes encouraged to use face masks to reduce exposure of their infectious aerosols to others ('receiver'). To improve compliance with Respiratory Source Control via face mask and therefore reduce receiver exposure, a mask should be comfortable and effective. We tested a novel face mask designed to improve breathability and filtration using nanofiber filtration. Using radiolabeled test aerosols and a calibrated exposure chamber simulating source to receiver interaction, facepiece function was measured with a life-like ventilated manikin model. Measurements included mask airflow resistance (pressure difference during breathing), filtration, (mask capture of exhaled radiolabeled test aerosols), and exposure (the transfer of 'infectious' aerosols from the 'source' to a 'receiver'). Polydisperse aerosols were measured at the source with a mass median aerodynamic diameter of 0.95 µm. Approximately 90% of the particles were <2.0 µm. Tested facepieces included nanofiber prototype surgical masks, conventional surgical masks, and for comparison, an N95-class filtering facepiece respirator (commonly known as an 'N95 respirator'). Airflow through and around conventional surgical face mask and nanofiber prototype face mask was visualized using Schlieren optical imaging. Airflow resistance [ΔP, cmH2O] across sealed surgical masks (means: 0.1865 and 0.1791 cmH2O) approached that of the N95 (mean: 0.2664 cmH2O). The airflow resistance across the nanofiber face mask whether sealed or not sealed (0.0504 and 0.0311 cmH2O) was significantly reduced in comparison. In addition, 'infected' source airflow filtration and receiver exposure levels for nanofiber face masks placed on the source were comparable to that achieved with N95 placed on the source; 98.98% versus 82.68% and 0.0194 versus 0.0557, respectively. Compared to deflection within and around the conventional face masks, Schlieren optical imaging demonstrated enhanced

  5. An Off-Axis Four-Quadrant Phase Mask (FQPM) Coronagraph for Palomar: High-Contrast Near Bright Stars Imager

    NASA Technical Reports Server (NTRS)

    Haguenauer, Pierre; Serabyn, Eugene; Bloemhof, Eric E.; Troy, Mitchell; Wallace, James K.; Koresko, Chris D.; Mennesson, Bertrand

    2005-01-01

    Direct detection of planets around nearby stars requires the development of high-contrast imaging techniques because of the high difference between their respective fluxes. This led us to test a new coronagraphic approach based on the use of phase mask instead of dark occulting ones. Combined with high-level wavefront correction on an unobscured off-axis section of a large telescope, this method allows imaging very close to the star. Calculations indicate that for a given ground-based on-axis telescope, use of such an off-axis coronagraph provides a near-neighbor detection capability superior to that of a traditional coronagraph utilizing the full telescope aperture. Setting up a laboratory experiment working in near infrared allowed us to demonstrate the principle of the method, and a rejection of 2000:1 has already been achieved.

  6. Scatterometry on pelliclized masks: an option for wafer fabs

    NASA Astrophysics Data System (ADS)

    Gallagher, Emily; Benson, Craig; Higuchi, Masaru; Okumoto, Yasuhiro; Kwon, Michael; Yedur, Sanjay; Li, Shifang; Lee, Sangbong; Tabet, Milad

    2007-03-01

    Optical scatterometry-based metrology is now widely used in wafer fabs for lithography, etch, and CMP applications. This acceptance of a new metrology method occurred despite the abundance of wellestablished CD-SEM and AFM methods. It was driven by the desire to make measurements faster and with a lower cost of ownership. Over the last year, scatterometry has also been introduced in advanced mask shops for mask measurements. Binary and phase shift masks have been successfully measured at all desired points during photomask production before the pellicle is mounted. There is a significant benefit to measuring masks with the pellicle in place. From the wafer fab's perspective, through-pellicle metrology would verify mask effects on the same features that are characterized on wafer. On-site mask verification would enable quality control and trouble-shooting without returning the mask to a mask house. Another potential application is monitoring changes to mask films once the mask has been delivered to the fab (haze, oxide growth, etc.). Similar opportunities apply to the mask metrologist receiving line returns from a wafer fab. The ability to make line-return measurements without risking defect introduction is clearly attractive. This paper will evaluate the feasibility of collecting scatterometry data on pelliclized masks. We explore the effects of several different pellicle types on scatterometry measurements made with broadband light in the range of 320-780 nm. The complexity introduced by the pellicles' optical behavior will be studied.

  7. RF Performance of Membrane Aperture Shells

    NASA Technical Reports Server (NTRS)

    Flint, Eirc M.; Lindler, Jason E.; Thomas, David L.; Romanofsky, Robert

    2007-01-01

    This paper provides an overview of recent results establishing the suitability of Membrane Aperture Shell Technology (MAST) for Radio Frequency (RF) applications. These single surface shells are capable of maintaining their figure with no preload or pressurization and minimal boundary support, yet can be compactly roll stowed and passively self deploy. As such, they are a promising technology for enabling a future generation of RF apertures. In this paper, we review recent experimental and numerical results quantifying suitable RF performance. It is shown that candidate materials possess metallic coatings with sufficiently low surface roughness and that these materials can be efficiently fabricated into RF relevant doubly curved shapes. A numerical justification for using a reflectivity metric, as opposed to the more standard RF designer metric of skin depth, is presented and the resulting ability to use relatively thin coating thickness is experimentally validated with material sample tests. The validity of these independent film sample measurements are then confirmed through experimental results measuring RF performance for reasonable sized doubly curved apertures. Currently available best results are 22 dBi gain at 3 GHz (S-Band) for a 0.5m aperture tested in prime focus mode, 28dBi gain for the same antenna in the C-Band (4 to 6 GHz), and 36.8dBi for a smaller 0.25m antenna tested at 32 GHz in the Ka-Band. RF range test results for a segmented aperture (one possible scaling approach) are shown as well. Measured antenna system actual efficiencies (relative to the unachievable) ideal for these on axis tests are generally quite good, typically ranging from 50 to 90%.

  8. The Art of Japanese Masks and Kimonos

    ERIC Educational Resources Information Center

    Brooks, Nancy Johnston

    2009-01-01

    Japanese masks have been worn for a number of reasons. In the past they were often used in plays and celebrations. Today in Japan, social masks are essential in many party gatherings as a form of expressing one's personality. In this article, the author describes an art project wherein students created Japanese masks and kimonos.

  9. Method for mask repair using defect compensation

    DOEpatents

    Sweeney, Donald W.; Ray-Chaudhuri, Avijit K.

    2001-01-01

    A method for repair of amplitude and/or phase defects in lithographic masks. The method involves modifying or altering a portion of the absorber pattern on the surface of the mask blank proximate to the mask defect to compensate for the local disturbance (amplitude or phase) of the optical field due to the defect.

  10. An all-optronic synthetic aperture lidar

    NASA Astrophysics Data System (ADS)

    Turbide, Simon; Marchese, Linda; Terroux, Marc; Babin, François; Bergeron, Alain

    2012-09-01

    Synthetic Aperture Radar (SAR) is a mature technology that overcomes the diffraction limit of an imaging system's real aperture by taking advantage of the platform motion to coherently sample multiple sections of an aperture much larger than the physical one. Synthetic Aperture Lidar (SAL) is the extension of SAR to much shorter wavelengths (1.5 μm vs 5 cm). This new technology can offer higher resolution images in day or night time as well as in certain adverse conditions. It could be a powerful tool for Earth monitoring (ship detection, frontier surveillance, ocean monitoring) from aircraft, unattended aerial vehicle (UAV) or spatial platforms. A continuous flow of high-resolution images covering large areas would however produce a large amount of data involving a high cost in term of post-processing computational time. This paper presents a laboratory demonstration of a SAL system complete with image reconstruction based on optronic processing. This differs from the more traditional digital approach by its real-time processing capability. The SAL system is discussed and images obtained from a non-metallic diffuse target at ranges up to 3m are shown, these images being processed by a real-time optronic SAR processor origiinally designed to reconstruct SAR images from ENVISAT/ASAR data.

  11. Mask cost of ownership for advanced lithography

    NASA Astrophysics Data System (ADS)

    Muzio, Edward G.; Seidel, Philip K.

    2000-07-01

    As technology advances, becoming more difficult and more expensive, the cost of ownership (CoO) metric becomes increasingly important in evaluating technical strategies. The International SEMATECH CoC analysis has steadily gained visibility over the past year, as it attempts to level the playing field between technology choices, and create a fair relative comparison. In order to predict mask cots for advanced lithography, mask process flows are modeled using bets-known processing strategies, equipment cost, and yields. Using a newly revised yield mode, and updated mask manufacture flows, representative mask flows can be built. These flows are then used to calculate mask costs for advanced lithography down to the 50 nm node. It is never the goal of this type of work to provide absolute cost estimates for business planning purposes. However, the combination of a quantifiable yield model with a clearly defined set of mask processing flows and a cost model based upon them serves as an excellent starting point for cost driver analysis and process flow discussion.

  12. Mask aligner for ultrahigh vacuum with capacitive distance control

    NASA Astrophysics Data System (ADS)

    Bhaskar, Priyamvada; Mathioudakis, Simon; Olschewski, Tim; Muckel, Florian; Bindel, Jan Raphael; Pratzer, Marco; Liebmann, Marcus; Morgenstern, Markus

    2018-04-01

    We present a mask aligner driven by three piezomotors which guides and aligns a SiN shadow mask under capacitive control towards a sample surface. The three capacitors for read out are located at the backside of the thin mask such that the mask can be placed at a μm distance from the sample surface, while keeping it parallel to the surface, without touching the sample by the mask a priori. Samples and masks can be exchanged in-situ and the mask can additionally be displaced parallel to the surface. We demonstrate an edge sharpness of the deposited structures below 100 nm, which is likely limited by the diffusion of the deposited Au on Si(111).

  13. Masked Repetition Priming Using Magnetoencephalography

    ERIC Educational Resources Information Center

    Monahan, Philip J.; Fiorentino, Robert; Poeppel, David

    2008-01-01

    Masked priming is used in psycholinguistic studies to assess questions about lexical access and representation. We present two masked priming experiments using MEG. If the MEG signal elicited by words reflects specific aspects of lexical retrieval, then one expects to identify specific neural correlates of retrieval that are sensitive to priming.…

  14. Configurable Aperture Space Telescope

    NASA Technical Reports Server (NTRS)

    Ennico, Kimberly; Vassigh, Kenny; Bendek, Selman; Young, Zion W; Lynch, Dana H.

    2015-01-01

    In December 2014, we were awarded Center Innovation Fund to evaluate an optical and mechanical concept for a novel implementation of a segmented telescope based on modular, interconnected small sats (satlets). The concept is called CAST, a Configurable Aperture Space Telescope. With a current TRL is 2 we will aim to reach TLR 3 in Sept 2015 by demonstrating a 2x2 mirror system to validate our optical model and error budget, provide strawman mechanical architecture and structural damping analyses, and derive future satlet-based observatory performance requirements. CAST provides an alternative access to visible andor UV wavelength space telescope with 1-meter or larger aperture for NASA SMD Astrophysics and Planetary Science community after the retirement of HST.

  15. Configurable Aperture Space Telescope

    NASA Technical Reports Server (NTRS)

    Ennico, Kimberly; Bendek, Eduardo

    2015-01-01

    In December 2014, we were awarded Center Innovation Fund to evaluate an optical and mechanical concept for a novel implementation of a segmented telescope based on modular, interconnected small sats (satlets). The concept is called CAST, a Configurable Aperture Space Telescope. With a current TRL is 2 we will aim to reach TLR 3 in Sept 2015 by demonstrating a 2x2 mirror system to validate our optical model and error budget, provide straw man mechanical architecture and structural damping analyses, and derive future satlet-based observatory performance requirements. CAST provides an alternative access to visible and/or UV wavelength space telescope with 1-meter or larger aperture for NASA SMD Astrophysics and Planetary Science community after the retirement of HST

  16. Advanced EUV mask and imaging modeling

    NASA Astrophysics Data System (ADS)

    Evanschitzky, Peter; Erdmann, Andreas

    2017-10-01

    The exploration and optimization of image formation in partially coherent EUV projection systems with complex source shapes requires flexible, accurate, and efficient simulation models. This paper reviews advanced mask diffraction and imaging models for the highly accurate and fast simulation of EUV lithography systems, addressing important aspects of the current technical developments. The simulation of light diffraction from the mask employs an extended rigorous coupled wave analysis (RCWA) approach, which is optimized for EUV applications. In order to be able to deal with current EUV simulation requirements, several additional models are included in the extended RCWA approach: a field decomposition and a field stitching technique enable the simulation of larger complex structured mask areas. An EUV multilayer defect model including a database approach makes the fast and fully rigorous defect simulation and defect repair simulation possible. A hybrid mask simulation approach combining real and ideal mask parts allows the detailed investigation of the origin of different mask 3-D effects. The image computation is done with a fully vectorial Abbe-based approach. Arbitrary illumination and polarization schemes and adapted rigorous mask simulations guarantee a high accuracy. A fully vectorial sampling-free description of the pupil with Zernikes and Jones pupils and an optimized representation of the diffraction spectrum enable the computation of high-resolution images with high accuracy and short simulation times. A new pellicle model supports the simulation of arbitrary membrane stacks, pellicle distortions, and particles/defects on top of the pellicle. Finally, an extension for highly accurate anamorphic imaging simulations is included. The application of the models is demonstrated by typical use cases.

  17. Adaptation to different noninvasive ventilation masks in critically ill patients*

    PubMed Central

    da Silva, Renata Matos; Timenetsky, Karina Tavares; Neves, Renata Cristina Miranda; Shigemichi, Liane Hirano; Kanda, Sandra Sayuri; Maekawa, Carla; Silva, Eliezer; Eid, Raquel Afonso Caserta

    2013-01-01

    OBJECTIVE: To identify which noninvasive ventilation (NIV) masks are most commonly used and the problems related to the adaptation to such masks in critically ill patients admitted to a hospital in the city of São Paulo, Brazil. METHODS: An observational study involving patients ≥ 18 years of age admitted to intensive care units and submitted to NIV. The reason for NIV use, type of mask, NIV regimen, adaptation to the mask, and reasons for non-adaptation to the mask were investigated. RESULTS: We evaluated 245 patients, with a median age of 82 years. Acute respiratory failure was the most common reason for NIV use (in 71.3%). Total face masks were the most commonly used (in 74.7%), followed by full face masks and near-total face masks (in 24.5% and 0.8%, respectively). Intermittent NIV was used in 82.4% of the patients. Adequate adaptation to the mask was found in 76% of the patients. Masks had to be replaced by another type of mask in 24% of the patients. Adequate adaptation to total face masks and full face masks was found in 75.5% and 80.0% of the patients, respectively. Non-adaptation occurred in the 2 patients using near-total facial masks. The most common reason for non-adaptation was the shape of the face, in 30.5% of the patients. CONCLUSIONS: In our sample, acute respiratory failure was the most common reason for NIV use, and total face masks were the most commonly used. The most common reason for non-adaptation to the mask was the shape of the face, which was resolved by changing the type of mask employed. PMID:24068269

  18. Adaptation to different noninvasive ventilation masks in critically ill patients.

    PubMed

    Silva, Renata Matos da; Timenetsky, Karina Tavares; Neves, Renata Cristina Miranda; Shigemichi, Liane Hirano; Kanda, Sandra Sayuri; Maekawa, Carla; Silva, Eliezer; Eid, Raquel Afonso Caserta

    2013-01-01

    To identify which noninvasive ventilation (NIV) masks are most commonly used and the problems related to the adaptation to such masks in critically ill patients admitted to a hospital in the city of São Paulo, Brazil. An observational study involving patients ≥ 18 years of age admitted to intensive care units and submitted to NIV. The reason for NIV use, type of mask, NIV regimen, adaptation to the mask, and reasons for non-adaptation to the mask were investigated. We evaluated 245 patients, with a median age of 82 years. Acute respiratory failure was the most common reason for NIV use (in 71.3%). Total face masks were the most commonly used (in 74.7%), followed by full face masks and near-total face masks (in 24.5% and 0.8%, respectively). Intermittent NIV was used in 82.4% of the patients. Adequate adaptation to the mask was found in 76% of the patients. Masks had to be replaced by another type of mask in 24% of the patients. Adequate adaptation to total face masks and full face masks was found in 75.5% and 80.0% of the patients, respectively. Non-adaptation occurred in the 2 patients using near-total facial masks. The most common reason for non-adaptation was the shape of the face, in 30.5% of the patients. In our sample, acute respiratory failure was the most common reason for NIV use, and total face masks were the most commonly used. The most common reason for non-adaptation to the mask was the shape of the face, which was resolved by changing the type of mask employed.

  19. Vacuum aperture isolator for retroreflection from laser-irradiated target

    DOEpatents

    Benjamin, Robert F.; Mitchell, Kenneth B.

    1980-01-01

    The disclosure is directed to a vacuum aperture isolator for retroreflection of a laser-irradiated target. Within a vacuum chamber are disposed a beam focusing element, a disc having an aperture and a recollimating element. The edge of the focused beam impinges on the edge of the aperture to produce a plasma which refracts any retroreflected light from the laser's target.

  20. Attentional capture by masked colour singletons.

    PubMed

    Ansorge, Ulrich; Horstmann, Gernot; Worschech, Franziska

    2010-09-15

    We tested under which conditions a colour singleton of which an observer is unaware captures attention. To prevent visual awareness of the colour singleton, we used backward masking. We find that a masked colour singleton cue captures attention if it matches the observer's goal to search for target colours but not if it is task-irrelevant. This is also reflected in event-related potentials to the visible target: the masked goal-matching cue elicits an attentional potential (N2pc) in a target search task. By contrast, a non-matching but equally strong masked colour singleton cue failed to elicit a capture effect and an N2pc. Results are discussed with regard to currently pertaining conceptions of attentional capture by colour singletons. Copyright 2010 Elsevier Ltd. All rights reserved.

  1. Direct aperture optimization: a turnkey solution for step-and-shoot IMRT.

    PubMed

    Shepard, D M; Earl, M A; Li, X A; Naqvi, S; Yu, C

    2002-06-01

    IMRT treatment plans for step-and-shoot delivery have traditionally been produced through the optimization of intensity distributions (or maps) for each beam angle. The optimization step is followed by the application of a leaf-sequencing algorithm that translates each intensity map into a set of deliverable aperture shapes. In this article, we introduce an automated planning system in which we bypass the traditional intensity optimization, and instead directly optimize the shapes and the weights of the apertures. We call this approach "direct aperture optimization." This technique allows the user to specify the maximum number of apertures per beam direction, and hence provides significant control over the complexity of the treatment delivery. This is possible because the machine dependent delivery constraints imposed by the MLC are enforced within the aperture optimization algorithm rather than in a separate leaf-sequencing step. The leaf settings and the aperture intensities are optimized simultaneously using a simulated annealing algorithm. We have tested direct aperture optimization on a variety of patient cases using the EGS4/BEAM Monte Carlo package for our dose calculation engine. The results demonstrate that direct aperture optimization can produce highly conformal step-and-shoot treatment plans using only three to five apertures per beam direction. As compared with traditional optimization strategies, our studies demonstrate that direct aperture optimization can result in a significant reduction in both the number of beam segments and the number of monitor units. Direct aperture optimization therefore produces highly efficient treatment deliveries that maintain the full dosimetric benefits of IMRT.

  2. Objective measures of binaural masking level differences and comodulation masking release based on late auditory evoked potentials.

    PubMed

    Epp, Bastian; Yasin, Ifat; Verhey, Jesko L

    2013-12-01

    The audibility of important sounds is often hampered due to the presence of other masking sounds. The present study investigates if a correlate of the audibility of a tone masked by noise is found in late auditory evoked potentials measured from human listeners. The audibility of the target sound at a fixed physical intensity is varied by introducing auditory cues of (i) interaural target signal phase disparity and (ii) coherent masker level fluctuations in different frequency regions. In agreement with previous studies, psychoacoustical experiments showed that both stimulus manipulations result in a masking release (i: binaural masking level difference; ii: comodulation masking release) compared to a condition where those cues are not present. Late auditory evoked potentials (N1, P2) were recorded for the stimuli at a constant masker level, but different signal levels within the same set of listeners who participated in the psychoacoustical experiment. The data indicate differences in N1 and P2 between stimuli with and without interaural phase disparities. However, differences for stimuli with and without coherent masker modulation were only found for P2, i.e., only P2 is sensitive to the increase in audibility, irrespective of the cue that caused the masking release. The amplitude of P2 is consistent with the psychoacoustical finding of an addition of the masking releases when both cues are present. Even though it cannot be concluded where along the auditory pathway the audibility is represented, the P2 component of auditory evoked potentials is a candidate for an objective measure of audibility in the human auditory system. Copyright © 2013 Elsevier B.V. All rights reserved.

  3. Neonatal mannequin comparison of the Upright self-inflating bag and snap-fit mask versus standard resuscitators and masks: leak, applied load and tidal volumes.

    PubMed

    Rafferty, Anthony Richard; Johnson, Lucy; Davis, Peter G; Dawson, Jennifer Anne; Thio, Marta; Owen, Louise S

    2017-11-30

    Neonatal mask ventilation is a difficult skill to acquire and maintain. Mask leak is common and can lead to ineffective ventilation. The aim of this study was to determine whether newly available neonatal self-inflating bags and masks could reduce mask leak without additional load being applied to the face. Forty operators delivered 1 min episodes of mask ventilation to a mannequin using the Laerdal Upright Resuscitator, a standard Laerdal infant resuscitator (Laerdal Medical) and a T-Piece Resuscitator (Neopuff), using both the Laerdal snap-fit face mask and the standard Laerdal size 0/1 face mask (equivalent sizes). Participants were asked to use pressure sufficient to achieve 'appropriate' chest rise. Leak, applied load, airway pressure and tidal volume were measured continuously. Participants were unaware that load was being recorded. There was no difference in mask leak between resuscitation devices. Leak was significantly lower when the snap-fit mask was used with all resuscitation devices, compared with the standard mask (14% vs 37% leak, P<0.01). The snap-fit mask was preferred by 83% of participants. The device-mask combinations had no significant effect on applied load. The Laerdal Upright Resuscitator resulted in similar leak to the other resuscitation devices studied, and did not exert additional load to the face and head. The snap-fit mask significantly reduced overall leak with all resuscitation devices and was the mask preferred by participants. © Article author(s) (or their employer(s) unless otherwise stated in the text of the article) 2017. All rights reserved. No commercial use is permitted unless otherwise expressly granted.

  4. Effect of Ibuprofen on masking endodontic diagnosis.

    PubMed

    Read, Jason K; McClanahan, Scott B; Khan, Asma A; Lunos, Scott; Bowles, Walter R

    2014-08-01

    An accurate diagnosis is of upmost importance before initiating endodontic treatment; yet, there are occasions when the practitioner cannot reproduce the patient's chief complaint because the patient has become asymptomatic. Ibuprofen taken beforehand may "mask" or eliminate the patient's symptoms. In fact, 64%-83% of patients with dental pain take analgesics before seeing a dentist. The purpose of this study was to examine the possible "masking" effect of ibuprofen on endodontic diagnostic tests. Forty-two patients with endodontic pain underwent testing (cold, percussion, palpation, and bite force measurement) and then received either placebo or 800 mg ibuprofen. Both patients and operators were blinded to the medication received. One hour later, diagnostic testing was repeated and compared with pretreatment testing. Ibuprofen affected testing values for vital teeth by masking palpation 40%, percussion 25%, and cold 25% on affected teeth with symptomatic irreversible pulpitis and symptomatic apical periodontitis. There was no observed masking effect in the placebo group on palpation, percussion, or cold values. When nonvital teeth were included, the masking effect of ibuprofen was decreased. However, little masking occurred with the bite force measurement differences. Analgesics taken before the dental appointment can affect endodontic diagnostic testing results. Bite force measurements can assist in identifying the offending tooth in cases in which analgesics "mask" the endodontic diagnosis. Copyright © 2014 American Association of Endodontists. Published by Elsevier Inc. All rights reserved.

  5. Multi-aperture digital coherent combining for free-space optical communication receivers.

    PubMed

    Geisler, David J; Yarnall, Timothy M; Stevens, Mark L; Schieler, Curt M; Robinson, Bryan S; Hamilton, Scott A

    2016-06-13

    Space-to-ground optical communication systems can benefit from reducing the size, weight, and power profiles of space terminals. One way of reducing the required power-aperture product on a space platform is to implement effective, but costly, single-aperture ground terminals with large collection areas. In contrast, we present a ground terminal receiver architecture in which many small less-expensive apertures are efficiently combined to create a large effective aperture while maintaining excellent receiver sensitivity. This is accomplished via coherent detection behind each aperture followed by digitization. The digitized signals are then combined in a digital signal processing chain. Experimental results demonstrate lossless coherent combining of four lasercom signals, at power levels below 0.1 photons/bit/aperture.

  6. Fabless company mask technology approach: fabless but not fab-careless

    NASA Astrophysics Data System (ADS)

    Hisamura, Toshiyuki; Wu, Xin

    2009-10-01

    There are two different foundry-fabless working models in the aspect of mask. Some foundries have in-house mask facility while others contract with merchant mask vendors. Significant progress has been made in both kinds of situations. Xilinx as one of the pioneers of fabless semiconductor companies has been continually working very closely with both merchant mask vendors and mask facilities of foundries in past many years, contributed well in both technology development and benefited from corporations. Our involvement in manufacturing is driven by the following three elements: The first element is to understand the new fabrication and mask technologies and then find a suitable design / layout style to better utilize these new technologies and avoid potential risks. Because Xilinx has always been involved in early stage of advanced technology nodes, this early understanding and adoption is especially important. The second element is time to market. Reduction in mask and wafer manufacturing cycle-time can ensure faster time to market. The third element is quality. Commitment to quality is our highest priority for our customers. We have enough visibility on any manufacturing issues affecting the device functionality. Good correlation has consistently been observed between FPGA speed uniformity and the poly mask Critical Dimension (CD) uniformity performance. To achieve FPGA speed uniformity requirement, the manufacturing process as well as the mask and wafer CD uniformity has to be monitored. Xilinx works closely with the wafer foundries and mask suppliers to improve productivity and the yield from initial development stage of mask making operations. As an example, defect density reduction is one of the biggest challenges for mask supplier in development stage to meet the yield target satisfying the mask cost and mask turn-around-time (TAT) requirement. Historically, masks were considered to be defect free but at these advanced process nodes, that assumption no longer

  7. Is tinnitus an early voice of masked hypertension? High masked hypertension rate in patients with tinnitus.

    PubMed

    Gun, Taylan; Özkan, Selçuk; Yavuz, Bunyamin

    2018-04-23

    Tinnitus is hearing a sound without any external acoustic stimulus. There are some clues of hypertension can cause tinnitus in different ways. The aim of the study was to evaluate the relationship between tinnitus and masked hypertension including echocardiographic parameters and severity of tinnitus. This study included 88 patients with tinnitus of at least 3 months duration and 85 age and gender-matched control subjects. Tinnitus severity index was used to classify the patients with tinnitus. After a complete medical history, all subjects underwent routine laboratory examination, office blood pressure measurement, hearing tests and ambulatory blood pressure monitoring. Masked hypertension is defined as normal office blood pressure measurement and high ambulatory blood pressure level. Baseline characteristics in patients and controls were similar. Prevalence of masked hypertension was significantly higher in patients with tinnitus than controls (18.2% vs 3.5%, p = 0.002). Office diastolic BP (76 ± 8.1 vs. 72.74 ± 8.68, p = 0.01), ambulatory 24-H diastolic BP (70.2 ± 9.6 vs. 66.9 ± 6.1, p = 0.07) and ambulatory daytime diastolic BP (73.7 ± 9.5 vs. 71.1 ± 6.2, p = 0.03) was significantly higher in patients with tinnitus than control group. Tinnitus severity index in patients without masked hypertension was 0 and tinnitus severity index in patients with masked hypertension were 2 (1-5). This study demonstrated that masked hypertension must be kept in mind if there is a complaint of tinnitus without any other obvious reason.

  8. Manufacture of a conformal multilayer rf antenna substrate using excimer mask imaging technology and a 6-axis robot

    NASA Astrophysics Data System (ADS)

    Charrier, Michel; Everett, Daniel; Fieret, Jim; Karrer, Tobias; Rau, Sven; Valard, Jean-Luc

    2001-06-01

    A novel method is presented to produce a high precision pattern of copper tracks on both sides of a 4-layer conformal radar antenna made of PEI polymer and shaped as a truncated pseudo-parabolic cylinder. The antenna is an active emitter-receiver so that an accuracy of a fraction of the wavelength of the microwave radiation is required. After 2D layer design in Allegro, the resulting Gerber file-format circuits are wrapped around the antenna shape, resulting in a cutter-path file which provides the input for a postprocessor that outputs G-code for robot- and laser control. A rules file contains embedded information such as laser parameters and mask aperture related to the Allegro symbols. The robot consists of 6 axes that manipulate the antenna, and 2 axes for the mask plate. The antenna can be manipulated to an accuracy of +/- 20 micrometers over its full dimensions of 200x300x50 mm. The four layers are constructed by successive copper coating, resist coating, laser ablation, copper etching, resist removal, insulation polyimide film lamination and laser dielectric drilling for microvia holes and through-holes drilling. Applications are in space and aeronautical communication and radar detection systems, with possible extensions to automotive and mobile hand-sets, and land stations.

  9. The Keck OSIRIS Nearby AGN (KONA) Survey: AGN Fueling and Feedback

    NASA Astrophysics Data System (ADS)

    Hicks, Erin K. S.; Müller-Sánchez, Francisco; Malkan, Matthew A.; Yu, Po-Chieh

    In an effort to better constrain the relevant physical processes dictating the co-evolution of supermassive black holes and the galaxies in which they reside we turn to local Seyfert AGN. It is only with these local AGN that we can reach the spatial resolution needed to adequately characterize the inflow and outflow mechanisms thought to be the driving forces in establishing the relationship between black holes and their host galaxies at higher redshift. We present the first results from the KONA (Keck OSIRIS Nearby AGN) survey, which takes advantage of the integral field unit OSIRIS plus laser and natural guide star adaptive optics to probe down to scales of 5-30 parsecs in a sample of 40 local Seyfert galaxies. With these K-band data we measure the two-dimensional distribution and kinematics of the nuclear stars, molecular gas, and ionized gas within the central few hundred parsecs.

  10. Face mask use by patients in primary care.

    PubMed

    Tischendorf, Jessica S; Temte, Jonathan L

    2012-02-01

    Face masks are recommended for patients with respiratory symptoms to reduce influenza transmission. Little knowledge exists regarding actual utilization and acceptance of face masks in primary care. Compare distribution of face masks to clinic and community trends in respiratory infection (RI) and influenza-like illness (ILI); estimate the annual need for face masks in primary care. Retrospective observational study of practice data from a 31-week period starting in October 2009. Family practice clinic in Madison, Wis. Patients with fever, cough, or other respiratory symptoms as evaluated by reception staff. Age, sex, and weekly counts of individuals receiving a face mask, as well as counts of RI and ILI patients based on ICD-9 coding from 27 statewide clinics. Face mask counts were 80% of RI counts for the clinic and reflected the demographics of the clinic population. Distribution was correlated to prevalence of RI (R = 0.783, P < 0.001) and ILI (R = 0.632, P < 0.001). Annually, 8% of clinic visits were for RI. The high percentage of face mask use among RI patients reflects the feasibility of this intervention to help control influenza transmission in a primary care setting. Using the present data, clinics can estimate the annual need for face masks.

  11. The 2002 to 2010 mask survey trend analysis

    NASA Astrophysics Data System (ADS)

    Hughes, Greg; Chan, David

    2011-03-01

    Microelectronics industry leaders consistently cite the cost and cycle time of mask technology and mask supply as top critical issues. A survey was designed with input from semiconductor company mask technologists and merchant mask suppliers and support from SEMATECH to gather information about the mask industry as an objective assessment of its overall condition. This year's assessment was the ninth in the current series of annual reports. Its data were presented in detail at BACUS, and the detailed trend analysis is presented at EMLC. With continued industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. Results will be used to guide future investments in critical path issues. This year's survey is basically the same as the 2005 through 2010 surveys. Questions are grouped into six categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category are multiple questions that ultimately create a detailed profile of both the business and technical status of the critical mask industry.

  12. Monaural informational masking release in children and adults

    NASA Astrophysics Data System (ADS)

    Buss, Emily; Hall, Joseph W.; Grose, John H.

    2004-05-01

    Informational masking refers to an elevation in signal threshold due to stimulus uncertainty, rather than to energetic masking. This study assessed informational masking and utilization of cues to reduce that masking in children aged 5-9 and adults. We used a manipulation introduced by Kidd et al. [J. Acoust. Soc. Am. 95, 3475-3480 (1994)] in which the signal was a train of eight consecutive tone bursts, each at 1 kHz and 60 ms in duration. Maskers were comprised of a pair of synchronous tone-burst trains whose frequencies were selected from the range spanning 0.2-5 kHz, with a protected region 851-1175 Hz. In the reference condition, where informational masking is pronounced, these maskers were eight bursts and had a fixed frequency within each interval, with new frequencies chosen randomly prior to each interval. Two conditions of masking release were tested: random frequency selection for each masker burst and a masker leading fringe of two additional 60-ms bursts. Both children and adults showed a significant informational masking effect, with children showing a larger effect. Both groups also showed significant release from masking, though initial results suggest that this may have been reduced in the youngest children. [Work supported by NIH, RO1 DC00397.

  13. Visual Masking in Schizophrenia: Overview and Theoretical Implications

    PubMed Central

    Green, Michael F.; Lee, Junghee; Wynn, Jonathan K.; Mathis, Kristopher I.

    2011-01-01

    Visual masking provides several key advantages for exploring the earliest stages of visual processing in schizophrenia: it allows for control over timing at the millisecond level, there are several well-supported theories of the underlying neurobiology of visual masking, and it is amenable to examination by electroencephalogram (EEG) and functional magnetic resonance imaging (fMRI). In this paper, we provide an overview of the visual masking impairment schizophrenia, including the relevant theoretical mechanisms for masking impairment. We will discuss its relationship to clinical symptoms, antipsychotic medications, diagnostic specificity, and presence in at-risk populations. As part of this overview, we will cover the neural correlates of visual masking based on recent findings from EEG and fMRI. Finally, we will suggest a possible mechanism that could explain the patterns of masking findings and other visual processing findings in schizophrenia. PMID:21606322

  14. Influence of face mask design on bag-valve-mask ventilation performance: a randomized simulation study.

    PubMed

    Na, J U; Han, S K; Choi, P C; Cho, J H; Shin, D H

    2013-10-01

    Different face mask designs can influence bag-valve-mask (BVM) ventilation performance during resuscitation. We compared a single-use, air-cushioned face mask (AM) with a reusable silicone face mask (SM) for quality of BVM ventilation on a manikin simulating cardiac arrest. Thirty-two physicians were recruited, and a prospective, randomized, crossover observational study was conducted after an American Heart Association-accredited basic life support provider course and standardized practice time were completed. Participants performed 12 cycles of BVM ventilation with both the AM and SM on a SmartMan lung simulator. Mean tidal volume was significantly higher in ventilations performed using the AM vs. the SM (548 ± 159 ml vs. 439 ± 163 ml, P < 0.01). In addition, the proportion of low-volume ventilation was significantly lower with the AM than the SM [6/12 (2-11) vs. 9/12 (5-12), P = 0.03]. Bag-valve-AM ventilation volume was not affected by the physical characteristics of the rescuers, except for sex. In contrast, bag-valve-SM ventilation volume was affected by most of the characteristics tested, including sex, height, weight, hand width, hand length, and grip power. The AM seems to be a more efficient face mask than the SM at delivering sufficient ventilation volumes. The performance of the AM did not seem to be associated with the physical characteristics of the rescuers, whereas that of the SM was affected by these factors. The SM may not be an appropriate face mask for performing one-person BVM ventilation during resuscitation for rescuers who are smaller in stature, have a smaller hand size, or have weaker grip power. © 2013 The Acta Anaesthesiologica Scandinavica Foundation. Published by John Wiley & Sons Ltd.

  15. Noninvasive CPAP with face mask: comparison among new air-entrainment masks and the Boussignac valve.

    PubMed

    Mistraletti, Giovanni; Giacomini, Matteo; Sabbatini, Giovanni; Pinciroli, Riccardo; Mantovani, Elena S; Umbrello, Michele; Palmisano, Debora; Formenti, Paolo; Destrebecq, Anne L L; Iapichino, Gaetano

    2013-02-01

    The performances of 2 noninvasive CPAP systems (high flow and low flow air-entrainment masks) were compared to the Boussignac valve in 3 different scenarios. Scenario 1: pneumatic lung simulator with a tachypnea pattern (tidal volume 800 mL at 40 breaths/min). Scenario 2: Ten healthy subjects studied during tidal breaths and tachypnea. Scenario 3: Twenty ICU subjects enrolled for a noninvasive CPAP session. Differences between set and effective CPAP level and F(IO(2)), as well as the lowest airway pressure and the pressure swing around the imposed CPAP level, were analyzed. The lowest airway pressure and swing were correlated to the pressure-time product (area of the airway pressure curve below the CPAP level) measured with the simulator. P(aO(2)) was a subject's further performance index. Lung simulator: Boussignac F(IO(2)) was 0.54, even if supplied with pure oxygen. The air-entrainment masks had higher swing than the Boussignac (P = .007). Pressure-time product correlated better with pressure swing (Spearman correlation coefficient [ρ] = 0.97) than with lowest airway pressure (ρ = 0.92). In healthy subjects, the high-flow air-entrainment mask showed lower difference between set and effective F(IO(2)) (P < .001), and lowest airway pressure (P < .001), compared to the Boussignac valve. In all measurements the Boussignac valve showed higher than imposed CPAP level (P < .001). In ICU subjects the high-flow mask had lower swing than the Boussignac valve (P = .03) with similar P(aO(2)) increase. High-flow air-entrainment mask showed the best performance in human subjects. During high flow demand, the Boussignac valve delivered lower than expected F(IO(2)) and showed higher dynamic hyper-pressurization than the air-entrainment masks. © 2013 Daedalus Enterprises.

  16. Quantitative model of transport-aperture coordination during reach-to-grasp movements.

    PubMed

    Rand, Miya K; Shimansky, Y P; Hossain, Abul B M I; Stelmach, George E

    2008-06-01

    It has been found in our previous studies that the initiation of aperture closure during reach-to-grasp movements occurs when the hand distance to target crosses a threshold that is a function of peak aperture amplitude, hand velocity, and hand acceleration. Thus, a stable relationship between those four movement parameters is observed at the moment of aperture closure initiation. Based on the concept of optimal control of movements (Naslin 1969) and its application for reach-to-grasp movement regulation (Hoff and Arbib 1993), it was hypothesized that the mathematical equation expressing that relationship can be generalized to describe coordination between hand transport and finger aperture during the entire reach-to-grasp movement by adding aperture velocity and acceleration to the above four movement parameters. The present study examines whether this hypothesis is supported by the data obtained in experiments in which young adults performed reach-to-grasp movements in eight combinations of two reach-amplitude conditions and four movement-speed conditions. It was found that linear approximation of the mathematical model described the relationship among the six movement parameters for the entire aperture-closure phase with very high precision for each condition, thus supporting the hypothesis for that phase. Testing whether one mathematical model could approximate the data across all the experimental conditions revealed that it was possible to achieve the same high level of data-fitting precision only by including in the model two additional, condition-encoding parameters and using a nonlinear, artificial neural network-based approximator with two hidden layers comprising three and two neurons, respectively. This result indicates that transport-aperture coordination, as a specific relationship between the parameters of hand transport and finger aperture, significantly depends on the condition-encoding variables. The data from the aperture-opening phase also fit a

  17. A New MRI Masking Technique Based on Multi-Atlas Brain Segmentation in Controls and Schizophrenia: A Rapid and Viable Alternative to Manual Masking.

    PubMed

    Del Re, Elisabetta C; Gao, Yi; Eckbo, Ryan; Petryshen, Tracey L; Blokland, Gabriëlla A M; Seidman, Larry J; Konishi, Jun; Goldstein, Jill M; McCarley, Robert W; Shenton, Martha E; Bouix, Sylvain

    2016-01-01

    Brain masking of MRI images separates brain from surrounding tissue and its accuracy is important for further imaging analyses. We implemented a new brain masking technique based on multi-atlas brain segmentation (MABS) and compared MABS to masks generated using FreeSurfer (FS; version 5.3), Brain Extraction Tool (BET), and Brainwash, using manually defined masks (MM) as the gold standard. We further determined the effect of different masking techniques on cortical and subcortical volumes generated by FreeSurfer. Images were acquired on a 3-Tesla MR Echospeed system General Electric scanner on five control and five schizophrenia subjects matched on age, sex, and IQ. Automated masks were generated from MABS, FS, BET, and Brainwash, and compared to MM using these metrics: a) volume difference from MM; b) Dice coefficients; and c) intraclass correlation coefficients. Mean volume difference between MM and MABS masks was significantly less than the difference between MM and FS or BET masks. Dice coefficient between MM and MABS was significantly higher than Dice coefficients between MM and FS, BET, or Brainwash. For subcortical and left cortical regions, MABS volumes were closer to MM volumes than were BET or FS volumes. For right cortical regions, MABS volumes were closer to MM volumes than were BET volumes. Brain masks generated using FreeSurfer, BET, and Brainwash are rapidly obtained, but are less accurate than manually defined masks. Masks generated using MABS, in contrast, resemble more closely the gold standard of manual masking, thereby offering a rapid and viable alternative. Copyright © 2015 by the American Society of Neuroimaging.

  18. Exoplanet Coronagraph Shaped Pupil Masks and Laboratory Scale Star Shade Masks: Design, Fabrication and Characterization

    NASA Technical Reports Server (NTRS)

    Balasubramanian, Kunjithapatha; White, Victor; Yee, Karl; Echternach, Pierre; Muller, Richard; Dickie, Matthew; Cady, Eric; Mejia Prada, Camilo; Ryan, Daniel; Poberezhskiy, Ilya; hide

    2015-01-01

    Star light suppression technologies to find and characterize faint exoplanets include internal coronagraph instruments as well as external star shade occulters. Currently, the NASA WFIRST-AFTA mission study includes an internal coronagraph instrument to find and characterize exoplanets. Various types of masks could be employed to suppress the host star light to about 10 -9 level contrast over a broad spectrum to enable the coronagraph mission objectives. Such masks for high contrast internal coronagraphic imaging require various fabrication technologies to meet a wide range of specifications, including precise shapes, micron scale island features, ultra-low reflectivity regions, uniformity, wave front quality, achromaticity, etc. We present the approaches employed at JPL to produce pupil plane and image plane coronagraph masks by combining electron beam, deep reactive ion etching, and black silicon technologies with illustrative examples of each, highlighting milestone accomplishments from the High Contrast Imaging Testbed (HCIT) at JPL and from the High Contrast Imaging Lab (HCIL) at Princeton University. We also present briefly the technologies applied to fabricate laboratory scale star shade masks.

  19. Exoplanet coronagraph shaped pupil masks and laboratory scale star shade masks: design, fabrication and characterization

    NASA Astrophysics Data System (ADS)

    Balasubramanian, Kunjithapatham; White, Victor; Yee, Karl; Echternach, Pierre; Muller, Richard; Dickie, Matthew; Cady, Eric; Mejia Prada, Camilo; Ryan, Daniel; Poberezhskiy, Ilya; Zhou, Hanying; Kern, Brian; Riggs, A. J.; Zimmerman, Neil T.; Sirbu, Dan; Shaklan, Stuart; Kasdin, Jeremy

    2015-09-01

    Star light suppression technologies to find and characterize faint exoplanets include internal coronagraph instruments as well as external star shade occulters. Currently, the NASA WFIRST-AFTA mission study includes an internal coronagraph instrument to find and characterize exoplanets. Various types of masks could be employed to suppress the host star light to about 10-9 level contrast over a broad spectrum to enable the coronagraph mission objectives. Such masks for high contrast internal coronagraphic imaging require various fabrication technologies to meet a wide range of specifications, including precise shapes, micron scale island features, ultra-low reflectivity regions, uniformity, wave front quality, achromaticity, etc. We present the approaches employed at JPL to produce pupil plane and image plane coronagraph masks by combining electron beam, deep reactive ion etching, and black silicon technologies with illustrative examples of each, highlighting milestone accomplishments from the High Contrast Imaging Testbed (HCIT) at JPL and from the High Contrast Imaging Lab (HCIL) at Princeton University. We also present briefly the technologies applied to fabricate laboratory scale star shade masks.

  20. Dwarf planet Ceres: Ellipsoid dimensions and rotational pole from Keck and VLT adaptive optics images

    NASA Astrophysics Data System (ADS)

    Drummond, J. D.; Carry, B.; Merline, W. J.; Dumas, C.; Hammel, H.; Erard, S.; Conrad, A.; Tamblyn, P.; Chapman, C. R.

    2014-07-01

    The dwarf planet (1) Ceres, the largest object between Mars and Jupiter, is the target of the NASA Dawn mission, and we seek a comprehensive description of the spin-axis orientation and dimensions of Ceres in order to support the early science operations at the rendezvous in 2015. We have obtained high-angular resolution images using adaptive optics cameras at the W.M. Keck Observatory and the ESO VLT over ten dates between 2001 and 2010, confirming that the shape of Ceres is well described by an oblate spheroid. We derive equatorial and polar diameters of 967 ± 10 km and 892 ± 10 km, respectively, for a model that includes fading of brightness towards the terminator, presumably linked to limb darkening. These dimensions lie between values derived from a previous analysis of a subset of these images obtained at Keck by Carry et al. (Carry et al. [2008]. Astron. Astrophys. 478 (4), 235-244) and a study of Hubble Space Telescope observations (Thomas et al. [2005]. Nature 437, 224-226). Although the dimensions are 1-2% smaller than those found from the HST, the oblateness is similar. We find the spin-vector coordinates of Ceres to lie at (287°, +64°) in equatorial EQJ2000 reference frame (346°, +82° in ecliptic ECJ2000 coordinates), yielding a small obliquity of 3°. While this is in agreement with the aforementioned studies, we have improved the accuracy of the pole determination, which we set at a 3° radius.

  1. KECK NIRSPEC RADIAL VELOCITY OBSERVATIONS OF LATE-M DWARFS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tanner, Angelle; White, Russel; Bailey, John

    2012-11-15

    We present the results of an infrared spectroscopic survey of 23 late-M dwarfs with the NIRSPEC echelle spectrometer on the Keck II telescope. Using telluric lines for wavelength calibration, we are able to achieve measurement precisions of down to 45 m s{sup -1} for our late-M dwarfs over a one- to four-year long baseline. Our sample contains two stars with radial velocity (RV) variations of >1000 m s{sup -1}. While we require more measurements to determine whether these RV variations are due to unseen planetary or stellar companions or are the result of starspots known to plague the surface ofmore » M dwarfs, we can place upper limits of <40 M{sub J} sin i on the masses of any companions around those two M dwarfs with RV variations of <160 m s{sup -1} at orbital periods of 10-100 days. We have also measured the rotational velocities for all the stars in our late-M dwarf sample and offer our multi-order, high-resolution spectra over 2.0-2.4 {mu}m to the atmospheric modeling community to better understand the atmospheres of late-M dwarfs.« less

  2. The Discovery of a Companion to the Very Cool Dwarf Gliese 569B with the Keck Adaptive Optics Facility.

    PubMed

    Martín; Koresko; Kulkarni; Lane; Wizinowich

    2000-01-20

    We report observations obtained with the Keck adaptive optics facility of the nearby (d=9.8 pc) binary Gl 569. The system was known to be composed of a cool primary (dM2) and a very cool secondary (dM8.5) with a separation of 5&arcsec; (49 AU). We have found that Gl 569B is itself double with a separation of only 0&farcs;101+/-0&farcs;002 (1 AU). This detection demonstrates the superb spatial resolution that can be achieved with adaptive optics at Keck. The difference in brightness between Gl 569B and the companion is approximately 0.5 mag in the J, H, and K&arcmin; bands. Thus, both objects have similarly red colors and very likely constitute a very low mass binary system. For reasonable assumptions about the age (0.12-1.0 Gyr) and total mass of the system (0.09-0.15 M middle dot in circle), we estimate that the orbital period is approximately 3 yr. Follow-up observations will allow us to obtain an astrometric orbit solution and will yield direct dynamical masses that can constrain evolutionary models of very low mass stars and brown dwarfs.

  3. DUV mask writer for BEOL 90-nm technology layers

    NASA Astrophysics Data System (ADS)

    Hong, Dongsung; Krishnan, Prakash; Coburn, Dianna; Jeewakhan, Nazneen; Xie, Shengqi; Broussard, Joshua; Ferguson, Bradley; Green, Kent G.; Buck, Peter; Jackson, Curt A.; Martinez, Larry

    2003-12-01

    Mask CD resolution and uniformity requirements for back end of line (BEOL) layers for the 90nm Technology Node push the capability of I-line mask writers; yet, do not require the capability offered by more expensive 50KeV ebeam mask writers. This suite of mask layers seems to be a perfect match for the capabilities of the DUV mask writing tools, which offer a lower cost option to the 50KeV platforms. This paper will evaluate both the mask and wafer results from all three platforms of mask writers (50KeV VSB,ETEC Alta 4300TM DUV laser and ETEC Alta 3500TM I-line laser) for a Cypress 90nm node Metal 1 layer, and demonstrate the benefits of the DUV platform with no change to OPC for this layer.

  4. Phase-shifting point diffraction interferometer mask designs

    DOEpatents

    Goldberg, Kenneth Alan

    2001-01-01

    In a phase-shifting point diffraction interferometer, different image-plane mask designs can improve the operation of the interferometer. By keeping the test beam window of the mask small compared to the separation distance between the beams, the problem of energy from the reference beam leaking through the test beam window is reduced. By rotating the grating and mask 45.degree., only a single one-dimensional translation stage is required for phase-shifting. By keeping two reference pinholes in the same orientation about the test beam window, only a single grating orientation, and thus a single one-dimensional translation stage, is required. The use of a two-dimensional grating allows for a multiplicity of pinholes to be used about the pattern of diffracted orders of the grating at the mask. Orientation marks on the mask can be used to orient the device and indicate the position of the reference pinholes.

  5. Simulation based mask defect repair verification and disposition

    NASA Astrophysics Data System (ADS)

    Guo, Eric; Zhao, Shirley; Zhang, Skin; Qian, Sandy; Cheng, Guojie; Vikram, Abhishek; Li, Ling; Chen, Ye; Hsiang, Chingyun; Zhang, Gary; Su, Bo

    2009-10-01

    As the industry moves towards sub-65nm technology nodes, the mask inspection, with increased sensitivity and shrinking critical defect size, catches more and more nuisance and false defects. Increased defect counts pose great challenges in the post inspection defect classification and disposition: which defect is real defect, and among the real defects, which defect should be repaired and how to verify the post-repair defects. In this paper, we address the challenges in mask defect verification and disposition, in particular, in post repair defect verification by an efficient methodology, using SEM mask defect images, and optical inspection mask defects images (only for verification of phase and transmission related defects). We will demonstrate the flow using programmed mask defects in sub-65nm technology node design. In total 20 types of defects were designed including defects found in typical real circuit environments with 30 different sizes designed for each type. The SEM image was taken for each programmed defect after the test mask was made. Selected defects were repaired and SEM images from the test mask were taken again. Wafers were printed with the test mask before and after repair as defect printability references. A software tool SMDD-Simulation based Mask Defect Disposition-has been used in this study. The software is used to extract edges from the mask SEM images and convert them into polygons to save in GDSII format. Then, the converted polygons from the SEM images were filled with the correct tone to form mask patterns and were merged back into the original GDSII design file. This merge is for the purpose of contour simulation-since normally the SEM images cover only small area (~1 μm) and accurate simulation requires including larger area of optical proximity effect. With lithography process model, the resist contour of area of interest (AOI-the area surrounding a mask defect) can be simulated. If such complicated model is not available, a simple

  6. A closer look at four-dot masking of a foveated target

    PubMed Central

    Wilson, Hugh R.

    2016-01-01

    Four-dot masking with a common onset mask was recently demonstrated in a fully attended and foveated target (Filmer, Mattingley & Dux, 2015). Here, we replicate and extend this finding by directly comparing a four-dot mask with an annulus mask while probing masking as a function of mask duration, and target-mask separation. Our results suggest that while an annulus mask operates via spatially local contour interactions, a four-dot mask operates through spatially global mechanisms. We also measure how the visual system’s representation of an oriented bar is impacted by a four-dot mask, and find that masking here does not degrade the precision of perceived targets, but instead appears to be driven exclusively by rendering the target completely invisible. PMID:27280073

  7. Direct Detection and Orbit Analysis of the Exoplanets HR 8799 bcd from Archival 2005 Keck/NIRC2 Data

    NASA Technical Reports Server (NTRS)

    Currie, Thayne; Fukagawa, Misato; Thalmann, Christian; Matsumura, Soko; Plavchan, Peter

    2012-01-01

    We present previously unpublished July 2005 H-band coronagraphic data of the young, planet-hosting star HR 8799 from the newly-released Keck/NIRC2 archive. Despite poor observing conditions, we detect three of the planets (HR 8799 bcd), two of them (HR 8799 bc) without advanced image processing. Comparing these data with previously published 1998-2011 astrometry and that from re-reduced October 2010 Keck data constrains the orbits of the planets. Analyzing the planets' astrometry separately, HR 8799 d's orbit is likely inclined at least 25 deg from face-on and the others may be on in inclined orbits. For semimajor axis ratios consistent with a 4:2:1 mean-motion resonance our analysis yields precise values for HR 8799 bcd's orbital parameters and strictly constrains the planets' eccentricities to be less than 0.18-0.3. However, we find no acceptable orbital solutions with this resonance that place the planets in face-on orbits; HR 8799 d shows the largest deviation from such orbits. Moreover, few orbits make HR 8799 d coplanar with b and c, whereas dynamical stability analyses used to constrain the planets' masses typically assume coplanar and/or fare.on orbits. This paper illustrates the significant science gain enabled with the release of the NIRC2 archive.

  8. Functional neuroanatomy of visual masking deficits in schizophrenia.

    PubMed

    Green, Michael F; Lee, Junghee; Cohen, Mark S; Engel, Steven A; Korb, Alexander S; Nuechterlein, Keith H; Wynn, Jonathan K; Glahn, David C

    2009-12-01

    Visual masking procedures assess the earliest stages of visual processing. Patients with schizophrenia reliably show deficits on visual masking, and these procedures have been used to explore vulnerability to schizophrenia, probe underlying neural circuits, and help explain functional outcome. To identify and compare regional brain activity associated with one form of visual masking (ie, backward masking) in schizophrenic patients and healthy controls. Subjects received functional magnetic resonance imaging scans. While in the scanner, subjects performed a backward masking task and were given 3 functional localizer activation scans to identify early visual processing regions of interest (ROIs). University of California, Los Angeles, and the Department of Veterans Affairs Greater Los Angeles Healthcare System. Nineteen patients with schizophrenia and 19 healthy control subjects. Main Outcome Measure The magnitude of the functional magnetic resonance imaging signal during backward masking. Two ROIs (lateral occipital complex [LO] and the human motion selective cortex [hMT+]) showed sensitivity to the effects of masking, meaning that signal in these areas increased as the target became more visible. Patients had lower activation than controls in LO across all levels of visibility but did not differ in other visual processing ROIs. Using whole-brain analyses, we also identified areas outside the ROIs that were sensitive to masking effects (including bilateral inferior parietal lobe and thalamus), but groups did not differ in signal magnitude in these areas. The study results support a key role in LO for visual masking, consistent with previous studies in healthy controls. The current results indicate that patients fail to activate LO to the same extent as controls during visual processing regardless of stimulus visibility, suggesting a neural basis for the visual masking deficit, and possibly other visual integration deficits, in schizophrenia.

  9. Synthetic aperture radar range - Azimuth ambiguity design and constraints

    NASA Technical Reports Server (NTRS)

    Mehlis, J. G.

    1980-01-01

    Problems concerning the design of a system for mapping a planetary surface with a synthetic aperture radar (SAR) are considered. Given an ambiguity level, resolution, and swath width, the problems are related to the determination of optimum antenna apertures and the most suitable pulse repetition frequency (PRF). From the set of normalized azimuth ambiguity ratio curves, the designer can arrive at the azimuth antenna length, and from the sets of normalized range ambiguity ratio curves, he can arrive at the range aperture length or pulse repetition frequency. A procedure based on this design method is shown in an example. The normalized curves provide results for a SAR using a uniformly or cosine weighted rectangular antenna aperture.

  10. 3D synthetic aperture for controlled-source electromagnetics

    NASA Astrophysics Data System (ADS)

    Knaak, Allison

    Locating hydrocarbon reservoirs has become more challenging with smaller, deeper or shallower targets in complicated environments. Controlled-source electromagnetics (CSEM), is a geophysical electromagnetic method used to detect and derisk hydrocarbon reservoirs in marine settings, but it is limited by the size of the target, low-spatial resolution, and depth of the reservoir. To reduce the impact of complicated settings and improve the detecting capabilities of CSEM, I apply synthetic aperture to CSEM responses, which virtually increases the length and width of the CSEM source by combining the responses from multiple individual sources. Applying a weight to each source steers or focuses the synthetic aperture source array in the inline and crossline directions. To evaluate the benefits of a 2D source distribution, I test steered synthetic aperture on 3D diffusive fields and view the changes with a new visualization technique. Then I apply 2D steered synthetic aperture to 3D noisy synthetic CSEM fields, which increases the detectability of the reservoir significantly. With more general weighting, I develop an optimization method to find the optimal weights for synthetic aperture arrays that adapts to the information in the CSEM data. The application of optimally weighted synthetic aperture to noisy, simulated electromagnetic fields reduces the presence of noise, increases detectability, and better defines the lateral extent of the target. I then modify the optimization method to include a term that minimizes the variance of random, independent noise. With the application of the modified optimization method, the weighted synthetic aperture responses amplifies the anomaly from the reservoir, lowers the noise floor, and reduces noise streaks in noisy CSEM responses from sources offset kilometers from the receivers. Even with changes to the location of the reservoir and perturbations to the physical properties, synthetic aperture is still able to highlight targets

  11. Coded aperture solution for improving the performance of traffic enforcement cameras

    NASA Astrophysics Data System (ADS)

    Masoudifar, Mina; Pourreza, Hamid Reza

    2016-10-01

    A coded aperture camera is proposed for automatic license plate recognition (ALPR) systems. It captures images using a noncircular aperture. The aperture pattern is designed for the rapid acquisition of high-resolution images while preserving high spatial frequencies of defocused regions. It is obtained by minimizing an objective function, which computes the expected value of perceptual deblurring error. The imaging conditions and camera sensor specifications are also considered in the proposed function. The designed aperture improves the depth of field (DoF) and subsequently ALPR performance. The captured images can be directly analyzed by the ALPR software up to a specific depth, which is 13 m in our case, though it is 11 m for the circular aperture. Moreover, since the deblurring results of images captured by our aperture yield fewer artifacts than those captured by the circular aperture, images can be first deblurred and then analyzed by the ALPR software. In this way, the DoF and recognition rate can be improved at the same time. Our case study shows that the proposed camera can improve the DoF up to 17 m while it is limited to 11 m in the conventional aperture.

  12. Coatings on reflective mask substrates

    DOEpatents

    Tong, William Man-Wai; Taylor, John S.; Hector, Scott D.; Mangat, Pawitter J. S.; Stivers, Alan R.; Kofron, Patrick G.; Thompson, Matthew A.

    2002-01-01

    A process for creating a mask substrate involving depositing: 1) a coating on one or both sides of a low thermal expansion material EUVL mask substrate to improve defect inspection, surface finishing, and defect levels; and 2) a high dielectric coating, on the backside to facilitate electrostatic chucking and to correct for any bowing caused by the stress imbalance imparted by either other deposited coatings or the multilayer coating of the mask substrate. An film, such as TaSi, may be deposited on the front side and/or back of the low thermal expansion material before the material coating to balance the stress. The low thermal expansion material with a silicon overlayer and a silicon and/or other conductive underlayer enables improved defect inspection and stress balancing.

  13. 46 CFR 197.322 - Surface-supplied helmets and masks.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 46 Shipping 7 2010-10-01 2010-10-01 false Surface-supplied helmets and masks. 197.322 Section 197... helmets and masks. (a) Each surface-supplied helmet or mask must have— (1) A nonreturn valve at the attachment point between helmet or mask and umbilical that closes readily and positively; (2) An exhaust...

  14. Mid-frequency MTF compensation of optical sparse aperture system.

    PubMed

    Zhou, Chenghao; Wang, Zhile

    2018-03-19

    Optical sparse aperture (OSA) can greatly improve the spatial resolution of optical system. However, because of its aperture dispersion and sparse, its mid-frequency modulation transfer function (MTF) are significantly lower than that of a single aperture system. The main focus of this paper is on the mid-frequency MTF compensation of the optical sparse aperture system. Firstly, the principle of the mid-frequency MTF decreasing and missing of optical sparse aperture are analyzed. This paper takes the filling factor as a clue. The method of processing the mid-frequency MTF decreasing with large filling factor and method of compensation mid-frequency MTF with small filling factor are given respectively. For the MTF mid-frequency decreasing, the image spatial-variant restoration method is proposed to restore the mid-frequency information in the image; for the mid-frequency MTF missing, two images obtained by two system respectively are fused to compensate the mid-frequency information in optical sparse aperture image. The feasibility of the two method are analyzed in this paper. The numerical simulation of the system and algorithm of the two cases are presented using Zemax and Matlab. The results demonstrate that by these two methods the mid-frequency MTF of OSA system can be compensated effectively.

  15. Extension of optical lithography by mask-litho integration with computational lithography

    NASA Astrophysics Data System (ADS)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  16. Functionalized apertures for the detection of chemical and biological materials

    DOEpatents

    Letant, Sonia E.; van Buuren, Anthony W.; Terminello, Louis J.; Thelen, Michael P.; Hope-Weeks, Louisa J.; Hart, Bradley R.

    2010-12-14

    Disclosed are nanometer to micron scale functionalized apertures constructed on a substrate made of glass, carbon, semiconductors or polymeric materials that allow for the real time detection of biological materials or chemical moieties. Many apertures can exist on one substrate allowing for the simultaneous detection of numerous chemical and biological molecules. One embodiment features a macrocyclic ring attached to cross-linkers, wherein the macrocyclic ring has a biological or chemical probe extending through the aperture. Another embodiment achieves functionalization by attaching chemical or biological anchors directly to the walls of the apertures via cross-linkers.

  17. Mosaic of coded aperture arrays

    DOEpatents

    Fenimore, Edward E.; Cannon, Thomas M.

    1980-01-01

    The present invention pertains to a mosaic of coded aperture arrays which is capable of imaging off-axis sources with minimum detector size. Mosaics of the basic array pattern create a circular on periodic correlation of the object on a section of the picture plane. This section consists of elements of the central basic pattern as well as elements from neighboring patterns and is a cyclic version of the basic pattern. Since all object points contribute a complete cyclic version of the basic pattern, a section of the picture, which is the size of the basic aperture pattern, contains all the information necessary to image the object with no artifacts.

  18. Observation of enhanced spontaneous emission in dielectrically apertured microcavities

    NASA Astrophysics Data System (ADS)

    Graham, Luke Alan

    The effects of enhanced spontaneous emission are important in determining the low threshold characteristics of oxide confined vertical cavity semiconductor lasers. This enhancement effect increases as Q/V, where Q = λ/Δλ for the cavity and V is the mode volume. In particular we investigate the effects of mode diameter on enhancement in microcavity structures with successively smaller dielectric apertures. These structures were fabricated by etching and back filling with SiO 2 and by lateral steam oxidation. For both cavities, InAlGaAs quantum dot emitters were used in the active region in order to avoid carrier diffusion and recombination at the side walls. Decay data was obtained at 10 K using time resolved photoluminescence of individual microcavities, and arrays. The detector used here is based on a silicon avalanche photodiode operated in ``Geiger'' mode. It provides a resolution of 350 ps and a quantum efficiency of ~1% at a wavelength of 1 μm. For the etched aperture structures we observed enhancement factors as high as 1.4 for the 1 μm diameter cavities with a maximum Q ~ 200. The enhancement is limited by the low Qs induced by etched side wall scattering. For 1 μm apertures fabricated by lateral steam oxidation, a Q of 450 is obtained with an enhancement factor of 2.3. In these devices we show that the enhancement is limited by distribution of quantum dots throughout the aperture region. Dots resonant with the cavity and located along the aperture edge decay more slowly than those in the center, leading to spatial hole burning effects in the decay data. Microcavities with aperture sizes ranging from 1-5 μm and Qs greater than 5000 are also demonstrated. We show 0th and 1 st order mode spacings as a function of aperture size and from this data calculate the transverse optical mode diameter as a function of aperture diameter. We find that the optical mode size becomes larger than the aperture size for diameters of ~2.5 μm and below and that this is

  19. Energy acceptance and on momentum aperture optimization for the Sirius project

    NASA Astrophysics Data System (ADS)

    Dester, P. S.; Sá, F. H.; Liu, L.

    2017-07-01

    A fast objective function to calculate Touschek lifetime and on momentum aperture is essential to explore the vast search space of strength of quadrupole and sextupole families in Sirius. Touschek lifetime is estimated by using the energy aperture (dynamic and physical), RF system parameters and driving terms. Non-linear induced betatron oscillations are considered to determine the energy aperture. On momentum aperture is estimated by using a chaos indicator and resonance crossing considerations. Touschek lifetime and on momentum aperture constitute the objective function, which was used in a multi-objective genetic algorithm to perform an optimization for Sirius.

  20. Individual differences in metacontrast masking regarding sensitivity and response bias.

    PubMed

    Albrecht, Thorsten; Mattler, Uwe

    2012-09-01

    In metacontrast masking target visibility is modulated by the time until a masking stimulus appears. The effect of this temporal delay differs across participants in such a way that individual human observers' performance shows distinguishable types of masking functions which remain largely unchanged for months. Here we examined whether individual differences in masking functions depend on different response criteria in addition to differences in discrimination sensitivity. To this end we reanalyzed previously published data and conducted a new experiment for further data analyses. Our analyses demonstrate that a distinction of masking functions based on the type of masking stimulus is superior to a distinction based on the target-mask congruency. Individually different masking functions are based on individual differences in discrimination sensitivities and in response criteria. Results suggest that individual differences in metacontrast masking result from individually different criterion contents. Copyright © 2012 Elsevier Inc. All rights reserved.

  1. Development of EUV mask handling technology at MIRAI-Selete

    NASA Astrophysics Data System (ADS)

    Ota, Kazuya; Amemiya, Mitsuaki; Taguchi, Takao; Kamono, Takashi; Kubo, Hiroyoshi; Takikawa, Tadahiko; Usui, Yoichi; Suga, Osamu

    2007-03-01

    We, MIRAI-Selete, started a new EUV mask program in April, 2006. Development of EUV mask handling technology is one of the key areas of the program. We plan to develop mask handling technology and to evaluate EUV mask carriers using Lasertec M3350, a particle inspection tool with the defect sensitivity less than 50nm PSL, and Mask Protection Engineering Tool (named "MPE Tool"). M3350 is a newly developed tool based on a conventional M1350 for EUV blanks inspection. Since our M3350 has a blank flipping mechanism in it, we can inspect the front and the back surface of the blank automatically. We plan to use the M3350 for evaluating particle adders during mask shipping, storage and handling. MPE Tool is a special tool exclusively developed for demonstration of pellicleless mask handling. It can handle a mask within a protective enclosure, which Canon and Nikon have been jointly proposing1, and also, can be modified to handle other type of carrier as the need arises.

  2. Solar energy apparatus with apertured shield

    NASA Technical Reports Server (NTRS)

    Collings, Roger J. (Inventor); Bannon, David G. (Inventor)

    1989-01-01

    A protective apertured shield for use about an inlet to a solar apparatus which includesd a cavity receiver for absorbing concentrated solar energy. A rigid support truss assembly is fixed to the periphery of the inlet and projects radially inwardly therefrom to define a generally central aperture area through which solar radiation can pass into the cavity receiver. A non-structural, laminated blanket is spread over the rigid support truss in such a manner as to define an outer surface area and an inner surface area diverging radially outwardly from the central aperture area toward the periphery of the inlet. The outer surface area faces away from the inlet and the inner surface area faces toward the cavity receiver. The laminated blanket includes at least one layer of material, such as ceramic fiber fabric, having high infra-red emittance and low solar absorption properties, and another layer, such as metallic foil, of low infra-red emittance properties.

  3. An investigation into the efficiency of disposable face masks.

    PubMed Central

    Rogers, K B

    1980-01-01

    Disposable face masks used in hospitals have been assessed for the protection afforded the patient and the wearer by challenges of simulated natural conditions of stress. Operating theatre masks made of synthetic materials allow the wearer to breathe through the masks, and these have been shown to protect the patient well but the wearer slightly less. Cheaper paper masks are worn for ward duties, and of these only the Promask protected in area in front of the wearer: air does not pass through this mask, expired air is prevented from passing forward, and the wearer breathes unfiltered air. All the other paper masks tested allowed many bacteria-laden particles to pass through them. PMID:7440756

  4. Face mask ventilation--the dos and don'ts.

    PubMed

    Wood, Fiona E; Morley, Colin J

    2013-12-01

    Face mask ventilation provides respiratory support to newly born or sick infants. It is a challenging technique and difficult to ensure that an appropriate tidal volume is delivered because large and variable leaks occur between the mask and face; airway obstruction may also occur. Technique is more important than the mask shape although the size must appropriately fit the face. The essence of the technique is to roll the mask on to the face from the chin while avoiding the eyes, with a finger and thumb apply a strong even downward pressure to the top of the mask, away from the stem and sloped sides or skirt of the mask, place the other fingers under the jaw and apply a similar upward pressure. Preterm infants require continuous end-expiratory pressure to facilitate lung aeration and maintain lung volume. This is best done with a T-piece device, not a self-inflating or flow-inflating bag. Copyright © 2013 Elsevier Ltd. All rights reserved.

  5. Pattern Inspection of EUV Masks Using DUV Light

    NASA Astrophysics Data System (ADS)

    Liang, Ted; Tejnil, Edita; Stivers, Alan R.

    2002-12-01

    Inspection of extreme ultraviolet (EUV) lithography masks requires reflected light and this poses special challenges for inspection tool suppliers as well as for mask makers. Inspection must detect all the printable defects in the absorber pattern as well as printable process-related defects. Progress has been made under the NIST ATP project on "Intelligent Mask Inspection Systems for Next Generation Lithography" in assessing the factors that impact the inspection tool sensitivity. We report in this paper the inspection of EUV masks with programmed absorber defects using 257nm light. All the materials of interests for masks are highly absorptive to EUV light as compared to deep ultraviolet (DUV) light. Residues and contamination from mask fabrication process and handling are prone to be printable. Therefore, it is critical to understand their EUV printability and optical inspectability. Process related defects may include residual buffer layer such as oxide, organic contaminants and possible over-etch to the multilayer surface. Both simulation and experimental results will be presented in this paper.

  6. Performance and stability of mask process correction for EBM-7000

    NASA Astrophysics Data System (ADS)

    Saito, Yasuko; Chen, George; Wang, Jen-Shiang; Bai, Shufeng; Howell, Rafael; Li, Jiangwei; Tao, Jun; VanDenBroeke, Doug; Wiley, Jim; Takigawa, Tadahiro; Ohnishi, Takayuki; Kamikubo, Takashi; Hara, Shigehiro; Anze, Hirohito; Hattori, Yoshiaki; Tamamushi, Shuichi

    2010-05-01

    In order to support complex optical masks today and EUV masks in the near future, it is critical to correct mask patterning errors with a magnitude of up to 20nm over a range of 2000nm at mask scale caused by short range mask process proximity effects. A new mask process correction technology, MPC+, has been developed to achieve the target requirements for the next generation node. In this paper, the accuracy and throughput performance of MPC+ technology is evaluated using the most advanced mask writing tool, the EBM-70001), and high quality mask metrology . The accuracy of MPC+ is achieved by using a new comprehensive mask model. The results of through-pitch and through-linewidth linearity curves and error statistics for multiple pattern layouts (including both 1D and 2D patterns) are demonstrated and show post-correction accuracy of 2.34nm 3σ for through-pitch/through-linewidth linearity. Implementing faster mask model simulation and more efficient correction recipes; full mask area (100cm2) processing run time is less than 7 hours for 32nm half-pitch technology node. From these results, it can be concluded that MPC+ with its higher precision and speed is a practical technology for the 32nm node and future technology generations, including EUV, when used with advance mask writing processes like the EBM-7000.

  7. Apodized Pupil Lyot Coronagraphs for Arbitrary Apertures. II. Theoretical Properties and Application to Extremely Large Telescopes

    NASA Astrophysics Data System (ADS)

    Soummer, Rémi; Pueyo, Laurent; Ferrari, André; Aime, Claude; Sivaramakrishnan, Anand; Yaitskova, Natalia

    2009-04-01

    We study the application of Lyot coronagraphy to future Extremely Large Telescopes (ELTs), showing that Apodized Pupil Lyot Coronagraphs enable high-contrast imaging for exoplanet detection and characterization with ELTs. We discuss the properties of the optimal pupil apodizers for this application (generalized prolate spheroidal functions). The case of a circular aperture telescope with a central obstruction is considered in detail, and we discuss the effects of primary mirror segmentation and secondary mirror support structures as a function of the occulting mask size. In most cases where inner working distance is critical, e.g., for exoplanet detection, these additional features do not alter the solutions derived with just the central obstruction, although certain applications such as quasar-host galaxy coronagraphic observations could benefit from designs that explicitly accomodate ELT spider geometries. We illustrate coronagraphic designs for several ELT geometries including ESO/OWL, the Thirty Mirror Telescope, the Giant Magellan Telescope, and describe numerical methods for generating these designs.

  8. Designs and Materials for Better Coronagraph Occulting Masks

    NASA Technical Reports Server (NTRS)

    Balasubramanian, Kunjithapatham

    2010-01-01

    New designs, and materials appropriate for such designs, are under investigation in an effort to develop coronagraph occulting masks having broad-band spectral characteristics superior to those currently employed. These designs and materials are applicable to all coronagraphs, both ground-based and spaceborne. This effort also offers potential benefits for the development of other optical masks and filters that are required (1) for precisely tailored spatial transmission profiles, (2) to be characterized by optical-density neutrality and phase neutrality (that is, to be characterized by constant optical density and constant phase over broad wavelength ranges), and/or (3) not to exhibit optical- density-dependent phase shifts. The need for this effort arises for the following reasons: Coronagraph occulting masks are required to impose, on beams of light transmitted through them, extremely precise control of amplitude and phase according to carefully designed transmission profiles. In the original application that gave rise to this effort, the concern has been to develop broad-band occulting masks for NASA s Terrestrial Planet Finder coronagraph. Until now, experimental samples of these masks have been made from high-energy-beam-sensitive (HEBS) glass, which becomes locally dark where irradiated with a high-energy electron beam, the amount of darkening depending on the electron-beam energy and dose. Precise mask profiles have been written on HEBS glass blanks by use of electron beams, and the masks have performed satisfactorily in monochromatic light. However, the optical-density and phase profiles of the HEBS masks vary significantly with wavelength; consequently, the HEBS masks perform unsatisfactorily in broad-band light. The key properties of materials to be used in coronagraph occulting masks are their extinction coefficients, their indices of refraction, and the variations of these parameters with wavelength. The effort thus far has included theoretical

  9. A respiratory mask for resting and exercising dogs.

    PubMed

    Stavert, D M; Reischl, P; O'Loughlin, B J

    1982-02-01

    A respiratory face mask has been developed for use with unsedated beagles trained to run on a treadmill. The latex rubber mask, shaped to fit the animal's muzzle, incorporates two modified, commercially available, pulmonary valves for separating inspiratory and expiratory flows. The mask has a dead space of 30 cm3 and a flow resistance below 1 cmH2O . 1(-1) . s. The flexible mask is used to measure breath-by-breath respiratory variables over extended periods of time during rest and exercise.

  10. Communication masking in marine mammals: A review and research strategy.

    PubMed

    Erbe, Christine; Reichmuth, Colleen; Cunningham, Kane; Lucke, Klaus; Dooling, Robert

    2016-02-15

    Underwater noise, whether of natural or anthropogenic origin, has the ability to interfere with the way in which marine mammals receive acoustic signals (i.e., for communication, social interaction, foraging, navigation, etc.). This phenomenon, termed auditory masking, has been well studied in humans and terrestrial vertebrates (in particular birds), but less so in marine mammals. Anthropogenic underwater noise seems to be increasing in parts of the world's oceans and concerns about associated bioacoustic effects, including masking, are growing. In this article, we review our understanding of masking in marine mammals, summarise data on marine mammal hearing as they relate to masking (including audiograms, critical ratios, critical bandwidths, and auditory integration times), discuss masking release processes of receivers (including comodulation masking release and spatial release from masking) and anti-masking strategies of signalers (e.g. Lombard effect), and set a research framework for improved assessment of potential masking in marine mammals. Copyright © 2015 The Authors. Published by Elsevier Ltd.. All rights reserved.

  11. Psychometric functions for informational masking

    NASA Astrophysics Data System (ADS)

    Lutfi, Robert A.; Kistler, Doris J.; Callahan, Michael R.; Wightman, Frederic L.

    2003-12-01

    The term informational masking has traditionally been used to refer to elevations in signal threshold resulting from masker uncertainty. In the present study, the method of constant stimuli was used to obtain complete psychometric functions (PFs) from 44 normal-hearing listeners in conditions known to produce varying amounts of informational masking. The listener's task was to detect a pure-tone signal in the presence of a broadband noise masker (low masker uncertainty) and in the presence of multitone maskers with frequencies and amplitudes that varied at random from one presentation to the next (high masker uncertainty). Relative to the broadband noise condition, significant reductions were observed in both the slope and the upper asymptote of the PF for multitone maskers producing large amounts of informational masking. Slope was affected more for some listeners and conditions while asymptote was affected more for others; consequently, neither parameter alone was highly predictive of individual thresholds or the amount of informational masking. Mean slopes and asymptotes varied nonmonotonically with the number of masker components in a manner similar to mean thresholds, particularly when the estimated effect of energetic masking on thresholds was subtracted out. As in past studies, the threshold data were well described by a model in which trial-by-trial judgments are based on a weighted sum of levels in dB at the output of independent auditory filters. The psychometric data, however, complicated the model's interpretation in two ways: First, they suggested that, depending on the listener and condition, the weights can either reflect a fixed influence of masker components on each trial or the effect of occasionally mistaking a masker component for the signal from trial to trial. Second, they indicated that in either case the variance of the underlying decision variable as estimated from PF slope is not by itself great enough to account for the observed changes

  12. Vector scattering analysis of TPF coronagraph pupil masks

    NASA Astrophysics Data System (ADS)

    Ceperley, Daniel P.; Neureuther, Andrew R.; Lieber, Michael D.; Kasdin, N. Jeremy; Shih, Ta-Ming

    2004-10-01

    Rigorous finite-difference time-domain electromagnetic simulation is used to simulate the scattering from proto-typical pupil mask cross-section geometries and to quantify the differences from the normally assumed ideal on-off behavior. Shaped pupil plane masks are a promising technology for the TPF coronagraph mission. However the stringent requirements placed on the optics require that the detailed behavior of the edge-effects of these masks be examined carefully. End-to-end optical system simulation is essential and an important aspect is the polarization and cross-section dependent edge-effects which are the subject of this paper. Pupil plane masks are similar in many respects to photomasks used in the integrated circuit industry. Simulation capabilities such as the FDTD simulator, TEMPEST, developed for analyzing polarization and intensity imbalance effects in nonplanar phase-shifting photomasks, offer a leg-up in analyzing coronagraph masks. However, the accuracy in magnitude and phase required for modeling a chronograph system is extremely demanding and previously inconsequential errors may be of the same order of magnitude as the physical phenomena under study. In this paper, effects of thick masks, finite conductivity metals, and various cross-section geometries on the transmission of pupil-plane masks are illustrated. Undercutting the edge shape of Cr masks improves the effective opening width to within λ/5 of the actual opening but TE and TM polarizations require opposite compensations. The deviation from ideal is examined at the reference plane of the mask opening. Numerical errors in TEMPEST, such as numerical dispersion, perfectly matched layer reflections, and source haze are also discussed along with techniques for mitigating their impacts.

  13. Forward Masking of the Speech-Evoked Auditory Brainstem Response.

    PubMed

    Hodge, Sarah E; Menezes, Denise C; Brown, Kevin D; Grose, John H

    2018-02-01

    The hypothesis tested was that forward masking of the speech-evoked auditory brainstem response (sABR) increases peak latency as an inverse function of masker-signal interval (Δt), and that the overall persistence of forward masking is age dependent. Older listeners exhibit deficits in forward masking. If forward-masked sABRs provide an objective measure of the susceptibility of speech sounds to prior stimulation, then this provides a novel approach to examining the age dependence of temporal processing. A /da/ stimulus forward masked by speech-shaped noise (Δt = 4-64 ms) was used to measure sABRs in 10 younger and nine older participants. Forward masking of subsegments of the /da/ stimulus (Δt = 16 ms) and click trains (Δt = 0-64 ms) was also measured. Forward-masked sABRs from young participants showed an increase in latency with decreasing Δt for the initial peak. Latency shifts for later peaks were smaller and more uniform. None of the peak latencies returned to baseline by Δt = 64 ms. Forward-masked /da/ subsegments showed peak latency shifts that did not depend simply on peak position, while forward-masked click trains showed latency shifts that were dependent on click position. The sABRs from older adults were less robust but confirmed the viability of the approach. Forward masking of the sABR provides an objective measure of the susceptibility of the auditory system to prior stimulation. Failure of recovery functions to return to baseline suggests an interaction between forward masking by the prior masker and temporal effects within the stimulus itself.

  14. Synthetic aperture design for increased SAR image rate

    DOEpatents

    Bielek, Timothy P [Albuquerque, NM; Thompson, Douglas G [Albuqerque, NM; Walker, Bruce C [Albuquerque, NM

    2009-03-03

    High resolution SAR images of a target scene at near video rates can be produced by using overlapped, but nevertheless, full-size synthetic apertures. The SAR images, which respectively correspond to the apertures, can be analyzed in sequence to permit detection of movement in the target scene.

  15. Incoherent digital holograms acquired by interferenceless coded aperture correlation holography system without refractive lenses.

    PubMed

    Kumar, Manoj; Vijayakumar, A; Rosen, Joseph

    2017-09-14

    We present a lensless, interferenceless incoherent digital holography technique based on the principle of coded aperture correlation holography. The acquired digital hologram by this technique contains a three-dimensional image of some observed scene. Light diffracted by a point object (pinhole) is modulated using a random-like coded phase mask (CPM) and the intensity pattern is recorded and composed as a point spread hologram (PSH). A library of PSHs is created using the same CPM by moving the pinhole to all possible axial locations. Intensity diffracted through the same CPM from an object placed within the axial limits of the PSH library is recorded by a digital camera. The recorded intensity this time is composed as the object hologram. The image of the object at any axial plane is reconstructed by cross-correlating the object hologram with the corresponding component of the PSH library. The reconstruction noise attached to the image is suppressed by various methods. The reconstruction results of multiplane and thick objects by this technique are compared with regular lens-based imaging.

  16. Maximizing the potential of direct aperture optimization through collimator rotation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Milette, Marie-Pierre; Otto, Karl; Medical Physics, BC Cancer Agency-Vancouver Centre, Vancouver, British Columbia

    Intensity-modulated radiation therapy (IMRT) treatment plans are conventionally produced by the optimization of fluence maps followed by a leaf sequencing step. An alternative to fluence based inverse planning is to optimize directly the leaf positions and field weights of multileaf collimator (MLC) apertures. This approach is typically referred to as direct aperture optimization (DAO). It has been shown that equivalent dose distributions may be generated that have substantially fewer monitor units (MU) and number of apertures compared to fluence based optimization techniques. Here we introduce a DAO technique with rotated apertures that we call rotating aperture optimization (RAO). The advantagesmore » of collimator rotation in IMRT have been shown previously and include higher fluence spatial resolution, increased flexibility in the generation of aperture shapes and less interleaf effects. We have tested our RAO algorithm on a complex C-shaped target, seven nasopharynx cancer recurrences, and one multitarget nasopharynx carcinoma patient. A study was performed in order to assess the capabilities of RAO as compared to fixed collimator angle DAO. The accuracy of fixed and rotated collimator aperture delivery was also verified. An analysis of the optimized treatment plans indicates that plans generated with RAO are as good as or better than DAO while maintaining a smaller number of apertures and MU than fluence based IMRT. Delivery verification results show that RAO is less sensitive to tongue and groove effects than DAO. Delivery time is currently increased due to the collimator rotation speed although this is a mechanical limitation that can be eliminated in the future.« less

  17. Aperture tolerances for neutron-imaging systems in inertial confinement fusion.

    PubMed

    Ghilea, M C; Sangster, T C; Meyerhofer, D D; Lerche, R A; Disdier, L

    2008-02-01

    Neutron-imaging systems are being considered as an ignition diagnostic for the National Ignition Facility (NIF) [Hogan et al., Nucl. Fusion 41, 567 (2001)]. Given the importance of these systems, a neutron-imaging design tool is being used to quantify the effects of aperture fabrication and alignment tolerances on reconstructed neutron images for inertial confinement fusion. The simulations indicate that alignment tolerances of more than 1 mrad would introduce measurable features in a reconstructed image for both pinholes and penumbral aperture systems. These simulations further show that penumbral apertures are several times less sensitive to fabrication errors than pinhole apertures.

  18. The attentional blink is not affected by backward masking of T2, T2-mask SOA, or level of T2 impoverishment.

    PubMed

    Jannati, Ali; Spalek, Thomas M; Lagroix, Hayley E P; Di Lollo, Vincent

    2012-02-01

    Identification of the second of two targets (T2) is impaired when presented shortly after the first (T1). This attentional blink (AB) is thought to arise from a delay in T2 processing during which T2 is vulnerable to masking. Conventional studies have measured T2 accuracy which is constrained by the 100% ceiling. We avoided this problem by using a dynamic threshold-tracking procedure that is inherently free from ceiling constraints. In two experiments we examined how AB magnitude is affected by three masking-related factors: (a) presence/absence of T2 mask, (b) T2-mask stimulus onset asynchrony (SOA), and (c) level of T2 impoverishment (signal-to-noise ratio [SNR]). In Experiment 1, overall accuracy decreased with T2-mask SOA. The magnitude of the AB, however, was invariant with SOA and with mask presence/absence. Experiment 2 further showed that the AB was invariant with T2 SNR. The relationship among mask presence/absence, SOA, and T2 SNR and the AB is encompassed in a qualitative model.

  19. Fast synthesis of topographic mask effects based on rigorous solutions

    NASA Astrophysics Data System (ADS)

    Yan, Qiliang; Deng, Zhijie; Shiely, James

    2007-10-01

    Topographic mask effects can no longer be ignored at technology nodes of 45 nm, 32 nm and beyond. As feature sizes become comparable to the mask topographic dimensions and the exposure wavelength, the popular thin mask model breaks down, because the mask transmission no longer follows the layout. A reliable mask transmission function has to be derived from Maxwell equations. Unfortunately, rigorous solutions of Maxwell equations are only manageable for limited field sizes, but impractical for full-chip optical proximity corrections (OPC) due to the prohibitive runtime. Approximation algorithms are in demand to achieve a balance between acceptable computation time and tolerable errors. In this paper, a fast algorithm is proposed and demonstrated to model topographic mask effects for OPC applications. The ProGen Topographic Mask (POTOMAC) model synthesizes the mask transmission functions out of small-sized Maxwell solutions from a finite-difference-in-time-domain (FDTD) engine, an industry leading rigorous simulator of topographic mask effect from SOLID-E. The integral framework presents a seamless solution to the end user. Preliminary results indicate the overhead introduced by POTOMAC is contained within the same order of magnitude in comparison to the thin mask approach.

  20. Aerial image metrology for OPC modeling and mask qualification

    NASA Astrophysics Data System (ADS)

    Chen, Ao; Foong, Yee Mei; Thaler, Thomas; Buttgereit, Ute; Chung, Angeline; Burbine, Andrew; Sturtevant, John; Clifford, Chris; Adam, Kostas; De Bisschop, Peter

    2017-06-01

    As nodes become smaller and smaller, the OPC applied to enable these nodes becomes more and more sophisticated. This trend peaks today in curve-linear OPC approaches that are currently starting to appear on the roadmap. With this sophistication of OPC, the mask pattern complexity increases. CD-SEM based mask qualification strategies as they are used today are starting to struggle to provide a precise forecast of the printing behavior of a mask on wafer. An aerial image CD measurement performed on ZEISS Wafer-Level CD system (WLCD) is a complementary approach to mask CD-SEMs to judge the lithographical performance of the mask and its critical production features. The advantage of the aerial image is that it includes all optical effects of the mask such as OPC, SRAF, 3D mask effects, once the image is taken under scanner equivalent illumination conditions. Additionally, it reduces the feature complexity and analyzes the printing relevant CD.

  1. Influence of pressure change during hydraulic tests on fracture aperture.

    PubMed

    Ji, Sung-Hoon; Koh, Yong-Kwon; Kuhlman, Kristopher L; Lee, Moo Yul; Choi, Jong Won

    2013-03-01

    In a series of field experiments, we evaluate the influence of a small water pressure change on fracture aperture during a hydraulic test. An experimental borehole is instrumented at the Korea Atomic Energy Research Institute (KAERI) Underground Research Tunnel (KURT). The target fracture for testing was found from the analyses of borehole logging and hydraulic tests. A double packer system was developed and installed in the test borehole to directly observe the aperture change due to water pressure change. Using this packer system, both aperture and flow rate are directly observed under various water pressures. Results indicate a slight change in fracture hydraulic head leads to an observable change in aperture. This suggests that aperture change should be considered when analyzing hydraulic test data from a sparsely fractured rock aquifer. © 2012, The Author(s). Groundwater © 2012, National Ground Water Association.

  2. Multi-Aperture Digital Coherent Combining for Free-Space Optical Communication Receivers

    DTIC Science & Technology

    2016-04-21

    Distribution A: Public Release; unlimited distribution 2016 Optical Society of America OCIS codes: (060.1660) Coherent communications; (070.2025) Discrete ...Coherent combining algorithm Multi-aperture coherent combining enables using many discrete apertures together to create a large effective aperture. A

  3. Effective aperture of X-ray compound refractive lenses.

    PubMed

    Kohn, V G

    2017-05-01

    A new definition of the effective aperture of the X-ray compound refractive lens (CRL) is proposed. Both linear (one-dimensional) and circular (two-dimensional) CRLs are considered. It is shown that for a strongly absorbing CRL the real aperture does not influence the focusing properties and the effective aperture is determined by absorption. However, there are three ways to determine the effective aperture in terms of transparent CRLs. In the papers by Kohn [(2002). JETP Lett. 76, 600-603; (2003). J. Exp. Theor. Phys. 97, 204-215; (2009). J. Surface Investig. 3, 358-364; (2012). J. Synchrotron Rad. 19, 84-92; Kohn et al. (2003). Opt. Commun. 216, 247-260; (2003). J. Phys. IV Fr, 104, 217-220], the FWHM of the X-ray beam intensity just behind the CRL was used. In the papers by Lengeler et al. [(1999). J. Synchrotron Rad. 6, 1153-1167; (1998). J. Appl. Phys. 84, 5855-5861], the maximum intensity value at the focus was used. Numerically, these two definitions differ by 50%. The new definition is based on the integral intensity of the beam behind the CRL over the real aperture. The integral intensity is the most physical value and is independent of distance. The new definition gives a value that is greater than that of the Kohn definition by 6% and less than that of the Lengeler definition by 41%. A new approximation for the aperture function of a two-dimensional CRL is proposed which allows one to calculate the two-dimensional CRL through the one-dimensional CRL and to obtain an analytical solution for a complex system of many CRLs.

  4. Masking the Feeling of Being Stupid.

    ERIC Educational Resources Information Center

    Smith, Sally L.

    1988-01-01

    Teaching experience at The Lab School of Washington has shown that learning-disabled children and adults cope with their lack of self-esteem and feelings of stupidity by developing masks to hide their hurt. These include masks of super-competence, helplessness, invisibility, clowning, injustice collecting, indifference, boredom, outrageousness,…

  5. 4D Light Field Imaging System Using Programmable Aperture

    NASA Technical Reports Server (NTRS)

    Bae, Youngsam

    2012-01-01

    Complete depth information can be extracted from analyzing all angles of light rays emanated from a source. However, this angular information is lost in a typical 2D imaging system. In order to record this information, a standard stereo imaging system uses two cameras to obtain information from two view angles. Sometimes, more cameras are used to obtain information from more angles. However, a 4D light field imaging technique can achieve this multiple-camera effect through a single-lens camera. Two methods are available for this: one using a microlens array, and the other using a moving aperture. The moving-aperture method can obtain more complete stereo information. The existing literature suggests a modified liquid crystal panel [LC (liquid crystal) panel, similar to ones commonly used in the display industry] to achieve a moving aperture. However, LC panels cannot withstand harsh environments and are not qualified for spaceflight. In this regard, different hardware is proposed for the moving aperture. A digital micromirror device (DMD) will replace the liquid crystal. This will be qualified for harsh environments for the 4D light field imaging. This will enable an imager to record near-complete stereo information. The approach to building a proof-ofconcept is using existing, or slightly modified, off-the-shelf components. An SLR (single-lens reflex) lens system, which typically has a large aperture for fast imaging, will be modified. The lens system will be arranged so that DMD can be integrated. The shape of aperture will be programmed for single-viewpoint imaging, multiple-viewpoint imaging, and coded aperture imaging. The novelty lies in using a DMD instead of a LC panel to move the apertures for 4D light field imaging. The DMD uses reflecting mirrors, so any light transmission lost (which would be expected from the LC panel) will be minimal. Also, the MEMS-based DMD can withstand higher temperature and pressure fluctuation than a LC panel can. Robotics need

  6. Strategy optimization for mask rule check in wafer fab

    NASA Astrophysics Data System (ADS)

    Yang, Chuen Huei; Lin, Shaina; Lin, Roger; Wang, Alice; Lee, Rachel; Deng, Erwin

    2015-07-01

    Photolithography process is getting more and more sophisticated for wafer production following Moore's law. Therefore, for wafer fab, consolidated and close cooperation with mask house is a key to achieve silicon wafer success. However, generally speaking, it is not easy to preserve such partnership because many engineering efforts and frequent communication are indispensable. The inattentive connection is obvious in mask rule check (MRC). Mask houses will do their own MRC at job deck stage, but the checking is only for identification of mask process limitation including writing, etching, inspection, metrology, etc. No further checking in terms of wafer process concerned mask data errors will be implemented after data files of whole mask are composed in mask house. There are still many potential data errors even post-OPC verification has been done for main circuits. What mentioned here are the kinds of errors which will only occur as main circuits combined with frame and dummy patterns to form whole reticle. Therefore, strategy optimization is on-going in UMC to evaluate MRC especially for wafer fab concerned errors. The prerequisite is that no impact on mask delivery cycle time even adding this extra checking. A full-mask checking based on job deck in gds or oasis format is necessary in order to secure acceptable run time. Form of the summarized error report generated by this checking is also crucial because user friendly interface will shorten engineers' judgment time to release mask for writing. This paper will survey the key factors of MRC in wafer fab.

  7. Keck Spectroscopy of Redshift z ~ 3 Galaxies in the Hubble Deep Field

    NASA Astrophysics Data System (ADS)

    Lowenthal, James D.; Koo, David C.; Guzmán, Rafael; Gallego, Jesús; Phillips, Andrew C.; Faber, S. M.; Vogt, Nicole P.; Illingworth, Garth D.; Gronwall, Caryl

    1997-05-01

    We have obtained spectra with the 10 m Keck telescope of a sample of 24 galaxies having colors consistent with star-forming galaxies at redshifts 2 <~ z <~ 4.5 in the Hubble deep field (HDF). Eleven of these galaxies are confirmed to be at high redshift (zmed = 3.0), one is at z = 0.5, and the other 12 have uncertain redshifts but have spectra consistent with their being at z > 2. The spectra of the confirmed high-redshift galaxies show a diversity of features, including weak Lyα emission, strong Lyα breaks or damped Lyα absorption profiles, and the stellar and interstellar rest-UV absorption lines common to local starburst galaxies and high-redshift star-forming galaxies reported recently by others. The narrow profiles and low equivalent widths of C IV, Si IV, and N V absorption lines may imply low stellar metallicities. Combined with the five high-redshift galaxies in the HDF previously confirmed with Keck spectra by Steidel et al. (1996a), the 16 confirmed sources yield a comoving volume density of n >= 2.4 × 10-4 h350 Mpc-3 for q0 = 0.05, or n >= 1.1 × 10-3 h350 Mpc-3 for q0 = 0.5. These densities are 3-4 times higher than the recent estimates of Steidel et al. (1996b) based on ground-based photometry with slightly brighter limits and are comparable to estimates of the local volume density of galaxies brighter than L*. The high-redshift density measurement is only a lower limit and could be almost 3 times higher still if all 29 of the unconfirmed candidates in our original sample, including those not observed, are indeed also at high redshift. The galaxies are small but luminous, with half-light radii 1.8 < r1/2 < 6.5 h-150 kpc and absolute magnitudes -21.5 > MB > -23. The HST images show a wide range of morphologies, including several with very close, small knots of emission embedded in wispy extended structures. Using rest-frame UV continuum fluxes with no dust correction, we calculate star formation rates in the range 7-24 or 3-9 h-250 Msolar yr-1 for q

  8. New method of contour-based mask-shape compiler

    NASA Astrophysics Data System (ADS)

    Matsuoka, Ryoichi; Sugiyama, Akiyuki; Onizawa, Akira; Sato, Hidetoshi; Toyoda, Yasutaka

    2007-10-01

    We have developed a new method of accurately profiling a mask shape by utilizing a Mask CD-SEM. The method is intended to realize high accuracy, stability and reproducibility of the Mask CD-SEM adopting an edge detection algorithm as the key technology used in CD-SEM for high accuracy CD measurement. In comparison with a conventional image processing method for contour profiling, it is possible to create the profiles with much higher accuracy which is comparable with CD-SEM for semiconductor device CD measurement. In this report, we will introduce the algorithm in general, the experimental results and the application in practice. As shrinkage of design rule for semiconductor device has further advanced, an aggressive OPC (Optical Proximity Correction) is indispensable in RET (Resolution Enhancement Technology). From the view point of DFM (Design for Manufacturability), a dramatic increase of data processing cost for advanced MDP (Mask Data Preparation) for instance and surge of mask making cost have become a big concern to the device manufacturers. In a sense, it is a trade-off between the high accuracy RET and the mask production cost, while it gives a significant impact on the semiconductor market centered around the mask business. To cope with the problem, we propose the best method for a DFM solution in which two dimensional data are extracted for an error free practical simulation by precise reproduction of a real mask shape in addition to the mask data simulation. The flow centering around the design data is fully automated and provides an environment where optimization and verification for fully automated model calibration with much less error is available. It also allows complete consolidation of input and output functions with an EDA system by constructing a design data oriented system structure. This method therefore is regarded as a strategic DFM approach in the semiconductor metrology.

  9. In collaboration with mask suppliers for change management enhancement

    NASA Astrophysics Data System (ADS)

    Deng, Erwin; Lee, Chun Der; Lee, Rachel

    2013-06-01

    For those wafer fabs that have no their own maskshops, the main target of mask quality department is to gain stable mask quality performance through effective supplier management, and therefore achieves competitive business results. After dealing with lots of mask data preparation (MDP) quality problems with suppliers, we have found that incomplete change management procedures are one of major sources that induce incorrect mask data for writing. This article will share our experience in how to enhance change management flows with mask suppliers together and will also show the utility after a series of flow improvement actions.

  10. Developing a New Quantitative Account of Backward Masking

    ERIC Educational Resources Information Center

    Francis, Gregory

    2003-01-01

    A new general explanation for u-shaped backward masking is analyzed and found to predict shifts in the interstimulus interval (ISI) that produces strongest masking. This predicted shift is then compared to six sets of masking data. The resulting comparisons force the general explanation to make certain assumptions to account for the data. In this…

  11. BICEP2/Keck Array VIII: Measurement of Gravitational Lensing from Large-scale B-mode Polarization

    NASA Astrophysics Data System (ADS)

    BICEP2 Collaboration; Keck Array Collaboration; Ade, P. A. R.; Ahmed, Z.; Aikin, R. W.; Alexander, K. D.; Barkats, D.; Benton, S. J.; Bischoff, C. A.; Bock, J. J.; Bowens-Rubin, R.; Brevik, J. A.; Buder, I.; Bullock, E.; Buza, V.; Connors, J.; Crill, B. P.; Duband, L.; Dvorkin, C.; Filippini, J. P.; Fliescher, S.; Grayson, J.; Halpern, M.; Harrison, S.; Hildebrandt, S. R.; Hilton, G. C.; Hui, H.; Irwin, K. D.; Kang, J.; Karkare, K. S.; Karpel, E.; Kaufman, J. P.; Keating, B. G.; Kefeli, S.; Kernasovskiy, S. A.; Kovac, J. M.; Kuo, C. L.; Leitch, E. M.; Lueker, M.; Megerian, K. G.; Namikawa, T.; Netterfield, C. B.; Nguyen, H. T.; O'Brient, R.; Ogburn, R. W., IV; Orlando, A.; Pryke, C.; Richter, S.; Schwarz, R.; Sheehy, C. D.; Staniszewski, Z. K.; Steinbach, B.; Sudiwala, R. V.; Teply, G. P.; Thompson, K. L.; Tolan, J. E.; Tucker, C.; Turner, A. D.; Vieregg, A. G.; Weber, A. C.; Wiebe, D. V.; Willmert, J.; Wong, C. L.; Wu, W. L. K.; Yoon, K. W.

    2016-12-01

    We present measurements of polarization lensing using the 150 GHz maps, which include all data taken by the BICEP2 and Keck Array Cosmic Microwave Background polarization experiments up to and including the 2014 observing season (BK14). Despite their modest angular resolution (˜ 0.5°), the excellent sensitivity (˜3μK-arcmin) of these maps makes it possible to directly reconstruct the lensing potential using only information at larger angular scales ({ℓ}≤700). From the auto-spectrum of the reconstructed potential, we measure an amplitude of the spectrum to be ALφ φ=1.15+/- 0.36 (Planck ΛCDM prediction corresponds to ALφ φ =1) and reject the no-lensing hypothesis at 5.8σ , which is the highest significance achieved to date using an EB lensing estimator. Taking the cross-spectrum of the reconstructed potential with the Planck 2015 lensing map yields ALφ φ =1.13+/- 0.20. These direct measurements of ALφ φ are consistent with the ΛCDM cosmology and with that derived from the previously reported BK14 B-mode auto-spectrum (AL{BB}=1.20+/- 0.17). We perform a series of null tests and consistency checks to show that these results are robust against systematics and are insensitive to analysis choices. These results unambiguously demonstrate that the B modes previously reported by BICEP/Keck at intermediate angular scales (150≲ ℓ ≲ 350) are dominated by gravitational lensing. The good agreement between the lensing amplitudes obtained from the lensing reconstruction and B-mode spectrum starts to place constraints on any alternative cosmological sources of B modes at these angular scales.

  12. Active membrane masks for improved overlay performance in proximity lithography

    NASA Astrophysics Data System (ADS)

    Huston, Dryver R.; Plumpton, James; Esser, Brian; Sullivan, Gerald A.

    2004-07-01

    Membrane masks are thin (2 micron x 35 mm x 35 mm) structures that carry the master exposure patterns in proximity (X-ray) lithography. With the continuous drive to the printing of ever-finer features in microelectronics, the reduction of mask-wafer overlay positioning errors by passive rigid body positioning and passive stress control in the mask becomes impractical due to nano and sub-micron scale elastic deformations in the membrane mask. This paper describes the design, mechanics and performance of a system for actively stretching a membrane mask in-plane to control overlay distortion. The method uses thermoelectric heating/cooling elements placed on the mask perimeter. The thermoelectric elements cause controlled thermoelastic deformations in the supporting wafer, which in turn corrects distortions in the membrane mask. Silicon carbide masks are the focus of this study, but the method is believed to be applicable to other mask materials, such as diamond. Experimental and numerical results will be presented, as well as a discussion of the design issues and related design decisions.

  13. Masking interrupts figure-ground signals in V1.

    PubMed

    Lamme, Victor A F; Zipser, Karl; Spekreijse, Henk

    2002-10-01

    In a backward masking paradigm, a target stimulus is rapidly (<100 msec) followed by a second stimulus. This typically results in a dramatic decrease in the visibility of the target stimulus. It has been shown that masking reduces responses in V1. It is not known, however, which process in V1 is affected by the mask. In the past, we have shown that in V1, modulations of neural activity that are specifically related to figure-ground segregation can be recorded. Here, we recorded from awake macaque monkeys, engaged in a task where they had to detect figures from background in a pattern backward masking paradigm. We show that the V1 figure-ground signals are selectively and fully suppressed at target-mask intervals that psychophysically result in the target being invisible. Initial response transients, signalling the features that make up the scene, are not affected. As figure-ground modulations depend on feedback from extrastriate areas, these results suggest that masking selectively interrupts the recurrent interactions between V1 and higher visual areas.

  14. Low-order aberration sensitivity of eighth-order coronagraph masks

    NASA Technical Reports Server (NTRS)

    Shaklan, Stuart B.; Green, Joseph J.

    2005-01-01

    In a recent paper, Kuchner, Crepp, and Ge describe new image-plane coronagraph mask designs that reject to eighth order the leakage of starlight caused by image motion at the mask, resulting in a substantial relaxation of image centroiding requirements compared to previous fourth-order and second-order masks. They also suggest that the new masks are effective at rejecting leakage caused by low-order aberrations (e.g., focus, coma, and astigmatism). In this paper, we derive the sensitivity of eighth-order masks to aberrations of any order and provide simulations of coronagraph behavior in the presence of optical aberrations.We find that the masks leak light as the fourth power of focus, astigmatism, coma, and trefoil. This has tremendous performance advantages for the Terrestrial Planet Finder Coronagraph.

  15. Improved techniques reduce face mask leak during simulated neonatal resuscitation: study 2.

    PubMed

    Wood, Fiona E; Morley, Colin J; Dawson, Jennifer A; Kamlin, C Omar F; Owen, Louise S; Donath, Susan; Davis, Peter G

    2008-05-01

    Techniques of positioning and holding neonatal face masks vary. Studies have shown that leak at the face mask is common and often substantial irrespective of operator experience. (1) To identify a technique for face mask placement and hold which will minimise mask leak. (2) To investigate the effect of written instruction and demonstration of the identified technique on mask leak for two round face masks. Three experienced neonatologists compared methods of placing and holding face masks to minimise the leak for Fisher & Paykel 60 mm and Laerdal size 0/1 masks. 50 clinical staff gave positive pressure ventilation to a modified manikin designed to measure leak at the face mask. They were provided with written instructions on how to position and hold each mask and then received a demonstration. Face mask leak was measured after each teaching intervention. A technique of positioning and holding the face masks was identified which minimised leak. The mean (SD) mask leaks before instruction, after instruction and after demonstration were 55% (31), 49% (30), 33% (26) for the Laerdal mask and 57% (25), 47% (28), 32% (30) for the Fisher & Paykel mask. There was no significant difference in mask leak between the two masks. Written instruction alone reduced leak by 8.8% (CI 1.4% to 16.2%) for either mask; when combined with a demonstration mask leak was reduced by 24.1% (CI 16.4% to 31.8%). Written instruction and demonstration of the identified optimal technique resulted in significantly reduced face mask leak.

  16. Comparison of Cloud Detection Using the CERES-MODIS Ed4 and LaRC AVHRR Cloud Masks and CALIPSO Vertical Feature Mask

    NASA Astrophysics Data System (ADS)

    Trepte, Q. Z.; Minnis, P.; Palikonda, R.; Bedka, K. M.; Sun-Mack, S.

    2011-12-01

    Accurate detection of cloud amount and distribution using satellite observations is crucial in determining cloud radiative forcing and earth energy budget. The CERES-MODIS (CM) Edition 4 cloud mask is a global cloud detection algorithm for application to Terra and Aqua MODIS data with the aid of other ancillary data sets. It is used operationally for the NASA's Cloud and Earth's Radiant Energy System (CERES) project. The LaRC AVHRR cloud mask, which uses only five spectral channels, is based on a subset of the CM cloud mask which employs twelve MODIS channels. The LaRC mask is applied to AVHRR data for the NOAA Climate Data Record Program. Comparisons among the CM Ed4, and LaRC AVHRR cloud masks and the CALIPSO Vertical Feature Mask (VFM) constitute a powerful means for validating and improving cloud detection globally. They also help us understand the strengths and limitations of the various cloud retrievals which use either active and passive satellite sensors. In this paper, individual comparisons will be presented for different types of clouds over various surfaces, including daytime and nighttime, and polar and non-polar regions. Additionally, the statistics of the global, regional, and zonal cloud occurrence and amount from the CERES Ed4, AVHRR cloud masks and CALIPSO VFM will be discussed.

  17. How Does Target Duration Affect Object Substitution Masking?

    ERIC Educational Resources Information Center

    Gellatly, Angus; Pilling, Michael; Carter, Wakefield; Guest, Duncan

    2010-01-01

    Object substitution masking (OSM) is typically studied using a brief search display. The target item may be indicated by a cue/mask surrounding but not overlapping it. Report of the target is reduced when mask offset trails target offset rather than being simultaneous with it. We report 5 experiments investigating whether OSM can be obtained if…

  18. Conceptual Masking: How One Picture Captures Attention from Another Picture.

    ERIC Educational Resources Information Center

    Loftus, Geoffrey R.; And Others

    1988-01-01

    Five experiments studied operations of conceptual masking--the reduction of conceptual memory performance for an initial stimulus when it is followed by a masking picture process. The subjects were 337 undergraduates at the University of Washington (Seattle). Conceptual masking is distinguished from perceptual masking. (TJH)

  19. 1995 mask industry quality assessment

    NASA Astrophysics Data System (ADS)

    Bishop, Chris; Strott, Al

    1995-12-01

    The third annual mask industry assessment will again survey various industry companies for key performance measurements in the areas of quality and delivery. This year's assessment is enhanced to include the area of safety and further breakdown of the data into 5-inch vs. 6- inch. The data compiled includes shipments, customer return rate, customer return reason, performance to schedule, plate survival yield, and throughput time (TPT) from 1988 through Q2, 1995. Contributor identities remain protected by utilizing Arthur Andersen & Company to ensure participant confidentiality. Participation in the past included representation of over 75% of the total merchant and captive mask volume in the United States. This year's assessment is expected to result in expanded participation by again inviting all mask suppliers domestically to participate as well as an impact from inviting international suppliers to participate.

  20. Self characterization of a coded aperture array for neutron source imaging

    DOE PAGES

    Volegov, P. L.; Danly, C. R.; Fittinghoff, D. N.; ...

    2014-12-15

    The neutron imaging system at the National Ignition Facility (NIF) is an important diagnostic tool for measuring the two-dimensional size and shape of the neutrons produced in the burning DT plasma during the stagnation stage of ICF implosions. Since the neutron source is small (~100 μm) and neutrons are deeply penetrating (>3 cm) in all materials, the apertures used to achieve the desired 10-μm resolution are 20-cm long, triangular tapers machined in gold foils. These gold foils are stacked to form an array of 20 apertures for pinhole imaging and three apertures for penumbral imaging. These apertures must be preciselymore » aligned to accurately place the field of view of each aperture at the design location, or the location of the field of view for each aperture must be measured. In this paper we present a new technique that has been developed for the measurement and characterization of the precise location of each aperture in the array. We present the detailed algorithms used for this characterization and the results of reconstructed sources from inertial confinement fusion implosion experiments at NIF.« less