Sample records for laser lithography system

  1. Manipulation of heat-diffusion channel in laser thermal lithography.

    PubMed

    Wei, Jingsong; Wang, Yang; Wu, Yiqun

    2014-12-29

    Laser thermal lithography is a good alternative method for forming small pattern feature size by taking advantage of the structural-change threshold effect of thermal lithography materials. In this work, the heat-diffusion channels of laser thermal lithography are first analyzed, and then we propose to manipulate the heat-diffusion channels by inserting thermal conduction layers in between channels. Heat-flow direction can be changed from the in-plane to the out-of-plane of the thermal lithography layer, which causes the size of the structural-change threshold region to become much smaller than the focused laser spot itself; thus, nanoscale marks can be obtained. Samples designated as "glass substrate/thermal conduction layer/thermal lithography layer (100 nm)/thermal conduction layer" are designed and prepared. Chalcogenide phase-change materials are used as thermal lithography layer, and Si is used as thermal conduction layer to manipulate heat-diffusion channels. Laser thermal lithography experiments are conducted on a home-made high-speed rotation direct laser writing setup with 488 nm laser wavelength and 0.90 numerical aperture of converging lens. The writing marks with 50-60 nm size are successfully obtained. The mark size is only about 1/13 of the focused laser spot, which is far smaller than that of the light diffraction limit spot of the direct laser writing setup. This work is useful for nanoscale fabrication and lithography by exploiting the far-field focusing light system.

  2. Progress in coherent lithography using table-top extreme ultraviolet lasers

    NASA Astrophysics Data System (ADS)

    Li, Wei

    Nanotechnology has drawn a wide variety of attention as interesting phenomena occurs when the dimension of the structures is in the nanometer scale. The particular characteristics of nanoscale structures had enabled new applications in different fields in science and technology. Our capability to fabricate these nanostructures routinely for sure will impact the advancement of nanoscience. Apart from the high volume manufacturing in semiconductor industry, a small-scale but reliable nanofabrication tool can dramatically help the research in the field of nanotechnology. This dissertation describes alternative extreme ultraviolet (EUV) lithography techniques which combine table-top EUV laser and various cost-effective imaging strategies. For each technique, numerical simulations, system design, experiment result and its analysis will be presented. In chapter II, a brief review of the main characteristics of table-top EUV lasers will be addressed concentrating on its high power and large coherence radius that enable the lithography application described herein. The development of a Talbot EUV lithography system which is capable of printing 50nm half pitch nanopatterns will be illustrated in chapter III. A detailed discussion of its resolution limit will be presented followed by the development of X-Y-Z positioning stage, the fabrication protocol for diffractive EUV mask, and the pattern transfer using self- developed ion beam etching, and the dose control unit. In addition, this dissertation demonstrated the capability to fabricate functional periodic nanostructures using Talbot EUV lithography. After that, resolution enhancement techniques like multiple exposure, displacement Talbot EUV lithography, fractional Talbot EUV lithography, and Talbot lithography using 18.9nm amplified spontaneous emission laser will be demonstrated. Chapter IV will describe a hybrid EUV lithography which combines the Talbot imaging and interference lithography rendering a high resolution

  3. Performance of the ALTA 3500 scanned-laser mask lithography system

    NASA Astrophysics Data System (ADS)

    Buck, Peter D.; Buxbaum, Alex H.; Coleman, Thomas P.; Tran, Long

    1998-09-01

    The ALTA 3500, an advanced scanned-laser mask lithography tool produced by Etec, was introduced to the marketplace in September 1997. The system architecture was described and an initial performance evaluation was presented. This system, based on the ALTA 3000, uses a new 33.3X, 0.8 NA final reduction lens to reduce the spot size to 0.27 micrometers FWHM, thereby affording improved resolution and pattern acuity on the mask. To take advantage of the improved resolution, a new anisotropic chrome etch process has been developed and introduced along with change from Olin 895i resist to TOK iP3600 resist. In this paper we will more extensively describe the performance of the ALTA 3500 and the performance of these new processes.

  4. Highly Stable Nanolattice Structures using Nonlinear Laser Lithography

    NASA Astrophysics Data System (ADS)

    Yavuz, Ozgun; Tokel, Onur; Ergecen, Emre; Pavlov, Ihor; Makey, Ghaith; Ilday, Fatih Omer

    Periodic nanopatterning is crucial for multiple technologies, including photovoltaics and display technologies. Conventional optical lithography techniques require complex masks, while e-beam and ion-beam lithography require expensive equipment. With the Nonlinear Laser Lithography (NLL) technique, we had recently shown that various surfaces can be covered with extremely periodic nanopatterns with ultrafast lasers through a single-step, maskless and inexpensive method. Here, we expand NLL nanopatterns to flexible materials, and also present a fully predictive model for the formation of NLL nanostructures as confirmed with experiments. In NLL, a nonlocal positive feedback mechanism (dipole scattering) competes with a rate limiting negative feedback mechanism. Here, we show that judicious use of the laser polarisation can constrain the lattice symmetry, while the nonlinearities regulate periodicity. We experimentally demonstrate that in addition to one dimensional periodic stripes, two dimensional lattices can be produced on surfaces. In particular, hexagonal and square lattices were produced, which are highly desired for display technologies. Notably, with this approach, we can tile flexible substrates, which can find applications in next generation display technologies.

  5. Directed Nanopatterning with Nonlinear Laser Lithography

    NASA Astrophysics Data System (ADS)

    Tokel, Onur; Yavuz, Ozgun; Ergecen, Emre; Pavlov, Ihor; Makey, Ghaith; Ilday, Fatih Omer

    In spite of the successes of maskless optical nanopatterning methods, it remains extremely challenging to create any isotropic, periodic nanopattern. Further, available optical techniques lack the long-range coverage and high periodicity demanded by photonics and photovoltaics applications. Here, we provide a novel solution with Nonlinear Laser Lithography (NLL) approach. Notably, we demonstrate that self-organized nanopatterns can be produced in all possible Bravais lattice types. Further, we show that carefully chosen defects or structued noise can direct NLL symmetries. Exploitation of directed self-organizatio to select or guide to predetermined symmetries is a new capability. Predictive capabilities for such far-from-equilibrium, dissipative systems is very limited due to a lack of experimental systems with predictive models. Here we also present a completely predictive model, and experimentally confirm that the emergence of motifs can be regulated by engineering defects, while the polarization of the ultrafast laser prescribes lattice symmetry, which in turn reinforces translational invariance. Thus, NLL enables a novel, maskless nanofabrication approach, where laser-induced nanopatterns can be rapidly created in any lattice symmetry

  6. Manipulation and simulations of thermal field profiles in laser heat-mode lithography

    NASA Astrophysics Data System (ADS)

    Wei, Tao; Wei, Jingsong; Wang, Yang; Zhang, Long

    2017-12-01

    Laser heat-mode lithography is a very useful method for high-speed fabrication of large-area micro/nanostructures. To obtain nanoscale pattern structures, one needs to manipulate the thermal diffusion channels. This work reports the manipulation of the thermal diffusion in laser heat-mode lithography and provides methods to restrain the in-plane thermal diffusion and improve the out-of-plane thermal diffusion. The thermal field profiles in heat-mode resist thin films have been given. It is found that the size of the heat-spot can be decreased by decreasing the thickness of the heat-mode resist thin films, inserting the thermal conduction layers, and shortening the laser irradiation time. The optimized laser writing strategy is also given, where the in-plane thermal diffusion is completely restrained and the out-of-plane thermal diffusion is improved. The heat-spot size is almost equal to that of the laser spot, accordingly. This work provides a very important guide to laser heat-mode lithography.

  7. Improved multi-beam laser interference lithography system by vibration analysis model

    NASA Astrophysics Data System (ADS)

    Lin, Te Hsun; Yang, Yin-Kuang; Mai, Hsuan-Ying; Fu, Chien-Chung

    2017-03-01

    This paper has developed the multi-beam laser interference lithography (LIL) system for nano/micro pattern sapphire substrate process (PSS/NPSS). However, the multi-beam LIL system is very sensitive to the light source and the vibration. When there is a vibration source in the exposure environment, the standing wave distribution on the substrate will be affected by the vibration and move in a certain angle. As a result, Moiré fringe defects occur on the exposure result. In order to eliminate the effect of the vibration, we use the software ANSYS to analyze the resonant frequencies of our multi-beam LIL system. Therefore, we need to design new multi-beam LIL system to raise the value of resonant frequencies. The new design of the multi-beam LIL system has higher resonant frequencies and successfully eliminates the bending and rotating effect of the resonant frequencies. As a result, the new multi-beam LIL system can fabricate large area and defects free period structures.

  8. Invited Article: Progress in coherent lithography using table-top extreme ultraviolet lasers

    NASA Astrophysics Data System (ADS)

    Li, W.; Urbanski, L.; Marconi, M. C.

    2015-12-01

    Compact (table top) lasers emitting at wavelengths below 50 nm had expanded the spectrum of applications in the extreme ultraviolet (EUV). Among them, the high-flux, highly coherent laser sources enabled lithographic approaches with distinctive characteristics. In this review, we will describe the implementation of a compact EUV lithography system capable of printing features with sub-50 nm resolution using Talbot imaging. This compact system is capable of producing consistent defect-free samples in a reliable and effective manner. Examples of different patterns and structures fabricated with this method will be presented.

  9. Approximating gecko setae via direct laser lithography

    NASA Astrophysics Data System (ADS)

    Tricinci, Omar; Eason, Eric V.; Filippeschi, Carlo; Mondini, Alessio; Mazzolai, Barbara; Pugno, Nicola M.; Cutkosky, Mark R.; Greco, Francesco; Mattoli, Virgilio

    2018-07-01

    The biomimetic replication of dry adhesion present in the gecko’s foot has attracted great interest in recent years. All the microfabrication techniques used so far were not able to faithfully reproduce the hierarchical and complex three-dimensional geometry of the gecko’s setae, with features at the micro- and nano-scale, thus reducing the effectiveness that such conformal morphology could provide. By means of direct laser lithography we fabricated artificial hairs that faithfully reproduce the natural model. This technique allows the fabrication of three-dimensional microstructures with outstanding results in terms of reproducibility and resolution at the micro- and nano-scale. It was possible to get very close to the morphology of the natural gecko setae, especially concerning the hierarchical shape. We designed several morphologies for the setae and studied the effects in terms of adhesion and friction performances compared to the natural counterpart, showing the interplay between morphology, dimensional scaling and materials. Direct laser lithography promises great applications in the biomimetics field, paving the way to the implementation of the concept of hierarchical bioinspired dry adhesives.

  10. Integration of multiple theories for the simulation of laser interference lithography processes

    NASA Astrophysics Data System (ADS)

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-01

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  11. Integration of multiple theories for the simulation of laser interference lithography processes.

    PubMed

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-24

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  12. Maskless Lithography Using Negative Photoresist Material: Impact of UV Laser Intensity on the Cured Line Width

    NASA Astrophysics Data System (ADS)

    Mohammed, Mohammed Ziauddin; Mourad, Abdel-Hamid I.; Khashan, Saud A.

    2018-06-01

    The application of maskless lithography technique on negative photoresist material is investigated in this study. The equipment used in this work is designed and built especially for maskless lithography applications. The UV laser of 405 nm wavelength with 0.85 Numerical Aperture is selected for direct laser writing. All the samples are prepared on a glass substrate. Samples are tested at different UV laser intensities and different stage velocities in order to study the impact on patterned line width. Three cases of spin coated layers of thickness 90 μm, 40 μm, and 28 μm on the substrate are studied. The experimental results show that line width has a generally increasing trend with intensity. However, a decreasing trend was observed for increasing velocity. The overall performance shows that the mr-DWL material is suitable for direct laser writing systems.

  13. Maskless Lithography Using Negative Photoresist Material: Impact of UV Laser Intensity on the Cured Line Width

    NASA Astrophysics Data System (ADS)

    Mohammed, Mohammed Ziauddin; Mourad, Abdel-Hamid I.; Khashan, Saud A.

    2018-04-01

    The application of maskless lithography technique on negative photoresist material is investigated in this study. The equipment used in this work is designed and built especially for maskless lithography applications. The UV laser of 405 nm wavelength with 0.85 Numerical Aperture is selected for direct laser writing. All the samples are prepared on a glass substrate. Samples are tested at different UV laser intensities and different stage velocities in order to study the impact on patterned line width. Three cases of spin coated layers of thickness 90 μm, 40 μm, and 28 μm on the substrate are studied. The experimental results show that line width has a generally increasing trend with intensity. However, a decreasing trend was observed for increasing velocity. The overall performance shows that the mr-DWL material is suitable for direct laser writing systems.

  14. Recent developments of x-ray lithography in Canada

    NASA Astrophysics Data System (ADS)

    Chaker, Mohamed; Boily, Stephane; Ginovker, A.; Jean, Alain; Kieffer, Jean-Claude; Mercier, P. P.; Pepin, Henri; Leung, Pak; Currie, John F.; Lafontaine, Hugues

    1991-08-01

    An overview of current activities in Canada is reported, including x-ray lithography studies based on laser plasma sources and x-ray mask development. In particular, the application of laser plasma sources for x-ray lithography is discussed, taking into account the industrial requirement and the present state of laser technology. The authors describe the development of silicon carbide membranes for x-ray lithography application. SiC films were prepared using either a 100 kHz plasma-enhanced chemical vapor deposition (PECVD) system or a laser ablation technique. These membranes have a relatively large diameter (> 1 in.) and a high optical transparency (> 50%). Experimental studies on stresses in tungsten films deposited with triode sputtering are reported.

  15. CO2 laser drives extreme ultraviolet nano-lithography — second life of mature laser technology

    NASA Astrophysics Data System (ADS)

    Nowak, K. M.; Ohta, T.; Suganuma, T.; Fujimoto, J.; Mizoguchi, H.; Sumitani, A.; Endo, A.

    2013-12-01

    It was shown both theoretically and experimentally that nanosecond order laser pulses at 10.6 micron wavelength were superior for driving the Sn plasma extreme ultraviolet (EUV) source for nano-lithography for the reasons of higher conversion efficiency, lower production of debris and higher average power levels obtainable in CO2 media without serious problems of beam distortions and nonlinear effects occurring in competing solid-state lasers at high intensities. The renewed interest in such pulse format, wavelength, repetition rates in excess of 50 kHz and average power levels in excess of 18 kiloWatt has sparked new opportunities for a matured multi-kiloWatt CO2 laser technology. The power demand of EUV source could be only satisfied by a Master-Oscillator-Power-Amplifier system configuration, leading to a development of a new type of hybrid pulsed CO2 laser employing a whole spectrum of CO2 technology, such as fast flow systems and diffusion-cooled planar waveguide lasers, and relatively recent quantum cascade lasers. In this paper we review briefly the history of relevant pulsed CO2 laser technology and the requirements for multi-kiloWatt CO2 laser, intended for the laser-produced plasma EUV source, and present our recent advances, such as novel solid-state seeded master oscillator and efficient multi-pass amplifiers built on planar waveguide CO2 lasers.

  16. Molecular Switch for Sub-Diffraction Laser Lithography by Photoenol Intermediate-State Cis-Trans Isomerization.

    PubMed

    Mueller, Patrick; Zieger, Markus M; Richter, Benjamin; Quick, Alexander S; Fischer, Joachim; Mueller, Jonathan B; Zhou, Lu; Nienhaus, Gerd Ulrich; Bastmeyer, Martin; Barner-Kowollik, Christopher; Wegener, Martin

    2017-06-27

    Recent developments in stimulated-emission depletion (STED) microscopy have led to a step change in the achievable resolution and allowed breaking the diffraction limit by large factors. The core principle is based on a reversible molecular switch, allowing for light-triggered activation and deactivation in combination with a laser focus that incorporates a point or line of zero intensity. In the past years, the concept has been transferred from microscopy to maskless laser lithography, namely direct laser writing (DLW), in order to overcome the diffraction limit for optical lithography. Herein, we propose and experimentally introduce a system that realizes such a molecular switch for lithography. Specifically, the population of intermediate-state photoenol isomers of α-methyl benzaldehydes generated by two-photon absorption at 700 nm fundamental wavelength can be reversibly depleted by simultaneous irradiation at 440 nm, suppressing the subsequent Diels-Alder cycloaddition reaction which constitutes the chemical core of the writing process. We demonstrate the potential of the proposed mechanism for STED-inspired DLW by covalently functionalizing the surface of glass substrates via the photoenol-driven STED-inspired process exploiting reversible photoenol activation with a polymerization initiator. Subsequently, macromolecules are grown from the functionalized areas and the spatially coded glass slides are characterized by atomic-force microscopy. Our approach allows lines with a full-width-at-half-maximum of down to 60 nm and line gratings with a lateral resolution of 100 nm to be written, both surpassing the diffraction limit.

  17. Direct-writing lithography using laser diode beam focused with single elliptical microlens

    NASA Astrophysics Data System (ADS)

    Hasan, Md. Nazmul; Haque, Muttahid-Ull; Trisno, Jonathan; Lee, Yung-Chun

    2015-10-01

    A lithography method is proposed for arbitrary patterning using an elliptically diverging laser diode beam focused with a single planoconvex elliptical microlens. Simulations are performed to model the propagation properties of the laser beam and to design the elliptical microlens, which has two different profiles in the x- and y-axis directions. The microlens is fabricated using an excimer laser dragging method and is then attached to the laser diode using double-sided optically cleared adhesive (OCA) tape. Notably, the use of OCA tape removes the need for a complicated alignment procedure and thus significantly reduces the assembly cost. The minimum focused spot of the laser diode beam is investigated by performing single-shot exposure tests on a photoresist (PR) layer. Finally, the practical feasibility of this lithography technique to generate an arbitrary pattern is demonstrated by dotted and continuous features through thin chromium layer deposition on PR and a metal lift-off process. The results show that the minimum feature size for the dotted patterns is around 6.23 μm, while the minimum linewidths for continuous patterns is 6.44 μm. In other words, the proposed focusing technique has significant potential for writing any arbitrary high-resolution pattern for applications like printed circuit board fabrication.

  18. Ion beam lithography system

    DOEpatents

    Leung, Ka-Ngo

    2005-08-02

    A maskless plasma-formed ion beam lithography tool provides for patterning of sub-50 nm features on large area flat or curved substrate surfaces. The system is very compact and does not require an accelerator column and electrostatic beam scanning components. The patterns are formed by switching beamlets on or off from a two electrode blanking system with the substrate being scanned mechanically in one dimension. This arrangement can provide a maskless nano-beam lithography tool for economic and high throughput processing.

  19. Intregrating metallic wiring with three-dimensional polystyrene colloidal crystals using electron-beam lithography and three-dimensional laser lithography

    NASA Astrophysics Data System (ADS)

    Tian, Yaolan; Isotalo, Tero J.; Konttinen, Mikko P.; Li, Jiawei; Heiskanen, Samuli; Geng, Zhuoran; Maasilta, Ilari J.

    2017-02-01

    We demonstrate a method to fabricate narrow, down to a few micron wide metallic leads on top of a three-dimensional (3D) colloidal crystal self-assembled from polystyrene (PS) nanospheres of diameter 260 nm, using electron-beam lithography. This fabrication is not straightforward due to the fact that PS nanospheres cannot usually survive the harsh chemical treatments required in the development and lift-off steps of electron-beam lithography. We solve this problem by increasing the chemical resistance of the PS nanospheres using an additional electron-beam irradiation step, which allows the spheres to retain their shape and their self-assembled structure, even after baking to a temperature of 160 °C, the exposure to the resist developer and the exposure to acetone, all of which are required for the electron-beam lithography step. Moreover, we show that by depositing an aluminum oxide capping layer on top of the colloidal crystal after the e-beam irradiation, the surface is smooth enough so that continuous metal wiring can be deposited by the electron-beam lithography. Finally, we also demonstrate a way to self-assemble PS colloidal crystals into a microscale container, which was fabricated using direct-write 3D laser-lithography. Metallic wiring was also successfully integrated with the combination of a container structure and a PS colloidal crystal. Our goal is to make a device for studies of thermal transport in 3D phononic crystals, but other phononic or photonic crystal applications could also be envisioned.

  20. Multiphoton lithography using a high-repetition rate microchip laser.

    PubMed

    Ritschdorff, Eric T; Shear, Jason B

    2010-10-15

    Multiphoton lithography (MPL) provides a means to create prototype, three-dimensional (3D) materials for numerous applications in analysis and cell biology. A major impediment to the broad adoption of MPL in research laboratories is its reliance on high peak-power light sources, a requirement that typically has been met using expensive femtosecond titanium:sapphire lasers. Development of affordable microchip laser sources has the potential to substantially extend the reach of MPL, but previous lasers have provided relatively low pulse repetition rates (low kilohertz range), thereby limiting the rate at which microforms could be produced using this direct-write approach. In this report, we examine the MPL capabilities of a new, high-repetition-rate (36.6 kHz) microchip Nd:YAG laser. We show that this laser enables an approximate 4-fold decrease in fabrication times for protein-based microforms relative to the existing state-of-the-art microchip source and demonstrate its utility for creating complex 3D microarchitectures.

  1. Fabrication of 2D and 3D photonic structures using laser lithography

    NASA Astrophysics Data System (ADS)

    Gaso, P.; Jandura, D.; Pudis, D.

    2016-12-01

    In this paper we demonstrate possibilities of three-dimensional (3D) printing technology based on two photon polymerization. We used three-dimensional dip-in direct-laser-writing (DLW) optical lithography to fabricate 2D and 3D optical structures for optoelectronics and for optical sensing applications. DLW lithography allows us use a non conventional way how to couple light into the waveguide structure. We prepared ring resonator and we investigated its transmission spectral characteristic. We present 3D inverse opal structure from its design to printing and scanning electron microscope (SEM) imaging. Finally, SEM images of some prepared photonic crystal structures were performed.

  2. Wiring up pre-characterized single-photon emitters by laser lithography

    NASA Astrophysics Data System (ADS)

    Shi, Q.; Sontheimer, B.; Nikolay, N.; Schell, A. W.; Fischer, J.; Naber, A.; Benson, O.; Wegener, M.

    2016-08-01

    Future quantum optical chips will likely be hybrid in nature and include many single-photon emitters, waveguides, filters, as well as single-photon detectors. Here, we introduce a scalable optical localization-selection-lithography procedure for wiring up a large number of single-photon emitters via polymeric photonic wire bonds in three dimensions. First, we localize and characterize nitrogen vacancies in nanodiamonds inside a solid photoresist exhibiting low background fluorescence. Next, without intermediate steps and using the same optical instrument, we perform aligned three-dimensional laser lithography. As a proof of concept, we design, fabricate, and characterize three-dimensional functional waveguide elements on an optical chip. Each element consists of one single-photon emitter centered in a crossed-arc waveguide configuration, allowing for integrated optical excitation and efficient background suppression at the same time.

  3. Data sharing system for lithography APC

    NASA Astrophysics Data System (ADS)

    Kawamura, Eiichi; Teranishi, Yoshiharu; Shimabara, Masanori

    2007-03-01

    We have developed a simple and cost-effective data sharing system between fabs for lithography advanced process control (APC). Lithography APC requires process flow, inter-layer information, history information, mask information and so on. So, inter-APC data sharing system has become necessary when lots are to be processed in multiple fabs (usually two fabs). The development cost and maintenance cost also have to be taken into account. The system handles minimum information necessary to make trend prediction for the lots. Three types of data have to be shared for precise trend prediction. First one is device information of the lots, e.g., process flow of the device and inter-layer information. Second one is mask information from mask suppliers, e.g., pattern characteristics and pattern widths. Last one is history data of the lots. Device information is electronic file and easy to handle. The electronic file is common between APCs and uploaded into the database. As for mask information sharing, mask information described in common format is obtained via Wide Area Network (WAN) from mask-vender will be stored in the mask-information data server. This information is periodically transferred to one specific lithography-APC server and compiled into the database. This lithography-APC server periodically delivers the mask-information to every other lithography-APC server. Process-history data sharing system mainly consists of function of delivering process-history data. In shipping production lots to another fab, the product-related process-history data is delivered by the lithography-APC server from the shipping site. We have confirmed the function and effectiveness of data sharing systems.

  4. Nonlinear Laser Lithography implementation for both ``normal'' and ``anomalous'' laser induced periodic structuring

    NASA Astrophysics Data System (ADS)

    Pavlov, Ihor; Tokel, Onur; Yavuz, Ozgun; Makey, Ghaith; Ilday, Omer; Omer Ilday Team

    Laser Induced Periodic Surface Structuring (LIPSS) is one of the most prominent directions in laser-material interaction due to both practical and theoretical importance, especially after the discovery of Nonlinear Laser Lithography (NLL), which opens new area for industrial application of LIPSS as an effective tool for controllable, highly ordered large area nanostructuring. LIPSS appear on the surface under laser beam in the form of periodical lines. The LIPSS, that appear perpendicular to laser polarization are called ``normal'', in contrast to ``anomalous'' LIPSS appearing parallel to the polarization. Although, NLL technique was already demonstrated for ``normal'' and ``anomalous'' LIPSS separately, up to now, there is no clear understanding of switching mechanism between these two modes. In presented paper we have shown that the mechanism relies on interplay between two feedbacks: long range, low intensity dipole-like scattering of light along the surface, and short range, high intensity plasmon-polariton wave. For the first time, we are able to create both types of LIPSS on the same surface by controlling these two feedbacks, obtaining highly-ordered large-area structured patterns in both modes.

  5. Free-electron laser emission architecture impact on extreme ultraviolet lithography

    NASA Astrophysics Data System (ADS)

    Hosler, Erik R.; Wood, Obert R.; Barletta, William A.

    2017-10-01

    Laser-produced plasma (LPP) EUV sources have demonstrated ˜125 W at customer sites, establishing confidence in EUV lithography (EUVL) as a viable manufacturing technology. However, for extension to the 3-nm technology node and beyond, existing scanner/source technology must enable higher-NA imaging systems (requiring increased resist dose and providing half-field exposures) and/or EUV multipatterning (requiring increased wafer throughput proportional to the number of exposure passes). Both development paths will require a substantial increase in EUV source power to maintain the economic viability of the technology, creating an opportunity for free-electron laser (FEL) EUV sources. FEL-based EUV sources offer an economic, high-power/single-source alternative to LPP EUV sources. Should FELs become the preferred next-generation EUV source, the choice of FEL emission architecture will greatly affect its operational stability and overall capability. A near-term industrialized FEL is expected to utilize one of the following three existing emission architectures: (1) self-amplified spontaneous emission, (2) regenerative amplifier, or (3) self-seeding. Model accelerator parameters are put forward to evaluate the impact of emission architecture on FEL output. Then, variations in the parameter space are applied to assess the potential impact to lithography operations, thereby establishing component sensitivity. The operating range of various accelerator components is discussed based on current accelerator performance demonstrated at various scientific user facilities. Finally, comparison of the performance between the model accelerator parameters and the variation in parameter space provides a means to evaluate the potential emission architectures. A scorecard is presented to facilitate this evaluation and provides a framework for future FEL design and enablement for EUVL applications.

  6. Direct-write maskless lithography using patterned oxidation of Si-substrate Induced by femtosecond laser pulses

    NASA Astrophysics Data System (ADS)

    Kiani, Amirkianoosh; Venkatakrishnan, Krishnan; Tan, Bo

    2013-03-01

    In this study we report a new method for direct-write maskless lithography using oxidized silicon layer induced by high repetition (MHz) ultrafast (femtosecond) laser pulses under ambient condition. The induced thin layer of predetermined pattern can act as an etch stop during etching process in alkaline etchants such as KOH. The proposed method can be leading to promising solutions for direct-write maskless lithography technique since the proposed method offers a higher degree of flexibility and reduced time and cost of fabrication which makes it particularly appropriate for rapid prototyping and custom scale manufacturing. A Scanning Electron Microscope (SEM), Micro-Raman, Energy Dispersive X-ray (EDX), optical microscope and X-ray diffraction spectroscopy (XRD) were used to evaluate the quality of oxidized layer induced by laser pulses.

  7. Doppler Effect on Structure Period of Nonlinear Laser Lithography

    NASA Astrophysics Data System (ADS)

    Yavuz, Ozgun; Kara, Semih; Tokel, Onur; Pavlov, Ihor; Ilday, Fatih Omer

    Recently, Nonlinear Laser Lithography (NLL) was developed for large-area, nanopatterning of surfaces. In NLL, nanopatterns emerge through coherent scattering of the laser from the surface, and its interference with the incident beam. The period of the structures is determined by the laser wavelength. It has been shown by Sipe that the period depends on the laser incidence angle (θ) as λ / (1 +/- sinθ). Here, we show that the period not only depends on this angle, but also on the polarisation angle. We update the Sipe equation as λ / (1 +/- sinθsinα) , where ' α' is the angle between scanning direction and polarisation. The physical reason behind this is found through a formal analogy to Doppler effect. In Doppler effect, the measured wavelength of a moving emitter is given as λ / (1 +/- c / vsinθ) , where ' θ'is the angle between observer and the direction of emitter, 'c' is the speed of observer, 'v' is speed of source. In NLL, velocity of source can be written as vsinθ , and the period equation can be shown to take its new form. We believe that this is the first application of Doppler effect in laser-processing of solid materials.

  8. Data Compression for Maskless Lithography Systems: Architecture, Algorithms and Implementation

    DTIC Science & Technology

    2008-05-19

    Data Compression for Maskless Lithography Systems: Architecture, Algorithms and Implementation Vito Dai Electrical Engineering and Computer Sciences...servers or to redistribute to lists, requires prior specific permission. Data Compression for Maskless Lithography Systems: Architecture, Algorithms and...for Maskless Lithography Systems: Architecture, Algorithms and Implementation Copyright 2008 by Vito Dai 1 Abstract Data Compression for Maskless

  9. Development of a 0.1 μm linewidth fabrication process for x-ray lithography with a laser plasma source

    NASA Astrophysics Data System (ADS)

    Bobkowski, Romuald; Fedosejevs, Robert; Broughton, James N.

    1999-06-01

    A process has been developed for the purpose of fabricating 0.1 micron linewidth interdigital electrode patterns based on proximity x-ray lithography using a laser-plasma source. Such patterns are required in the manufacture of surface acoustic wave devices. The x-ray lithography was carried out using emission form a Cu plasma produced by a 15Hz, 248nm KrF excimer laser. A temporally multiplexed 50ps duration seed pulse was used to extract the KrF laser energy producing a train of several 50ps pulses spaced approximately 2ns apart within each output pulse. Each short pulse within the train gave the high focal spot intensity required to achieve high efficiency emission of keV x-rays. The first stage of the overall process involves the fabrication of x-ray mask patterns on 1 micron thick Si3N4 membranes using 3-beam lithography followed by gold electroplating. The second stage involves x-ray exposure of a chemically amplified resist through the mask patterns to produce interdigital electrode patterns with 0.1 micron linewidth. Helium background gas and thin polycarbonate/aluminum filters are employed to prevent debris particles from the laser-plasma source form reaching the exposed sample. A computer control system fires the laser and monitors the x-ray flux from the laser-plasma source to insure the desired x-ray exposure is achieved at the resist. In order to reduce diffusion effects in the chemically amplified resist during the post exposure bake the temperature had to be reduced from that normally used. Good reproduction of 0.1 micron linewidth patterns into the x-ray resist was obtained once the exposure parameters and post exposure bake were optimized. A compact exposure station using flowing helium at atmospheric pressure has also been developed for the process, alleviating the need for a vacuum chamber. The details of the overall process and the compact exposure station will be presented.

  10. Fabrication of large-area nano-scale patterned sapphire substrate with laser interference lithography

    NASA Astrophysics Data System (ADS)

    Xuan, Ming-dong; Dai, Long-gui; Jia, Hai-qiang; Chen, Hong

    2014-01-01

    Periodic triangle truncated pyramid arrays are successfully fabricated on the sapphire substrate by a low-cost and high-efficiency laser interference lithography (LIL) system. Through the combination of dry etching and wet etching techniques, the nano-scale patterned sapphire substrate (NPSS) with uniform size is prepared. The period of the patterns is 460 nm as designed to match the wavelength of blue light emitting diode (LED). By improving the stability of the LIL system and optimizing the process parameters, well-defined triangle truncated pyramid arrays can be achieved on the sapphire substrate with diameter of 50.8 mm. The deviation of the bottom width of the triangle truncated pyramid arrays is 6.8%, which is close to the industrial production level of 3%.

  11. The capability of lithography simulation based on MVM-SEM® system

    NASA Astrophysics Data System (ADS)

    Yoshikawa, Shingo; Fujii, Nobuaki; Kanno, Koichi; Imai, Hidemichi; Hayano, Katsuya; Miyashita, Hiroyuki; Shida, Soichi; Murakawa, Tsutomu; Kuribara, Masayuki; Matsumoto, Jun; Nakamura, Takayuki; Matsushita, Shohei; Hara, Daisuke; Pang, Linyong

    2015-10-01

    The 1Xnm technology node lithography is using SMO-ILT, NTD or more complex pattern. Therefore in mask defect inspection, defect verification becomes more difficult because many nuisance defects are detected in aggressive mask feature. One key Technology of mask manufacture is defect verification to use aerial image simulator or other printability simulation. AIMS™ Technology is excellent correlation for the wafer and standards tool for defect verification however it is difficult for verification over hundred numbers or more. We reported capability of defect verification based on lithography simulation with a SEM system that architecture and software is excellent correlation for simple line and space.[1] In this paper, we use a SEM system for the next generation combined with a lithography simulation tool for SMO-ILT, NTD and other complex pattern lithography. Furthermore we will use three dimension (3D) lithography simulation based on Multi Vision Metrology SEM system. Finally, we will confirm the performance of the 2D and 3D lithography simulation based on SEM system for a photomask verification.

  12. Study on photochemical analysis system (VLES) for EUV lithography

    NASA Astrophysics Data System (ADS)

    Sekiguchi, A.; Kono, Y.; Kadoi, M.; Minami, Y.; Kozawa, T.; Tagawa, S.; Gustafson, D.; Blackborow, P.

    2007-03-01

    A system for photo-chemical analysis of EUV lithography processes has been developed. This system has consists of 3 units: (1) an exposure that uses the Z-Pinch (Energetiq Tech.) EUV Light source (DPP) to carry out a flood exposure, (2) a measurement system RDA (Litho Tech Japan) for the development rate of photo-resists, and (3) a simulation unit that utilizes PROLITH (KLA-Tencor) to calculate the resist profiles and process latitude using the measured development rate data. With this system, preliminary evaluation of the performance of EUV lithography can be performed without any lithography tool (Stepper and Scanner system) that is capable of imaging and alignment. Profiles for 32 nm line and space pattern are simulated for the EUV resist (Posi-2 resist by TOK) by using VLES that hat has sensitivity at the 13.5nm wavelength. The simulation successfully predicts the resist behavior. Thus it is confirmed that the system enables efficient evaluation of the performance of EUV lithography processes.

  13. Fabrication of Pt nanowires with a diffraction-unlimited feature size by high-threshold lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Li, E-mail: lil@cust.edu.cn, E-mail: wangz@cust.edu.cn, E-mail: kq-peng@bnu.edu.cn; Zhang, Ziang; Yu, Miao

    2015-09-28

    Although the nanoscale world can already be observed at a diffraction-unlimited resolution using far-field optical microscopy, to make the step from microscopy to lithography still requires a suitable photoresist material system. In this letter, we consider the threshold to be a region with a width characterized by the extreme feature size obtained using a Gaussian beam spot. By narrowing such a region through improvement of the threshold sensitization to intensity in a high-threshold material system, the minimal feature size becomes smaller. By using platinum as the negative photoresist, we demonstrate that high-threshold lithography can be used to fabricate nanowire arraysmore » with a scalable resolution along the axial direction of the linewidth from the micro- to the nanoscale using a nanosecond-pulsed laser source with a wavelength λ{sub 0} = 1064 nm. The minimal feature size is only several nanometers (sub λ{sub 0}/100). Compared with conventional polymer resist lithography, the advantages of high-threshold lithography are sharper pinpoints of laser intensity triggering the threshold response and also higher robustness allowing for large area exposure by a less-expensive nanosecond-pulsed laser.« less

  14. Intelligent control system based on ARM for lithography tool

    NASA Astrophysics Data System (ADS)

    Chen, Changlong; Tang, Xiaoping; Hu, Song; Wang, Nan

    2014-08-01

    The control system of traditional lithography tool is based on PC and MCU. The PC handles the complex algorithm, human-computer interaction, and communicates with MCU via serial port; The MCU controls motors and electromagnetic valves, etc. This mode has shortcomings like big volume, high power consumption, and wasting of PC resource. In this paper, an embedded intelligent control system of lithography tool, based on ARM, is provided. The control system used S5PV210 as processor, completing the functions of PC in traditional lithography tool, and provided a good human-computer interaction by using LCD and capacitive touch screen. Using Android4.0.3 as operating system, the equipment provided a cool and easy UI which made the control more user-friendly, and implemented remote control and debug, pushing video information of product by network programming. As a result, it's convenient for equipment vendor to provide technical support for users. Finally, compared with traditional lithography tool, this design reduced the PC part, making the hardware resources efficiently used and reducing the cost and volume. Introducing embedded OS and the concepts in "The Internet of things" into the design of lithography tool can be a development trend.

  15. Fabrication of hydrophobic structures on coronary stent surface based on direct three-beam laser interference lithography

    NASA Astrophysics Data System (ADS)

    Gao, Long-yue; Zhou, Wei-qi; Wang, Yuan-bo; Wang, Si-qi; Bai, Chong; Li, Shi-ming; Liu, Bin; Wang, Jun-nan; Cui, Cheng-kun; Li, Yong-liang

    2016-05-01

    To solve the problems with coronary stent implantation, coronary artery stent surface was directly modified by three-beam laser interference lithography through imitating the water-repellent surface of lotus leaf, and uniform micro-nano structures with the controllable period were fabricated. The morphological properties and contact angle (CA) of the microstructure were measured by scanning electron microscope (SEM) and CA system. The water repellency of stent was also evaluated by the contact and then separation between the water drop and the stent. The results show that the close-packed concave structure with the period of about 12 μm can be fabricated on the stent surface with special parameters (incident angle of 3°, laser energy density of 2.2 J·cm-2 and exposure time of 80 s) by using the three-beam laser at 1 064 nm, and the structure has good water repellency with CA of 120°.

  16. Hybrid soft-lithography/laser machined microchips for the parallel generation of droplets†

    PubMed Central

    Muluneh, M.

    2015-01-01

    Microfluidic chips have been developed to generate droplets and microparticles with control over size, shape, and composition not possible using conventional methods. However, it has remained a challenge to scale-up production for practical applications due to the inherently limited throughput of micro-scale devices. To address this problem, we have developed a self-contained microchip that integrates many (N = 512) micro-scale droplet makers. This 3 × 3 cm2 PDMS microchip consists of a two-dimensional array of 32 × 16 flow-focusing droplet makers, a network of flow channels that connect them, and only two inputs and one output. The key innovation of this technology is the hybrid use of both soft-lithography and direct laser-micromachining. The microscale resolution of soft lithography is used to fabricate flow-focusing droplet makers that can produce small and precisely defined droplets. Deeply engraved (h ≈ 500 μm) laser-machined channels are utilized to supply each of the droplet makers with its oil phase, aqueous phase, and access to an output channel. The engraved channels' low hydrodynamic resistance ensures that each droplet maker is driven with the same flow rates for highly uniform droplet formation.To demonstrate the utility of this approach, water droplets (d ≈ 80 μm) were generated in hexadecane on both 8 × 1 and 32 × 16 geometries. PMID:24166156

  17. Performance Characterization of an xy-Stage Applied to Micrometric Laser Direct Writing Lithography

    PubMed Central

    Jaramillo, Juan; Zarzycki, Artur; Galeano, July; Sandoz, Patrick

    2017-01-01

    This article concerns the characterization of the stability and performance of a motorized stage used in laser direct writing lithography. The system was built from commercial components and commanded by G-code. Measurements use a pseudo-periodic-pattern (PPP) observed by a camera and image processing is based on Fourier transform and phase measurement methods. The results report that the built system has a stability against vibrations determined by peak-valley deviations of 65 nm and 26 nm in the x and y directions, respectively, with a standard deviation of 10 nm in both directions. When the xy-stage is in movement, it works with a resolution of 0.36 µm, which is an acceptable value for most of research and development (R and D) microtechnology developments in which the typical feature size used is in the micrometer range. PMID:28146126

  18. Performance Characterization of an xy-Stage Applied to Micrometric Laser Direct Writing Lithography.

    PubMed

    Jaramillo, Juan; Zarzycki, Artur; Galeano, July; Sandoz, Patrick

    2017-01-31

    This article concerns the characterization of the stability and performance of a motorized stage used in laser direct writing lithography. The system was built from commercial components and commanded by G-code. Measurements use a pseudo-periodic-pattern (PPP) observed by a camera and image processing is based on Fourier transform and phase measurement methods. The results report that the built system has a stability against vibrations determined by peak-valley deviations of 65 nm and 26 nm in the x and y directions, respectively, with a standard deviation of 10 nm in both directions. When the xy-stage is in movement, it works with a resolution of 0.36 μm, which is an acceptable value for most of research and development (R and D) microtechnology developments in which the typical feature size used is in the micrometer range.

  19. Automated aberration correction of arbitrary laser modes in high numerical aperture systems.

    PubMed

    Hering, Julian; Waller, Erik H; Von Freymann, Georg

    2016-12-12

    Controlling the point-spread-function in three-dimensional laser lithography is crucial for fabricating structures with highest definition and resolution. In contrast to microscopy, aberrations have to be physically corrected prior to writing, to create well defined doughnut modes, bottlebeams or multi foci modes. We report on a modified Gerchberg-Saxton algorithm for spatial-light-modulator based automated aberration compensation to optimize arbitrary laser-modes in a high numerical aperture system. Using circularly polarized light for the measurement and first-guess initial conditions for amplitude and phase of the pupil function our scalar approach outperforms recent algorithms with vectorial corrections. Besides laser lithography also applications like optical tweezers and microscopy might benefit from the method presented.

  20. Software-based data path for raster-scanned multi-beam mask lithography

    NASA Astrophysics Data System (ADS)

    Rajagopalan, Archana; Agarwal, Ankita; Buck, Peter; Geller, Paul; Hamaker, H. Christopher; Rao, Nagswara

    2016-10-01

    According to the 2013 SEMATECH Mask Industry Survey,i roughly half of all photomasks are produced using laser mask pattern generator ("LMPG") lithography. LMPG lithography can be used for all layers at mature technology nodes, and for many non-critical and semi-critical masks at advanced nodes. The extensive use of multi-patterning at the 14-nm node significantly increases the number of critical mask layers, and the transition in wafer lithography from positive tone resist to negative tone resist at the 14-nm design node enables the switch from advanced binary masks back to attenuated phase shifting masks that require second level writes to remove unwanted chrome. LMPG lithography is typically used for second level writes due to its high productivity, absence of charging effects, and versatile non-actinic alignment capability. As multi-patterning use expands from double to triple patterning and beyond, the number of LMPG second level writes increases correspondingly. The desire to reserve the limited capacity of advanced electron beam writers for use when essential is another factor driving the demand for LMPG capacity. The increasing demand for cost-effective productivity has kept most of the laser mask writers ever manufactured running in production, sometimes long past their projected lifespan, and new writers continue to be built based on hardware developed some years ago.ii The data path is a case in point. While state-ofthe- art when first introduced, hardware-based data path systems are difficult to modify or add new features to meet the changing requirements of the market. As data volumes increase, design styles change, and new uses are found for laser writers, it is useful to consider a replacement for this critical subsystem. The availability of low-cost, high-performance, distributed computer systems combined with highly scalable EDA software lends itself well to creating an advanced data path system. EDA software, in routine production today, scales

  1. Formation of nanotunnels inside a resist film in laser interference lithography.

    PubMed

    Wei, Qi; Hu, Fanhua; Wang, Liyuan

    2015-05-19

    A few kinds of 2-diazo-1-naphthoquinone-4-sulfonates of poly(4-hydroxylstyrene) were prepared to form one-component i-line photoresists. In the laser interference lithography experiments of some of the photoresists, nanotunnels were observed to be aligned in the interior of the resist film. The shape and size of the nanotunnels remain virtually unchanged even under an increased exposure dose, indicating that the exposure energy is confined within the tunnel space. The formation of the nanotunnels results from the effect of standing waves and the permeation of developer from the surface deep into the resist films.

  2. Mask technology for EUV lithography

    NASA Astrophysics Data System (ADS)

    Bujak, M.; Burkhart, Scott C.; Cerjan, Charles J.; Kearney, Patrick A.; Moore, Craig E.; Prisbrey, Shon T.; Sweeney, Donald W.; Tong, William M.; Vernon, Stephen P.; Walton, Christopher C.; Warrick, Abbie L.; Weber, Frank J.; Wedowski, Marco; Wilhelmsen, Karl C.; Bokor, Jeffrey; Jeong, Sungho; Cardinale, Gregory F.; Ray-Chaudhuri, Avijit K.; Stivers, Alan R.; Tejnil, Edita; Yan, Pei-yang; Hector, Scott D.; Nguyen, Khanh B.

    1999-04-01

    Extreme UV Lithography (EUVL) is one of the leading candidates for the next generation lithography, which will decrease critical feature size to below 100 nm within 5 years. EUVL uses 10-14 nm light as envisioned by the EUV Limited Liability Company, a consortium formed by Intel and supported by Motorola and AMD to perform R and D work at three national laboratories. Much work has already taken place, with the first prototypical cameras operational at 13.4 nm using low energy laser plasma EUV light sources to investigate issues including the source, camera, electro- mechanical and system issues, photoresists, and of course the masks. EUV lithograph masks are fundamentally different than conventional photolithographic masks as they are reflective instead of transmissive. EUV light at 13.4 nm is rapidly absorbed by most materials, thus all light transmission within the EUVL system from source to silicon wafer, including EUV reflected from the mask, is performed by multilayer mirrors in vacuum.

  3. The ArF laser for the next-generation multiple-patterning immersion lithography supporting green operations

    NASA Astrophysics Data System (ADS)

    Ishida, Keisuke; Ohta, Takeshi; Miyamoto, Hirotaka; Kumazaki, Takahito; Tsushima, Hiroaki; Kurosu, Akihiko; Matsunaga, Takashi; Mizoguchi, Hakaru

    2016-03-01

    Multiple patterning ArF immersion lithography has been expected as the promising technology to satisfy tighter leading edge device requirements. One of the most important features of the next generation lasers will be the ability to support green operations while further improving cost of ownership and performance. Especially, the dependence on rare gases, such as Neon and Helium, is becoming a critical issue for high volume manufacturing process. The new ArF excimer laser, GT64A has been developed to cope with the reduction of operational costs, the prevention against rare resource shortage and the improvement of device yield in multiple-patterning lithography. GT64A has advantages in efficiency and stability based on the field-proven injection-lock twin-chamber platform (GigaTwin platform). By the combination of GigaTwin platform and the advanced gas control algorithm, the consumption of rare gases such as Neon is reduced to a half. And newly designed Line Narrowing Module can realize completely Helium free operation. For the device yield improvement, spectral bandwidth stability is important to increase image contrast and contribute to the further reduction of CD variation. The new spectral bandwidth control algorithm and high response actuator has been developed to compensate the offset due to thermal change during the interval such as the period of wafer exchange operation. And REDeeM Cloud™, new monitoring system for managing light source performance and operations, is on-board and provides detailed light source information such as wavelength, energy, E95, etc.

  4. In-chip microstructures and photonic devices fabricated by nonlinear laser lithography deep inside silicon

    NASA Astrophysics Data System (ADS)

    Tokel, Onur; Turnalı, Ahmet; Makey, Ghaith; Elahi, Parviz; ćolakoǧlu, Tahir; Ergeçen, Emre; Yavuz, Ã.-zgün; Hübner, René; Zolfaghari Borra, Mona; Pavlov, Ihor; Bek, Alpan; Turan, Raşit; Kesim, Denizhan Koray; Tozburun, Serhat; Ilday, Serim; Ilday, F. Ã.-mer

    2017-10-01

    Silicon is an excellent material for microelectronics and integrated photonics1-3, with untapped potential for mid-infrared optics4. Despite broad recognition of the importance of the third dimension5,6, current lithography methods do not allow the fabrication of photonic devices and functional microelements directly inside silicon chips. Even relatively simple curved geometries cannot be realized with techniques like reactive ion etching. Embedded optical elements7, electronic devices and better electronic-photonic integration are lacking8. Here, we demonstrate laser-based fabrication of complex 3D structures deep inside silicon using 1-µm-sized dots and rod-like structures of adjustable length as basic building blocks. The laser-modified Si has an optical index different to that in unmodified parts, enabling the creation of numerous photonic devices. Optionally, these parts can be chemically etched to produce desired 3D shapes. We exemplify a plethora of subsurface—that is, `in-chip'—microstructures for microfluidic cooling of chips, vias, micro-electro-mechanical systems, photovoltaic applications and photonic devices that match or surpass corresponding state-of-the-art device performances.

  5. System design considerations for a production-grade, ESR-based x-ray lithography beamline

    NASA Astrophysics Data System (ADS)

    Kovacs, Stephen; Melore, Dan; Cerrina, Franco; Cole, Richard K.

    1991-08-01

    As electron storage ring (ESR) based x-ray lithography technology moves closer to becoming an industrial reality, more and more attention has been devoted to studying problem areas related to its application in the production environment. A principle component is the x-ray lithography beamline (XLBL) and its associated design requirements. XLBL, an x-ray radiation transport system, is one of the three major subunits in the ESR-based x-ray lithography system (XLS) and has a pivotal role in defining performance characteristics of the entire XLS. Its major functions are to transport the synchrotron orbital radiation (SOR) to the lithography target area with defined efficiency and to modify SOR into the spectral distribution defined by the lithography process window. These functions must be performed reliably in order to satisfy the required high production rate and ensure 0.25 micron resolution lithography conditions. In this paper the authors attempt to answer some specific questions that arise during the formulation of an XLBL system design. Three principle issues that are essential to formulating a design are (1) Radiation transport efficiency, (2) X-ray optical configurations in the beamline, (3) Beamline system configurations. Some practical solutions to thee problem areas are presented, and the effects of these parameters on lithography production rate are examined.

  6. Polarization manipulation in single refractive prism based holography lithography

    NASA Astrophysics Data System (ADS)

    Xiong, Wenjie; Xu, Yi; Xiao, Yujian; Lv, Xiaoxu; Wu, Lijun

    2015-01-01

    We propose theoretically and demonstrate experimentally a simple but effective strategy for polarization manipulation in single refractive prism based holographic lithography. By tuning the polarization of a single laser beam, we can obtain the pill shape interference pattern with a high-contrast where a complex optical setup and multiple polarizers are needed in the conventional holography lithography. Fabrication of pill shape two-dimensional polymer photonic crystals using one beam and one shoot holography lithography is shown as an example to support our theoretical results. This integrated polarization manipulation technique can release the crucial stability restrictions imposed on the multiple beams holography lithography.

  7. Miniature low voltage beam systems producable by combined lithographies

    NASA Astrophysics Data System (ADS)

    Koops, Hans W. P.; Munro, Eric; Rouse, John; Kretz, Johannes; Rudolph, Michael; Weber, Markus; Dahm, Gerold

    The project of a miniaturized vacuum microelectronic 100 GHz switch is described. It implies the development of a field emission electron gun as well as the investigation of miniaturized lenses and deflectors. Electrostatic elements are designed and developed for this application. Connector pads and wiring pattern are created by conventional electron beam lithography and a lift-off or etching process. Wire and other 3-dimensional structures are grown using electron beam induced deposition. This additive lithography allows to form electrodes and resistors of a preset conductivity. The scanning electron microscope features positioning the structures with nm precision. An unconventional lithography system is used that is capable of controlling the pixel dwell time within a shape with different time functions. With this special function 3-dimensional structures can be generated like free standing square shaped electrodes. The switch is built by computer controlled additive lithography avoiding assembly from parts. Lenses of micrometer dimensions were investigated with numerical electron optics programs computing the 3-dimensional potential and field distribution. From the extracted axial field distribution the electron optic characteristic parameters, like focal length, chromatic and spherical aberration, were calculated for various lens excitations. The analysis reveals that miniaturized optics for low energy electrons, as low as 30 eV, are diffraction limited. For a lens with 2 μm focal length, a chromatic aberration disc of 1 nm contributes to 12 nm diffraction disc. The spherical aberration blurs the probe by 0.02 nm, assuming an aperture of 0.01 rad. Employing hydrogen ions at 100 V, a probe diameter of 0.3 nm generated by chromatic aberration is possible. Miniaturized electron optical probe forming systems and imaging systems can be constructed with those lenses. Its application as lithography systems with massive parallel beams can be forseen.

  8. Ultraviolet Laser Lithography of Titania Photonic Crystals for Terahertz-Wave Modulation.

    PubMed

    Kirihara, Soshu; Nonaka, Koki; Kisanuki, Shoichiro; Nozaki, Hirotoshi; Sakaguchi, Keito

    2018-05-18

    Three-dimensional (3D) microphotonic crystals with a diamond structure composed of titania microlattices were fabricated using ultraviolet laser lithography, and the bandgap properties in the terahertz (THz) electromagnetic-wave frequency region were investigated. An acrylic resin paste with titania fine particle dispersions was used as the raw material for additive manufacturing. By scanning a spread paste surface with an ultraviolet laser beam, two-dimensional solid patterns were dewaxed and sintered. Subsequently, 3D structures with a relative density of 97% were created via layer lamination and joining. A titania diamond lattice with a lattice constant density of 240 µm was obtained. The properties of the electromagnetic wave were measured using a THz time-domain spectrometer. In the transmission spectra for the Γ-X direction, a forbidden band was observed from 0.26 THz to 0.44 THz. The frequency range of the bandgap agreed well with calculated results obtained using the plane⁻wave expansion method. Additionally, results of a simulation via transmission-line modeling indicated that a localized mode can be obtained by introducing a plane defect between twinned diamond lattice structures.

  9. Free electron lasers for 13nm EUV lithography: RF design strategies to minimise investment and operational costs

    NASA Astrophysics Data System (ADS)

    Keens, Simon; Rossa, Bernhard; Frei, Marcel

    2016-03-01

    As the semiconductor industry proceeds to develop ever better sources of extreme ultraviolet (EUV) light for photolithography applications, two distinct technologies have come to prominence: Tin-plasma and free electron laser (FEL) sources. Tin plasma sources have been in development within the industry for many years, and have been widely reported. Meanwhile, FELs represent the most promising alternative to create high power EUV frequencies and, while tin-plasma source development has been ongoing, such lasers have been continuously developed by academic institutions for use in fundamental research programmes in conjunction with universities and national scientific institutions. This paper follows developments in the field of academic FELs, and presents information regarding novel technologies, specifically in the area of RF design strategy, that may be incorporated into future industrial FEL systems for EUV lithography in order to minimize the necessary investment and operational costs. It goes on to try to assess the cost-benefit of an alternate RF design strategy, based upon previous studies.

  10. Critical illumination condenser for x-ray lithography

    DOEpatents

    Cohen, S.J.; Seppala, L.G.

    1998-04-07

    A critical illumination condenser system is disclosed, particularly adapted for use in extreme ultraviolet (EUV) projection lithography based on a ring field imaging system and a laser produced plasma source. The system uses three spherical mirrors and is capable of illuminating the extent of the mask plane by scanning either the primary mirror or the laser plasma source. The angles of radiation incident upon each mirror of the critical illumination condenser vary by less than eight (8) degrees. For example, the imaging system in which the critical illumination condenser is utilized has a 200 {micro}m source and requires a magnification of 26. The three spherical mirror system constitutes a two mirror inverse Cassegrain, or Schwarzschild configuration, with a 25% area obstruction (50% linear obstruction). The third mirror provides the final pupil and image relay. The mirrors include a multilayer reflective coating which is reflective over a narrow bandwidth. 6 figs.

  11. Critical illumination condenser for x-ray lithography

    DOEpatents

    Cohen, Simon J.; Seppala, Lynn G.

    1998-01-01

    A critical illumination condenser system, particularly adapted for use in extreme ultraviolet (EUV) projection lithography based on a ring field imaging system and a laser produced plasma source. The system uses three spherical mirrors and is capable of illuminating the extent of the mask plane by scanning either the primary mirror or the laser plasma source. The angles of radiation incident upon each mirror of the critical illumination condenser vary by less than eight (8) degrees. For example, the imaging system in which the critical illumination condenser is utilized has a 200 .mu.m source and requires a magnification of 26.times.. The three spherical mirror system constitutes a two mirror inverse Cassegrain, or Schwarzschild configuration, with a 25% area obstruction (50% linear obstruction). The third mirror provides the final pupil and image relay. The mirrors include a multilayer reflective coating which is reflective over a narrow bandwidth.

  12. Rapid patterning of 'tunable' hydrophobic valves on disposable microchips by laser printer lithography.

    PubMed

    Ouyang, Yiwen; Wang, Shibo; Li, Jingyi; Riehl, Paul S; Begley, Matthew; Landers, James P

    2013-05-07

    We recently defined a method for fabricating multilayer microdevices using poly(ethylene terephthalate) transparency film and printer toner, and showed these could be successfully applied to DNA extraction and amplification (Duarte et al., Anal. Chem. 2011, 83, 5182-5189). Here, we advance the functionality of these microdevices with flow control enabled by hydrophobic valves patterned using laser printer lithography. Laser printer patterning of toner within the microchannel induces a dramatic change in surface hydrophobicity (change in contact angle of DI water from 51° to 111°) with good reproducibility. Moreover, the hydrophobicity of the surface can be controlled by altering the density of the patterned toner via varying the gray-scale setting on the laser printer, which consequently tunes the valve's burst pressure. Toner density provided a larger burst pressure bandwidth (158 ± 18 Pa to 573 ± 16 Pa) than could be achieved by varying channel geometry (492 ± 18 Pa to 573 ± 16 Pa). Finally, we used a series of tuned toner valves (with varied gray-scale) for passive valve-based fluidic transfer in a predictable manner through the architecture of a rotating PeT microdevice. While an elementary demonstration, this presents the possibility for simplistic and cost-effective microdevices with valved fluid flow control to be fabricated using nothing more than a laser printer, a laser cutter and a laminator.

  13. Fabrication of 0.25-um electrode width SAW filters using x-ray lithography with a laser plasma source

    NASA Astrophysics Data System (ADS)

    Bobkowski, Romuald; Li, Yunlei; Fedosejevs, Robert; Broughton, James N.

    1996-05-01

    A process for the fabrication of surface acoustic wave (SAW) devices with line widths of 250 nm and less, based on x-ray lithography using a laser-plasma source has been developed. The x-ray lithography process is based on keV x-ray emission from Cu plasma produced by 15 Hz, 50 ps, 248 nm KrF excimer laser pulses. The full structure of a 2 GHz surface acoustic wave filter with interdigital transducers in a split-electrode geometry has been manufactured. The devices require patterning a 150 nm thick aluminum layer on a LiNbO3 substrate with electrodes 250 nm wide. The manufacturing process has two main steps: x-ray mask fabrication employing e-beam lithography and x-ray lithography to obtain the final device. The x-ray masks are fabricated on 1 micrometers thick membranes of Si2N4. The line patterns on the masks are written into PMMA resist using a scanning electron microscope which has been interfaced to a personal computer equipped to control the x and y scan voltages. The opaque regions of the x-ray mask are then formed by electroplating fine grain gold into the open spaces in the etched PMMA. The mask and sample are mounted in an exposure cassette with a fixed spacer of 10 micrometers separating them. The sample consists of a LiNbO3 substrate coated with Shipley XP90104C x-ray resist which has been previously characterized. The x-ray patterning is carried out in an exposure chamber with flowing helium background gas in order to minimize debris deposition on the filters. After etching the x-ray resist, the final patterns are produced using metallization and a standard lift-off technique. The SAW filters are then bonded and packaged onto impedance matching striplines. The resultant devices are tested using Scalar Network Analyzers. The final devices produced had a center frequency of 1.93 GHz with a bandwidth of 98 MHz, close to the expected performance of our simple design.

  14. Design survey of X-ray/XUV projection lithography systems

    NASA Astrophysics Data System (ADS)

    Shealy, David L.; Viswanathan, V. K.

    1991-02-01

    Several configurations of two- to four-multilayer mirror systems that have been proposed for use in soft-X-ray projection lithography are examined. The performance capabilities of spherical and aspherical two-mirror projection systems are compared, and a two-spherical-mirror four-reflection system that can resolve 0.1-micron features over a 10 x 10 mm field is described. It is emphasized that three-mirror systems show promise of high resolution in telescope applications, but have not been fully analyzed for projection lithography applications. It has been shown that a four-mirror aspheric system can be designed to meet the resolution requirements, but a trade-off must be made between reducing distortion below 10 microns over the field of view and increasing the modulation transfer function greater than 50 percent at spatial frequency of 5000 cycles/mm.

  15. Direct-Write Laser Grayscale Lithography for Multilayer Lead Zirconate Titanate Thin Films.

    PubMed

    Benoit, Robert R; Jordan, Delaney M; Smith, Gabriel L; Polcawich, Ronald G; Bedair, Sarah S; Potrepka, Daniel M

    2018-05-01

    Direct-write laser grayscale lithography has been used to facilitate a single-step patterning technique for multilayer lead zirconate titanate (PZT) thin films. A 2.55- -thick photoresist was patterned with a direct-write laser. The intensity of the laser was varied to create both tiered and sloped structures that are subsequently transferred into multilayer PZT(52/48) stacks using a single Ar ion-mill etch. Traditional processing requires a separate photolithography step and an ion mill etch for each layer of the substrate, which can be costly and time consuming. The novel process allows access to buried electrode layers in the multilayer stack in a single photolithography step. The grayscale process was demonstrated on three 150-mm diameter Si substrates configured with a 0.5- -thick SiO 2 elastic layer, a base electrode of Pt/TiO 2 , and a stack of four PZT(52/48) thin films of either 0.25- thickness per layer or 0.50- thickness per layer, and using either Pt or IrO 2 electrodes above and below each layer. Stacked capacitor structures were patterned and results will be reported on the ferroelectric and electromechanical properties using various wiring configurations and compared to comparable single layer PZT configurations.

  16. Maskless micro-ion-beam reduction lithography system

    DOEpatents

    Leung, Ka-Ngo; Barletta, William A.; Patterson, David O.; Gough, Richard A.

    2005-05-03

    A maskless micro-ion-beam reduction lithography system is a system for projecting patterns onto a resist layer on a wafer with feature size down to below 100 nm. The MMRL system operates without a stencil mask. The patterns are generated by switching beamlets on and off from a two electrode blanking system or pattern generator. The pattern generator controllably extracts the beamlet pattern from an ion source and is followed by a beam reduction and acceleration column.

  17. 3D Micropatterned Surface Inspired by Salvinia molesta via Direct Laser Lithography

    PubMed Central

    2015-01-01

    Biomimetic functional surfaces are attracting increasing attention for their relevant technological applications. Despite these efforts, inherent limitations of microfabrication techniques prevent the replication of complex hierarchical microstructures. Using a 3D laser lithography technique, we fabricated a 3D patterned surface bioinspired to Salvinia molesta leaves. The artificial hairs, with crownlike heads, were reproduced by scaling down (ca. 100 times smaller) the dimensions of natural features, so that microscale hairs with submicrometric resolution were attained. The micropatterned surface, in analogy with the natural model, shows interesting properties in terms of hydrophobicity and air retention when submerged by water, even if realized with a hydrophilic material. Furthermore, we successfully demonstrated the capability to promote localized condensation of water droplets from moisture in the atmosphere. PMID:26558410

  18. 3D Micropatterned Surface Inspired by Salvinia molesta via Direct Laser Lithography.

    PubMed

    Tricinci, Omar; Terencio, Tercio; Mazzolai, Barbara; Pugno, Nicola M; Greco, Francesco; Mattoli, Virgilio

    2015-11-25

    Biomimetic functional surfaces are attracting increasing attention for their relevant technological applications. Despite these efforts, inherent limitations of microfabrication techniques prevent the replication of complex hierarchical microstructures. Using a 3D laser lithography technique, we fabricated a 3D patterned surface bioinspired to Salvinia molesta leaves. The artificial hairs, with crownlike heads, were reproduced by scaling down (ca. 100 times smaller) the dimensions of natural features, so that microscale hairs with submicrometric resolution were attained. The micropatterned surface, in analogy with the natural model, shows interesting properties in terms of hydrophobicity and air retention when submerged by water, even if realized with a hydrophilic material. Furthermore, we successfully demonstrated the capability to promote localized condensation of water droplets from moisture in the atmosphere.

  19. ESH assessment of advanced lithography materials and processes

    NASA Astrophysics Data System (ADS)

    Worth, Walter F.; Mallela, Ram

    2004-05-01

    The ESH Technology group at International SEMATECH is conducting environment, safety, and health (ESH) assessments in collaboration with the lithography technologists evaluating the performance of an increasing number of new materials and technologies being considered for advanced lithography such as 157nm photresist and extreme ultraviolet (EUV). By performing data searches for 75 critical data types, emissions characterizations, and industrial hygiene (IH) monitoring during the use of the resist candidates, it has been shown that the best performing resist formulations, so far, appear to be free of potential ESH concerns. The ESH assessment of the EUV lithography tool that is being developed for SEMATECH has identified several features of the tool that are of ESH concern: high energy consumption, poor energy conversion efficiency, tool complexity, potential ergonomic and safety interlock issues, use of high powered laser(s), generation of ionizing radiation (soft X-rays), need for adequate shielding, and characterization of the debris formed by the extreme temperature of the plasma. By bringing these ESH challenges to the attention of the technologists and tool designers, it is hoped that the processes and tools can be made more ESH friendly.

  20. Optimizing a synchrotron based x-ray lithography system for IC manufacturing

    NASA Astrophysics Data System (ADS)

    Kovacs, Stephen; Speiser, Kenneth; Thaw, Winston; Heese, Richard N.

    1990-05-01

    The electron storage ring is a realistic solution as a radiation source for production grade, industrial X-ray lithography system. Today several large scale plans are in motion to design and implement synchrotron storage rings of different types for this purpose in the USA and abroad. Most of the scientific and technological problems related to the physics, design and manufacturing engineering, and commissioning of these systems for microlithography have been resolved or are under extensive study. However, investigation on issues connected to application of Synchrotron Orbit Radiation (SOR ) in chip production environment has been somewhat neglected. In this paper we have filled this gap pointing out direct effects of some basic synchrotron design parameters and associated subsystems (injector, X-ray beam line) on the operation and cost of lithography in production. The following factors were considered: synchrotron configuration, injection energy, beam intensity variability, number of beam lines and wafer exposure concept. A cost model has been worked out and applied to three different X-ray Lithography Source (XLS) systems. The results of these applications are compared and conclusions drawn.

  1. Fabricating Blazed Diffraction Gratings by X-Ray Lithography

    NASA Technical Reports Server (NTRS)

    Mouroulis, Pantazis; Hartley, Frank; Wilson, Daniel

    2004-01-01

    Gray-scale x-ray lithography is undergoing development as a technique for fabricating blazed diffraction gratings. As such, gray-scale x-ray lithography now complements such other grating-fabrication techniques as mechanical ruling, holography, ion etching, laser ablation, laser writing, and electron-beam lithography. Each of these techniques offers advantages and disadvantages for implementing specific grating designs; no single one of these techniques can satisfy the design requirements for all applications. Gray-scale x-ray lithography is expected to be advantageous for making gratings on steeper substrates than those that can be made by electron-beam lithography. This technique is not limited to sawtooth groove profiles and flat substrates: various groove profiles can be generated on arbitrarily shaped (including highly curved) substrates with the same ease as sawtooth profiles can be generated on flat substrates. Moreover, the gratings fabricated by this technique can be made free of ghosts (spurious diffraction components attributable to small spurious periodicities in the locations of grooves). The first step in gray-scale x-ray lithography is to conformally coat a substrate with a suitable photoresist. An x-ray mask (see Figure 1) is generated, placed between the substrate and a source of collimated x-rays, and scanned over the substrate so as to create a spatial modulation in the exposure of the photoresist. Development of the exposed photoresist results in a surface corrugation that corresponds to the spatial modulation and that defines the grating surface. The grating pattern is generated by scanning an appropriately shaped x-ray area mask along the substrate. The mask example of Figure 1 would generate a blazed grating profile when scanned in the perpendicular direction at constant speed, assuming the photoresist responds linearly to incident radiation. If the resist response is nonlinear, then the mask shape can be modified to account for the

  2. Fabrication of 2-inch nano patterned sapphire substrate with high uniformity by two-beam laser interference lithography

    NASA Astrophysics Data System (ADS)

    Dai, LongGui; Yang, Fan; Yue, Gen; Jiang, Yang; Jia, Haiqiang; Wang, Wenxin; Chen, Hong

    2014-11-01

    Generally, nano-scale patterned sapphire substrate (NPSS) has better performance than micro-scale patterned sapphire substrate (MPSS) in improving the light extraction efficiency of LEDs. Laser interference lithography (LIL) is one of the powerful fabrication methods for periodic nanostructures without photo-masks for different designs. However, Lloyd's mirror LIL system has the disadvantage that fabricated patterns are inevitably distorted, especially for large-area twodimensional (2D) periodic nanostructures. Herein, we introduce two-beam LIL system to fabricate consistent large-area NPSS. Quantitative analysis and characterization indicate that the high uniformity of the photoresist arrays is achieved. Through the combination of dry etching and wet etching techniques, the well-defined NPSS with period of 460 nm were prepared on the whole sapphire substrate. The deviation is 4.34% for the bottom width of the triangle truncated pyramid arrays on the whole 2-inch sapphire substrate, which is suitable for the application in industrial production of NPSS.

  3. Latest results on solarization of optical glasses with pulsed laser radiation

    NASA Astrophysics Data System (ADS)

    Jedamzik, Ralf; Petzold, Uwe

    2017-02-01

    Femtosecond lasers are more and more used for material processing and lithography. Femtosecond laser help to generate three dimensional structures in photoresists without using masks in micro lithography. This technology is of growing importance for the field of backend lithography or advanced packaging. Optical glasses used for beam shaping and inspection tools need to withstand high laser pulse energies. Femtosecond laser radiation in the near UV wavelength range generates solarization effects in optical glasses. In this paper results are shown of femtosecond laser solarization experiments on a broad range of optical glasses from SCHOTT. The measurements have been performed by the Laser Zentrum Hannover in Germany. The results and their impact are discussed in comparison to traditional HOK-4 and UVA-B solarization measurements of the same materials. The target is to provide material selection guidance to the optical designer of beam shaping lens systems.

  4. Modeling of projection electron lithography

    NASA Astrophysics Data System (ADS)

    Mack, Chris A.

    2000-07-01

    Projection Electron Lithography (PEL) has recently become a leading candidate for the next generation of lithography systems after the successful demonstration of SCAPEL by Lucent Technologies and PREVAIL by IBM. These systems use a scattering membrane mask followed by a lens with limited angular acceptance range to form an image of the mask when illuminated by high energy electrons. This paper presents an initial modeling system for such types of projection electron lithography systems. Monte Carlo modeling of electron scattering within the mask structure creates an effective mask 'diffraction' pattern, to borrow the standard optical terminology. A cutoff of this scattered pattern by the imaging 'lens' provides an electron energy distribution striking the wafer. This distribution is then convolved with a 'point spread function,' the results of a Monte Carlo scattering calculation of a point beam of electrons striking the resist coated substrate and including the effects of beam blur. Resist exposure and development models from standard electron beam lithography simulation are used to simulate the final three-dimensional resist profile.

  5. Lossless compression algorithm for REBL direct-write e-beam lithography system

    NASA Astrophysics Data System (ADS)

    Cramer, George; Liu, Hsin-I.; Zakhor, Avideh

    2010-03-01

    Future lithography systems must produce microchips with smaller feature sizes, while maintaining throughputs comparable to those of today's optical lithography systems. This places stringent constraints on the effective data throughput of any maskless lithography system. In recent years, we have developed a datapath architecture for direct-write lithography systems, and have shown that compression plays a key role in reducing throughput requirements of such systems. Our approach integrates a low complexity hardware-based decoder with the writers, in order to decompress a compressed data layer in real time on the fly. In doing so, we have developed a spectrum of lossless compression algorithms for integrated circuit layout data to provide a tradeoff between compression efficiency and hardware complexity, the latest of which is Block Golomb Context Copy Coding (Block GC3). In this paper, we present a modified version of Block GC3 called Block RGC3, specifically tailored to the REBL direct-write E-beam lithography system. Two characteristic features of the REBL system are a rotary stage resulting in arbitrarily-rotated layout imagery, and E-beam corrections prior to writing the data, both of which present significant challenges to lossless compression algorithms. Together, these effects reduce the effectiveness of both the copy and predict compression methods within Block GC3. Similar to Block GC3, our newly proposed technique Block RGC3, divides the image into a grid of two-dimensional "blocks" of pixels, each of which copies from a specified location in a history buffer of recently-decoded pixels. However, in Block RGC3 the number of possible copy locations is significantly increased, so as to allow repetition to be discovered along any angle of orientation, rather than horizontal or vertical. Also, by copying smaller groups of pixels at a time, repetition in layout patterns is easier to find and take advantage of. As a side effect, this increases the total number

  6. Extension of optical lithography by mask-litho integration with computational lithography

    NASA Astrophysics Data System (ADS)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  7. LPP-EUV light source for HVM lithography

    NASA Astrophysics Data System (ADS)

    Saito, T.; Ueno, Y.; Yabu, T.; Kurosawa, A.; Nagai, S.; Yanagida, T.; Hori, T.; Kawasuji, Y.; Abe, T.; Kodama, T.; Nakarai, H.; Yamazaki, T.; Mizoguchi, H.

    2017-01-01

    We have been developing a laser produced plasma extremely ultra violet (LPP-EUV) light source for a high volume manufacturing (HVM) semiconductor lithography. It has several unique technologies such as the high power short pulse carbon dioxide (CO2) laser, the short wavelength solid-state pre-pulse laser and the debris mitigation technology with the magnetic field. This paper presents the key technologies for a high power LPP-EUV light source. We also show the latest performance data which is 188W EUV power at intermediate focus (IF) point with 3.7% conversion efficiency (CE) at 100 kHz.

  8. In-chip microstructures and photonic devices fabricated by nonlinear laser lithography deep inside silicon

    PubMed Central

    Makey, Ghaith; Elahi, Parviz; Çolakoğlu, Tahir; Ergeçen, Emre; Yavuz, Özgün; Hübner, René; Borra, Mona Zolfaghari; Pavlov, Ihor; Bek, Alpan; Turan, Raşit; Kesim, Denizhan Koray; Tozburun, Serhat; Ilday, Serim; Ilday, F. Ömer

    2017-01-01

    Silicon is an excellent material for microelectronics and integrated photonics1–3 with untapped potential for mid-IR optics4. Despite broad recognition of the importance of the third dimension5,6, current lithography methods do not allow fabrication of photonic devices and functional microelements directly inside silicon chips. Even relatively simple curved geometries cannot be realised with techniques like reactive ion etching. Embedded optical elements, like in glass7, electronic devices, and better electronic-photonic integration are lacking8. Here, we demonstrate laser-based fabrication of complex 3D structures deep inside silicon using 1 µm-sized dots and rod-like structures of adjustable length as basic building blocks. The laser-modified Si has a different optical index than unmodified parts, which enables numerous photonic devices. Optionally, these parts are chemically etched to produce desired 3D shapes. We exemplify a plethora of subsurface, i.e., “in-chip” microstructures for microfluidic cooling of chips, vias, MEMS, photovoltaic applications and photonic devices that match or surpass the corresponding state-of-the-art device performances. PMID:28983323

  9. Extreme ultraviolet lithography machine

    DOEpatents

    Tichenor, Daniel A.; Kubiak, Glenn D.; Haney, Steven J.; Sweeney, Donald W.

    2000-01-01

    An extreme ultraviolet lithography (EUVL) machine or system for producing integrated circuit (IC) components, such as transistors, formed on a substrate. The EUVL machine utilizes a laser plasma point source directed via an optical arrangement onto a mask or reticle which is reflected by a multiple mirror system onto the substrate or target. The EUVL machine operates in the 10-14 nm wavelength soft x-ray photon. Basically the EUV machine includes an evacuated source chamber, an evacuated main or project chamber interconnected by a transport tube arrangement, wherein a laser beam is directed into a plasma generator which produces an illumination beam which is directed by optics from the source chamber through the connecting tube, into the projection chamber, and onto the reticle or mask, from which a patterned beam is reflected by optics in a projection optics (PO) box mounted in the main or projection chamber onto the substrate. In one embodiment of a EUVL machine, nine optical components are utilized, with four of the optical components located in the PO box. The main or projection chamber includes vibration isolators for the PO box and a vibration isolator mounting for the substrate, with the main or projection chamber being mounted on a support structure and being isolated.

  10. Plasma formed ion beam projection lithography system

    DOEpatents

    Leung, Ka-Ngo; Lee, Yung-Hee Yvette; Ngo, Vinh; Zahir, Nastaran

    2002-01-01

    A plasma-formed ion-beam projection lithography (IPL) system eliminates the acceleration stage between the ion source and stencil mask of a conventional IPL system. Instead a much thicker mask is used as a beam forming or extraction electrode, positioned next to the plasma in the ion source. Thus the entire beam forming electrode or mask is illuminated uniformly with the source plasma. The extracted beam passes through an acceleration and reduction stage onto the resist coated wafer. Low energy ions, about 30 eV, pass through the mask, minimizing heating, scattering, and sputtering.

  11. High-throughput NGL electron-beam direct-write lithography system

    NASA Astrophysics Data System (ADS)

    Parker, N. William; Brodie, Alan D.; McCoy, John H.

    2000-07-01

    Electron beam lithography systems have historically had low throughput. The only practical solution to this limitation is an approach using many beams writing simultaneously. For single-column multi-beam systems, including projection optics (SCALPELR and PREVAIL) and blanked aperture arrays, throughput and resolution are limited by space-charge effects. Multibeam micro-column (one beam per column) systems are limited by the need for low voltage operation, electrical connection density and fabrication complexities. In this paper, we discuss a new multi-beam concept employing multiple columns each with multiple beams to generate a very large total number of parallel writing beams. This overcomes the limitations of space-charge interactions and low voltage operation. We also discuss a rationale leading to the optimum number of columns and beams per column. Using this approach we show how production throughputs >= 60 wafers per hour can be achieved at CDs lithography are significant especially for small-volume semiconductor fabrication, for example ASICs, SOCs and MPUs.

  12. Laser Scanning Holographic Lithography for Flexible 3D Fabrication of Multi-Scale Integrated Nano-structures and Optical Biosensors

    PubMed Central

    Yuan, Liang (Leon); Herman, Peter R.

    2016-01-01

    Three-dimensional (3D) periodic nanostructures underpin a promising research direction on the frontiers of nanoscience and technology to generate advanced materials for exploiting novel photonic crystal (PC) and nanofluidic functionalities. However, formation of uniform and defect-free 3D periodic structures over large areas that can further integrate into multifunctional devices has remained a major challenge. Here, we introduce a laser scanning holographic method for 3D exposure in thick photoresist that combines the unique advantages of large area 3D holographic interference lithography (HIL) with the flexible patterning of laser direct writing to form both micro- and nano-structures in a single exposure step. Phase mask interference patterns accumulated over multiple overlapping scans are shown to stitch seamlessly and form uniform 3D nanostructure with beam size scaled to small 200 μm diameter. In this way, laser scanning is presented as a facile means to embed 3D PC structure within microfluidic channels for integration into an optofluidic lab-on-chip, demonstrating a new laser HIL writing approach for creating multi-scale integrated microsystems. PMID:26922872

  13. Electrolytic etching of fine stainless-steel pipes patterned by laser-scan lithography

    NASA Astrophysics Data System (ADS)

    Takahashi, Hiroshi; Sagara, Tomoya; Horiuchi, Toshiyuki

    2017-07-01

    Recently, it is required to develop a method for fabricating cylindrical micro-components in the field of measurement and medical engineering. Here, electrolytic etching of fine stainless-steel pipes patterned by laser-scan lithography was researched. The pipe diameter was 100 μm. At first, a pipe coated with 3-7 μm thick positive resist (tok, PMER P LA-900) was exposed to a violet laser beam with a wavelength of 408 nm (Neoark,TC20-4030-45). The laser beam was reshaped in a circle by placing a pinhole, and irradiated on the pipe by reducing the size in 1/20 using a reduction projection optics. Linearly arrayed 22 slit patterns with a width of 25 μm and a length of 175 μm were delineated in every 90-degree circumferential direction. That is, 88 slits in total were delineated at an exposure speed of 110 μm/s. In the axial direction, patterns were delineated at intervals of 90 μm. Following the pattern delineation, the pipe masked by the resist patterns was electrolytically etched. The pipe was used as an anode and an aluminum cylinder was set as a cathode around the pipe. As the electrolyte, aqueous solution of NaCl and NH4Cl was used. After etching the pipe, the resist was removed by ultrasonic cleaning in acetone. Although feasibility for fabricating multi-slit pipes was demonstrated, sizes of the etched slits were enlarged being caused by the undercut, and the shapes were partially deformed, and all the pipes were snapped at the chuck side.

  14. Tunable laser interference lithography preparation of plasmonic nanoparticle arrays tailored for SERS.

    PubMed

    Gisbert Quilis, Nestor; Lequeux, Médéric; Venugopalan, Priyamvada; Khan, Imran; Knoll, Wolfgang; Boujday, Souhir; Lamy de la Chapelle, Marc; Dostalek, Jakub

    2018-05-23

    The facile preparation of arrays of plasmonic nanoparticles over a square centimeter surface area is reported. The developed method relies on tailored laser interference lithography (LIL) that is combined with dry etching and it offers means for the rapid fabrication of periodic arrays of metallic nanostructures with well controlled morphology. Adjusting the parameters of the LIL process allows for the preparation of arrays of nanoparticles with a diameter below hundred nanometers independently of their lattice spacing. Gold nanoparticle arrays were precisely engineered to support localized surface plasmon resonance (LSPR) with different damping at desired wavelengths in the visible and near infrared part of the spectrum. The applicability of these substrates for surface enhanced Raman scattering is demonstrated where cost-effective, uniform and reproducible substrates are of paramount importance. The role of deviations in the spectral position and the width of the LSPR band affected by slight variations of plasmonic nanostructures is discussed.

  15. Maskless, reticle-free, lithography

    DOEpatents

    Ceglio, N.M.; Markle, D.A.

    1997-11-25

    A lithography system in which the mask or reticle, which usually carries the pattern to be printed onto a substrate, is replaced by a programmable array of binary (i.e. on/off) light valves or switches which can be programmed to replicate a portion of the pattern each time an illuminating light source is flashed. The pattern of light produced by the programmable array is imaged onto a lithographic substrate which is mounted on a scanning stage as is common in optical lithography. The stage motion and the pattern of light displayed by the programmable array are precisely synchronized with the flashing illumination system so that each flash accurately positions the image of the pattern on the substrate. This is achieved by advancing the pattern held in the programmable array by an amount which corresponds to the travel of the substrate stage each time the light source flashes. In this manner the image is built up of multiple flashes and an isolated defect in the array will only have a small effect on the printed pattern. The method includes projection lithographies using radiation other than optical or ultraviolet light. The programmable array of binary switches would be used to control extreme ultraviolet (EUV), x-ray, or electron, illumination systems, obviating the need for stable, defect free masks for projection EUV, x-ray, or electron, lithographies. 7 figs.

  16. Maskless, reticle-free, lithography

    DOEpatents

    Ceglio, Natale M.; Markle, David A.

    1997-11-25

    A lithography system in which the mask or reticle, which usually carries the pattern to be printed onto a substrate, is replaced by a programmable array of binary (i.e. on/off) light valves or switches which can be programmed to replicate a portion of the pattern each time an illuminating light source is flashed. The pattern of light produced by the programmable array is imaged onto a lithographic substrate which is mounted on a scanning stage as is common in optical lithography. The stage motion and the pattern of light displayed by the programmable array are precisely synchronized with the flashing illumination system so that each flash accurately positions the image of the pattern on the substrate. This is achieved by advancing the pattern held in the programmable array by an amount which corresponds to the travel of the substrate stage each time the light source flashes. In this manner the image is built up of multiple flashes and an isolated defect in the array will only have a small effect on the printed pattern. The method includes projection lithographies using radiation other than optical or ultraviolet light. The programmable array of binary switches would be used to control extreme ultraviolet (EUV), x-ray, or electron, illumination systems, obviating the need for stable, defect free masks for projection EUV, x-ray, or electron, lithographies.

  17. Maskless EUV lithography: an already difficult technology made even more complicated?

    NASA Astrophysics Data System (ADS)

    Chen, Yijian

    2012-03-01

    In this paper, we present the research progress made in maskless EUV lithography and discuss the emerging opportunities for this disruptive technology. It will be shown nanomirrors based maskless approach is one path to costeffective and defect-free EUV lithography, rather than making it even more complicated. The focus of our work is to optimize the existing vertical comb process and scale down the mirror size from several microns to sub-micron regime. The nanomirror device scaling, system configuration, and design issues will be addressed. We also report our theoretical and simulation study of reflective EUV nanomirror based imaging behavior. Dense line/space patterns are formed with an EUV nanomirror array by assigning a phase shift of π to neighboring nanomirrors. Our simulation results show that phase/intensity imbalance is an inherent characteristic of maskless EUV lithography while it only poses a manageable challenge to CD control and process window. The wafer scan and EUV laser jitter induced image blur phenomenon is discussed and a blurred imaging theory is constructed. This blur effect is found to degrade the image contrast at a level that mainly depends on the wafer scan speed.

  18. Condenser optics, partial coherence, and imaging for soft-x-ray projection lithography.

    PubMed

    Sommargren, G E; Seppala, L G

    1993-12-01

    A condenser system couples the radiation source to an imaging system, controlling the uniformity and partial coherence at the object, which ultimately affects the characteristics of the aerial image. A soft-x-ray projection lithography system based on a ring-field imaging system and a laser-produced plasma x-ray source places considerable constraints on the design of a condenser system. Two designs are proposed, critical illumination and Köhler illumination, each of which requires three mirrors and scanning for covering the entire ring field with the required uniformity and partial coherence. Images based on Hopkins' formulation of partially coherent imaging are simulated.

  19. Optimal design of wide-view-angle waveplate used for polarimetric diagnosis of lithography system

    NASA Astrophysics Data System (ADS)

    Gu, Honggang; Jiang, Hao; Zhang, Chuanwei; Chen, Xiuguo; Liu, Shiyuan

    2016-03-01

    The diagnosis and control of the polarization aberrations is one of the main concerns in a hyper numerical aperture (NA) lithography system. Waveplates are basic and indispensable optical components in the polarimetric diagnosis tools for the immersion lithography system. The retardance of a birefringent waveplate is highly sensitive to the incident angle of the light, which makes the conventional waveplate not suitable to be applied in the polarimetric diagnosis for the immersion lithography system with a hyper NA. In this paper, we propose a method for the optimal design of a wideview- angle waveplate by combining two positive waveplates made from magnesium fluoride (MgF2) and two negative waveplates made from sapphire using the simulated annealing algorithm. Theoretical derivations and numerical simulations are performed and the results demonstrate that the maximum variation in the retardance of the optimally designed wide-view-angle waveplate is less than +/- 0.35° for a wide-view-angle range of +/- 20°.

  20. Plasmonic direct writing lithography with a macroscopical contact probe

    NASA Astrophysics Data System (ADS)

    Huang, Yuerong; Liu, Ling; Wang, Changtao; Chen, Weidong; Liu, Yunyue; Li, Ling

    2018-05-01

    In this work, we design a plasmonic direct writing lithography system with a macroscopical contact probe to achieve nanometer scale spots. The probe with bowtie-shaped aperture array adopts spring hinge and beam deflection method (BDM) to realize near-field lithography. Lithography results show that a macroscopical plasmonic contact probe can achieve a patterning resolution of around 75 nm at 365 nm wavelength, and demonstrate that the lithography system is promising for practical applications due to beyond the diffraction limit, low cost, and simplification of system configuration. CST calculations provide a guide for the design of recording structure and the arrangement of placing polarizer.

  1. Multi-focal multiphoton lithography.

    PubMed

    Ritschdorff, Eric T; Nielson, Rex; Shear, Jason B

    2012-03-07

    Multiphoton lithography (MPL) provides unparalleled capabilities for creating high-resolution, three-dimensional (3D) materials from a broad spectrum of building blocks and with few limitations on geometry, qualities that have been key to the design of chemically, mechanically, and biologically functional microforms. Unfortunately, the reliance of MPL on laser scanning limits the speed at which fabrication can be performed, making it impractical in many instances to produce large-scale, high-resolution objects such as complex micromachines, 3D microfluidics, etc. Previously, others have demonstrated the possibility of using multiple laser foci to simultaneously perform MPL at numerous sites in parallel, but use of a stage-scanning system to specify fabrication coordinates resulted in the production of identical features at each focal position. As a more general solution to the bottleneck problem, we demonstrate here the feasibility for performing multi-focal MPL using a dynamic mask to differentially modulate foci, an approach that enables each fabrication site to create independent (uncorrelated) features within a larger, integrated microform. In this proof-of-concept study, two simultaneously scanned foci produced the expected two-fold decrease in fabrication time, and this approach could be readily extended to many scanning foci by using a more powerful laser. Finally, we show that use of multiple foci in MPL can be exploited to assign heterogeneous properties (such as differential swelling) to micromaterials at distinct positions within a fabrication zone.

  2. Lossless compression techniques for maskless lithography data

    NASA Astrophysics Data System (ADS)

    Dai, Vito; Zakhor, Avideh

    2002-07-01

    Future lithography systems must produce more dense chips with smaller feature sizes, while maintaining the throughput of one wafer per sixty seconds per layer achieved by today's optical lithography systems. To achieve this throughput with a direct-write maskless lithography system, using 25 nm pixels for 50 nm feature sizes, requires data rates of about 10 Tb/s. In a previous paper, we presented an architecture which achieves this data rate contingent on consistent 25 to 1 compression of lithography data, and on implementation of a decoder-writer chip with a real-time decompressor fabricated on the same chip as the massively parallel array of lithography writers. In this paper, we examine the compression efficiency of a spectrum of techniques suitable for lithography data, including two industry standards JBIG and JPEG-LS, a wavelet based technique SPIHT, general file compression techniques ZIP and BZIP2, our own 2D-LZ technique, and a simple list-of-rectangles representation RECT. Layouts rasterized both to black-and-white pixels, and to 32 level gray pixels are considered. Based on compression efficiency, JBIG, ZIP, 2D-LZ, and BZIP2 are found to be strong candidates for application to maskless lithography data, in many cases far exceeding the required compression ratio of 25. To demonstrate the feasibility of implementing the decoder-writer chip, we consider the design of a hardware decoder based on ZIP, the simplest of the four candidate techniques. The basic algorithm behind ZIP compression is Lempel-Ziv 1977 (LZ77), and the design parameters of LZ77 decompression are optimized to minimize circuit usage while maintaining compression efficiency.

  3. Tunable cw Single-Frequency Source for Injection Seeding 2-micrometer Lasers

    DTIC Science & Technology

    1990-06-01

    Nd:glass Slab Asilomar, CA, January, 1989. Laser for X-ray Lithography ," presented at Lasers 11. R. L. Byer, "Solid State Lasers for Accelerator 89, New...Alumni Association (Stanford Club of M.K. Reed and R.L. Byer, "A Nd:glass Slab Connecticut), April, 1989. Laserfor X-ray Lithography ," to be...and R.L. Byer, "A Nd:Glass Slab asymmetric quantum wells," invited paper QWA1 Laser for Soft X-ray Lithography ", paper MB4, International Quantum

  4. Resolution Improvement and Pattern Generator Development for theMaskless Micro-Ion-Beam Reduction Lithography System

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jiang, Ximan

    The shrinking of IC devices has followed the Moore's Law for over three decades, which states that the density of transistors on integrated circuits will double about every two years. This great achievement is obtained via continuous advance in lithography technology. With the adoption of complicated resolution enhancement technologies, such as the phase shifting mask (PSM), the optical proximity correction (OPC), optical lithography with wavelength of 193 nm has enabled 45 nm printing by immersion method. However, this achievement comes together with the skyrocketing cost of masks, which makes the production of low volume application-specific IC (ASIC) impractical. In ordermore » to provide an economical lithography approach for low to medium volume advanced IC fabrication, a maskless ion beam lithography method, called Maskless Micro-ion-beam Reduction Lithography (MMRL), has been developed in the Lawrence Berkeley National Laboratory. The development of the prototype MMRL system has been described by Dr. Vinh Van Ngo in his Ph.D. thesis. But the resolution realized on the prototype MMRL system was far from the design expectation. In order to improve the resolution of the MMRL system, the ion optical system has been investigated. By integrating a field-free limiting aperture into the optical column, reducing the electromagnetic interference and cleaning the RF plasma, the resolution has been improved to around 50 nm. Computational analysis indicates that the MMRL system can be operated with an exposure field size of 0.25 mm and a beam half angle of 1.0 mrad on the wafer plane. Ion-ion interactions have been studied with a two-particle physics model. The results are in excellent agreement with those published by the other research groups. The charge-interaction analysis of MMRL shows that the ion-ion interactions must be reduced in order to obtain a throughput higher than 10 wafers per hour on 300-mm wafers. In addition, two different maskless lithography

  5. Controlling large-scale film morphology by phase manipulation in interference lithography

    NASA Astrophysics Data System (ADS)

    Lu, Cheng; Hu, X. K.; Dimov, S. S.; Lipson, R. H.

    2007-10-01

    An experimental arrangement is described where a Babinet-Soleil compensator is inserted into the path of one of the three beams used for noncoplanar beam interference lithography. This birefringent element can change the phase of the beam so that either a positive two-dimensional pattern or an inverselike structure is generated in a photoresist without disturbing the mechanical geometry of the setup. Simulations are presented that confirm the validity of this approach. Large defect-free sample areas (>1 cm2) with submicrometer periodic patterns were obtained by expanding the laser beams used in the lithography experiment.

  6. Vectorial mask optimization methods for robust optical lithography

    NASA Astrophysics Data System (ADS)

    Ma, Xu; Li, Yanqiu; Guo, Xuejia; Dong, Lisong; Arce, Gonzalo R.

    2012-10-01

    Continuous shrinkage of critical dimension in an integrated circuit impels the development of resolution enhancement techniques for low k1 lithography. Recently, several pixelated optical proximity correction (OPC) and phase-shifting mask (PSM) approaches were developed under scalar imaging models to account for the process variations. However, the lithography systems with larger-NA (NA>0.6) are predominant for current technology nodes, rendering the scalar models inadequate to describe the vector nature of the electromagnetic field that propagates through the optical lithography system. In addition, OPC and PSM algorithms based on scalar models can compensate for wavefront aberrations, but are incapable of mitigating polarization aberrations in practical lithography systems, which can only be dealt with under the vector model. To this end, we focus on developing robust pixelated gradient-based OPC and PSM optimization algorithms aimed at canceling defocus, dose variation, wavefront and polarization aberrations under a vector model. First, an integrative and analytic vector imaging model is applied to formulate the optimization problem, where the effects of process variations are explicitly incorporated in the optimization framework. A steepest descent algorithm is then used to iteratively optimize the mask patterns. Simulations show that the proposed algorithms can effectively improve the process windows of the optical lithography systems.

  7. Laser-induced phase transitions of Ge2Sb2Te5 thin films used in optical and electronic data storage and in thermal lithography.

    PubMed

    Chu, Cheng Hung; Shiue, Chiun Da; Cheng, Hsuen Wei; Tseng, Ming Lun; Chiang, Hai-Pang; Mansuripur, Masud; Tsai, Din Ping

    2010-08-16

    Amorphous thin films of Ge(2)Sb(2)Te(5), sputter-deposited on a ZnS-SiO(2) dielectric layer, are investigated for the purpose of understanding the structural phase-transitions that occur under the influence of tightly-focused laser beams. Selective chemical etching of recorded marks in conjunction with optical, atomic force, and electron microscopy as well as local electron diffraction analysis are used to discern the complex structural features created under a broad range of laser powers and pulse durations. Clarifying the nature of phase transitions associated with laser-recorded marks in chalcogenide Ge(2)Sb(2)Te(5) thin films provides useful information for reversible optical and electronic data storage, as well as for phase-change (thermal) lithography.

  8. Mix & match electron beam & scanning probe lithography for high throughput sub-10 nm lithography

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Hofer, Manuel; Rangelow, Ivo W.

    2013-03-01

    The prosperous demonstration of a technique able to produce features with single nanometer (SN) resolution could guide the semiconductor industry into the desired beyond CMOS era. In the lithographic community immense efforts are being made to develop extreme ultra-violet lithography (EUVL) and multiple-e-beam direct-write systems as possible successor for next generation lithography (NGL). However, patterning below 20 nm resolution and sub-10 nm overlay alignment accuracy becomes an extremely challenging quest. Herein, the combination of electron beam lithography (EBL) or EUVL with the outstanding capabilities of closed-loop scanning proximal probe nanolithography (SPL) reveals a promising way to improve both patterning resolution and reproducibility in combination with excellent overlay and placement accuracy. In particular, the imaging and lithographic resolution capabilities provided by scanning probe microscopy (SPM) methods touches the atomic level, which expresses the theoretical limit of constructing nanoelectronic devices. Furthermore, the symbiosis between EBL (EUVL) and SPL expands the process window of EBL (EUVL) far beyond state-of-the-art allowing SPL-based pre- and post-patterning of EBL (EUVL) written features at critical dimension level with theoretically nanometer precise pattern overlay alignment. Moreover, we can modify the EBL (EUVL) pattern before as well as after the development step. In this paper we demonstrate proof of concept using the ultra-high resolution molecular glass resist calixarene. Therefor we applied Gaussian E-beam lithography system operating at 10 keV and a home-developed SPL set-up. The introduced Mix and Match lithography strategy enables a powerful use of our SPL set-up especially as post-patterning tool for inspection and repair functions below the sub-10 nm critical dimension level.

  9. Photonic band gap templating using optical interference lithography

    NASA Astrophysics Data System (ADS)

    Chan, Timothy Y. M.; Toader, Ovidiu; John, Sajeev

    2005-04-01

    We describe the properties of three families of inversion-symmetric, large photonic band-gap (PBG) template architectures defined by iso-intensity surfaces in four beam laser interference patterns. These templates can be fabricated by optical interference (holographic) lithography in a suitable polymer photo-resist. PBG materials can be synthesized from these templates using two stages of infiltration and inversion, first with silica and second with silicon. By considering point and space group symmetries to produce laser interference patterns with the smallest possible irreducible Brillouin zones, we obtain laser beam intensities, directions, and polarizations which generate a diamond-like (fcc) crystal, a novel body-centered cubic (bcc) architecture, and a simple-cubic (sc) structure. We obtain laser beam parameters that maximize the intensity contrasts of the interference patterns. This optimizes the robustness of the holographic lithography to inhomogeneity in the polymer photo-resist. When the optimized iso-intensity surface defines a silicon to air boundary (dielectric contrast of 11.9 to 1), the fcc, bcc, and sc crystals have PBG to center frequency ratios of 25%, 21%, and 11%, respectively. A full PBG forms for the diamond-like crystal when the refractive index contrast exceeds 1.97 to 1. We illustrate a noninversion symmetric PBG architecture that interpolates between a simple fcc structure and a diamond network structure. This crystal exhibits two distinct and complete photonic band gaps. We also describe a generalized class of tetragonal photonic crystals that interpolate between and extrapolate beyond the diamond-like crystal and the optimized bcc crystal. We demonstrate the extent to which the resulting PBG materials are robust against perturbations to the laser beam amplitudes and polarizations, and template inhomogeneity. The body centered cubic structure exhibits the maximum robustness overall.

  10. Plasmonic nanoparticle lithography: Fast resist-free laser technique for large-scale sub-50 nm hole array fabrication

    NASA Astrophysics Data System (ADS)

    Pan, Zhenying; Yu, Ye Feng; Valuckas, Vytautas; Yap, Sherry L. K.; Vienne, Guillaume G.; Kuznetsov, Arseniy I.

    2018-05-01

    Cheap large-scale fabrication of ordered nanostructures is important for multiple applications in photonics and biomedicine including optical filters, solar cells, plasmonic biosensors, and DNA sequencing. Existing methods are either expensive or have strict limitations on the feature size and fabrication complexity. Here, we present a laser-based technique, plasmonic nanoparticle lithography, which is capable of rapid fabrication of large-scale arrays of sub-50 nm holes on various substrates. It is based on near-field enhancement and melting induced under ordered arrays of plasmonic nanoparticles, which are brought into contact or in close proximity to a desired material and acting as optical near-field lenses. The nanoparticles are arranged in ordered patterns on a flexible substrate and can be attached and removed from the patterned sample surface. At optimized laser fluence, the nanohole patterning process does not create any observable changes to the nanoparticles and they have been applied multiple times as reusable near-field masks. This resist-free nanolithography technique provides a simple and cheap solution for large-scale nanofabrication.

  11. Step-and-Repeat Nanoimprint-, Photo- and Laser Lithography from One Customised CNC Machine.

    PubMed

    Greer, Andrew Im; Della-Rosa, Benoit; Khokhar, Ali Z; Gadegaard, Nikolaj

    2016-12-01

    The conversion of a computer numerical control machine into a nanoimprint step-and-repeat tool with additional laser- and photolithography capacity is documented here. All three processes, each demonstrated on a variety of photoresists, are performed successfully and analysed so as to enable the reader to relate their known lithography process(es) to the findings. Using the converted tool, 1 cm(2) of nanopattern may be exposed in 6 s, over 3300 times faster than the electron beam equivalent. Nanoimprint tools are commercially available, but these can cost around 1000 times more than this customised computer numerical control (CNC) machine. The converted equipment facilitates rapid production and large area micro- and nanoscale research on small grants, ultimately enabling faster and more diverse growth in this field of science. In comparison to commercial tools, this converted CNC also boasts capacity to handle larger substrates, temperature control and active force control, up to ten times more curing dose and compactness. Actual devices are fabricated using the machine including an expanded nanotopographic array and microfluidic PDMS Y-channel mixers.

  12. Step-and-Repeat Nanoimprint-, Photo- and Laser Lithography from One Customised CNC Machine

    NASA Astrophysics Data System (ADS)

    Greer, Andrew IM; Della-Rosa, Benoit; Khokhar, Ali Z.; Gadegaard, Nikolaj

    2016-03-01

    The conversion of a computer numerical control machine into a nanoimprint step-and-repeat tool with additional laser- and photolithography capacity is documented here. All three processes, each demonstrated on a variety of photoresists, are performed successfully and analysed so as to enable the reader to relate their known lithography process(es) to the findings. Using the converted tool, 1 cm2 of nanopattern may be exposed in 6 s, over 3300 times faster than the electron beam equivalent. Nanoimprint tools are commercially available, but these can cost around 1000 times more than this customised computer numerical control (CNC) machine. The converted equipment facilitates rapid production and large area micro- and nanoscale research on small grants, ultimately enabling faster and more diverse growth in this field of science. In comparison to commercial tools, this converted CNC also boasts capacity to handle larger substrates, temperature control and active force control, up to ten times more curing dose and compactness. Actual devices are fabricated using the machine including an expanded nanotopographic array and microfluidic PDMS Y-channel mixers.

  13. Vacuum system for room temperature X-ray lithography source (XLS)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schuchman, J.C.

    1988-09-30

    A prototype room-temperature X-Ray Lithography Source (XLS)was proposed to be built at Brookhaven National Laboratory as part of a technology-transfer- to-American-industry program. The overall machine comprises a full energy linac, a 170 meter long transport line, and a 39 meter circumference storage ring. The scope of this paper will be limited to describing the storage ring vacuum system. (AIP)

  14. Vacuum system for room temperature X-ray lithography source (XLS)

    NASA Astrophysics Data System (ADS)

    Schuchman, J. C.

    1988-09-01

    A prototype room-temperature X-Ray Lithography Source (XLS)was proposed to be built at Brookhaven National Laboratory as part of a technology-transfer- to-American-industry program. The overall machine comprises a full energy linac, a 170 meter long transport line, and a 39 meter circumference storage ring. The scope of this paper will be limited to describing the storage ring vacuum system. (AIP)

  15. 150-nm generation lithography equipment

    NASA Astrophysics Data System (ADS)

    Deguchi, Nobuyoshi; Uzawa, Shigeyuki

    1999-07-01

    Lithography by step-and-scan exposure is expected to be the mainstream for semiconductor manufacturing below 180 nm resolution patterns. We have developed a scanner for 150 nm features on either 200 mm or 300 mm wafers. For this system, the synchronous stage system has been redesigned which makes it possible to improve imaging performance and overlay accuracy. A new 300 mm wafer stage enhances productivity while weighting almost the same as the stage for 200 mm wafers. The mainbody mechanical frame incorporates reactive force receiver system to counter the inertial energy and vibrational issues associated with high speed wafer and reticle stage scanning. This report outlines the total system design, new technologies and performance data of the Cannon FPA-5000ES2 step-and-scan exposure tool developed for the 150 nm generation lithography.

  16. Demonstration of lithography patterns using reflective e-beam direct write

    NASA Astrophysics Data System (ADS)

    Freed, Regina; Sun, Jeff; Brodie, Alan; Petric, Paul; McCord, Mark; Ronse, Kurt; Haspeslagh, Luc; Vereecke, Bart

    2011-04-01

    Traditionally, e-beam direct write lithography has been too slow for most lithography applications. E-beam direct write lithography has been used for mask writing rather than wafer processing since the maximum blur requirements limit column beam current - which drives e-beam throughput. To print small features and a fine pitch with an e-beam tool requires a sacrifice in processing time unless one significantly increases the total number of beams on a single writing tool. Because of the uncertainty with regards to the optical lithography roadmap beyond the 22 nm technology node, the semiconductor equipment industry is in the process of designing and testing e-beam lithography tools with the potential for high volume wafer processing. For this work, we report on the development and current status of a new maskless, direct write e-beam lithography tool which has the potential for high volume lithography at and below the 22 nm technology node. A Reflective Electron Beam Lithography (REBL) tool is being developed for high throughput electron beam direct write maskless lithography. The system is targeting critical patterning steps at the 22 nm node and beyond at a capital cost equivalent to conventional lithography. Reflective Electron Beam Lithography incorporates a number of novel technologies to generate and expose lithographic patterns with a throughput and footprint comparable to current 193 nm immersion lithography systems. A patented, reflective electron optic or Digital Pattern Generator (DPG) enables the unique approach. The Digital Pattern Generator is a CMOS ASIC chip with an array of small, independently controllable lens elements (lenslets), which act as an array of electron mirrors. In this way, the REBL system is capable of generating the pattern to be written using massively parallel exposure by ~1 million beams at extremely high data rates (~ 1Tbps). A rotary stage concept using a rotating platen carrying multiple wafers optimizes the writing strategy of

  17. Monolithic microfabricated valves and pumps by multilayer soft lithography.

    PubMed

    Unger, M A; Chou, H P; Thorsen, T; Scherer, A; Quake, S R

    2000-04-07

    Soft lithography is an alternative to silicon-based micromachining that uses replica molding of nontraditional elastomeric materials to fabricate stamps and microfluidic channels. We describe here an extension to the soft lithography paradigm, multilayer soft lithography, with which devices consisting of multiple layers may be fabricated from soft materials. We used this technique to build active microfluidic systems containing on-off valves, switching valves, and pumps entirely out of elastomer. The softness of these materials allows the device areas to be reduced by more than two orders of magnitude compared with silicon-based devices. The other advantages of soft lithography, such as rapid prototyping, ease of fabrication, and biocompatibility, are retained.

  18. Development of reflective optical systems for XUV projection lithography

    NASA Astrophysics Data System (ADS)

    Viswanathan, V. K.; Newnam, B. E.

    We describe two full-field reflective reduction systems (1 and 6.25 sq cm image area) and one scanning system (25 mm x scan length image size) that meet the performance requirements for 0.1-micron resolution projection lithography using extreme-ultraviolet (XUV) wavelengths from 10 to 15 nm. These systems consist of two centered, symmetric, annular aspheric mirrors with 35 to 40 percent central obscuration, providing a reduction ratio of 3.3 x. Outstanding features include the remarkably low distortion (less than or = 10 nm) over the entire image field and the comparatively liberal tolerances on the mirror radii and alignment. While optimized annular illumination can improve the performance, the required performance can be met with full illumination, thereby allowing a simpler system design.

  19. Laser microprocessing and nanoengineering of large-area functional micro/nanostructures

    NASA Astrophysics Data System (ADS)

    Tang, M.; Xie, X. Z.; Yang, J.; Chen, Z. C.; Xu, L.; Choo, Y. S.; Hong, M. H.

    2011-12-01

    Laser microprocessing and nanoengineering are of great interest to both scientists and engineers, since the inspired properties of functional micro/nanostructures over large areas can lead to numerous unique applications. Currently laser processing systems combined with high speed automation ensure the focused laser beam to process various materials at a high throughput and a high accuracy over large working areas. UV lasers are widely used in both laser microprocessing and nanoengineering. However by improving the processing methods, green pulsed laser is capable of replacing UV lasers to make high aspect ratio micro-grooves on fragile and transparent sapphire substrates. Laser micro-texturing can also tune the wetting property of metal surfaces from hydrophilic to super-hydrophobic at a contact angle of 161° without chemical coating. Laser microlens array (MLA) can split a laser beam into multiple laser beams and reduce the laser spot size down to sub-microns. It can be applied to fabricate split ring resonator (SRR) meta-materials for THz sensing, surface plasmonic resonance (SPR) structures for NIR and molding tools for soft lithography. Furthermore, laser interference lithography combined with thermal annealing can obtain a large area of sub-50nm nano-dot clusters used for SPR applications.

  20. High numerical aperture projection system for extreme ultraviolet projection lithography

    DOEpatents

    Hudyma, Russell M.

    2000-01-01

    An optical system is described that is compatible with extreme ultraviolet radiation and comprises five reflective elements for projecting a mask image onto a substrate. The five optical elements are characterized in order from object to image as concave, convex, concave, convex, and concave mirrors. The optical system is particularly suited for ring field, step and scan lithography methods. The invention uses aspheric mirrors to minimize static distortion and balance the static distortion across the ring field width which effectively minimizes dynamic distortion. The present invention allows for higher device density because the optical system has improved resolution that results from the high numerical aperture, which is at least 0.14.

  1. Light sources for high-volume manufacturing EUV lithography: technology, performance, and power scaling

    NASA Astrophysics Data System (ADS)

    Fomenkov, Igor; Brandt, David; Ershov, Alex; Schafgans, Alexander; Tao, Yezheng; Vaschenko, Georgiy; Rokitski, Slava; Kats, Michael; Vargas, Michael; Purvis, Michael; Rafac, Rob; La Fontaine, Bruno; De Dea, Silvia; LaForge, Andrew; Stewart, Jayson; Chang, Steven; Graham, Matthew; Riggs, Daniel; Taylor, Ted; Abraham, Mathew; Brown, Daniel

    2017-06-01

    Extreme ultraviolet (EUV) lithography is expected to succeed in 193-nm immersion multi-patterning technology for sub-10-nm critical layer patterning. In order to be successful, EUV lithography has to demonstrate that it can satisfy the industry requirements in the following critical areas: power, dose stability, etendue, spectral content, and lifetime. Currently, development of second-generation laser-produced plasma (LPP) light sources for the ASML's NXE:3300B EUV scanner is complete, and first units are installed and operational at chipmaker customers. We describe different aspects and performance characteristics of the sources, dose stability results, power scaling, and availability data for EUV sources and also report new development results.

  2. Mapper: high throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Kuiper, V.; Kampherbeek, B. J.; Wieland, M. J.; de Boer, G.; ten Berge, G. F.; Boers, J.; Jager, R.; van de Peut, T.; Peijster, J. J. M.; Slot, E.; Steenbrink, S. W. H. K.; Teepen, T. F.; van Veen, A. H. V.

    2009-01-01

    Maskless electron beam lithography, or electron beam direct write, has been around for a long time in the semiconductor industry and was pioneered from the mid-1960s onwards. This technique has been used for mask writing applications as well as device engineering and in some cases chip manufacturing. However because of its relatively low throughput compared to optical lithography, electron beam lithography has never been the mainstream lithography technology. To extend optical lithography double patterning, as a bridging technology, and EUV lithography are currently explored. Irrespective of the technical viability of both approaches, one thing seems clear. They will be expensive [1]. MAPPER Lithography is developing a maskless lithography technology based on massively-parallel electron-beam writing with high speed optical data transport for switching the electron beams. In this way optical columns can be made with a throughput of 10-20 wafers per hour. By clustering several of these columns together high throughputs can be realized in a small footprint. This enables a highly cost-competitive alternative to double patterning and EUV alternatives. In 2007 MAPPER obtained its Proof of Lithography milestone by exposing in its Demonstrator 45 nm half pitch structures with 110 electron beams in parallel, where all the beams where individually switched on and off [2]. In 2008 MAPPER has taken a next step in its development by building several tools. A new platform has been designed and built which contains a 300 mm wafer stage, a wafer handler and an electron beam column with 110 parallel electron beams. This manuscript describes the first patterning results with this 300 mm platform.

  3. Compact multi-bounce projection system for extreme ultraviolet projection lithography

    DOEpatents

    Hudyma, Russell M.

    2002-01-01

    An optical system compatible with short wavelength (extreme ultraviolet) radiation comprising four optical elements providing five reflective surfaces for projecting a mask image onto a substrate. The five optical surfaces are characterized in order from object to image as concave, convex, concave, convex and concave mirrors. The second and fourth reflective surfaces are part of the same optical element. The optical system is particularly suited for ring field step and scan lithography methods. The invention uses aspheric mirrors to minimize static distortion and balance the static distortion across the ring field width, which effectively minimizes dynamic distortion.

  4. Coaxial Lithography

    NASA Astrophysics Data System (ADS)

    Ozel, Tuncay

    The optical and electrical properties of heterogeneous nanowires are profoundly related to their composition and nanoscale architecture. However, the intrinsic constraints of conventional synthetic and lithographic techniques have limited the types of multi-compositional nanowires that can be realized and studied in the laboratory. This thesis focuses on bridging templated electrochemical synthesis and lithography for expanding current synthetic capabilities with respect to materials generality and the ability to tailor two-dimensional growth in the formation of core-shell structures for the rational design and preparation of nanowires with very complex architectures that cannot be made by any other techniques. Chapter 1 introduces plasmonics, templated electrochemical synthesis, and on-wire lithography concepts and their significances within chemistry and materials science. Chapter 2 details a powerful technique for the deposition of metals and semiconductors with nanometer resolution in segment and gap lengths using on-wire lithography, which serves as a new platform to explore plasmon-exciton interactions in the form of long-range optical nanoscale rulers. Chapter 3 highlights an approach for the electrochemical synthesis of solution dispersible core-shell polymeric and inorganic semiconductor nanowires with metallic leads. A photodetector based on a single core-shell semiconductor nanowire is presented to demonstrate the functionality of the nanowires produced using this approach. Chapter 4 describes a new materials general technique, termed coaxial lithography (COAL), bridging templated electrochemical synthesis and lithography for generating coaxial nanowires in a parallel fashion with sub-10 nanometer resolution in both axial and radial dimensions. Combinations of coaxial nanowires composed of metals, metal oxides, metal chalcogenides, conjugated polymers, and a core/shell semiconductor nanowire with an embedded plasmonic nanoring are presented to

  5. Polarization control in flexible interference lithography for nano-patterning of different photonic structures with optimized contrast.

    PubMed

    He, Jianfang; Fang, Xiaohui; Lin, Yuanhai; Zhang, Xinping

    2015-05-04

    Half-wave plates were introduced into an interference-lithography scheme consisting of three fibers that were arranged into a rectangular triangle. Such a flexible and compact geometry allows convenient tuning of the polarizations of both the UV laser source and each branch arm. This not only enables optimization of the contrast of the produced photonic structures with expected square lattices, but also multiplies the nano-patterning functions of a fixed design of fiber-based interference lithography. The patterns of the photonic structures can be thus tuned simply by rotating a half-wave plate.

  6. Hard-tip, soft-spring lithography.

    PubMed

    Shim, Wooyoung; Braunschweig, Adam B; Liao, Xing; Chai, Jinan; Lim, Jong Kuk; Zheng, Gengfeng; Mirkin, Chad A

    2011-01-27

    Nanofabrication strategies are becoming increasingly expensive and equipment-intensive, and consequently less accessible to researchers. As an alternative, scanning probe lithography has become a popular means of preparing nanoscale structures, in part owing to its relatively low cost and high resolution, and a registration accuracy that exceeds most existing technologies. However, increasing the throughput of cantilever-based scanning probe systems while maintaining their resolution and registration advantages has from the outset been a significant challenge. Even with impressive recent advances in cantilever array design, such arrays tend to be highly specialized for a given application, expensive, and often difficult to implement. It is therefore difficult to imagine commercially viable production methods based on scanning probe systems that rely on conventional cantilevers. Here we describe a low-cost and scalable cantilever-free tip-based nanopatterning method that uses an array of hard silicon tips mounted onto an elastomeric backing. This method-which we term hard-tip, soft-spring lithography-overcomes the throughput problems of cantilever-based scanning probe systems and the resolution limits imposed by the use of elastomeric stamps and tips: it is capable of delivering materials or energy to a surface to create arbitrary patterns of features with sub-50-nm resolution over centimetre-scale areas. We argue that hard-tip, soft-spring lithography is a versatile nanolithography strategy that should be widely adopted by academic and industrial researchers for rapid prototyping applications.

  7. Laser pattern generator challenges in airborne molecular contamination protection

    NASA Astrophysics Data System (ADS)

    Ekberg, Mats; Skotte, Per-Uno; Utterback, Tomas; Paul, Swaraj; Kishkovich, Oleg P.; Hudzik, James S.

    2003-08-01

    The introduction of photomask laser pattern generators presents new challenges to system designers and manufacturers. One of the laser pattern generator's environmental operating challenges is Airborne Molecular Contamination (AMC), which affects both chemically amplified resists (CAResist) and laser optics. Similar challenges in CAResist protection have already been addressed in semiconductor wafer lithography with reasonable solutions and experience gained by all those involved. However, photomask and photomask equipment manufacturers have not previously had a comparable experience, and some photomask AMC issues differ from those seen in semiconductor wafer lithography. Culminating years of AMC experience, the authors discuss specific requirements of Photomask AMC. Air sampling and material of construction analysis were performed to understand these particular AMC challenges and used to develop an appropriate filtration specification for different classes of contaminates. The authors portray the importance of cooperation between tool designers and AMC experts early in the design stage to assure goal attainment to maximize both process stability and machine productivity in advanced mask making. In conclusion, the authors provide valuable recommendations to both laser tool users and other equipment manufacturers.

  8. Optically Clear and Resilient Free-Form µ-Optics 3D-Printed via Ultrafast Laser Lithography.

    PubMed

    Jonušauskas, Linas; Gailevičius, Darius; Mikoliūnaitė, Lina; Sakalauskas, Danas; Šakirzanovas, Simas; Juodkazis, Saulius; Malinauskas, Mangirdas

    2017-01-02

    We introduce optically clear and resilient free-form micro-optical components of pure (non-photosensitized) organic-inorganic SZ2080 material made by femtosecond 3D laser lithography (3DLL). This is advantageous for rapid printing of 3D micro-/nano-optics, including their integration directly onto optical fibers. A systematic study of the fabrication peculiarities and quality of resultant structures is performed. Comparison of microlens resiliency to continuous wave (CW) and femtosecond pulsed exposure is determined. Experimental results prove that pure SZ2080 is ∼20 fold more resistant to high irradiance as compared with standard lithographic material (SU8) and can sustain up to 1.91 GW/cm² intensity. 3DLL is a promising manufacturing approach for high-intensity micro-optics for emerging fields in astro-photonics and atto-second pulse generation. Additionally, pyrolysis is employed to homogeneously shrink structures up to 40% by removing organic SZ2080 constituents. This opens a promising route towards downscaling photonic lattices and the creation of mechanically robust glass-ceramic microstructures.

  9. Optically Clear and Resilient Free-Form μ-Optics 3D-Printed via Ultrafast Laser Lithography

    PubMed Central

    Jonušauskas, Linas; Gailevičius, Darius; Mikoliūnaitė, Lina; Sakalauskas, Danas; Šakirzanovas, Simas; Juodkazis, Saulius; Malinauskas, Mangirdas

    2017-01-01

    We introduce optically clear and resilient free-form micro-optical components of pure (non-photosensitized) organic-inorganic SZ2080 material made by femtosecond 3D laser lithography (3DLL). This is advantageous for rapid printing of 3D micro-/nano-optics, including their integration directly onto optical fibers. A systematic study of the fabrication peculiarities and quality of resultant structures is performed. Comparison of microlens resiliency to continuous wave (CW) and femtosecond pulsed exposure is determined. Experimental results prove that pure SZ2080 is ∼20 fold more resistant to high irradiance as compared with standard lithographic material (SU8) and can sustain up to 1.91 GW/cm2 intensity. 3DLL is a promising manufacturing approach for high-intensity micro-optics for emerging fields in astro-photonics and atto-second pulse generation. Additionally, pyrolysis is employed to homogeneously shrink structures up to 40% by removing organic SZ2080 constituents. This opens a promising route towards downscaling photonic lattices and the creation of mechanically robust glass-ceramic microstructures. PMID:28772389

  10. Holographic illuminator for synchrotron-based projection lithography systems

    DOEpatents

    Naulleau, Patrick P.

    2005-08-09

    The effective coherence of a synchrotron beam line can be tailored to projection lithography requirements by employing a moving holographic diffuser and a stationary low-cost spherical mirror. The invention is particularly suited for use in an illuminator device for an optical image processing system requiring partially coherent illumination. The illuminator includes: (1) a synchrotron source of coherent or partially coherent radiation which has an intrinsic coherence that is higher than the desired coherence, (2) a holographic diffuser having a surface that receives incident radiation from said source, (3) means for translating the surface of the holographic diffuser in two dimensions along a plane that is parallel to the surface of the holographic diffuser wherein the rate of the motion is fast relative to integration time of said image processing system; and (4) a condenser optic that re-images the surface of the holographic diffuser to the entrance plane of said image processing system.

  11. MAPPER: high-throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Wieland, M. J.; de Boer, G.; ten Berge, G. F.; Jager, R.; van de Peut, T.; Peijster, J. J. M.; Slot, E.; Steenbrink, S. W. H. K.; Teepen, T. F.; van Veen, A. H. V.; Kampherbeek, B. J.

    2009-03-01

    Maskless electron beam lithography, or electron beam direct write, has been around for a long time in the semiconductor industry and was pioneered from the mid-1960s onwards. This technique has been used for mask writing applications as well as device engineering and in some cases chip manufacturing. However because of its relatively low throughput compared to optical lithography, electron beam lithography has never been the mainstream lithography technology. To extend optical lithography double patterning, as a bridging technology, and EUV lithography are currently explored. Irrespective of the technical viability of both approaches, one thing seems clear. They will be expensive [1]. MAPPER Lithography is developing a maskless lithography technology based on massively-parallel electron-beam writing with high speed optical data transport for switching the electron beams. In this way optical columns can be made with a throughput of 10-20 wafers per hour. By clustering several of these columns together high throughputs can be realized in a small footprint. This enables a highly cost-competitive alternative to double patterning and EUV alternatives. In 2007 MAPPER obtained its Proof of Lithography milestone by exposing in its Demonstrator 45 nm half pitch structures with 110 electron beams in parallel, where all the beams where individually switched on and off [2]. In 2008 MAPPER has taken a next step in its development by building several tools. The objective of building these tools is to involve semiconductor companies to be able to verify tool performance in their own environment. To enable this, the tools will have a 300 mm wafer stage in addition to a 110-beam optics column. First exposures at 45 nm half pitch resolution have been performed and analyzed. On the same wafer it is observed that all beams print and based on analysis of 11 beams the CD for the different patterns is within 2.2 nm from target and the CD uniformity for the different patterns is better

  12. Nanobiotechnology: soft lithography.

    PubMed

    Mele, Elisa; Pisignano, Dario

    2009-01-01

    An entirely new scientific and technological area has been born from the combination of nanotechnology and biology: nanobiotechnology. Such a field is primed especially by the strong potential synergy enabled by the integration of technologies, protocols, and investigation methods, since, while biomolecules represent functional nanosystems interesting for nanotechnology, micro- and nano-devices can be very useful instruments for studying biological materials. In particular, the research of new approaches for manipulating matter and fabricating structures with micrometre- and sub-micrometre resolution has determined the development of soft lithography, a new set of non-photolithographic patterning techniques applied to the realization of selective proteins and cells attachment, microfluidic circuits for protein and DNA chips, and 3D scaffolds for tissue engineering. Today, soft lithographies have become an asset of nanobiotechnology. This Chapter examines the biological applications of various soft lithographic techniques, with particular attention to the main general features of soft lithography and of materials commonly employed with these methods. We present approaches particularly suitable for biological materials, such as microcontact printing (muCP) and microfluidic lithography, and some key micro- and nanobiotechnology applications, such as the patterning of protein and DNA microarrays and the realization of microfluidic-based analytical devices.

  13. Practical tolerancing and performance implications for XUV projection lithography reduction systems (Poster Paper)

    NASA Astrophysics Data System (ADS)

    Viswanathan, Vriddhachalam K.

    1992-07-01

    Practical considerations that will strongly affect the imaging capabilities of reflecting systems for extreme-ultraviolet (XUV) projection lithography include manufacturing tolerances and thermal distortion of the mirror surfaces due to absorption of a fraction of the incident radiation beam. We have analyzed the potential magnitudes of these effects for two types of reflective projection optical designs. We find that concentric, symmetric two-mirror systems are less sensitive to manufacturing errors and thermal distortion than off-axis, four-mirror systems.

  14. Method for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, Glenn D.

    1999-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.

  15. Method for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, G. D.

    2000-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.

  16. Aberration correction for charged particle lithography

    NASA Astrophysics Data System (ADS)

    Munro, Eric; Zhu, Xieqing; Rouse, John A.; Liu, Haoning

    2001-12-01

    At present, the throughput of projection-type charge particle lithography systems, such as PREVAIL and SCALPEL, is limited primarily by the combined effects of field curvature in the projection lenses and Coulomb interaction in the particle beam. These are fundamental physical limitations, inherent in charged particle optics, so there seems little scope for significantly improving the design of such systems, using conventional rotationally symmetric electron lenses. This paper explores the possibility of overcoming the field aberrations of round electron lense, by using a novel aberration corrector, proposed by Professor H. Rose of University of Darmstadt, called a hexapole planator. In this scheme, a set of round lenses is first used to simultaneously correct distortion and coma. The hexapole planator is then used to correct the field curvature and astigmatism, and to create a negative spherical aberration. The size of the transfer lenses around the planator can then be adjusted to zero the residual spherical aberration. In a way, an electron optical projection system is obtained that is free of all primary geometrical aberrations. In this paper, the feasibility of this concept has been studied with a computer simulation. The simulations verify that this scheme can indeed work, for both electrostatic and magnetic projection systems. Two design studies have been carried out. The first is for an electrostatic system that could be used for ion beam lithography, and the second is for a magnetic projection system for electron beam lithography. In both cases, designs have been achieved in which all primary third-order geometrical aberrations are totally eliminated.

  17. Architecture and Hardware Design of Lossless Compression Algorithms for Direct-Write Maskless Lithography Systems

    DTIC Science & Technology

    2010-04-29

    magnitude greater than today’s high-definition video coding standards. Moreover, the micromirror devices of maskless lithography are smaller than those...be found in the literature [33]. In this architecture, the optical source flashes on a writer system, which consists of a micromirror array and a...the writer system. Due to the physical dimension constraints of the micromirror array and writer system, an entire wafer can be written in a few

  18. Swords to plowshares: Shock wave applications to advanced lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Trucano, T.G.; Grady, D.E.; Kubiak, G.D.

    1995-03-01

    Extreme UltraViolet Lithography (EUVL) seeks to apply radiation in a wavelength region centered near 13 nm to produce microcircuits having features sizes 0.1 micron or less. A critical requirement for the commercial application of this technology is the development of an economical, compact source of this radiation which is suitable for lithographic applications. A good candidate is a laser-plasma source, which is generated by the interaction of an intermediate intensity laser pulse (up to 10{sup 12} W/cm{sup 2}) with a metallic target. While such a source has radiative characteristics which satisfy the needs of an EUVL source, the debris generatedmore » during the laser-target interaction strikes at the economy of the source. Here, the authors review the use of concepts and computer modeling, originally developed for hypervelocity impact analysis, to study this problem.« less

  19. Development of a Wafer Positioning System for the Sandia Extreme Ultraviolet Lithography Tool

    NASA Technical Reports Server (NTRS)

    Wronosky, John B.; Smith, Tony G.; Darnold, Joel R.

    1996-01-01

    A wafer positioning system was recently developed by Sandia National Laboratories for an Extreme Ultraviolet Lithography (EUVL) tool. The system, which utilizes a magnetically levitated fine stage to provide ultra-precise positioning in all six degrees of freedom, incorporates technological improvements resulting from four years of prototype development. This paper describes the design, implementation, and functional capability of the system. Specifics regarding control system electronics, including software and control algorithm structure, as well as performance design goals and test results are presented. Potential system enhancements, some of which are in process, are also discussed.

  20. Design considerations of 10 kW-scale extreme ultraviolet SASE FEL for lithography

    NASA Astrophysics Data System (ADS)

    Pagani, C.; Saldin, E. L.; Schneidmiller, E. A.; Yurkov, M. V.

    2001-05-01

    The semiconductor industry growth is driven to a large extent by steady advancements in microlithography. According to the newly updated industry roadmap, the 70 nm generation is anticipated to be available in the year 2008. However, the path to get there is not obvious. The problem of construction of Extreme Ultraviolet (EUV) quantum laser for lithography is still unsolved: progress in this field is rather moderate and we cannot expect a significant break through in the near future. Nevertheless, there is clear path for optical lithography to take us to sub- 100 nm dimensions. Theoretical and experimental work in free electron laser (FEL) and accelerator physics and technology over the last 10 years has pointed to the possibility of generation of high-power optical beams with laser-like characteristics in the EUV spectral range. Recently, there have been important advances in demonstrating a high-gain self-amplified spontaneous emission (SASE) FEL at 100 nm wavelength (Andruszkov et al., Phys. Rev. Lett. 85 (2000), 3825). In the SASE FEL powerful, coherent radiation is produced by the electron beam during single-pass of the undulator, thus there are no apparent limitations which would prevent operation at very short wavelength range and to increase the average output power of this device up to 10 kW level. The use of superconducting energy-recovery linac could produce a major, cost-effective facility with wall plug power to output optical power efficiency of about 1%. A 10-kW-scale transversely coherent radiation source with narrow bandwidth (0.5%) and variable wavelength could be an excellent tool for manufacturing computer chips with the minimum feature size below 100 nm. All components of the proposed SASE FEL equipment (injector, driver accelerator structure, energy-recovery system, undulator, etc.) have been demonstrated in practice. This is guaranteed success in the time schedule requirement.

  1. Design considerations of 10 kW-scale, extreme ultraviolet SASE FEL for lithography

    NASA Astrophysics Data System (ADS)

    Pagani, C.; Saldin, E. L.; Schneidmiller, E. A.; Yurkov, M. V.

    2001-12-01

    The semiconductor industry growth is driven to a large extent by steady advancements in microlithography. According to the newly updated industry road map, the 70 nm generation is anticipated to be available in the year 2008. However, the path to get there is not clear. The problem of construction of extreme ultraviolet (EUV) quantum lasers for lithography is still unsolved: progress in this field is rather moderate and we cannot expect a significant breakthrough in the near future. Nevertheless, there is clear path for optical lithography to take us to sub-100 nm dimensions. Theoretical and experimental work in Self-Amplified Spontaneous Emission (SASE) Free Electron Lasers (FEL) physics and the physics of superconducting linear accelerators over the last 10 years has pointed to the possibility of the generation of high-power optical beams with laser-like characteristics in the EUV spectral range. Recently, there have been important advances in demonstrating a high-gain SASE FEL at 100 nm wavelength (J. Andruszkov, et al., Phys. Rev. Lett. 85 (2000) 3821). The SASE FEL concept eliminates the need for an optical cavity. As a result, there are no apparent limitations which would prevent operating at very short wavelength range and increasing the average output power of this device up to 10-kW level. The use of super conducting energy-recovery linac could produce a major, cost-efficient facility with wall plug power to output optical power efficiency of about 1%. A 10-kW scale transversely coherent radiation source with narrow bandwidth (0.5%) and variable wavelength could be excellent tool for manufacturing computer chips with the minimum feature size below 100 nm. All components of the proposed SASE FEL equipment (injector, driver accelerator structure, energy recovery system, undulator, etc.) have been demonstrated in practice. This is guaranteed success in the time-schedule requirement.

  2. Fabrication of superconducting nanowire single-photon detectors by nonlinear femtosecond optical lithography

    NASA Astrophysics Data System (ADS)

    Minaev, N. V.; Tarkhov, M. A.; Dudova, D. S.; Timashev, P. S.; Chichkov, B. N.; Bagratashvili, V. N.

    2018-02-01

    This paper describes a new approach to the fabrication of superconducting nanowire single-photon detectors from ultrathin NbN films on SiO2 substrates. The technology is based on nonlinear femtosecond optical lithography and includes direct formation of the sensitive element of the detector (the meander) through femtosecond laser exposure of the polymethyl methacrylate resist at a wavelength of 525 nm and subsequent removal of NbN using plasma-chemical etching. The nonlinear femtosecond optical lithography method allows the formation of planar structures with a spatial resolution of ~50 nm. These structures were used to fabricate single-photon superconducting detectors with quantum efficiency no worse than 8% at a wavelength of 1310 nm and dark count rate of 10 s-1 at liquid helium temperature.

  3. Nanoimprint lithography for nanodevice fabrication

    NASA Astrophysics Data System (ADS)

    Barcelo, Steven; Li, Zhiyong

    2016-09-01

    Nanoimprint lithography (NIL) is a compelling technique for low cost nanoscale device fabrication. The precise and repeatable replication of nanoscale patterns from a single high resolution patterning step makes the NIL technique much more versatile than other expensive techniques such as e-beam or even helium ion beam lithography. Furthermore, the use of mechanical deformation during the NIL process enables grayscale lithography with only a single patterning step, not achievable with any other conventional lithography techniques. These strengths enable the fabrication of unique nanoscale devices by NIL for a variety of applications including optics, plasmonics and even biotechnology. Recent advances in throughput and yield in NIL processes demonstrate the potential of being adopted for mainstream semiconductor device fabrication as well.

  4. Aqueous multiphoton lithography with multifunctional silk-centred bio-resists.

    PubMed

    Sun, Yun-Lu; Li, Qi; Sun, Si-Ming; Huang, Jing-Chun; Zheng, Bo-Yuan; Chen, Qi-Dai; Shao, Zheng-Zhong; Sun, Hong-Bo

    2015-10-16

    Silk and silk fibroin, the biomaterial from nature, nowadays are being widely utilized in many cutting-edge micro/nanodevices/systems via advanced micro/nanofabrication techniques. Herein, for the first time to our knowledge, we report aqueous multiphoton lithography of diversiform-regenerated-silk-fibroin-centric inks using noncontact and maskless femtosecond laser direct writing (FsLDW). Initially, silk fibroin was FsLDW-crosslinked into arbitrary two/three-dimensional micro/nanostructures with good elastic properties merely using proper photosensitizers. More interestingly, silk/metal composite micro/nanodevices with multidimension-controllable metal content can be FsLDW-customized through laser-induced simultaneous fibroin oxidation/crosslinking and metal photoreduction using the simplest silk/Ag(+) or silk/[AuCl4](-) aqueous resists. Noticeably, during FsLDW, fibroin functions as biological reductant and matrix, while metal ions act as the oxidant. A FsLDW-fabricated prototyping silk/Ag microelectrode exhibited 10(4)-Ω(-1 ) m(-1)-scale adjustable electric conductivity. This work not only provides a powerful development to silk micro/nanoprocessing techniques but also creates a novel way to fabricate multifunctional metal/biomacromolecule complex micro/nanodevices for applications such as micro/nanoscale mechanical and electrical bioengineering and biosystems.

  5. Aqueous multiphoton lithography with multifunctional silk-centred bio-resists

    PubMed Central

    Sun, Yun-Lu; Li, Qi; Sun, Si-Ming; Huang, Jing-Chun; Zheng, Bo-Yuan; Chen, Qi-Dai; Shao, Zheng-Zhong; Sun, Hong-Bo

    2015-01-01

    Silk and silk fibroin, the biomaterial from nature, nowadays are being widely utilized in many cutting-edge micro/nanodevices/systems via advanced micro/nanofabrication techniques. Herein, for the first time to our knowledge, we report aqueous multiphoton lithography of diversiform-regenerated-silk-fibroin-centric inks using noncontact and maskless femtosecond laser direct writing (FsLDW). Initially, silk fibroin was FsLDW-crosslinked into arbitrary two/three-dimensional micro/nanostructures with good elastic properties merely using proper photosensitizers. More interestingly, silk/metal composite micro/nanodevices with multidimension-controllable metal content can be FsLDW-customized through laser-induced simultaneous fibroin oxidation/crosslinking and metal photoreduction using the simplest silk/Ag+ or silk/[AuCl4]− aqueous resists. Noticeably, during FsLDW, fibroin functions as biological reductant and matrix, while metal ions act as the oxidant. A FsLDW-fabricated prototyping silk/Ag microelectrode exhibited 104-Ω−1 m−1-scale adjustable electric conductivity. This work not only provides a powerful development to silk micro/nanoprocessing techniques but also creates a novel way to fabricate multifunctional metal/biomacromolecule complex micro/nanodevices for applications such as micro/nanoscale mechanical and electrical bioengineering and biosystems. PMID:26472600

  6. Aqueous multiphoton lithography with multifunctional silk-centred bio-resists

    NASA Astrophysics Data System (ADS)

    Sun, Yun-Lu; Li, Qi; Sun, Si-Ming; Huang, Jing-Chun; Zheng, Bo-Yuan; Chen, Qi-Dai; Shao, Zheng-Zhong; Sun, Hong-Bo

    2015-10-01

    Silk and silk fibroin, the biomaterial from nature, nowadays are being widely utilized in many cutting-edge micro/nanodevices/systems via advanced micro/nanofabrication techniques. Herein, for the first time to our knowledge, we report aqueous multiphoton lithography of diversiform-regenerated-silk-fibroin-centric inks using noncontact and maskless femtosecond laser direct writing (FsLDW). Initially, silk fibroin was FsLDW-crosslinked into arbitrary two/three-dimensional micro/nanostructures with good elastic properties merely using proper photosensitizers. More interestingly, silk/metal composite micro/nanodevices with multidimension-controllable metal content can be FsLDW-customized through laser-induced simultaneous fibroin oxidation/crosslinking and metal photoreduction using the simplest silk/Ag+ or silk/[AuCl4]- aqueous resists. Noticeably, during FsLDW, fibroin functions as biological reductant and matrix, while metal ions act as the oxidant. A FsLDW-fabricated prototyping silk/Ag microelectrode exhibited 104-Ω-1 m-1-scale adjustable electric conductivity. This work not only provides a powerful development to silk micro/nanoprocessing techniques but also creates a novel way to fabricate multifunctional metal/biomacromolecule complex micro/nanodevices for applications such as micro/nanoscale mechanical and electrical bioengineering and biosystems.

  7. First Results From A Multi-Ion Beam Lithography And Processing System At The University Of Florida

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gila, Brent; Appleton, Bill R.; Fridmann, Joel

    2011-06-01

    The University of Florida (UF) have collaborated with Raith to develop a version of the Raith ionLiNE IBL system that has the capability to deliver multi-ion species in addition to the Ga ions normally available. The UF system is currently equipped with a AuSi liquid metal alloy ion source (LMAIS) and ExB filter making it capable of delivering Au and Si ions and ion clusters for ion beam processing. Other LMAIS systems could be developed in the future to deliver other ion species. This system is capable of high performance ion beam lithography, sputter profiling, maskless ion implantation, ion beammore » mixing, and spatial and temporal ion beam assisted writing and processing over large areas (100 mm2)--all with selected ion species at voltages from 15-40 kV and nanometer precision. We discuss the performance of the system with the AuSi LMAIS source and ExB mass separator. We report on initial results from the basic system characterization, ion beam lithography, as well as for basic ion-solid interactions.« less

  8. Successful demonstration of a comprehensive lithography defect monitoring strategy

    NASA Astrophysics Data System (ADS)

    Peterson, Ingrid B.; Breaux, Louis H.; Cross, Andrew; von den Hoff, Michael

    2003-07-01

    This paper describes the validation of the methodology, the model and the impact of an optimized Lithography Defect Monitoring Strategy at two different semiconductor manufacturing factories. The lithography defect inspection optimization was implemented for the Gate Module at both factories running 0.13-0.15μm technologies on 200mm wafers, one running microprocessor and the other memory devices. As minimum dimensions and process windows decrease in the lithography area, new technologies and technological advances with resists and resist systems are being implemented to meet the demands. Along with these new technological advances in the lithography area comes potentially unforeseen defect issues. The latest lithography processes involve new resists in extremely thin, uniform films, exposing the films under conditions of highly optimized focus and illumination, and finally removing the resist completely and cleanly. The lithography cell is defined as the cluster of process equipment that accomplishes the coating process (surface prep, resist spin, edge-bead removal and soft bake), the alignment and exposure, and the developing process (post-exposure bake, develop, rinse) of the resist. Often the resist spinning process involves multiple materials such as BARC (bottom ARC) and / or TARC (top ARC) materials in addition to the resist itself. The introduction of these new materials with the multiple materials interfaces and the tightness of the process windows leads to an increased variety of defect mechanisms in the lithography area. Defect management in the lithography area has become critical to successful product introduction and yield ramp. The semiconductor process itself contributes the largest number and variety of defects, and a significant portion of the total defects originate within the lithography cell. From a defect management perspective, the lithography cell has some unique characteristics. First, defects in the lithography process module have the

  9. Simulation of the effect of incline incident angle in DMD Maskless Lithography

    NASA Astrophysics Data System (ADS)

    Liang, L. W.; Zhou, J. Y.; Xiang, L. L.; Wang, B.; Wen, K. H.; Lei, L.

    2017-06-01

    The aim of this study is to provide a simulation method for investigation of the intensity fluctuation caused by the inclined incident angle in DMD (digital micromirror device) maskless lithography. The simulation consists of eight main processes involving the simplification of the DMD aperture function and light propagation utilizing the non-parallel angular spectrum method. These processes provide a possibility of co-simulation in the spatial frequency domain, which combines the microlens array and DMD in the maskless lithography system. The simulation provided the spot shape and illumination distribution. These two parameters are crucial in determining the exposure dose in the existing maskless lithography system.

  10. XUV generation from the interaction of pico- and nanosecond laser pulses with nanostructured targets

    NASA Astrophysics Data System (ADS)

    Barte, Ellie Floyd; Lokasani, Ragava; Proska, Jan; Stolcova, Lucie; Maguire, Oisin; Kos, Domagoj; Sheridan, Paul; O'Reilly, Fergal; Sokell, Emma; McCormack, Tom; O'Sullivan, Gerry; Dunne, Padraig; Limpouch, Jiri

    2017-05-01

    Laser-produced plasmas are intense sources of XUV radiation that can be suitable for different applications such as extreme ultraviolet lithography, beyond extreme ultraviolet lithography and water window imaging. In particular, much work has focused on the use of tin plasmas for extreme ultraviolet lithography at 13.5 nm. We have investigated the spectral behavior of the laser produced plasmas formed on closely packed polystyrene microspheres and porous alumina targets covered by a thin tin layer in the spectral region from 2.5 to 16 nm. Nd:YAG lasers delivering pulses of 170 ps (Ekspla SL312P )and 7 ns (Continuum Surelite) duration were focused onto the nanostructured targets coated with tin. The intensity dependence of the recorded spectra was studied; the conversion efficiency (CE) of laser energy into the emission in the 13.5 nm spectral region was estimated. We have observed an increase in CE using high intensity 170 ps Nd:YAG laser pulses as compared with a 7 ns pulse.

  11. An investigation on defect-generation conditions in immersion lithography

    NASA Astrophysics Data System (ADS)

    Tomita, Tadatoshi; Shimoaoki, Takeshi; Enomoto, Masashi; Kyoda, Hideharu; Kitano, Junichi; Suganaga, Toshifumi

    2006-03-01

    As a powerful candidate for a lithography technique that can accommodate the scaling-down of semiconductors, 193-nm immersion lithography-which realizes a high numerical aperture (NA) and uses deionized water as the medium between the lens and wafer in the exposure system-has been developing at a rapid pace and has reached the stage of practical application. In regards to defects that are a cause for concern in the case of 193-nm immersion lithography, however, many components are still unclear and many problems remain to be solved. It has been pointed out, for example, that in the case of 193-nm immersion lithography, immersion of the resist film in deionized water during exposure causes infiltration of moisture into the resist film, internal components of the resist dissolve into the deionized water, and residual water generated during exposure affects post-processing. Moreover, to prevent this influence of directly immersing the resist in de-ionized water, application of a protective film is regarded as effective. However, even if such a film is applied, it is still highly likely that the above-mentioned defects will still occur. Accordingly, to reduce these defects, it is essential to identify the typical defects occurring in 193-nm immersion lithography and to understand the condition for generation of defects by using some kinds of protective films and resist materials. Furthermore, from now onwards, with further scaling down of semiconductors, it is important to maintain a clear understanding of the relation between defect-generation conditions and critical dimensions (CD). Aiming to extract typical defects occurring in 193-nm immersion lithography, the authors carried out a comparative study with dry exposure lithography, thereby confirming several typical defects associated with immersion lithography. We then investigated the conditions for generation of defects in the case of some kinds of protective films. In addition to that, by investigating the defect

  12. Soft Lithography

    NASA Astrophysics Data System (ADS)

    Xia, Younan; Whitesides, George M.

    1998-08-01

    Soft lithography represents a non-photolithographic strategy based on selfassembly and replica molding for carrying out micro- and nanofabrication. It provides a convenient, effective, and low-cost method for the formation and manufacturing of micro- and nanostructures. In soft lithography, an elastomeric stamp with patterned relief structures on its surface is used to generate patterns and structures with feature sizes ranging from 30 nm to 100 mum. Five techniques have been demonstrated: microcontact printing (muCP), replica molding (REM), microtransfer molding (muTM), micromolding in capillaries (MIMIC), and solvent-assisted micromolding (SAMIM). In this chapter we discuss the procedures for these techniques and their applications in micro- and nanofabrication, surface chemistry, materials science, optics, MEMS, and microelectronics.

  13. Holographic lithography for biomedical applications

    NASA Astrophysics Data System (ADS)

    Stankevicius, E.; Balciunas, E.; Malinauskas, M.; Raciukaitis, G.; Baltriukiene, D.; Bukelskiene, V.

    2012-06-01

    Fabrication of scaffolds for cell growth with appropriate mechanical characteristics is top-most important for successful creation of tissue. Due to ability of fast fabrication of periodic structures with a different period, the holographic lithography technique is a suitable tool for scaffolds fabrication. The scaffolds fabricated by holographic lithography can be used in various biomedical investigations such as the cellular adhesion, proliferation and viability. These investigations allow selection of the suitable material and geometry of scaffolds which can be used in creation of tissue. Scaffolds fabricated from di-acrylated poly(ethylene glycol) (PEG-DA-258) over a large area by holographic lithography technique are presented in this paper. The PEG-DA scaffolds fabricated by holographic lithography showed good cytocompatibility for rabbit myogenic stem cells. It was observed that adult rabbit muscle-derived myogenic stem cells grew onto PEG-DA scaffolds. They were attached to the pillars and formed cell-cell interactions. It demonstrates that the fabricated structures have potential to be an interconnection channel network for cell-to-cell interactions, flow transport of nutrients and metabolic waste as well as vascular capillary ingrowth. These results are encouraging for further development of holographic lithography by improving its efficiency for microstructuring three-dimensional scaffolds out of biodegradable hydrogels

  14. A Computer-Based, Interactive Videodisc Job Aid and Expert System for Electron Beam Lithography Integration and Diagnostic Procedures.

    ERIC Educational Resources Information Center

    Stevenson, Kimberly

    This master's thesis describes the development of an expert system and interactive videodisc computer-based instructional job aid used for assisting in the integration of electron beam lithography devices. Comparable to all comprehensive training, expert system and job aid development require a criterion-referenced systems approach treatment to…

  15. Fabrication of a chirped artificial compound eye for endoscopic imaging fiber bundle by dose-modulated laser lithography and subsequent thermal reflow

    NASA Astrophysics Data System (ADS)

    Deng, Shengfeng; Lyu, Jinke; Sun, Hongda; Cui, Xiaobin; Wang, Tun; Lu, Miao

    2015-03-01

    A chirped artificial compound eye on a curved surface was fabricated using an optical resin and then mounted on the end of an endoscopic imaging fiber bundle. The focal length of each lenslet on the curved surface was variable to realize a flat focal plane, which matched the planar end surface of the fiber bundle. The variation of the focal length was obtained by using a photoresist mold formed by dose-modulated laser lithography and subsequent thermal reflow. The imaging performance of the fiber bundle was characterized by coupling with a coaxial light microscope, and the result demonstrated a larger field of view and better imaging quality than that of an artificial compound eye with a uniform focal length. Accordingly, this technology has potential application in stereoscopic endoscopy.

  16. Resolution improvement of 3D stereo-lithography through the direct laser trajectory programming: Application to microfluidic deterministic lateral displacement device.

    PubMed

    Juskova, Petra; Ollitrault, Alexis; Serra, Marco; Viovy, Jean-Louis; Malaquin, Laurent

    2018-02-13

    The vast majority of current microfluidic devices are produced using soft lithography, a technique with strong limitations regarding the fabrication of three-dimensional architectures. Additive manufacturing holds great promises to overcome these limitations, but conventional machines still lack the resolution required by most microfluidic applications. 3D printing machines based on two-photon lasers, in contrast, have the needed resolution but are too limited in speed and size of the global device. Here we demonstrate how the resolution of conventional stereolithographic machines can be improved by a direct programming of the laser path and can contribute to bridge the gap between the two above technologies, allowing the direct printing of features between 10 and 100 μm, corresponding to a large fraction of microfluidic applications. This strategy allows to achieve resolutions limited only by the physical size of the laser beam, decreasing by a factor at least 2× the size of the smallest features printable, and increasing their reproducibility by a factor 5. The approach was applied to produce an open microfluidic device with the reversible seal, integrating periodical patterns using the simple motifs, and validated by the fabrication of a deterministic lateral displacement particles sorting device. The sorting of polystyrene beads (diameter: 20 μm and 45 μm) was achieved with a specificity >95%, comparable with that achieved with arrays prepared by microlithography. Copyright © 2017 Elsevier B.V. All rights reserved.

  17. Lithography with MeV Energy Ions for Biomedical Applications: Accelerator Considerations

    NASA Astrophysics Data System (ADS)

    Sangyuenyongpipat, S.; Whitlow, H. J.; Nakagawa, S. T.; Yoshida, E.

    2009-03-01

    MeV ion beam lithographies are very powerful techniques for 3D direct writing in positive or negtive photoresist materials. Nanometer-scale rough structures, or clear areas with straight vertical sidewalls as thin as a few 10's of nm in a resist of a few nm to 100 μm thickness can be made. These capabilities are particularly useful for lithography in cellular- and sub-cellular level biomedical research and technology applications. It can be used for tailor making special structures such as optical waveguides, biosensors, DNA sorters, spotting plates, systems for DNA, protein and cell separation, special cell-growth substrates and microfluidic lab-on-a-chip devices. Furthermore MeV ion beam lithography can be used for rapid prototyping, and also making master stamps and moulds for mass production by hot embossing and nanoimprint lithography. The accelerator requirements for three different high energy ion beam lithography techniques are overviewed. We consider the special requirements placed on the accelerator and how this is achieved for a commercial proton beam writing tool.

  18. Electron-beam lithography for micro and nano-optical applications

    NASA Technical Reports Server (NTRS)

    Wilson, Daniel W.; Muller, Richard E.; Echternach, Pierre M.

    2005-01-01

    Direct-write electron-beam lithography has proven to be a powerful technique for fabricating a variety of micro- and nano-optical devices. Binary E-beam lithography is the workhorse technique for fabricating optical devices that require complicated precision nano-scale features. We describe a bi-layer resist system and virtual-mark height measurement for improving the reliability of fabricating binary patterns. Analog E-beam lithography is a newer technique that has found significant application in the fabrication of diffractive optical elements. We describe our techniques for fabricating analog surface-relief profiles in E-beam resist, including some discussion regarding overcoming the problems of resist heating and charging. We also describe a multiple-field-size exposure scheme for suppression of field-stitch induced ghost diffraction orders produced by blazed diffraction gratings on non-flat substrates.

  19. Development of high damage threshold laser-machined apodizers and gain filters for laser applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rambo, Patrick; Schwarz, Jens; Kimmel, Mark

    We have developed high damage threshold filters to modify the spatial profile of a high energy laser beam. The filters are formed by laser ablation of a transmissive window. The ablation sites constitute scattering centers which can be filtered in a subsequent spatial filter. Finally, by creating the filters in dielectric materials, we see an increased laser-induced damage threshold from previous filters created using ‘metal on glass’ lithography.

  20. Development of high damage threshold laser-machined apodizers and gain filters for laser applications

    DOE PAGES

    Rambo, Patrick; Schwarz, Jens; Kimmel, Mark; ...

    2016-09-27

    We have developed high damage threshold filters to modify the spatial profile of a high energy laser beam. The filters are formed by laser ablation of a transmissive window. The ablation sites constitute scattering centers which can be filtered in a subsequent spatial filter. Finally, by creating the filters in dielectric materials, we see an increased laser-induced damage threshold from previous filters created using ‘metal on glass’ lithography.

  1. Scanning digital lithography providing high speed large area patterning with diffraction limited sub-micron resolution

    NASA Astrophysics Data System (ADS)

    Wen, Sy-Bor; Bhaskar, Arun; Zhang, Hongjie

    2018-07-01

    A scanning digital lithography system using computer controlled digital spatial light modulator, spatial filter, infinity correct optical microscope and high precision translation stage is proposed and examined. Through utilizing the spatial filter to limit orders of diffraction modes for light delivered from the spatial light modulator, we are able to achieve diffraction limited deep submicron spatial resolution with the scanning digital lithography system by using standard one inch level optical components with reasonable prices. Raster scanning of this scanning digital lithography system using a high speed high precision x-y translation stage and piezo mount to real time adjust the focal position of objective lens allows us to achieve large area sub-micron resolved patterning with high speed (compared with e-beam lithography). It is determined in this study that to achieve high quality stitching of lithography patterns with raster scanning, a high-resolution rotation stage will be required to ensure the x and y directions of the projected pattern are in the same x and y translation directions of the nanometer precision x-y translation stage.

  2. Designs for optimizing depth of focus and spot size for UV laser ablation

    NASA Astrophysics Data System (ADS)

    Wei, An-Chi; Sze, Jyh-Rou; Chern, Jyh-Long

    2010-11-01

    The proposed optical systems are designed for extending the depths of foci (DOF) of UV lasers, which can be exploited in the laser-ablation technologies, such as laser machining and lithography. The designed systems are commonly constructed by an optical module that has at least one aspherical surface. Two configurations of optical module, lens-only and lens-reflector, are presented with the designs of 2-lens and 1-lens-1-reflector demonstrated by commercially optical software. Compared with conventional DOF-enhanced systems, which required the chromatic aberration lenses and the light sources with multiple wavelengths, the proposed designs are adapted to the single-wavelength systems, leading to more economical and efficient systems.

  3. OML: optical maskless lithography for economic design prototyping and small-volume production

    NASA Astrophysics Data System (ADS)

    Sandstrom, Tor; Bleeker, Arno; Hintersteiner, Jason; Troost, Kars; Freyer, Jorge; van der Mast, Karel

    2004-05-01

    The business case for Maskless Lithography is more compelling than ever before, due to more critical processes, rising mask costs and shorter product cycles. The economics of Maskless Lithography gives a crossover volume from Maskless to mask-based lithography at surprisingly many wafers per mask for surprisingly few wafers per hour throughput. Also, small-volume production will in many cases be more economical with Maskless Lithography, even when compared to "shuttle" schemes, reticles with multiple layers, etc. The full benefit of Maskless Lithography is only achievable by duplicating processes that are compatible with volume production processes on conventional scanners. This can be accomplished by the integration of pattern generators based on spatial light modulator technology with state-of-the-art optical scanner systems. This paper reports on the system design of an Optical Maskless Scanner in development by ASML and Micronic: small-field optics with high demagnification, variable NA and illumination schemes, spatial light modulators with millions of MEMS mirrors on CMOS drivers, a data path with a sustained data flow of more than 250 GPixels per second, stitching of sub-fields to scanner fields, and rasterization and writing strategies for throughput and good image fidelity. Predicted lithographic performance based on image simulations is also shown.

  4. Protein assay structured on paper by using lithography

    NASA Astrophysics Data System (ADS)

    Wilhelm, E.; Nargang, T. M.; Al Bitar, W.; Waterkotte, B.; Rapp, B. E.

    2015-03-01

    There are two main challenges in producing a robust, paper-based analytical device. The first one is to create a hydrophobic barrier which unlike the commonly used wax barriers does not break if the paper is bent. The second one is the creation of the (bio-)specific sensing layer. For this proteins have to be immobilized without diminishing their activity. We solve both problems using light-based fabrication methods that enable fast, efficient manufacturing of paper-based analytical devices. The first technique relies on silanization by which we create a flexible hydrophobic barrier made of dimethoxydimethylsilane. The second technique demonstrated within this paper uses photobleaching to immobilize proteins by means of maskless projection lithography. Both techniques have been tested on a classical lithography setup using printed toner masks and on a lithography system for maskless lithography. Using these setups we could demonstrate that the proposed manufacturing techniques can be carried out at low costs. The resolution of the paper-based analytical devices obtained with static masks was lower due to the lower mask resolution. Better results were obtained using advanced lithography equipment. By doing so we demonstrated, that our technique enables fabrication of effective hydrophobic boundary layers with a thickness of only 342 μm. Furthermore we showed that flourescine-5-biotin can be immobilized on the non-structured paper and be employed for the detection of streptavidinalkaline phosphatase. By carrying out this assay on a paper-based analytical device which had been structured using the silanization technique we proofed biological compatibility of the suggested patterning technique.

  5. A mask manufacturer's perspective on maskless lithography

    NASA Astrophysics Data System (ADS)

    Buck, Peter; Biechler, Charles; Kalk, Franklin

    2005-11-01

    Maskless Lithography (ML2) is again being considered for use in mainstream CMOS IC manufacturing. Sessions at technical conferences are being devoted to ML2. A multitude of new companies have been formed in the last several years to apply new concepts to breaking the throughput barrier that has in the past prevented ML2 from achieving the cost and cycle time performance necessary to become economically viable, except in rare cases. Has Maskless Lithography's (we used to call it "Direct Write Lithography") time really come? If so, what is the expected impact on the mask manufacturer and does it matter? The lithography tools used today in mask manufacturing are similar in concept to ML2 except for scale, both in throughput and feature size. These mask tools produce highly accurate lithographic images directly from electronic pattern files, perform multi-layer overlay, and mix-n-match across multiple tools, tool types and sites. Mask manufacturers are already accustomed to the ultimate low volume - one substrate per design layer. In order to achieve the economically required throughput, proposed ML2 systems eliminate or greatly reduce some of the functions that are the source of the mask writer's accuracy. Can these ML2 systems meet the demanding lithographic requirements without these functions? ML2 may eliminate the reticle but many of the processes and procedures performed today by the mask manufacturer are still required. Examples include the increasingly complex mask data preparation step and the verification performed to ensure that the pattern on the reticle is accurately representing the design intent. The error sources that are fixed on a reticle are variable with time on an ML2 system. It has been proposed that if ML2 is successful it will become uneconomical to be in the mask business - that ML2, by taking the high profit masks will take all profitability out of mask manufacturing and thereby endanger the entire semiconductor industry. Others suggest that a

  6. Physical Limitations in Lithography for Microelectronics.

    ERIC Educational Resources Information Center

    Flavin, P. G.

    1981-01-01

    Describes techniques being used in the production of microelectronics kits which have replaced traditional optical lithography, including contact and optical projection printing, and X-ray and electron beam lithography. Also includes limitations of each technique described. (SK)

  7. Four-mirror extreme ultraviolet (EUV) lithography projection system

    DOEpatents

    Cohen, Simon J; Jeong, Hwan J; Shafer, David R

    2000-01-01

    The invention is directed to a four-mirror catoptric projection system for extreme ultraviolet (EUV) lithography to transfer a pattern from a reflective reticle to a wafer substrate. In order along the light path followed by light from the reticle to the wafer substrate, the system includes a dominantly hyperbolic convex mirror, a dominantly elliptical concave mirror, spherical convex mirror, and spherical concave mirror. The reticle and wafer substrate are positioned along the system's optical axis on opposite sides of the mirrors. The hyperbolic and elliptical mirrors are positioned on the same side of the system's optical axis as the reticle, and are relatively large in diameter as they are positioned on the high magnification side of the system. The hyperbolic and elliptical mirrors are relatively far off the optical axis and hence they have significant aspherical components in their curvatures. The convex spherical mirror is positioned on the optical axis, and has a substantially or perfectly spherical shape. The spherical concave mirror is positioned substantially on the opposite side of the optical axis from the hyperbolic and elliptical mirrors. Because it is positioned off-axis to a degree, the spherical concave mirror has some asphericity to counter aberrations. The spherical concave mirror forms a relatively large, uniform field on the wafer substrate. The mirrors can be tilted or decentered slightly to achieve further increase in the field size.

  8. Trends in imprint lithography for biological applications.

    PubMed

    Truskett, Van N; Watts, Michael P C

    2006-07-01

    Imprint lithography is emerging as an alternative nano-patterning technology to traditional photolithography that permits the fabrication of 2D and 3D structures with <100 nm resolution, patterning and modification of functional materials other than photoresist and is low cost, with operational ease for use in developing bio-devices. Techniques for imprint lithography, categorized as either 'molding and embossing' or 'transfer printing', will be discussed in the context of microarrays for genomics, proteomics and tissue engineering. Specifically, fabrication by nanoimprint lithography (NIL), UV-NIL, step and flash imprint lithography (S-FIL), micromolding by elastomeric stamps and micro- and nano-contact printing will be reviewed.

  9. A simplified method for generating periodic nanostructures by interference lithography without the use of an anti-reflection coating

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kapon, Omree; Muallem, Merav; Palatnik, Alex

    Interference lithography has proven to be a useful technique for generating periodic sub-diffraction limited nanostructures. Interference lithography can be implemented by exposing a photoresist polymer to laser light using a two-beam arrangement or more simply a one beam configuration based on a Lloyd's Mirror Interferometer. For typical photoresist layers, an anti-reflection coating must be deposited on the substrate to prevent adverse reflections from cancelling the holographic pattern of the interfering beams. For silicon substrates, such coatings are typically multilayered and complex in composition. By thinning the photoresist layer to a thickness well below the quarter wavelength of the exposing beam,more » we demonstrate that interference gratings can be generated without an anti-reflection coating on the substrate. We used ammonium dichromate doped polyvinyl alcohol as the positive photoresist because it provides excellent pinhole free layers down to thicknesses of 40 nm, and can be cross-linked by a low-cost single mode 457 nm laser, and can be etched in water. Gratings with a period of 320 nm and depth of 4 nm were realized, as well as a variety of morphologies depending on the photoresist thickness. This simplified interference lithography technique promises to be useful for generating periodic nanostructures with high fidelity and minimal substrate treatments.« less

  10. Fusion of Renewable Ring Resonator Lasers and Ultrafast Laser Inscribed Photonic Waveguides

    PubMed Central

    Chandrahalim, Hengky; Rand, Stephen C.; Fan, Xudong

    2016-01-01

    We demonstrated the monolithic integration of reusable and wavelength reconfigurable ring resonator lasers and waveguides of arbitrary shapes to out-couple and guide laser emission on the same fused-silica chip. The ring resonator hosts were patterned by a single-mask standard lithography, whereas the waveguides were inscribed in the proximity of the ring resonator by using 3-dimensional femtosecond laser inscription technology. Reusability of the integrated ring resonator – waveguide system was examined by depositing, removing, and re-depositing dye-doped SU-8 solid polymer, SU-8 liquid polymer, and liquid solvent (toluene). The wavelength reconfigurability was validated by employing Rhodamine 6G (R6G) and 3,3′-Diethyloxacarbocyanine iodide (CY3) as exemplary gain media. In all above cases, the waveguide was able to couple out and guide the laser emission. This work opens a door to reconfigurable active and passive photonic devices for on-chip coherent light sources, optical signal processing, and the investigation of new optical phenomena. PMID:27600872

  11. Fusion of Renewable Ring Resonator Lasers and Ultrafast Laser Inscribed Photonic Waveguides.

    PubMed

    Chandrahalim, Hengky; Rand, Stephen C; Fan, Xudong

    2016-09-07

    We demonstrated the monolithic integration of reusable and wavelength reconfigurable ring resonator lasers and waveguides of arbitrary shapes to out-couple and guide laser emission on the same fused-silica chip. The ring resonator hosts were patterned by a single-mask standard lithography, whereas the waveguides were inscribed in the proximity of the ring resonator by using 3-dimensional femtosecond laser inscription technology. Reusability of the integrated ring resonator - waveguide system was examined by depositing, removing, and re-depositing dye-doped SU-8 solid polymer, SU-8 liquid polymer, and liquid solvent (toluene). The wavelength reconfigurability was validated by employing Rhodamine 6G (R6G) and 3,3'-Diethyloxacarbocyanine iodide (CY3) as exemplary gain media. In all above cases, the waveguide was able to couple out and guide the laser emission. This work opens a door to reconfigurable active and passive photonic devices for on-chip coherent light sources, optical signal processing, and the investigation of new optical phenomena.

  12. Reconfigurable Solid-state Dye-doped Polymer Ring Resonator Lasers.

    PubMed

    Chandrahalim, Hengky; Fan, Xudong

    2015-12-17

    This paper presents wavelength configurable on-chip solid-state ring lasers fabricated by a single-mask standard lithography. The single- and coupled-ring resonator hosts were fabricated on a fused-silica wafer and filled with 3,3'-Diethyloxacarbocyanine iodide (CY3), Rhodamine 6G (R6G), and 3,3'-Diethylthiadicarbocyanine iodide (CY5)-doped polymer as the reconfigurable gain media. The recorded lasing threshold was ~220 nJ/mm(2) per pulse for the single-ring resonator laser with R6G, marking the lowest threshold shown by solid-state dye-doped polymer lasers fabricated with a standard lithography process on a chip. A single-mode lasing from a coupled-ring resonator system with the lasing threshold of ~360 nJ/mm(2) per pulse was also demonstrated through the Vernier effect. The renewability of the dye-doped polymer was examined by removing and redepositing the dye-doped polymer on the same resonator hosts for multiple cycles. We recorded consistent emissions from the devices for all trials, suggesting the feasibility of employing this technology for numerous photonic and biochemical sensing applications that entail for sustainable, reconfigurable, and low lasing threshold coherent light sources on a chip.

  13. Immersion lithography defectivity analysis at DUV inspection wavelength

    NASA Astrophysics Data System (ADS)

    Golan, E.; Meshulach, D.; Raccah, N.; Yeo, J. Ho.; Dassa, O.; Brandl, S.; Schwarz, C.; Pierson, B.; Montgomery, W.

    2007-03-01

    Significant effort has been directed in recent years towards the realization of immersion lithography at 193nm wavelength. Immersion lithography is likely a key enabling technology for the production of critical layers for 45nm and 32nm design rule (DR) devices. In spite of the significant progress in immersion lithography technology, there remain several key technology issues, with a critical issue of immersion lithography process induced defects. The benefits of the optical resolution and depth of focus, made possible by immersion lithography, are well understood. Yet, these benefits cannot come at the expense of increased defect counts and decreased production yield. Understanding the impact of the immersion lithography process parameters on wafer defects formation and defect counts, together with the ability to monitor, control and minimize the defect counts down to acceptable levels is imperative for successful introduction of immersion lithography for production of advanced DR's. In this report, we present experimental results of immersion lithography defectivity analysis focused on topcoat layer thickness parameters and resist bake temperatures. Wafers were exposed on the 1150i-α-immersion scanner and 1200B Scanner (ASML), defect inspection was performed using a DUV inspection tool (UVision TM, Applied Materials). Higher sensitivity was demonstrated at DUV through detection of small defects not detected at the visible wavelength, indicating on the potential high sensitivity benefits of DUV inspection for this layer. The analysis indicates that certain types of defects are associated with different immersion process parameters. This type of analysis at DUV wavelengths would enable the optimization of immersion lithography processes, thus enabling the qualification of immersion processes for volume production.

  14. Optical force stamping lithography

    PubMed Central

    Nedev, Spas; Urban, Alexander S.; Lutich, Andrey A.; Feldmann, Jochen

    2013-01-01

    Here we introduce a new paradigm of far-field optical lithography, optical force stamping lithography. The approach employs optical forces exerted by a spatially modulated light field on colloidal nanoparticles to rapidly stamp large arbitrary patterns comprised of single nanoparticles onto a substrate with a single-nanoparticle positioning accuracy well beyond the diffraction limit. Because the process is all-optical, the stamping pattern can be changed almost instantly and there is no constraint on the type of nanoparticle or substrates used. PMID:21992538

  15. Advanced electric-field scanning probe lithography on molecular resist using active cantilever

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Aydogan, Cemal; Lipowicz, Hubert-Seweryn; Ivanov, Tzvetan; Lenk, Steve; Ahmad, Ahmad; Angelov, Tihomir; Reum, Alexander; Ishchuk, Valentyn; Atanasov, Ivaylo; Krivoshapkina, Yana; Hofer, Manuel; Holz, Mathias; Rangelow, Ivo W.

    2015-03-01

    The routine "on demand" fabrication of features smaller than 10 nm opens up new possibilities for the realization of many novel nanoelectronic, NEMS, optical and bio-nanotechnology-based devices. Based on the thermally actuated, piezoresistive cantilever technology we have developed a first prototype of a scanning probe lithography (SPL) platform able to image, inspect, align and pattern features down to single digit nano regime. The direct, mask-less patterning of molecular resists using active scanning probes represents a promising path circumventing the problems in today's radiation-based lithography. Here, we present examples of practical applications of the previously published electric field based, current-controlled scanning probe lithography on molecular glass resist calixarene by using the developed tabletop SPL system. We demonstrate the application of a step-and-repeat scanning probe lithography scheme including optical as well as AFM based alignment and navigation. In addition, sequential read-write cycle patterning combining positive and negative tone lithography is shown. We are presenting patterning over larger areas (80 x 80 μm) and feature the practical applicability of the lithographic processes.

  16. Nanoimprinted polymer lasers with threshold below 100 W/cm2 using mixed-order distributed feedback resonators.

    PubMed

    Wang, Yue; Tsiminis, Georgios; Kanibolotsky, Alexander L; Skabara, Peter J; Samuel, Ifor D W; Turnbull, Graham A

    2013-06-17

    Organic semiconductor lasers were fabricated by UV-nanoimprint lithography with thresholds as low as 57 W/cm(2) under 4 ns pulsed operation. The nanoimprinted lasers employed mixed-order distributed feedback resonators, with second-order gratings surrounded by first-order gratings, combined with a light-emitting conjugated polymer. They were pumped by InGaN LEDs to produce green-emitting lasers, with thresholds of 208 W/cm(2) (102 nJ/pulse). These hybrid lasers incorporate a scalable UV-nanoimprint lithography process, compatible with high-performance LEDs, therefore we have demonstrated a coherent, compact, low-cost light source.

  17. Design and manufacture of optical system for use in ultraviolet lithography with the free-electron laser

    NASA Astrophysics Data System (ADS)

    Byrd, Donald A.; Viswanathan, Vriddhachalam K.; Woodfin, Gregg L.; Horn, William W.; Lazazzera, Vito J.; Schmell, Rodney A.

    1993-08-01

    At Los Alamos National Laboratory, we are preparing to image submicrometer-size features using the Free Electron Laser (FEL) operating at 248 nm. This article describes the optical transfer systems that were designed to relay the ultraviolet (UV) optical output of the FEL, resulting in expected imaged feature sizes in the range 0.3 - 0.5 micrometers . Nearly all optical subsystems are reflective, and once the coatings were optimized any optical wavelength could be used. All refractive optics were UV-grade fused silica. The optical design, engineering, and manufacture of the various component systems are described along with some experimental results.

  18. Nanoparticle photoresist studies for EUV lithography

    NASA Astrophysics Data System (ADS)

    Kasahara, Kazuki; Xu, Hong; Kosma, Vasiliki; Odent, Jeremy; Giannelis, Emmanuel P.; Ober, Christopher K.

    2017-03-01

    EUV (extreme ultraviolet) lithography is one of the most promising candidates for next generation lithography. The main challenge for EUV resists is to simultaneously satisfy resolution, LWR (line-width roughness) and sensitivity requirements according to the ITRS roadmap. Though polymer type CAR (chemically amplified resist) is the currently standard photoresist, entirely new resist platforms are required due to the performance targets of smaller process nodes. In this paper, recent progress in nanoparticle photoresists which Cornell University has intensely studied is discussed. Lithography performance, especially scum elimination, improvement studies with the dissolution rate acceleration concept and new metal core applications are described.

  19. Photoresist composition for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, G. D.

    1999-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods. A photoresist composition for extreme ultraviolet radiation of boron carbide polymers, hydrochlorocarbons and mixtures thereof.

  20. Challenges of anamorphic high-NA lithography and mask making

    NASA Astrophysics Data System (ADS)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA single-exposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV `Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10

  1. Lithographic process window optimization for mask aligner proximity lithography

    NASA Astrophysics Data System (ADS)

    Voelkel, Reinhard; Vogler, Uwe; Bramati, Arianna; Erdmann, Andreas; Ünal, Nezih; Hofmann, Ulrich; Hennemeyer, Marc; Zoberbier, Ralph; Nguyen, David; Brugger, Juergen

    2014-03-01

    We introduce a complete methodology for process window optimization in proximity mask aligner lithography. The commercially available lithography simulation software LAB from GenISys GmbH was used for simulation of light propagation and 3D resist development. The methodology was tested for the practical example of lines and spaces, 5 micron half-pitch, printed in a 1 micron thick layer of AZ® 1512HS1 positive photoresist on a silicon wafer. A SUSS MicroTec MA8 mask aligner, equipped with MO Exposure Optics® was used in simulation and experiment. MO Exposure Optics® is the latest generation of illumination systems for mask aligners. MO Exposure Optics® provides telecentric illumination and excellent light uniformity over the full mask field. MO Exposure Optics® allows the lithography engineer to freely shape the angular spectrum of the illumination light (customized illumination), which is a mandatory requirement for process window optimization. Three different illumination settings have been tested for 0 to 100 micron proximity gap. The results obtained prove, that the introduced process window methodology is a major step forward to obtain more robust processes in mask aligner lithography. The most remarkable outcome of the presented study is that a smaller exposure gap does not automatically lead to better print results in proximity lithography - what the "good instinct" of a lithographer would expect. With more than 5'000 mask aligners installed in research and industry worldwide, the proposed process window methodology might have significant impact on yield improvement and cost saving in industry.

  2. Multi-shaped beam: development status and update on lithography results

    NASA Astrophysics Data System (ADS)

    Slodowski, Matthias; Doering, Hans-Joachim; Dorl, Wolfgang; Stolberg, Ines A.

    2011-04-01

    According to the ITRS [1] photo mask is a significant challenge for the 22nm technology node requirements and beyond. Mask making capability and cost escalation continue to be critical for future lithography progress. On the technological side mask specifications and complexity have increased more quickly than the half-pitch requirements on the wafer designated by the roadmap due to advanced optical proximity correction and double patterning demands. From the economical perspective mask costs have significantly increased each generation, in which mask writing represents a major portion. The availability of a multi-electron-beam lithography system for mask write application is considered a potential solution to overcome these challenges [2, 3]. In this paper an update of the development status of a full-package high-throughput multi electron-beam writer, called Multi Shaped Beam (MSB), will be presented. Lithography performance results, which are most relevant for mask writing applications, will be disclosed. The MSB technology is an evolutionary development of the matured single Variable Shaped Beam (VSB) technology. An arrangement of Multi Deflection Arrays (MDA) allows operation with multiple shaped beams of variable size, which can be deflected and controlled individually [4]. This evolutionary MSB approach is associated with a lower level of risk and a relatively short time to implementation compared to the known revolutionary concepts [3, 5, 6]. Lithography performance is demonstrated through exposed pattern. Further details of the substrate positioning platform performance will be disclosed. It will become apparent that the MSB operational mode enables lithography on the same and higher performance level compared to single VSB and that there are no specific additional lithography challenges existing beside those which have already been addressed [1].

  3. High precision locating control system based on VCM for Talbot lithography

    NASA Astrophysics Data System (ADS)

    Yao, Jingwei; Zhao, Lixin; Deng, Qian; Hu, Song

    2016-10-01

    Aiming at the high precision and efficiency requirements of Z-direction locating in Talbot lithography, a control system based on Voice Coil Motor (VCM) was designed. In this paper, we built a math model of VCM and its moving characteristic was analyzed. A double-closed loop control strategy including position loop and current loop were accomplished. The current loop was implemented by driver, in order to achieve the rapid follow of the system current. The position loop was completed by the digital signal processor (DSP) and the position feedback was achieved by high precision linear scales. Feed forward control and position feedback Proportion Integration Differentiation (PID) control were applied in order to compensate for dynamic lag and improve the response speed of the system. And the high precision and efficiency of the system were verified by simulation and experiments. The results demonstrated that the performance of Z-direction gantry was obviously improved, having high precision, quick responses, strong real-time and easily to expend for higher precision.

  4. ALMDS laser system

    NASA Astrophysics Data System (ADS)

    Kushina, Mark E.; Heberle, Geoff; Hope, Michael; Hall, David; Bethel, Michael; Calmes, Lonnie K.

    2003-06-01

    The ALMDS (Airborne Laser Mine Detection System) has been developed utilizing a solid-state laser operating at 532nm for naval mine detection. The laser system is integrated into a pod that mounts externally on a helicopter. This laser, along with other receiver systems, enables detailed underwater bathymetry. CEO designs and manufactures the laser portion of this system. Arete Associates integrates the laser system into the complete LIDAR package that utilizes sophisticated streak tube detection technology. Northrop Grumman is responsible for final pod integration. The laser sub-system is comprised of two separate parts: the LTU (Laser Transmitter Unit) and the LEU (Laser Electronics Unit). The LTU and LEU are undergoing MIL-STD-810 testing for vibration, shock, temperature storage and operation extremes, as well as MIL-STD-704E electrical power testing and MIL-STD-461E EMI testing. The Nd:YAG MOPA laser operates at 350 Hz pulse repetition frequency at 45 Watts average 532nm power and is controlled at the system level from within the helicopter. Power monitor circuits allow real time laser health monitoring, which enables input parameter adjustments for consistent laser behavior.

  5. Lithography alternatives meet design style reality: How do they "line" up?

    NASA Astrophysics Data System (ADS)

    Smayling, Michael C.

    2016-03-01

    Optical lithography resolution scaling has stalled, giving innovative alternatives a window of opportunity. One important factor that impacts these lithographic approaches is the transition in design style from 2D to 1D for advanced CMOS logic. Just as the transition from 3D circuits to 2D fabrication 50 years ago created an opportunity for a new breed of electronics companies, the transition today presents exciting and challenging time for lithographers. Today, we are looking at a range of non-optical lithography processes. Those considered here can be broadly categorized: self-aligned lithography, self-assembled lithography, deposition lithography, nano-imprint lithography, pixelated e-beam lithography, shot-based e-beam lithography .Do any of these alternatives benefit from or take advantage of 1D layout? Yes, for example SAPD + CL (Self Aligned Pitch Division combined with Complementary Lithography). This is a widely adopted process for CMOS nodes at 22nm and below. Can there be additional design / process co-optimization? In spite of the simple-looking nature of 1D layout, the placement of "cut" in the lines and "holes" for interlayer connections can be tuned for a given process capability. Examples of such optimization have been presented at this conference, typically showing a reduction of at least one in the number of cut or hole patterns needed.[1,2] Can any of the alternatives complement each other or optical lithography? Yes.[3] For example, DSA (Directed Self Assembly) combines optical lithography with self-assembly. CEBL (Complementary e-Beam Lithography) combines optical lithography with SAPD for lines with shot-based e-beam lithography for cuts and holes. Does one (shrinking) size fit all? No, that's why we have many alternatives. For example NIL (Nano-imprint Lithography) has been introduced for NAND Flash patterning where the (trending lower) defectivity is acceptable for the product. Deposition lithography has been introduced in 3D NAND Flash to

  6. Phase-conjugate holographic lithography based on micromirror array recording.

    PubMed

    Lim, Yongjun; Hahn, Joonku; Lee, Byoungho

    2011-12-01

    We present phase-conjugate holographic lithography with a hologram recorded by a digital micromirror device (DMD) and a telecentric lens. In our lithography system, a phase-conjugate hologram is applied instead of conventional masks or reticles to form patterns. This method has the advantage of increasing focus range, and it is applicable to the formation of patterns on fairly uneven surfaces. The hologram pattern is dynamically generated by the DMD, and its resolution is mainly determined by the demagnification of the telecentric lens. We experimentally demonstrate that our holographic lithographic system has a large focus range, and it is feasible to make a large-area hologram by stitching each pattern generated by the DMD without a falling off in resolution. © 2011 Optical Society of America

  7. Review on recent Developments on Fabrication Techniques of Distributed Feedback (DFB) Based Organic Lasers

    NASA Astrophysics Data System (ADS)

    Azrina Talik, Noor; Boon Kar, Yap; Noradhlia Mohamad Tukijan, Siti; Wong, Chuan Ling

    2017-10-01

    To date, the state of art organic semiconductor distributed feedback (DFB) lasers gains tremendous interest in the organic device industry. This paper presents a short reviews on the fabrication techniques of DFB based laser by focusing on the fabrication method of DFB corrugated structure and the deposition of organic gain on the nano-patterned DFB resonator. The fabrication techniques such as Laser Direct Writing (LDW), ultrafast photo excitation dynamics, Laser Interference Lithography (LIL) and Nanoimprint Lithography (NIL) for DFB patterning are presented. In addition to that, the method for gain medium deposition method is also discussed. The technical procedures of the stated fabrication techniques are summarized together with their benefits and comparisons to the traditional fabrication techniques.

  8. On-chip tunable optofluidic dye laser

    NASA Astrophysics Data System (ADS)

    Cai, Zengyan; Shen, Zhenhua; Liu, Haigang; Yue, Huan; Zou, Yun; Chen, Xianfeng

    2016-11-01

    We demonstrate a chip-scale tunable optofluidic dye laser with Au-coated fibers as microcavity. The chip is fabricated by soft lithography. When the active region is pumped, a relatively low threshold of 6.7 μJ/mm2 is realized with multimode emission due to good confinement of the cavity mirrors, long active region, as well as total reflectivity. It is easy to tune the lasing emission wavelength by changing the solvent of laser dye. In addition, the various intensity ratios of multicolor lasing can be achieved by controlling flow rates of two fluid streams carried with different dye molecules. Furthermore, the convenience in fabrication and directional lasing emission outcoupled by the fiber make the tunable optofluidic dye laser a promising underlying coherent light source in the integrated optofluidic systems.

  9. Large area nanoimprint by substrate conformal imprint lithography (SCIL)

    NASA Astrophysics Data System (ADS)

    Verschuuren, Marc A.; Megens, Mischa; Ni, Yongfeng; van Sprang, Hans; Polman, Albert

    2017-06-01

    Releasing the potential of advanced material properties by controlled structuring materials on sub-100-nm length scales for applications such as integrated circuits, nano-photonics, (bio-)sensors, lasers, optical security, etc. requires new technology to fabricate nano-patterns on large areas (from cm2 to 200 mm up to display sizes) in a cost-effective manner. Conventional high-end optical lithography such as stepper/scanners is highly capital intensive and not flexible towards substrate types. Nanoimprint has had the potential for over 20 years to bring a cost-effective, flexible method for large area nano-patterning. Over the last 3-4 years, nanoimprint has made great progress towards volume production. The main accelerator has been the switch from rigid- to wafer-scale soft stamps and tool improvements for step and repeat patterning. In this paper, we discuss substrate conformal imprint lithography (SCIL), which combines nanometer resolution, low patterns distortion, and overlay alignment, traditionally reserved for rigid stamps, with the flexibility and robustness of soft stamps. This was made possible by a combination of a new soft stamp material, an inorganic resist, combined with an innovative imprint method. Finally, a volume production solution will be presented, which can pattern up to 60 wafers per hour.

  10. The partial coherence modulation transfer function in testing lithography lens

    NASA Astrophysics Data System (ADS)

    Huang, Jiun-Woei

    2018-03-01

    Due to the lithography demanding high performance in projection of semiconductor mask to wafer, the lens has to be almost free in spherical and coma aberration, thus, in situ optical testing for diagnosis of lens performance has to be established to verify the performance and to provide the suggesting for further improvement of the lens, before the lens has been build and integrated with light source. The measurement of modulation transfer function of critical dimension (CD) is main performance parameter to evaluate the line width of semiconductor platform fabricating ability for the smallest line width of producing tiny integrated circuits. Although the modulation transfer function (MTF) has been popularly used to evaluation the optical system, but in lithography, the contrast of each line-pair is in one dimension or two dimensions, analytically, while the lens stand along in the test bench integrated with the light source coherent or near coherent for the small dimension near the optical diffraction limit, the MTF is not only contributed by the lens, also by illumination of platform. In the study, the partial coherence modulation transfer function (PCMTF) for testing a lithography lens is suggested by measuring MTF in the high spatial frequency of in situ lithography lens, blended with the illumination of partial and in coherent light source. PCMTF can be one of measurement to evaluate the imperfect lens of lithography lens for further improvement in lens performance.

  11. Advancing semiconductor–electrocatalyst systems: application of surface transformation films and nanosphere lithography

    DOE PAGES

    Brinkert, Katharina; Richter, Matthias H.; Akay, Ömer; ...

    2018-01-01

    We demonstrate that shadow nanosphere lithography (SNL) is an auspicious tool to systematically create three-dimensional electrocatalyst nanostructures on the semiconductor photoelectrode through controlling their morphology and optical properties.

  12. Advanced scanning probe lithography.

    PubMed

    Garcia, Ricardo; Knoll, Armin W; Riedo, Elisa

    2014-08-01

    The nanoscale control afforded by scanning probe microscopes has prompted the development of a wide variety of scanning-probe-based patterning methods. Some of these methods have demonstrated a high degree of robustness and patterning capabilities that are unmatched by other lithographic techniques. However, the limited throughput of scanning probe lithography has prevented its exploitation in technological applications. Here, we review the fundamentals of scanning probe lithography and its use in materials science and nanotechnology. We focus on robust methods, such as those based on thermal effects, chemical reactions and voltage-induced processes, that demonstrate a potential for applications.

  13. Reconfigurable Solid-state Dye-doped Polymer Ring Resonator Lasers

    NASA Astrophysics Data System (ADS)

    Chandrahalim, Hengky; Fan, Xudong

    2015-12-01

    This paper presents wavelength configurable on-chip solid-state ring lasers fabricated by a single-mask standard lithography. The single- and coupled-ring resonator hosts were fabricated on a fused-silica wafer and filled with 3,3‧-Diethyloxacarbocyanine iodide (CY3), Rhodamine 6G (R6G), and 3,3‧-Diethylthiadicarbocyanine iodide (CY5)-doped polymer as the reconfigurable gain media. The recorded lasing threshold was ~220 nJ/mm2 per pulse for the single-ring resonator laser with R6G, marking the lowest threshold shown by solid-state dye-doped polymer lasers fabricated with a standard lithography process on a chip. A single-mode lasing from a coupled-ring resonator system with the lasing threshold of ~360 nJ/mm2 per pulse was also demonstrated through the Vernier effect. The renewability of the dye-doped polymer was examined by removing and redepositing the dye-doped polymer on the same resonator hosts for multiple cycles. We recorded consistent emissions from the devices for all trials, suggesting the feasibility of employing this technology for numerous photonic and biochemical sensing applications that entail for sustainable, reconfigurable, and low lasing threshold coherent light sources on a chip.

  14. Reconfigurable Solid-state Dye-doped Polymer Ring Resonator Lasers

    PubMed Central

    Chandrahalim, Hengky; Fan, Xudong

    2015-01-01

    This paper presents wavelength configurable on-chip solid-state ring lasers fabricated by a single-mask standard lithography. The single- and coupled-ring resonator hosts were fabricated on a fused-silica wafer and filled with 3,3′-Diethyloxacarbocyanine iodide (CY3), Rhodamine 6G (R6G), and 3,3′-Diethylthiadicarbocyanine iodide (CY5)-doped polymer as the reconfigurable gain media. The recorded lasing threshold was ~220 nJ/mm2 per pulse for the single-ring resonator laser with R6G, marking the lowest threshold shown by solid-state dye-doped polymer lasers fabricated with a standard lithography process on a chip. A single-mode lasing from a coupled-ring resonator system with the lasing threshold of ~360 nJ/mm2 per pulse was also demonstrated through the Vernier effect. The renewability of the dye-doped polymer was examined by removing and redepositing the dye-doped polymer on the same resonator hosts for multiple cycles. We recorded consistent emissions from the devices for all trials, suggesting the feasibility of employing this technology for numerous photonic and biochemical sensing applications that entail for sustainable, reconfigurable, and low lasing threshold coherent light sources on a chip. PMID:26674508

  15. Drawing lithography for microneedles: a review of fundamentals and biomedical applications.

    PubMed

    Lee, Kwang; Jung, Hyungil

    2012-10-01

    A microneedle is a three-dimensional (3D) micromechanical structure and has been in the spotlight recently as a drug delivery system (DDS). Because a microneedle delivers the target drug after penetrating the skin barrier, the therapeutic effects of microneedles proceed from its 3D structural geometry. Various types of microneedles have been fabricated using subtractive micromanufacturing methods which are based on the inherently planar two-dimensional (2D) geometries. However, traditional subtractive processes are limited for flexible structural microneedles and makes functional biomedical applications for efficient drug delivery difficult. The authors of the present study propose drawing lithography as a unique additive process for the fabrication of a microneedle directly from 2D planar substrates, thus overcoming a subtractive process shortcoming. The present article provides the first overview of the principal drawing lithography technology: fundamentals and biomedical applications. The continuous drawing technique for an ultrahigh-aspect ratio (UHAR) hollow microneedle, stepwise controlled drawing technique for a dissolving microneedle, and drawing technique with antidromic isolation for a hybrid electro-microneedle (HEM) are reviewed, and efficient biomedical applications by drawing lithography-mediated microneedles as an innovative drug and gene delivery system are described. Drawing lithography herein can provide a great breakthrough in the development of materials science and biotechnology. Copyright © 2012 Elsevier Ltd. All rights reserved.

  16. MAGIC: a European program to push the insertion of maskless lithography

    NASA Astrophysics Data System (ADS)

    Pain, L.; Icard, B.; Tedesco, S.; Kampherbeek, B.; Gross, G.; Klein, C.; Loeschner, H.; Platzgummer, E.; Morgan, R.; Manakli, S.; Kretz, J.; Holhe, C.; Choi, K.-H.; Thrum, F.; Kassel, E.; Pilz, W.; Keil, K.; Butschke, J.; Irmscher, M.; Letzkus, F.; Hudek, P.; Paraskevopoulos, A.; Ramm, P.; Weber, J.

    2008-03-01

    With the willingness of the semiconductor industry to push manufacturing costs down, the mask less lithography solution represents a promising option to deal with the cost and complexity concerns about the optical lithography solution. Though a real interest, the development of multi beam tools still remains in laboratory environment. In the frame of the seventh European Framework Program (FP7), a new project, MAGIC, started January 1st 2008 with the objective to strengthen the development of the mask less technology. The aim of the program is to develop multi beam systems from MAPPER and IMS nanofabrication technologies and the associated infrastructure for the future tool usage. This paper draws the present status of multi beam lithography and details the content and the objectives of the MAGIC project.

  17. Force-controlled inorganic crystallization lithography.

    PubMed

    Cheng, Chao-Min; LeDuc, Philip R

    2006-09-20

    Lithography plays a key role in integrated circuits, optics, information technology, biomedical applications, catalysis, and separation technologies. However, inorganic lithography techniques remain of limited utility for applications outside of the typical foci of integrated circuit manufacturing. In this communication, we have developed a novel stamping method that applies pressure on the upper surface of the stamp to regulate the dewetting process of the inorganic buffer and the evaporation rate of the solvent in this buffer between the substrate and the surface of the stamp. We focused on generating inorganic microstructures with specific locations and also on enabling the ability to pattern gradients during the crystallization of the inorganic salts. This approach utilized a combination of lithography with bottom-up growth and assembly of inorganic crystals. This work has potential applications in a variety of fields, including studying inorganic material patterning and small-scale fabrication technology.

  18. Design of the ultraprecision stage for lithography using VCM

    NASA Astrophysics Data System (ADS)

    Kim, Jung-Han; Kim, Mun-Su; Oh, Min-Taek

    2007-12-01

    This paper presents a new design of precision stage for the reticle in lithography process and a low hunting control method for the stage. The stage has three axes for X,Y, θ Z, those actuated by three voice coil motors individually. The proposed precision stage system has three gap sensors and voice coil motors, and supported by four air bearings, so it do not have any mechanical contact and nonlinear effect such as hysterisis which usually degrade performance in nano level movement. The reticle stage has cross coupled dynamics between X,Y,θ Z, axes, so the forward and inverse kinematics were solved to get an accurate reference position. When the stage is in regulating control mode, there always exist small fluctuations (stage hunting) in the stage movement. Because the low stage hunting characteristic is very important in recent lithography and nano-level applications, the proposed stage has a special regulating controller composed of digital filter, adjustor and switching algorithm. Another importance factor that generates hunting noise is the system noise inside the lithography machine such as EMI from another motor and solenoids. For reducing such system noises, the proposed controller has a two-port transmission system that transfers torque command signal from the DSP board to the amplifier. The low hunting control algorithm and two-port transmission system reduced hunting noise as 35nm(rms) when a conventional PID generates 77nm(rms) in the same mechanical system. The experimental results showed that the reticle system has 100nm linear accuracy and 1μ rad rotation accuracy at the control frequency of 8 kHz.

  19. Feasibility of Air Levitated Surface Stage for Lithography Tool

    NASA Astrophysics Data System (ADS)

    Tanaka, Keiichi

    The application of light-weight drive technology into the lithography stage has been the current state of art because of minimization of power loss. The purpose of this article is to point out the so-called, "surface stage" which is composed of Lorentz forced 3 DOF (Degree Of Freedom) planar motor (x, y and theta z), air levitation (bearing) system and motor cooling system, is the most balanced concept for the next generation lithography through the verification of each component by manufacturing simple parts and test stand. This paper presents the design method and procedure, and experimental results of the air levitated surface stage which was conducted several years ago, however the author is convinced that the results are enough to adapt various developments of precision machining tool.

  20. Portable, low-cost NMR with laser-lathe lithography produced microcoils.

    PubMed

    Demas, Vasiliki; Herberg, Julie L; Malba, Vince; Bernhardt, Anthony; Evans, Lee; Harvey, Christopher; Chinn, Sarah C; Maxwell, Robert S; Reimer, Jeffrey

    2007-11-01

    Nuclear Magnetic Resonance (NMR) is unsurpassed in its ability to non-destructively probe chemical identity. Portable, low-cost NMR sensors would enable on-site identification of potentially hazardous substances, as well as the study of samples in a variety of industrial applications. Recent developments in RF microcoil construction (i.e. coils much smaller than the standard 5mm NMR RF coils), have dramatically increased NMR sensitivity and decreased the limits-of-detection (LOD). We are using advances in laser pantographic microfabrication techniques, unique to LLNL, to produce RF microcoils for field deployable, high sensitivity NMR-based detectors. This same fabrication technique can be used to produce imaging coils for MRI as well as for standard hardware shimming or "ex-situ" shimming of field inhomogeneities typically associated with inexpensive magnets. This paper describes a portable NMR system based on the use of a 2 kg hand-held permanent magnet, laser-fabricated microcoils, and a compact spectrometer. The main limitations for such a system are the low resolution and sensitivity associated with the low field values and quality of small permanent magnets, as well as the lack of large amounts of sample of interest in most cases. The focus of the paper is on the setting up of this system, initial results, sensitivity measurements, discussion of the limitations and future plans. The results, even though preliminary, are promising and provide the foundation for developing a portable, inexpensive NMR system for chemical analysis. Such a system will be ideal for chemical identification of trace substances on site.

  1. Laser Material Processing for Microengineering Applications

    NASA Technical Reports Server (NTRS)

    Helvajian, H.

    1995-01-01

    The processing of materials via laser irradiation is presented in a brief survey. Various techniques currently used in laser processing are outlined and the significance to the development of space qualified microinstrumentation are identified. In general the laser processing technique permits the transferring of patterns (i.e. lithography), machining (i.e. with nanometer precision), material deposition (e.g., metals, dielectrics), the removal of contaminants/debris/passivation layers and the ability to provide process control through spectroscopy.

  2. SOR Lithography in West Germany

    NASA Astrophysics Data System (ADS)

    Heuberger, Anton

    1989-08-01

    The 64 Mbit DRAM will represent the first generation of integrated circuits which cannot be produced reasonably by means of optical lithography techniques. X-ray lithography using synchrotron radiation seems to be the most promising method in overcoming the problems in the sub-0.5 micron range. The first year of production of the 64 Mbit DRAM will be 1995 or 1996. This means that X-ray lithography has to show its applicability in an industrial environment by 1992 and has to prove that the specifications of a 64 Mbit DRAM technology can actually be achieved. Part of this task is a demonstration of production suitable equipment such as the X-ray stepper, including an appropriate X-ray source and measurement and inspection tools. The most important bottlenecks on the way toward reaching these goals are linked to the 1 x scale mask technology, especially the pattern definition accuracy and zero level of printing defects down to the order of magnitude of 50 nm. Specifically, fast defect detection methods on the basis of high resolution e-beam techniques and repair methods have to be developed. The other problems of X-ray lithography, such as high quality single layer X-ray resists, X-ray sources and stepper including alignment are either well on the way or are already solved.

  3. High Throughput Optical Lithography by Scanning a Massive Array of Bowtie Aperture Antennas at Near-Field

    DTIC Science & Technology

    2015-11-03

    scale optical projection system powered by spatial light modulators, such as digital micro-mirror device ( DMD ). Figure 4 shows the parallel lithography ...1Scientific RepoRts | 5:16192 | DOi: 10.1038/srep16192 www.nature.com/scientificreports High throughput optical lithography by scanning a massive...array of bowtie aperture antennas at near-field X. Wen1,2,3,*, A. Datta1,*, L. M. Traverso1, L. Pan1, X. Xu1 & E. E. Moon4 Optical lithography , the

  4. Ultrafast fiber lasers: practical applications

    NASA Astrophysics Data System (ADS)

    Pastirk, Igor; Sell, Alexander; Herda, Robert; Brodschelm, Andreas; Zach, Armin

    2015-05-01

    Over past three decades ultrafast lasers have come a long way from the bulky, demanding and very sensitive scientific research projects to widely available commercial products. For the majority of this period the titanium-sapphire-based ultrafast systems were the workhorse for scientific and emerging industrial and biomedical applications. However the complexity and intrinsic bulkiness of solid state lasers have prevented even larger penetration into wider array of practical applications. With emergence of femtosecond fiber lasers, based primarily on Er-doped and Yb-doped fibers that provide compact, inexpensive and dependable fs and ps pulses, new practical applications have become a reality. The overview of current state of the art ultrafast fiber sources, their basic principles and most prominent applications will be presented, including micromachining and biomedical implementations (ophthalmology) on one end of the pulse energy spectrum and 3D lithography and THz applications on the other.

  5. Development of broadband X-ray interference lithography large area exposure system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xue, Chaofan; Wu, Yanqing, E-mail: wuyanqing@sinap.ac.cn, E-mail: zhaojun@sinap.ac.cn, E-mail: tairenzhong@sinap.ac.cn; Zhu, Fangyuan

    2016-04-15

    The single-exposure patterned area is about several 10{sup 2} × 10{sup 2} μm{sup 2} which is mainly decided by the mask area in multi-beam X-ray interference lithography (XIL). The exposure area is difficult to stitch to a larger one because the patterned area is surrounded by 0th diffraction exposure areas. To block the 0th diffraction beams precisely and effectively, a new large area exposure technology is developed in the Shanghai Synchrotron Radiation Facility by applying an order-sorting aperture with a new in situ monitoring scheme in the XIL system. The patterned area could be stitched readily up to several squaremore » centimeters and even bigger by this technology.« less

  6. Monolayer graphene-insulator-semiconductor emitter for large-area electron lithography

    NASA Astrophysics Data System (ADS)

    Kirley, Matthew P.; Aloui, Tanouir; Glass, Jeffrey T.

    2017-06-01

    The rapid adoption of nanotechnology in fields as varied as semiconductors, energy, and medicine requires the continual improvement of nanopatterning tools. Lithography is central to this evolving nanotechnology landscape, but current production systems are subject to high costs, low throughput, or low resolution. Herein, we present a solution to these problems with the use of monolayer graphene in a graphene-insulator-semiconductor (GIS) electron emitter device for large-area electron lithography. Our GIS device displayed high emission efficiency (up to 13%) and transferred large patterns (500 × 500 μm) with high fidelity (<50% spread). The performance of our device demonstrates a feasible path to dramatic improvements in lithographic patterning systems, enabling continued progress in existing industries and opening opportunities in nanomanufacturing.

  7. Condenser for extreme-UV lithography with discharge source

    DOEpatents

    Sweatt, William C.; Kubiak, Glenn D.

    2001-01-01

    Condenser system, for use with a ringfield camera in projection lithography, employs quasi grazing-incidence collector mirrors that are coated with a suitable reflective metal such as ruthenium to collect radiation from a discharge source to minimize the effect of contaminant accumulation on the collecting mirrors.

  8. Direct write electron beam lithography: a historical overview

    NASA Astrophysics Data System (ADS)

    Pfeiffer, Hans C.

    2010-09-01

    Maskless pattern generation capability in combination with practically limitless resolution made probe-forming electron beam systems attractive tools in the semiconductor fabrication process. However, serial exposure of pattern elements with a scanning beam is a slow process and throughput presented a key challenge in electron beam lithography from the beginning. To meet this challenge imaging concepts with increasing exposure efficiency have been developed projecting ever larger number of pixels in parallel. This evolution started in the 1960s with the SEM-type Gaussian beam systems writing one pixel at a time directly on wafers. During the 1970s IBM pioneered the concept of shaped beams containing multiple pixels which led to higher throughput and an early success of e-beam direct write (EBDW) in large scale manufacturing of semiconductor chips. EBDW in a mix-and match approach with optical lithography provided unique flexibility in part number management and cycle time reduction and proved extremely cost effective in IBM's Quick-Turn-Around-Time (QTAT) facilities. But shaped beams did not keep pace with Moore's law because of limitations imposed by the physics of charged particles: Coulomb interactions between beam electrons cause image blur and consequently limit beam current and throughput. A new technology approach was needed. Physically separating beam electrons into multiple beamlets to reduce Coulomb interaction led to the development of massively parallel projection of pixels. Electron projection lithography (EPL) - a mask based imaging technique emulating optical steppers - was pursued during the 1990s by Bell Labs with SCALPEL and by IBM with PREVAIL in partnership with Nikon. In 2003 Nikon shipped the first NCR-EB1A e-beam stepper based on the PREVAIL technology to Selete. It exposed pattern segments containing 10 million pixels in single shot and represented the first successful demonstration of massively parallel pixel projection. However the window

  9. Achieving pattern uniformity in plasmonic lithography by spatial frequency selection

    NASA Astrophysics Data System (ADS)

    Liang, Gaofeng; Chen, Xi; Zhao, Qing; Guo, L. Jay

    2018-01-01

    The effects of the surface roughness of thin films and defects on photomasks are investigated in two representative plasmonic lithography systems: thin silver film-based superlens and multilayer-based hyperbolic metamaterial (HMM). Superlens can replicate arbitrary patterns because of its broad evanescent wave passband, which also makes it inherently vulnerable to the roughness of the thin film and imperfections of the mask. On the other hand, the HMM system has spatial frequency filtering characteristics and its pattern formation is based on interference, producing uniform and stable periodic patterns. In this work, we show that the HMM system is more immune to such imperfections due to its function of spatial frequency selection. The analyses are further verified by an interference lithography system incorporating the photoresist layer as an optical waveguide to improve the aspect ratio of the pattern. It is concluded that a system capable of spatial frequency selection is a powerful method to produce deep-subwavelength periodic patterns with high degree of uniformity and fidelity.

  10. Line edge roughness (LER) mitigation studies specific to interference-like lithography

    NASA Astrophysics Data System (ADS)

    Baylav, Burak; Estroff, Andrew; Xie, Peng; Smith, Bruce W.

    2013-04-01

    Line edge roughness (LER) is a common problem to most lithography approaches and is seen as the main resolution limiter for advanced technology nodes1. There are several contributors to LER such as chemical/optical shot noise, random nature of acid diffusion, development process, and concentration of acid generator/base quencher. Since interference-like lithography (IL) is used to define one directional gridded patterns, some LER mitigation approaches specific to IL-like imaging can be explored. Two methods investigated in this work for this goal are (i) translational image averaging along the line direction and (ii) pupil plane filtering. Experiments regarding the former were performed on both interferometric and projection lithography systems. Projection lithography experiments showed a small amount of reduction in low/mid frequency LER value for image averaged cases at pitch of 150 nm (193 nm illumination, 0.93 NA) with less change for smaller pitches. Aerial image smearing did not significantly increase LER since it was directional. Simulation showed less than 1% reduction in NILS (compared to a static, smooth mask equivalent) with ideal alignment. In addition, description of pupil plane filtering on the transfer of mask roughness is given. When astigmatism-like aberrations were introduced in the pupil, transfer of mask roughness is decreased at best focus. It is important to exclude main diffraction orders from the filtering to prevent contrast and NILS loss. These ideas can be valuable as projection lithography approaches to conditions similar to IL (e.g. strong RET methods).

  11. Soft x-ray reduction camera for submicron lithography

    DOEpatents

    Hawryluk, Andrew M.; Seppala, Lynn G.

    1991-01-01

    Soft x-ray projection lithography can be performed using x-ray optical components and spherical imaging lenses (mirrors), which form an x-ray reduction camera. The x-ray reduction is capable of projecting a 5x demagnified image of a mask onto a resist coated wafer using 4.5 nm radiation. The diffraction limited resolution of this design is about 135 nm with a depth of field of about 2.8 microns and a field of view of 0.2 cm.sup.2. X-ray reflecting masks (patterned x-ray multilayer mirrors) which are fabricated on thick substrates and can be made relatively distortion free are used, with a laser produced plasma for the source. Higher resolution and/or larger areas are possible by varying the optic figures of the components and source characteristics.

  12. Development of XUV projection lithography at 60 to 80 nm

    NASA Astrophysics Data System (ADS)

    Newnam, B. E.; Viswanathan, V. K.

    The rationale, design, component properties, properties, and potential capabilities of extreme-ultraviolet (XUV) projection lithography systems using 60-80 nm illumination and single-surface reflectors are described. These systems are evaluated for potential application to high-volume production of future generations of gigabit chips.

  13. Contamination and UV lasers: lessons learned

    NASA Astrophysics Data System (ADS)

    Daly, John G.

    2015-09-01

    Laser induced damage to optical elements has been a subject of significant research, development, and improvement, since the first lasers were built over the last 50 years. Better materials, with less absorption, impurities, and defects are available, as well as surface coatings with higher laser damage resistance. However, the presence of contamination (particles, surface deposition films, or airborne) can reduce the threshold for damage by several orders of magnitude. A brief review of the anticipated laser energy levels for damage free operation is presented as a lead into the problems associated with contamination for ultraviolet (UV) laser systems. As UV lasers become more common in applications especially in areas such as lithography, these problems have limited reliability and added to costs. This has been characterized as Airborne Molecular Contamination (AMC) in many published reports. Normal engineering guidelines such as screening materials within the optical compartment for low outgassing levels is the first step. The use of the NASA outgassing database (or similar test methods) with low Total Mass Loss (TML) and Condensed Collected Volatiles Collected Mass (CVCM) is a good baseline. Energetic UV photons are capable of chemical bond scission and interaction with surface contaminant or airborne materials results in deposition of obscuring film laser footprints that continue to degrade laser system performance. Laser systems with average powers less than 5 mW have been shown to exhibit aggressive degradation. Lessons learned over the past 15 years with UV laser contamination and steps to reduce risk will be presented.

  14. An alternative method of fabricating sub-micron resolution masks using excimer laser ablation

    NASA Astrophysics Data System (ADS)

    Hayden, C. J.; Eijkel, J. C. T.; Dalton, C.

    2004-06-01

    In the work presented here, an excimer laser micromachining system has been used successfully to fabricate high-resolution projection and contact masks. The contact masks were subsequently used to produce chrome-gold circular ac electro-osmotic pump (cACEOP) microelectrode arrays on glass substrates, using a conventional contact photolithography process. The contact masks were produced rapidly (~15 min each) and were found to be accurate to sub-micron resolution, demonstrating an alternative route for mask fabrication. Laser machined masks were also used in a laser-projection system, demonstrating that such fabrication techniques are also suited to projection lithography. The work addresses a need for quick reproduction of high-resolution contact masks, given their rapid degradation when compared to non-contact masks.

  15. Prospects of DUV OoB suppression techniques in EUV lithography

    NASA Astrophysics Data System (ADS)

    Park, Chang-Min; Kim, Insung; Kim, Sang-Hyun; Kim, Dong-Wan; Hwang, Myung-Soo; Kang, Soon-Nam; Park, Cheolhong; Kim, Hyun-Woo; Yeo, Jeong-Ho; Kim, Seong-Sue

    2014-04-01

    Though scaling of source power is still the biggest challenge in EUV lithography (EUVL) technology era, CD and overlay controls for transistor's requirement are also precondition of adopting EUVL in mass production. Two kinds of contributors are identified as risks for CDU and Overlay: Infrared (IR) and deep ultraviolet (DUV) out of band (OOB) radiations from laser produced plasma (LPP) EUV source. IR from plasma generating CO2 laser that causes optics heating and wafer overlay error is well suppressed by introducing grating on collector to diffract IR off the optical axis and is the effect has been confirmed by operation of pre-production tool (NXE3100). EUV and DUV OOB which are reflected from mask black boarder (BB) are root causes of EUV-specific CD error at the boundaries of exposed shots which would result in the problem of CDU out of spec unless sufficiently suppressed. Therefore, control of DUV OOB reflection from the mask BB is one of the key technologies that must be developed prior to EUV mass production. In this paper, quantitative assessment on the advantage and the disadvantage of potential OOB solutions will be discussed. EUV and DUV OOB impacts on wafer CDs are measured from NXE3100 & NXE3300 experiments. Significant increase of DUV OOB impact on CD from NXE3300 compared with NXE3100 is observed. There are three ways of technology being developed to suppress DUV OOB: spectral purity filter (SPF) as a scanner solution, multi-layer etching as a solution on mask, and resist top-coating as a process solution. PROs and CONs of on-scanner, on-mask, and on-resist solution for the mass production of EUV lithography will be discussed.

  16. Laser system using ultra-short laser pulses

    DOEpatents

    Dantus, Marcos [Okemos, MI; Lozovoy, Vadim V [Okemos, MI; Comstock, Matthew [Milford, MI

    2009-10-27

    A laser system using ultrashort laser pulses is provided. In another aspect of the present invention, the system includes a laser, pulse shaper and detection device. A further aspect of the present invention employs a femtosecond laser and binary pulse shaping (BPS). Still another aspect of the present invention uses a laser beam pulse, a pulse shaper and a SHG crystal.

  17. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    NASA Astrophysics Data System (ADS)

    Li, Yi-Gui; Yang, Chun-Sheng; Liu, Jing-Quan; Sugiyama, Susumu

    2011-03-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost.

  18. A 1kW EUV source for lithography based on FEL emission in a compact storage ring

    NASA Astrophysics Data System (ADS)

    Feser, Michael; Ruth, Ron; Loewen, Rod

    2017-10-01

    EUV has long been hailed as the next generation lithography technology. Its adoption into high volume manufacturing (HVM), however, has been delayed several technology nodes due to technical issues, many of which can be attributed to the EUV source performance. Today's EUV lithography scanners are powered by laser produce plasma (LPP) sources. They have issues with power scaling beyond 300 W, reliability and contamination. Free Electron Lasers (FELs) have been considered as an alternative EUV source. Advantages of accelerator based sources are the maturity of the accelerator technology, lack of debris/contamination, and ability to provide high power. Industry turned away from this technology because of the requirement to feed up to 10 scanners from one linear FEL to make it economically feasible, the large footprint, and generation of radioactive byproducts. All of these issues are overcome in the presented concept using a compact storage ring with steady-state FEL lasing action. At 1 kW output power, comparable cost and footprint to an LPP source, this source is ideally suited for use on a single scanner and promises reliable, contamination free operation. FEL action in the storage ring is sustained by operating the FEL well below the saturation regime and preserving the equilibrium low emittance and energy distribution of the ring.

  19. Development of XUV projection lithography at 60-80 nm (Poster Paper)

    NASA Astrophysics Data System (ADS)

    Newnam, Brian E.; Viswanathan, Vriddhachalam K.

    1992-07-01

    The rationale, design, component properties, and potential capabilities of extreme-ultraviolet (XUV) projection lithography systems using 60 - 80 nm illumination and single-surface reflectors are described. These systems are evaluated for potential application to high-volume production of future generations of gigabit chips.

  20. Soft x-ray reduction camera for submicron lithography

    DOEpatents

    Hawryluk, A.M.; Seppala, L.G.

    1991-03-26

    Soft x-ray projection lithography can be performed using x-ray optical components and spherical imaging lenses (mirrors), which form an x-ray reduction camera. The x-ray reduction is capable of projecting a 5x demagnified image of a mask onto a resist coated wafer using 4.5 nm radiation. The diffraction limited resolution of this design is about 135 nm with a depth of field of about 2.8 microns and a field of view of 0.2 cm[sup 2]. X-ray reflecting masks (patterned x-ray multilayer mirrors) which are fabricated on thick substrates and can be made relatively distortion free are used, with a laser produced plasma for the source. Higher resolution and/or larger areas are possible by varying the optic figures of the components and source characteristics. 9 figures.

  1. Plasmonic Lithography Utilizing Epsilon Near Zero Hyperbolic Metamaterial.

    PubMed

    Chen, Xi; Zhang, Cheng; Yang, Fan; Liang, Gaofeng; Li, Qiaochu; Guo, L Jay

    2017-10-24

    In this work, a special hyperbolic metamaterial (HMM) metamaterial is investigated for plasmonic lithography of period reduction patterns. It is a type II HMM (ϵ ∥ < 0 and ϵ ⊥ > 0) whose tangential component of the permittivity ϵ ∥ is close to zero. Due to the high anisotropy of the type II epsilon-near-zero (ENZ) HMM, only one plasmonic mode can propagate horizontally with low loss in a waveguide system with ENZ HMM as its core. This work takes the advantage of a type II ENZ HMM composed of aluminum/aluminum oxide films and the associated unusual mode to expose a photoresist layer in a specially designed lithography system. Periodic patterns with a half pitch of 58.3 nm were achieved due to the interference of third-order diffracted light of the grating. The lines were 1/6 of the mask with a period of 700 nm and ∼1/7 of the wavelength of the incident light. Moreover, the theoretical analyses performed are widely applicable to structures made of different materials such as silver as well as systems working at deep ultraviolet wavelengths including 193, 248, and 365 nm.

  2. Scalable Top-Down Approach Tailored by Interferometric Lithography to Achieve Large-Area Single-Mode GaN Nanowire Laser Arrays on Sapphire Substrate.

    PubMed

    Behzadirad, Mahmoud; Nami, Mohsen; Wostbrock, Neal; Zamani Kouhpanji, Mohammad Reza; Feezell, Daniel F; Brueck, Steven R J; Busani, Tito

    2018-03-27

    GaN nanowires are promising for optical and optoelectronic applications because of their waveguiding properties and large optical band gap. However, developing a precise, scalable, and cost-effective fabrication method with a high degree of controllability to obtain high-aspect-ratio nanowires with high optical properties and minimum crystal defects remains a challenge. Here, we present a scalable two-step top-down approach using interferometric lithography, for which parameters can be controlled precisely to achieve highly ordered arrays of nanowires with excellent quality and desired aspect ratios. The wet-etch mechanism is investigated, and the etch rates of m-planes {11̅00} (sidewalls) were measured to be 2.5 to 70 nm/h depending on the Si doping concentration. Using this method, uniform nanowire arrays were achieved over a large area (>10 5 μm 2 ) with an spect ratio as large as 50, a radius as small as 17 nm, and atomic-scale sidewall roughness (<1 nm). FDTD modeling demonstrated HE 11 is the dominant transverse mode in the nanowires with a radius of sub-100 nm, and single-mode lasing from vertical cavity nanowire arrays with different doping concentrations on a sapphire substrate was interestingly observed in photoluminescence measurements. High Q-factors of ∼1139-2443 were obtained in nanowire array lasers with a radius and length of 65 nm and 2 μm, respectively, corresponding to a line width of 0.32-0.15 nm (minimum threshold of 3.31 MW/cm 2 ). Our results show that fabrication of high-quality GaN nanowire arrays with adaptable aspect ratio and large-area uniformity is feasible through a top-down approach using interferometric lithography and is promising for fabrication of III-nitride-based nanophotonic devices (radial/axial) on the original substrate.

  3. The development of 8 inch roll-to-plate nanoimprint lithography (8-R2P-NIL) system

    NASA Astrophysics Data System (ADS)

    Lee, Lai Seng; Mohamed, Khairudin; Ooi, Su Guan

    2017-07-01

    Growth in semiconductor and integrated circuit industry was observed in the past decennium of years for industrial technology which followed Moore's law. The line width of nanostructure to be exposed was influenced by the essential technology of photolithography. Thus, it is crucial to have a low cost and high throughput manufacturing process for nanostructures. Nanoimprint Lithography technique invented by Stephen Y. Chou was considered as major nanolithography process to be used in future integrated circuit and integrated optics. The drawbacks of high imprint pressure, high imprint temperature, air bubbles formation, resist sticking to mold and low throughput of thermal nanoimprint lithography on silicon wafer have yet to be solved. Thus, the objectives of this work is to develop a high throughput, low imprint force, room temperature UV assisted 8 inch roll to plate nanoimprint lithography system capable of imprinting nanostructures on 200 mm silicon wafer using roller imprint with flexible mold. A piece of resist spin coated silicon wafer was placed onto vacuum chuck drives forward by a stepper motor. A quartz roller wrapped with a piece of transparent flexible mold was used as imprint roller. The imprinted nanostructures were cured by 10 W, 365 nm UV LED which situated inside the quartz roller. Heat generated by UV LED was dissipated by micro heat pipe. The flexible mold detaches from imprinted nanostructures in a 'line peeling' pattern and imprint pressure was measured by ultra-thin force sensors. This system has imprinting speed capability ranging from 0.19 mm/s to 5.65 mm/s, equivalent to imprinting capability of 3 to 20 pieces of 8 inch wafers per hour. Speed synchronization between imprint roller and vacuum chuck was achieved by controlling pulse rate supplied to stepper motor which drive the vacuum chuck. The speed different ranging from 2 nm/s to 98 nm/s is achievable. Vacuum chuck height was controlled by stepper motor with displacement of 5 nm/step.

  4. Surface-enhanced Raman scattering active gold nanoparticle/nanohole arrays fabricated through electron beam lithography

    NASA Astrophysics Data System (ADS)

    Wu, Tsunghsueh; Lin, Yang-Wei

    2018-03-01

    Effective surface-enhanced Raman scattering (SERS)-active substrates from gold nanoparticle and gold nanohole arrays were successfully fabricated through electron beam lithography with precise computer-aided control of the unit size and intergap distance. Their SERS performance was evaluated using 4-mercaptobenzoic acid (4-MBA). These gold arrays yielded strong SERS signals under 785 nm laser excitation. The enhancement factors for 4-MBA molecules on the prepared gold nanoparticle and nanohole arrays maxed at 1.08 × 107 and 8.61 × 106, respectively. The observed increase in SERS enhancement was attributed to the localized surface plasmon resonance (LSPR) wavelength shifting toward the near-infrared regime when the gold nanohole diameter increased, in agreement with the theoretical prediction in this study. The contribution of LSPR to the Raman enhancement from nanohole arrays deposited on fluorine-doped tin oxide glass was elucidated by comparing SERS and transmission spectra. This simple fabrication procedure, which entails employing electron beam lithography and the controllability of the intergap distance, suggests highly promising uses of nanohole arrays as functional components in sensing and photonic devices.

  5. Using a neural network to proximity correct patterns written with a Cambridge electron beam microfabricator 10.5 lithography system

    NASA Astrophysics Data System (ADS)

    Cummings, K. D.; Frye, R. C.; Rietman, E. A.

    1990-10-01

    This letter describes the initial results of using a theoretical determination of the proximity function and an adaptively trained neural network to proximity-correct patterns written on a Cambridge electron beam lithography system. The methods described are complete and may be applied to any electron beam exposure system that can modify the dose during exposure. The patterns produced in resist show the effects of proximity correction versus noncorrected patterns.

  6. The Geoscience Laser Altimeter System Laser Transmitter

    NASA Technical Reports Server (NTRS)

    Afzal, R. S.; Dallas, J. L.; Yu, A. W.; Mamakos, W. A.; Lukemire, A.; Schroeder, B.; Malak, A.

    2000-01-01

    The Geoscience Laser Altimeter System (GLAS), scheduled to launch in 2001, is a laser altimeter and lidar for tile Earth Observing System's (EOS) ICESat mission. The laser transmitter requirements, design and qualification test results for this space- based remote sensing instrument are presented.

  7. Evolution analysis of EUV radiation from laser-produced tin plasmas based on a radiation hydrodynamics model

    PubMed Central

    Su, M. G.; Min, Q.; Cao, S. Q.; Sun, D. X.; Hayden, P.; O’Sullivan, G.; Dong, C. Z.

    2017-01-01

    One of fundamental aims of extreme ultraviolet (EUV) lithography is to maximize brightness or conversion efficiency of laser energy to radiation at specific wavelengths from laser produced plasmas (LPPs) of specific elements for matching to available multilayer optical systems. Tin LPPs have been chosen for operation at a wavelength of 13.5 nm. For an investigation of EUV radiation of laser-produced tin plasmas, it is crucial to study the related atomic processes and their evolution so as to reliably predict the optimum plasma and experimental conditions. Here, we present a simplified radiation hydrodynamic model based on the fluid dynamic equations and the radiative transfer equation to rapidly investigate the evolution of radiation properties and dynamics in laser-produced tin plasmas. The self-absorption features of EUV spectra measured at an angle of 45° to the direction of plasma expansion have been successfully simulated and explained, and the evolution of some parameters, such as the plasma temperature, ion distribution and density, expansion size and velocity, have also been evaluated. Our results should be useful for further understanding of current research on extreme ultraviolet and soft X-ray source development for applications such as lithography, metrology and biological imaging. PMID:28332621

  8. Laser cutting system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dougherty, Thomas J

    A workpiece cutting apparatus includes a laser source, a first suction system, and a first finger configured to guide a workpiece as it moves past the laser source. The first finger includes a first end provided adjacent a point where a laser from the laser source cuts the workpiece, and the first end of the first finger includes an aperture in fluid communication with the first suction system.

  9. Heterodyne laser diagnostic system

    DOEpatents

    Globig, Michael A.; Johnson, Michael A.; Wyeth, Richard W.

    1990-01-01

    The heterodyne laser diagnostic system includes, in one embodiment, an average power pulsed laser optical spectrum analyzer for determining the average power of the pulsed laser. In another embodiment, the system includes a pulsed laser instantaneous optical frequency measurement for determining the instantaneous optical frequency of the pulsed laser.

  10. Temperature-feedback direct laser reshaping of silicon nanostructures

    NASA Astrophysics Data System (ADS)

    Aouassa, M.; Mitsai, E.; Syubaev, S.; Pavlov, D.; Zhizhchenko, A.; Jadli, I.; Hassayoun, L.; Zograf, G.; Makarov, S.; Kuchmizhak, A.

    2017-12-01

    Direct laser reshaping of nanostructures is a cost-effective and fast approach to create or tune various designs for nanophotonics. However, the narrow range of required laser parameters along with the lack of in-situ temperature control during the nanostructure reshaping process limits its reproducibility and performance. Here, we present an approach for direct laser nanostructure reshaping with simultaneous temperature control. We employ thermally sensitive Raman spectroscopy during local laser melting of silicon pillar arrays prepared by self-assembly microsphere lithography. Our approach allows establishing the reshaping threshold of an individual nanostructure, resulting in clean laser processing without overheating of the surrounding area.

  11. X-ray lithography source

    DOEpatents

    Piestrup, M.A.; Boyers, D.G.; Pincus, C.

    1991-12-31

    A high-intensity, inexpensive X-ray source for X-ray lithography for the production of integrated circuits is disclosed. Foil stacks are bombarded with a high-energy electron beam of 25 to 250 MeV to produce a flux of soft X-rays of 500 eV to 3 keV. Methods of increasing the total X-ray power and making the cross section of the X-ray beam uniform are described. Methods of obtaining the desired X-ray-beam field size, optimum frequency spectrum and eliminating the neutron flux are all described. A method of obtaining a plurality of station operation is also described which makes the process more efficient and economical. The satisfying of these issues makes transition radiation an excellent moderate-priced X-ray source for lithography. 26 figures.

  12. X-ray lithography source

    DOEpatents

    Piestrup, Melvin A.; Boyers, David G.; Pincus, Cary

    1991-01-01

    A high-intensity, inexpensive X-ray source for X-ray lithography for the production of integrated circuits. Foil stacks are bombarded with a high-energy electron beam of 25 to 250 MeV to produce a flux of soft X-rays of 500 eV to 3 keV. Methods of increasing the total X-ray power and making the cross section of the X-ray beam uniform are described. Methods of obtaining the desired X-ray-beam field size, optimum frequency spectrum and elminating the neutron flux are all described. A method of obtaining a plurality of station operation is also described which makes the process more efficient and economical. The satisfying of these issues makes transition radiation an exellent moderate-priced X-ray source for lithography.

  13. The Introduction and Early Use of Lithography in the United States.

    ERIC Educational Resources Information Center

    Barnhill, Georgia B.

    This paper discusses the use of lithography in the United States in the early 1800s. Highlights include: the development of lithography in Germany between 1796 and 1798; early expectations for lithography; competition against the existing technology for the production of images--relief prints and copper-plate engravings; examples of 18th-century…

  14. Moore's law, lithography, and how optics drive the semiconductor industry

    NASA Astrophysics Data System (ADS)

    Hutcheson, G. Dan

    2018-03-01

    When the subject of Moore's Law arises, the important role that lithography plays and how advances in optics have made it all possible is seldom brought up in the world outside of lithography itself. When lithography is mentioned up in the value chain, it's often a critique of how advances are coming too slow and getting far too expensive. Yet advances in lithography are at the core of how Moore's Law is viable. This presentation lays out how technology and the economics of optics in manufacturing interleave to drive the immense value that semiconductors have brought to the world by making it smarter. Continuing these advances will be critical as electronics make the move from smart to cognitive.

  15. Advanced electric-field scanning probe lithography on molecular resist using active cantilever

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Aydogan, Cemal; Ivanov, Tzvetan; Ahmad, Ahmad; Angelov, Tihomir; Reum, Alexander; Ishchuk, Valentyn; Krivoshapkina, Yana; Hofer, Manuel; Lenk, Steve; Atanasov, Ivaylo; Holz, Mathias; Rangelow, Ivo W.

    2015-07-01

    The routine "on demand" fabrication of features smaller than 10 nm opens up new possibilities for the realization of many devices. Driven by the thermally actuated piezoresistive cantilever technology, we have developed a prototype of a scanning probe lithography (SPL) platform which is able to image, inspect, align, and pattern features down to the single digit nanoregime. Here, we present examples of practical applications of the previously published electric-field based current-controlled scanning probe lithography. In particular, individual patterning tests are carried out on calixarene by using our developed table-top SPL system. We have demonstrated the application of a step-and-repeat SPL method including optical as well as atomic force microscopy-based navigation and alignment. The closed-loop lithography scheme was applied to sequentially write positive and negative tone features. Due to the integrated unique combination of read-write cycling, each single feature is aligned separately with the highest precision and inspected after patterning. This routine was applied to create a pattern step by step. Finally, we have demonstrated the patterning over larger areas, over existing topography, and the practical applicability of the SPL processes for lithography down to 13-nm pitch patterns. To enhance the throughput capability variable beam diameter electric field, current-controlled SPL is briefly discussed.

  16. Illumination system design for a three-aspherical-mirror projection camera for extreme-ultraviolet lithography.

    PubMed

    Li, Y; Kinoshita, H; Watanabe, T; Irie, S; Shirayone, S; Okazaki, S

    2000-07-01

    A scanning critical illumination system is designed to couple a synchrotron radiation source to a three-aspherical-mirror imaging system for extreme ultraviolet lithography. A static illumination area of H x V = 8 mm x 3 mm (where H is horizontal and V is vertical) can be obtained. Uniform intensity distribution and a large ring field of H x V = 150 mm x 3 mm can be achieved by scanning of the mirror of the condenser. The coherence factor (sigma) of this illumination system is approximately 0.6, with the same beam divergence in both the horizontal and the vertical directions. We describe the performance of the imaging optics at sigma = 0.6 to confirm that the illumination optics can meet the requirements for three-aspherical-mirror imaging optics with a feature size of 0.06 microm.

  17. GaSb-based single-mode distributed feedback lasers for sensing (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Gupta, James A.; Bezinger, Andrew; Lapointe, Jean; Poitras, Daniel; Aers, Geof C.

    2017-02-01

    GaSb-based tunable single-mode diode lasers can enable rapid, highly-selective and highly-sensitive absorption spectroscopy systems for gas sensing. In this work, single-mode distributed feedback (DFB) laser diodes were developed for the detection of various trace gases in the 2-3.3um range, including CO2, CO, HF, H2S, H2O and CH4. The lasers were fabricated using an index-coupled grating process without epitaxial regrowth, making the process significantly less expensive than conventional DFB fabrication. The devices are based on InGaAsSb/AlGaAsSb separate confinement heterostructures grown on GaSb by molecular beam epitaxy. DFB lasers were produced using a two step etch process. Narrow ridge waveguides were first defined by optical lithography and etched into the semiconductor. Lateral gratings were then defined on both sides of the ridge using electron-beam lithography and etched to produce the index-grating. Effective index modeling was used to optimize the ridge width, etch depths and the grating pitch to ensure single-lateral-mode operation and adequate coupling strength. The effective index method was further used to simulate the DFB laser emission spectrum, based on a transfer matrix model for light transmission through the periodic structure. The fabricated lasers exhibit single-mode operation which is tunable through the absorption features of the various target gases by adjustment of the drive current. In addition to the established open-path sensing applications, these devices have great potential for optoelectronic integrated gas sensors, making use of integrated photodetectors and possibly on-chip Si photonics waveguide structures.

  18. Inorganic resist materials based on zirconium phosphonate for atomic force microscope lithography

    NASA Astrophysics Data System (ADS)

    Kang, Mankyu; Kim, Seonae; Jung, JinHyuck; Kim, Heebom; Shin, Inkyun; Jeon, Chanuk; Lee, Haiwon

    2014-03-01

    New inorganic resist materials based on metal complexes were investigated for atomic force microscope (AFM) lithography. Phosphoric acids are good for self-assembly because of their strong binding energy. In this work, zirconium phosphonate system are newly synthesized for spin-coatable materials in aqueous solutions and leads to negative tone pattern for improving line edge roughness. Low electron exposure by AFM lithography could generate a pattern by electrochemical reaction and cross-linking of metal-oxo complexes. It has been reported that the minimum pattern results are affected by lithographic speed, and the applied voltage between a tip and a substrate.

  19. The Geoscience Laser Altimeter System (GLAS) Laser Transmitter

    NASA Technical Reports Server (NTRS)

    Afzal, Robert S.; Yu, Anthony W.; Dallas, Joseph L.; Melak, Anthony; Lukemir, Alan; Ramos-Izqueirdo, L.; Mamakos, William

    2007-01-01

    The Geoscience Laser Altimeter System (GLAS), launched in January 2003, is a laser altimeter and lidar for the Earth Observing System's (EOS) ICESat mission. GLAS accommodates three, sequentially operated, diode-pumped, solid-state, Nd:YAG laser transmitters. The laser transmitter requirements, design and qualification test results for this space-based remote sensing instrument is summarized and presented

  20. Lithography for enabling advances in integrated circuits and devices.

    PubMed

    Garner, C Michael

    2012-08-28

    Because the transistor was fabricated in volume, lithography has enabled the increase in density of devices and integrated circuits. With the invention of the integrated circuit, lithography enabled the integration of higher densities of field-effect transistors through evolutionary applications of optical lithography. In 1994, the semiconductor industry determined that continuing the increase in density transistors was increasingly difficult and required coordinated development of lithography and process capabilities. It established the US National Technology Roadmap for Semiconductors and this was expanded in 1999 to the International Technology Roadmap for Semiconductors to align multiple industries to provide the complex capabilities to continue increasing the density of integrated circuits to nanometre scales. Since the 1960s, lithography has become increasingly complex with the evolution from contact printers, to steppers, pattern reduction technology at i-line, 248 nm and 193 nm wavelengths, which required dramatic improvements of mask-making technology, photolithography printing and alignment capabilities and photoresist capabilities. At the same time, pattern transfer has evolved from wet etching of features, to plasma etch and more complex etching capabilities to fabricate features that are currently 32 nm in high-volume production. To continue increasing the density of devices and interconnects, new pattern transfer technologies will be needed with options for the future including extreme ultraviolet lithography, imprint technology and directed self-assembly. While complementary metal oxide semiconductors will continue to be extended for many years, these advanced pattern transfer technologies may enable development of novel memory and logic technologies based on different physical phenomena in the future to enhance and extend information processing.

  1. Compact synchrotron radiation depth lithography facility

    NASA Astrophysics Data System (ADS)

    Knüppel, O.; Kadereit, D.; Neff, B.; Hormes, J.

    1992-01-01

    X-ray depth lithography allows the fabrication of plastic microstructures with heights of up to 1 mm but with the smallest possible lateral dimensions of about 1 μm. A resist is irradiated with ``white'' synchrotron radiation through a mask that is partially covered with x-ray absorbing microstructures. The plastic microstructure is then obtained by a subsequent chemical development of the irradiated resist. In order to irradiate a reasonably large resist area, the mask and the resist have to be ``scanned'' across the vertically thin beam of the synchrotron radiation. A flexible, nonexpensive and compact scanner apparatus has been built for x-ray depth lithography at the beamline BN1 at ELSA (the 3.5 GeV Electron Stretcher and Accelerator at the Physikalisches Institut of Bonn University). Measurements with an electronic water level showed that the apparatus limits the scanner-induced structure precision to not more than 0.02 μm. The whole apparatus is installed in a vacuum chamber thus allowing lithography under different process gases and pressures.

  2. Sub-100nm, Maskless Deep-UV Zone-Plate Array Lithography

    DTIC Science & Technology

    2004-05-07

    The basic idea is to use fiducial grids, fabricated using interference lithography (or a derivative thereof) to determine the placement of features...sensed, and corrections are fed back to the beam-control electronics to cancel errors in the beam’s position. The virtue of interference lithography ...Sub-100nm, Maskless Deep-UV Zone-Plate Array Lithography Project Period: March 1, 2001 – February 28, 2004 F i n a l R e p o r t Army Research

  3. Development of nanoimprint lithography templates for the contact hole layer application (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Ichimura, Koji; Hikichi, Ryugo; Harada, Saburo; Kanno, Koichi; Kurihara, Masaaki; Hayashi, Naoya

    2017-04-01

    Nanoimprint lithography, NIL, is gathering much attention as one of the most potential candidates for the next generation lithography for semiconductor. This technology needs no pattern data modification for exposure, simpler exposure system, and single step patterning process without any coat/develop truck, and has potential of cost effective patterning rather than very complex optical lithography and/or EUV lithography. NIL working templates are made by the replication of the EB written high quality master templates. Fabrication of high resolution master templates is one of the most important issues. Since NIL is 1:1 pattern transfer process, master templates have 4 times higher resolution compared with photomasks. Another key is to maintain the quality of the master templates in replication process. NIL process is applied for the template replication and this imprint process determines most of the performance of the replicated templates. Expectations to the NIL are not only high resolution line and spaces but also the contact hole layer application. Conventional ArF-i lithography has a certain limit in size and pitch for contact hole fabrication. On the other hand, NIL has good pattern fidelity for contact hole fabrication at smaller sizes and pitches compared with conventional optical lithography. Regarding the tone of the templates for contact hole, there are the possibilities of both tone, the hole template and the pillar template, depending on the processes of the wafer side. We have succeeded to fabricate both types of templates at 2xnm in size. In this presentation, we will be discussing fabrication or our replica template for the contact hole layer application. Both tone of the template fabrication will be presented as well as the performance of the replica templates. We will also discuss the resolution improvement of the hole master templates by using various e-beam exposure technologies.

  4. M&A For Lithography Of Sparse Arrays Of Sub-Micrometer Features

    DOEpatents

    Brueck, Steven R.J.; Chen, Xiaolan; Zaidi, Saleem; Devine, Daniel J.

    1998-06-02

    Methods and apparatuses are disclosed for the exposure of sparse hole and/or mesa arrays with line:space ratios of 1:3 or greater and sub-micrometer hole and/or mesa diameters in a layer of photosensitive material atop a layered material. Methods disclosed include: double exposure interferometric lithography pairs in which only those areas near the overlapping maxima of each single-period exposure pair receive a clearing exposure dose; double interferometric lithography exposure pairs with additional processing steps to transfer the array from a first single-period interferometric lithography exposure pair into an intermediate mask layer and a second single-period interferometric lithography exposure to further select a subset of the first array of holes; a double exposure of a single period interferometric lithography exposure pair to define a dense array of sub-micrometer holes and an optical lithography exposure in which only those holes near maxima of both exposures receive a clearing exposure dose; combination of a single-period interferometric exposure pair, processing to transfer resulting dense array of sub-micrometer holes into an intermediate etch mask, and an optical lithography exposure to select a subset of initial array to form a sparse array; combination of an optical exposure, transfer of exposure pattern into an intermediate mask layer, and a single-period interferometric lithography exposure pair; three-beam interferometric exposure pairs to form sparse arrays of sub-micrometer holes; five- and four-beam interferometric exposures to form a sparse array of sub-micrometer holes in a single exposure. Apparatuses disclosed include arrangements for the three-beam, five-beam and four-beam interferometric exposures.

  5. Target isolation system, high power laser and laser peening method and system using same

    DOEpatents

    Dane, C. Brent; Hackel, Lloyd A.; Harris, Fritz

    2007-11-06

    A system for applying a laser beam to work pieces, includes a laser system producing a high power output beam. Target delivery optics are arranged to deliver the output beam to a target work piece. A relay telescope having a telescope focal point is placed in the beam path between the laser system and the target delivery optics. The relay telescope relays an image between an image location near the output of the laser system and an image location near the target delivery optics. A baffle is placed at the telescope focal point between the target delivery optics and the laser system to block reflections from the target in the target delivery optics from returning to the laser system and causing damage.

  6. Advancing three-dimensional MEMS by complimentary laser micro manufacturing

    NASA Astrophysics Data System (ADS)

    Palmer, Jeremy A.; Williams, John D.; Lemp, Tom; Lehecka, Tom M.; Medina, Francisco; Wicker, Ryan B.

    2006-01-01

    This paper describes improvements that enable engineers to create three-dimensional MEMS in a variety of materials. It also provides a means for selectively adding three-dimensional, high aspect ratio features to pre-existing PMMA micro molds for subsequent LIGA processing. This complimentary method involves in situ construction of three-dimensional micro molds in a stand-alone configuration or directly adjacent to features formed by x-ray lithography. Three-dimensional micro molds are created by micro stereolithography (MSL), an additive rapid prototyping technology. Alternatively, three-dimensional features may be added by direct femtosecond laser micro machining. Parameters for optimal femtosecond laser micro machining of PMMA at 800 nanometers are presented. The technical discussion also includes strategies for enhancements in the context of material selection and post-process surface finish. This approach may lead to practical, cost-effective 3-D MEMS with the surface finish and throughput advantages of x-ray lithography. Accurate three-dimensional metal microstructures are demonstrated. Challenges remain in process planning for micro stereolithography and development of buried features following femtosecond laser micro machining.

  7. Nanoimprinted organic semiconductor laser pumped by a light-emitting diode.

    PubMed

    Tsiminis, Georgios; Wang, Yue; Kanibolotsky, Alexander L; Inigo, Anto R; Skabara, Peter J; Samuel, Ifor D W; Turnbull, Graham A

    2013-05-28

    An organic semiconductor laser, simply fabricated by UV-nanoimprint lithography (UV-NIL), that is pumped with a pulsed InGaN LED is demonstrated. Molecular weight optimization of the polymer gain medium on a nanoimprinted polymer distributed feedback resonator enables the lowest reported UV-NIL laser threshold density of 770 W cm(-2) , establishing the potential for scalable organic laser fabrication compatible with mass-produced LEDs. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Heterodyne laser spectroscopy system

    DOEpatents

    Wyeth, Richard W.; Paisner, Jeffrey A.; Story, Thomas

    1990-01-01

    A heterodyne laser spectroscopy system utilizes laser heterodyne techniques for purposes of laser isotope separation spectroscopy, vapor diagnostics, processing of precise laser frequency offsets from a reference frequency, and provides spectral analysis of a laser beam.

  9. Speckle lithography for fabricating Gaussian, quasi-random 2D structures and black silicon structures.

    PubMed

    Bingi, Jayachandra; Murukeshan, Vadakke Matham

    2015-12-18

    Laser speckle pattern is a granular structure formed due to random coherent wavelet interference and generally considered as noise in optical systems including photolithography. Contrary to this, in this paper, we use the speckle pattern to generate predictable and controlled Gaussian random structures and quasi-random structures photo-lithographically. The random structures made using this proposed speckle lithography technique are quantified based on speckle statistics, radial distribution function (RDF) and fast Fourier transform (FFT). The control over the speckle size, density and speckle clustering facilitates the successful fabrication of black silicon with different surface structures. The controllability and tunability of randomness makes this technique a robust method for fabricating predictable 2D Gaussian random structures and black silicon structures. These structures can enhance the light trapping significantly in solar cells and hence enable improved energy harvesting. Further, this technique can enable efficient fabrication of disordered photonic structures and random media based devices.

  10. Speckle lithography for fabricating Gaussian, quasi-random 2D structures and black silicon structures

    PubMed Central

    Bingi, Jayachandra; Murukeshan, Vadakke Matham

    2015-01-01

    Laser speckle pattern is a granular structure formed due to random coherent wavelet interference and generally considered as noise in optical systems including photolithography. Contrary to this, in this paper, we use the speckle pattern to generate predictable and controlled Gaussian random structures and quasi-random structures photo-lithographically. The random structures made using this proposed speckle lithography technique are quantified based on speckle statistics, radial distribution function (RDF) and fast Fourier transform (FFT). The control over the speckle size, density and speckle clustering facilitates the successful fabrication of black silicon with different surface structures. The controllability and tunability of randomness makes this technique a robust method for fabricating predictable 2D Gaussian random structures and black silicon structures. These structures can enhance the light trapping significantly in solar cells and hence enable improved energy harvesting. Further, this technique can enable efficient fabrication of disordered photonic structures and random media based devices. PMID:26679513

  11. Aging effect of AlF3 coatings for 193 nm lithography

    NASA Astrophysics Data System (ADS)

    Zhao, Jia; Wang, Lin; Zhang, Weili; Yi, Kui; Shao, Jianda

    2018-02-01

    As important part of components for 193 nm lithography, AlF3 coatings deposited by resistive heating method acquire advantages like lower optical loss and higher laser damage threshold, but they also possess some disadvantages like worse stability, which is what aging effect focuses on. AlF3 single-layer coatings were deposited; optical property, surface morphology and roughness, and composition were characterized in different periods. Owing to aging effect, refractive index and extinction coefficient increased; larger and larger roughness caused more and more scattering loss, which was in the same order with absorption at 193.4 nm and part of optical loss; from composition analysis, proportional substitution of AlF3 by alumina may account for changes in refractive index as well as absorption.

  12. Extending the performance of KrF laser for microlithography by using novel F2 control technology

    NASA Astrophysics Data System (ADS)

    Zambon, Paolo; Gong, Mengxiong; Carlesi, Jason; Padmabandu, Gunasiri G.; Binder, Mike; Swanson, Ken; Das, Palash P.

    2000-07-01

    Exposure tools for 248nm lithography have reached a level of maturity comparable to those based on i-line. With this increase in maturity, there is a concomitant requirement for greater flexibility from the laser by the process engineers. Usually, these requirements pertain to energy, spectral width and repetition rate. By utilizing a combination of laser parameters, the process engineers are often able to optimize throughput, reduce cost-of-operation or achieve greater process margin. Hitherto, such flexibility of laser operation was possible only via significant changes to various laser modules. During our investigation, we found that the key measure of the laser that impacts the aforementioned parameters is its F2 concentration. By monitoring and controlling its slope efficiency, the laser's F2 concentration may be precisely controlled. Thus a laser may tune to operate under specifications as diverse as 7mJ, (Delta) (lambda) FWHM < 0.3 pm and 10mJ, (Delta) (lambda) FWHM < 0.6pm and still meet the host of requirements necessary for lithography. We discus this new F2 control technique and highlight some laser performance parameters.

  13. Heterodyne laser spectroscopy system

    DOEpatents

    Wyeth, Richard W.; Paisner, Jeffrey A.; Story, Thomas

    1989-01-01

    A heterodyne laser spectroscopy system utilizes laser heterodyne techniques for purposes of laser isotope separation spectroscopy, vapor diagnostics, processing of precise laser frequency offsets from a reference frequency and the like, and provides spectral analysis of a laser beam.

  14. Electron Beam Lithography Double Step Exposure Technique for Fabrication of Mushroom-Like Profile in Bilayer Resist System

    NASA Astrophysics Data System (ADS)

    Kornelia, Indykiewicz; Bogdan, Paszkiewicz; Tomasz, Szymański; Regina, Paszkiewicz

    2015-01-01

    The Hi/Lo bilayer resist system exposure in e-beam lithography (EBL) process, intended for mushroom-like profile fabrication, was studied. Different exposure parameters and theirs influence on the resist layers were simulated in CASINO software and the obtained results were compared with the experimental data. The AFM technique was used for the estimation of the e-beam penetration depth in the resist stack. Performed numerical and experimental results allow us to establish the useful ranges of the exposure parameters.

  15. A facetless regrowth-free single mode laser based on MMI couplers

    NASA Astrophysics Data System (ADS)

    Caro, Ludovic; Kelly, Niall P.; Dernaika, Mohamad; Shayesteh, Maryam; Morrissey, Padraic E.; Alexander, Justin K.; Peters, Frank H.

    2017-09-01

    This paper presents a facetless, tunable laser operating near 1575 nm, as well as a theoretical model predicting spectral features of the laser. The lasers were fabricated without regrowth or advanced lithography techniques, and are based on MMI couplers and etched facets. Coarse vernier tuning was achieved over a range of 25 nm, while fine, thermal tuning was also demonstrated over a range of 1.5 nm. SMSR values of 25 dB and higher were observed, with a measured laser linewidth of 600 kHz.

  16. Ultra-fast laser system

    DOEpatents

    Dantus, Marcos; Lozovoy, Vadim V

    2014-01-21

    A laser system is provided which selectively excites Raman active vibrations in molecules. In another aspect of the present invention, the system includes a laser, pulse shaper and detection device. A further aspect of the present invention employs a femtosecond laser and binary pulse shaping (BPS). Still another aspect of the present invention uses a laser beam pulse, a pulse shaper and remote sensing.

  17. Fabricating waveguide Bragg gratings (WBGs) in bulk materials using ultrashort laser pulses

    NASA Astrophysics Data System (ADS)

    Ams, Martin; Dekker, Peter; Gross, Simon; Withford, Michael J.

    2017-01-01

    Optical waveguide Bragg gratings (WBGs) can be created in transparent materials using femtosecond laser pulses. The technique is conducted without the need for lithography, ion-beam fabrication methods, or clean room facilities. This paper reviews the field of ultrafast laser-inscribed WBGs since its inception, with a particular focus on fabrication techniques, WBG characteristics, WBG types, and WBG applications.

  18. Biocompatibility of hydroxyapatite scaffolds processed by lithography-based additive manufacturing.

    PubMed

    Tesavibul, Passakorn; Chantaweroad, Surapol; Laohaprapanon, Apinya; Channasanon, Somruethai; Uppanan, Paweena; Tanodekaew, Siriporn; Chalermkarnnon, Prasert; Sitthiseripratip, Kriskrai

    2015-01-01

    The fabrication of hydroxyapatite scaffolds for bone tissue engineering applications by using lithography-based additive manufacturing techniques has been introduced due to the abilities to control porous structures with suitable resolutions. In this research, the use of hydroxyapatite cellular structures, which are processed by lithography-based additive manufacturing machine, as a bone tissue engineering scaffold was investigated. The utilization of digital light processing system for additive manufacturing machine in laboratory scale was performed in order to fabricate the hydroxyapatite scaffold, of which biocompatibilities were eventually evaluated by direct contact and cell-culturing tests. In addition, the density and compressive strength of the scaffolds were also characterized. The results show that the hydroxyapatite scaffold at 77% of porosity with 91% of theoretical density and 0.36 MPa of the compressive strength are able to be processed. In comparison with a conventionally sintered hydroxyapatite, the scaffold did not present any cytotoxic signs while the viability of cells at 95.1% was reported. After 14 days of cell-culturing tests, the scaffold was able to be attached by pre-osteoblasts (MC3T3-E1) leading to cell proliferation and differentiation. The hydroxyapatite scaffold for bone tissue engineering was able to be processed by the lithography-based additive manufacturing machine while the biocompatibilities were also confirmed.

  19. Automated imprint mask cleaning for step-and-flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Singh, Sherjang; Chen, Ssuwei; Selinidis, Kosta; Fletcher, Brian; McMackin, Ian; Thompson, Ecron; Resnick, Douglas J.; Dress, Peter; Dietze, Uwe

    2009-03-01

    Step-and-Flash Imprint Lithography (S-FIL) is a promising lithography strategy for semiconductor manufacturing at device nodes below 32nm. The S-FIL 1:1 pattern transfer technology utilizes a field-by-field ink jet dispense of a low viscosity liquid resist to fill the relief pattern of the device layer etched into the glass mask. Compared to other sub 40nm CD lithography methods, the resulting high resolution, high throughput through clustering, 3D patterning capability, low process complexity, and low cost of ownership (CoO) of S-FIL makes it a widely accepted technology for patterned media as well as a promising mainstream option for future CMOS applications. Preservation of mask cleanliness is essential to avoid risk of repeated printing of defects. The development of mask cleaning processes capable of removing particles adhered to the mask surface without damaging the mask is critical to meet high volume manufacturing requirements. In this paper we have presented various methods of residual (cross-linked) resist removal and final imprint mask cleaning demonstrated on the HamaTech MaskTrack automated mask cleaning system. Conventional and non-conventional (acid free) methods of particle removal have been compared and the effect of mask cleaning on pattern damage and CD integrity is also studied.

  20. Reliable high-power injection locked 6kHz 60W laser for ArF immersion lithography

    NASA Astrophysics Data System (ADS)

    Watanabe, Hidenori; Komae, Shigeo; Tanaka, Satoshi; Nohdomi, Ryoichi; Yamazaki, Taku; Nakarai, Hiroaki; Fujimoto, Junichi; Matsunaga, Takashi; Saito, Takashi; Kakizaki, Kouji; Mizoguchi, Hakaru

    2007-03-01

    Reliable high power 193nm ArF light source is desired for the successive growth of ArF-immersion technology for 45nm node generation. In 2006, Gigaphoton released GT60A, high power injection locked 6kHz/60W/0.5pm (E95) laser system, to meet the demands of semiconductor markets. In this paper, we report key technologies for reliable mass production GT laser systems and GT60A high durability performance test results up to 20 billion pulses.

  1. Laser rocket system analysis

    NASA Technical Reports Server (NTRS)

    Jones, W. S.; Forsyth, J. B.; Skratt, J. P.

    1979-01-01

    The laser rocket systems investigated in this study were for orbital transportation using space-based, ground-based and airborne laser transmitters. The propulsion unit of these systems utilizes a continuous wave (CW) laser beam focused into a thrust chamber which initiates a plasma in the hydrogen propellant, thus heating the propellant and providing thrust through a suitably designed nozzle and expansion skirt. The specific impulse is limited only by the ability to adequately cool the thruster and the amount of laser energy entering the engine. The results of the study showed that, with advanced technology, laser rocket systems with either a space- or ground-based laser transmitter could reduce the national budget allocated to space transportation by 10 to 345 billion dollars over a 10-year life cycle when compared to advanced chemical propulsion systems (LO2-LH2) of equal capability. The variation in savings depends upon the projected mission model.

  2. Laser beam monitoring system

    DOEpatents

    Weil, Bradley S.; Wetherington, Jr., Grady R.

    1985-01-01

    Laser beam monitoring systems include laser-transparent plates set at an angle to the laser beam passing therethrough and light sensor for detecting light reflected from an object on which the laser beam impinges.

  3. Laser beam monitoring system

    DOEpatents

    Weil, B.S.; Wetherington, G.R. Jr.

    Laser beam monitoring systems include laser-transparent plates set at an angle to the laser beam passing therethrough and light sensor for detecting light reflected from an object on which the laser beam impinges.

  4. Excimer laser calibration system.

    PubMed

    Gottsch, J D; Rencs, E V; Cambier, J L; Hall, D; Azar, D T; Stark, W J

    1996-01-01

    Excimer laser photoablation for refractive and therapeutic keratectomies has been demonstrated to be feasible and practicable. However, corneal laser ablations are not without problems, including the delivery and maintenance of a homogeneous beam. We have developed an excimer laser calibration system capable of characterizing a laser ablation profile. Beam homogeneity is determined by the analysis of a polymethylmethacrylate (PMMA)-based thin-film using video capture and image processing. The ablation profile is presented as a color-coded map. Interpolation of excimer calibration system analysis provides a three-dimensional representation of elevation profiles that correlates with two-dimensional scanning profilometry. Excimer calibration analysis was performed before treating a monkey undergoing phototherapeutic keratectomy and two human subjects undergoing myopic spherocylindrical photorefractive keratectomy. Excimer calibration analysis was performed before and after laser refurbishing. Laser ablation profiles in PMMA are resolved by the excimer calibration system to .006 microns/pulse. Correlations with ablative patterns in a monkey cornea were demonstrated with preoperative and postoperative keratometry using corneal topography, and two human subjects using video-keratography. Excimer calibration analysis predicted a central-steep-island ablative pattern with the VISX Twenty/Twenty laser, which was confirmed by corneal topography immediately postoperatively and at 1 week after reepithelialization in the monkey. Predicted central steep islands in the two human subjects were confirmed by video-keratography at 1 week and at 1 month. Subsequent technical refurbishing of the laser resulted in a beam with an overall increased ablation rate measured as microns/pulse with a donut ablation profile. A patient treated after repair of the laser electrodes demonstrated no central island. This excimer laser calibration system can precisely detect laser-beam ablation

  5. Conventional and modified Schwarzschild objective for EUV lithography: design relations

    NASA Astrophysics Data System (ADS)

    Bollanti, S.; di Lazzaro, P.; Flora, F.; Mezi, L.; Murra, D.; Torre, A.

    2006-12-01

    The design criteria of a Schwarzschild-type optical system are reviewed in relation to its use as an imaging system in an extreme ultraviolet lithography setup. Both the conventional and the modified reductor imaging configurations are considered, and the respective performances, as far as the geometrical resolution in the image plane is concerned, are compared. In this connection, a formal relation defining the modified configuration is elaborated, refining a rather naïve definition presented in an earlier work. The dependence of the geometrical resolution on the image-space numerical aperture for a given magnification is investigated in detail for both configurations. So, the advantages of the modified configuration with respect to the conventional one are clearly evidenced. The results of a semi-analytical procedure are compared with those obtained from a numerical simulation performed by an optical design program. The Schwarzschild objective based system under implementation at the ENEA Frascati Center within the context of the Italian FIRB project for EUV lithography has been used as a model. Best-fit functions accounting for the behaviour of the system parameters vs. the numerical aperture are reported; they can be a useful guide for the design of Schwarzschild objective type optical systems.

  6. Fabrication of functional devices using soft lithography and unconventional micropatterning

    NASA Astrophysics Data System (ADS)

    Deng, Tao

    In this thesis, I present part of our work in the fabrication of functional devices using soft lithography, and also describe unconventional micropatterning techniques involving photographic films. Soft lithography is a set of techniques that are complementary to photolithography, but not limited to planar patterning. It offers the capability of generating micro and nanostructures to a larger community than that familiar with conventional fabrication facilities. The first part of this thesis (chapter 1--4) focuses on the fabrication of microelectronic and micromagnetic devices. These successful demonstrations establish the compatibility of soft lithography with multilayer fabrication of functional devices, and open the door for the further development in these areas. Chapter 1 and 2 describe the use of microtransfer molding (muTM), micromolding in capillaries (MIMIC), and microcontact (muCP) for fabricating Schottky diodes and half-wave rectifier circuits. The fabrication processes involve multiple soft lithography steps and address the registrations between different layer of structures. Room temperature characteristics of these devices resemble those of diodes and rectifiers fabricated by photolithography. Chapter 3 and 4 demonstrate the fabrication of micromagnetic systems. In chapter 3, a one-dimensional bead motor is reported. Based on current-carrying wire systems, the bead motor can trap and transfer magnetic beads suspended in aqueous solutions. Chapter 4 shows a microfiltration system that uses arrays of nickel posts positioned in a polydimethylsiloxane (PDMS) microfluidic channel as the filtering elements. Turning on or off the magnetic field that is localized by these nickel posts can trap or release magnetic beads flowing by. The second part of this thesis (chapter 5--7) focuses on the development of unconventional microfabrication. The major objective underlying this work is to explore the simplest and most broadly available techniques that we could

  7. Unstable Resonator Mid-Infrared Laser Sources

    DTIC Science & Technology

    2016-02-26

    of individual materials depending on metal species and growth temperatures . Fig. 8 (a) Average power consumption and (b) delay of C2MOS and double...feedback lasers, chirped gratings, interferometric lithography, nanowire transistors, tunnel field- effect transistors, nanoscale epitaxial growth, nanowire...technical approaches. Approaches to wavelength tuning include thermal/operation temperature tuning [1], variable cavity length with cantilever/piezo

  8. Infrared laser system

    DOEpatents

    Cantrell, Cyrus D.; Carbone, Robert J.; Cooper, Ralph S.

    1977-01-01

    An infrared laser system and method for isotope separation may comprise a molecular gas laser oscillator to produce a laser beam at a first wavelength, Raman spin flip means for shifting the laser to a second wavelength, a molecular gas laser amplifier to amplify said second wavelength laser beam to high power, and optical means for directing the second wavelength, high power laser beam against a desired isotope for selective excitation thereof in a mixture with other isotopes. The optical means may include a medium which shifts the second wavelength high power laser beam to a third wavelength, high power laser beam at a wavelength coincidental with a corresponding vibrational state of said isotope and which is different from vibrational states of other isotopes in the gas mixture.

  9. Infrared laser system

    DOEpatents

    Cantrell, Cyrus D.; Carbone, Robert J.; Cooper, Ralph

    1982-01-01

    An infrared laser system and method for isotope separation may comprise a molecular gas laser oscillator to produce a laser beam at a first wavelength, Raman spin flip means for shifting the laser to a second wavelength, a molecular gas laser amplifier to amplify said second wavelength laser beam to high power, and optical means for directing the second wavelength, high power laser beam against a desired isotope for selective excitation thereof in a mixture with other isotopes. The optical means may include a medium which shifts the second wavelength high power laser beam to a third wavelength, high power laser beam at a wavelength coincidental with a corresponding vibrational state of said isotope and which is different from vibrational states of other isotopes in the gas mixture.

  10. Electron Beam/Optical Hybrid Lithography For The Production Of Gallium Arsenide Monolithic Microwave Integrated Circuits (Mimics)

    NASA Astrophysics Data System (ADS)

    Nagarajan, Rao M.; Rask, Steven D.

    1988-06-01

    A hybrid lithography technique is described in which selected levels are fabricated by high resolution direct write electron beam lithography and all other levels are fabricated optically. This technique permits subhalf micron geometries and the site-by-site alignment for each field written by electron beam lithography while still maintaining the high throughput possible with optical lithography. The goal is to improve throughput and reduce overall cost of fabricating MIMIC GaAS chips without compromising device performance. The lithography equipment used for these experiments is the Cambridge Electron beam vector scan system EBMF 6.4 capable of achieving ultra high current densities with a beam of circular cross section and a gaussian intensity profile operated at 20 kev. The optical aligner is a Karl Suss Contact aligner. The flexibility of the Cambridge electron beam system is matched to the less flexible Karl Suss contact aligner. The lithography related factors, such as image placement, exposure and process related analyses, which influence overlay, pattern quality and performance, are discussed. A process chip containing 3.2768mm fields in an eleven by eleven array was used for alignment evaluation on a 3" semi-insulating GaAS wafer. Each test chip contained five optical verniers and four Prometrix registration marks per field along with metal bumps for alignment marks. The process parameters for these chips are identical to those of HEMT/epi-MESFET ohmic contact and gate layer processes. These layers were used to evaluate the overlay accuracy because of their critical alignment and dimensional control requirements. Two cases were examined: (1) Electron beam written gate layers aligned to optically imaged ohmic contact layers and (2) Electron beam written gate layers aligned to electron beam written ohmic contact layers. The effect of substrate charging by the electron beam is also investigated. The resulting peak overlay error accuracies are: (1) Electron

  11. Image grating metrology using phase-stepping interferometry in scanning beam interference lithography

    NASA Astrophysics Data System (ADS)

    Li, Minkang; Zhou, Changhe; Wei, Chunlong; Jia, Wei; Lu, Yancong; Xiang, Changcheng; Xiang, XianSong

    2016-10-01

    Large-sized gratings are essential optical elements in laser fusion and space astronomy facilities. Scanning beam interference lithography is an effective method to fabricate large-sized gratings. To minimize the nonlinear phase written into the photo-resist, the image grating must be measured to adjust the left and right beams to interfere at their waists. In this paper, we propose a new method to conduct wavefront metrology based on phase-stepping interferometry. Firstly, a transmission grating is used to combine the two beams to form an interferogram which is recorded by a charge coupled device(CCD). Phase steps are introduced by moving the grating with a linear stage monitored by a laser interferometer. A series of interferograms are recorded as the displacement is measured by the laser interferometer. Secondly, to eliminate the tilt and piston error during the phase stepping, the iterative least square phase shift method is implemented to obtain the wrapped phase. Thirdly, we use the discrete cosine transform least square method to unwrap the phase map. Experiment results indicate that the measured wavefront has a nonlinear phase around 0.05 λ@404.7nm. Finally, as the image grating is acquired, we simulate the print-error written into the photo-resist.

  12. Graphic Arts/Offset Lithography.

    ERIC Educational Resources Information Center

    Hoisington, James; Metcalf, Joseph

    This revised curriculum for graphic arts is designed to provide secondary and postsecondary students with entry-level skills and an understanding of current printing technology. It contains lesson plans based on entry-level competencies for offset lithography as identified by educators and industry representatives. The guide is divided into 15…

  13. Tuning extreme ultraviolet emission for optimum coupling with multilayer mirrors for future lithography through control of ionic charge states

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ohashi, Hayato, E-mail: ohashi@cc.utsunomiya-u.ac.jp; Higashiguchi, Takeshi, E-mail: higashi@cc.utsunomiya-u.ac.jp; Suzuki, Yuhei

    2014-01-21

    We report on the identification of the optimum plasma conditions for a laser-produced plasma source for efficient coupling with multilayer mirrors at 6.x nm for beyond extreme ultraviolet lithography. A small shift to lower energies of the peak emission for Nd:YAG laser-produced gadolinium plasmas was observed with increasing laser power density. Charge-defined emission spectra were observed in electron beam ion trap (EBIT) studies and the charge states responsible identified by use of the flexible atomic code (FAC). The EBIT spectra displayed a larger systematic shift of the peak wavelength of intense emission at 6.x nm to longer wavelengths with increasingmore » ionic charge. This combination of spectra enabled the key ion stage to be confirmed as Gd{sup 18+}, over a range of laser power densities, with contributions from Gd{sup 17+} and Gd{sup 19+} responsible for the slight shift to longer wavelengths in the laser-plasma spectra. The FAC calculation also identified the origin of observed out-of-band emission and the charge states responsible.« less

  14. Optofluidic encapsulation and manipulation of silicon microchips using image processing based optofluidic maskless lithography and railed microfluidics.

    PubMed

    Chung, Su Eun; Lee, Seung Ah; Kim, Jiyun; Kwon, Sunghoon

    2009-10-07

    We demonstrate optofluidic encapsulation of silicon microchips using image processing based optofluidic maskless lithography and manipulation using railed microfluidics. Optofluidic maskless lithography is a dynamic photopolymerization technique of free-floating microstructures within a fluidic channel using spatial light modulator. Using optofluidic maskless lithography via computer-vision aided image processing, polymer encapsulants are fabricated for chip protection and guiding-fins for efficient chip conveying within a fluidic channel. Encapsulated silicon chips with guiding-fins are assembled using railed microfluidics, which is an efficient guiding and heterogeneous self-assembly system of microcomponents. With our technology, externally fabricated silicon microchips are encapsulated, fluidically guided and self-assembled potentially enabling low cost fluidic manipulation and assembly of integrated circuits.

  15. Laser material processing system

    DOEpatents

    Dantus, Marcos

    2015-04-28

    A laser material processing system and method are provided. A further aspect of the present invention employs a laser for micromachining. In another aspect of the present invention, the system uses a hollow waveguide. In another aspect of the present invention, a laser beam pulse is given broad bandwidth for workpiece modification.

  16. Development of Laser Propulsion and Tracking System for Laser-Driven Micro-Airplane

    NASA Astrophysics Data System (ADS)

    Ishikawa, Hiroyasu; Kajiwara, Itsuro; Hoshino, Kentaro; Yabe, Takashi; Uchida, Shigeaki; Shimane, Yoshichika

    2004-03-01

    The purposes of this paper are to improve the control performance of the developed laser tracking system and to develop an integrated laser propulsion/tracking system for realizing a continuous flight and control of the micro-airplane. The laser propulsion is significantly effective to achieve the miniaturization and lightening of the micro-airplane. The laser-driven micro-airplane has been studied with a paper-craft airplane and YAG laser, resulting in a successful glide of the airplane. In the next stage of the laser-driven micro-airplane development, the laser tracking is expected as key technologies to achieve continuous propulsion. Furthermore, the laser propulsion system should be combined with the laser tracking system to supply continuous propulsion. Experiments are carried out to evaluate the performance of the developed laser tracking system and integrated laser propulsion/tracking system.

  17. Materials Design for Block Copolymer Lithography

    NASA Astrophysics Data System (ADS)

    Sweat, Daniel Patrick

    Block copolymers (BCPs) have attracted a great deal of scientific and technological interest due to their ability to spontaneously self-assemble into dense periodic nanostructures with a typical length scale of 5 to 50 nm. The use of self-assembled BCP thin-films as templates to form nanopatterns over large-area is referred to as BCP lithography. Directed self-assembly of BCPs is now viewed as a viable candidate for sub-20 nm lithography by the semiconductor industry. However, there are multiple aspects of assembly and materials design that need to be addressed in order for BCP lithography to be successful. These include substrate modification with polymer brushes or mats, tailoring of the block copolymer chemistry, understanding thin-film assembly and developing epitaxial like methods to control long range alignment. The rational design, synthesis and self-assembly of block copolymers with large interaction parameters (chi) is described in the first part of this dissertation. Two main blocks were chosen for introducing polarity into the BCP system, namely poly(4-hydroxystyrene) and poly(2-vinylpyridine). Each of these blocks are capable of ligating Lewis acids which can increase the etch contrast between the blocks allowing for facile pattern transfer to the underlying substrate. These BCPs were synthesized by living anionic polymerization and showed excellent control over molecular weight and dispersity, providing access to sub 5-nm domain sizes. Polymer brushes consist of a polymer chain with one end tethered to the surface and have wide applicability in tuning surface energy, forming responsive surfaces and increasing biocompatibility. In the second part of the dissertation, we present a universal method to grow dense polymer brushes on a wide range of substrates and combine this chemistry with BCP assembly to fabricate nanopatterned polymer brushes. This is the first demonstration of introducing additional functionality into a BCP directing layer and opens up

  18. Fabrication of 3D surface structures using grayscale lithography

    NASA Astrophysics Data System (ADS)

    Stilson, Christopher; Pal, Rajan; Coutu, Ronald A.

    2014-03-01

    The ability to design and develop 3D microstructures is important for microelectromechanical systems (MEMS) fabrication. Previous techniques used to create 3D devices included tedious steps in direct writing and aligning patterns onto a substrate followed by multiple photolithography steps using expensive, customized equipment. Additionally, these techniques restricted batch processing and placed limits on achievable shapes. Gray-scale lithography enables the fabrication of a variety of shapes using a single photolithography step followed by reactive ion etching (RIE). Micromachining 3D silicon structures for MEMS can be accomplished using gray-scale lithography along with dry anisotropic etching. In this study, we investigated: using MATLAB for mask designs; feasibility of using 1 μm Heidelberg mask maker to direct write patterns onto photoresist; using RIE processing to etch patterns into a silicon substrate; and the ability to tailor etch selectivity for precise fabrication. To determine etch rates and to obtain desired etch selectivity, parameters such as gas mixture, gas flow, and electrode power were studied. This process successfully demonstrates the ability to use gray-scale lithography and RIE for use in the study of micro-contacts. These results were used to produce a known engineered non-planer surface for testing micro-contacts. Surface structures are between 5 μm and 20 μm wide with varying depths and slopes based on mask design and etch rate selectivity. The engineered surfaces will provide more insight into contact geometries and failure modes of fixed-fixed micro-contacts.

  19. Modification of insulating diamond-like films by pulsed UV laser emission

    NASA Astrophysics Data System (ADS)

    Ageev, V. P.; Glushko, T. N.; Dorfman, V. F.; Kuzmichev, A. V.; Pypkin, B. N.

    1991-07-01

    The basic regimes of the modification of diamond-like a-C/Si/O:H films by the emission of the KrF laser are investigated. In particular, attention is given to the effect of the graphitization process on the spatial resolution of the dimensional treament. The possibility of the submicron cross-linking of the films using the methods of ablative UV laser lithography is demonstrated.

  20. Development of nanostencil lithography and its applications for plasmonics and vibrational biospectroscopy

    NASA Astrophysics Data System (ADS)

    Aksu, Serap

    Development of low cost nanolithography tools for precisely creating a variety of nanostructure shapes and arrangements in a high-throughput fashion is crucial for next generation biophotonic technologies. Although existing lithography techniques offer tremendous design flexibility, they have major drawbacks such as low-throughput and fabrication complexity. In addition the demand for the systematic fabrication of sub-100 nm structures on flexible, stretchable, non-planar nanoelectronic/photonic systems and multi-functional materials has fueled the research for innovative fabrication methods in recent years. This thesis research investigates a novel lithography approach for fabrication of engineered plasmonic nanostructures and metamaterials operating at visible and infrared wavelengths. The technique is called Nanostencil Lithography (NSL) and relies on direct deposition of materials through nanoapertures on a stencil. NSL enables high throughput fabrication of engineered antenna arrays with optical qualities similar to the ones fabricated by standard electron beam lithography. Moreover, nanostencils can be reused multiple times to fabricate series of plasmonic nanoantenna arrays with identical optical responses enabling high throughput manufacturing. Using nanostencils, very precise nanostructures could be fabricated with 10 nm accuracy. Furthermore, this technique has flexibility and resolution to create complex plasmonic nanostructure arrays on the substrates that are difficult to work with e-beam and ion beam lithography tools. Combining plasmonics with polymeric materials, biocompatible surfaces or curvilinear and non-planar objects enable unique optical applications since they can preserve normal device operation under large strain. In this work, mechanically tunable flexible optical materials and spectroscopy probes integrated on fiber surfaces that could be used for a wide range of applications are demonstrated. Finally, the first application of NSL

  1. Subwavelength optical lithography via classical light: A possible implementation

    NASA Astrophysics Data System (ADS)

    You, Jieyu; Liao, Zeyang; Hemmer, P. R.; Zubairy, M. Suhail

    2018-04-01

    The resolution of an interferometric optical lithography system is about the half wavelength of the illumination light. We proposed a method based on Doppleron resonance to achieve a resolution beyond half wavelength [Phys. Rev. Lett. 96, 163603 (2006), 10.1103/PhysRevLett.96.163603]. Here, we analyze a possible experimental demonstration of this method in the negatively charged silicon-vacancy (SiV-) system by considering realistic experimental parameters. Our results show that quarter wavelength resolution and beyond can be achieved in this system even in room temperature without using perturbation theory.

  2. Excimer laser system Profile-500

    NASA Astrophysics Data System (ADS)

    Atejev, V. V.; Bukreyev, V. S.; Vartapetov, Serge K.; Semenov, A. D.; Sugrobov, V. A.; Turin, V. S.; Fedorov, Sergei N.

    1999-07-01

    The description of ophthalmological excimer laser system 'PROFILE-500' for photorefractive and physiotherapeutic keratectomy is presented. Excimer Laser Systems 'PROFILE- 500' are optical system that use ArF excimer lasers to perform photorefractive keratectomy or LASIK; surgical procedures used to correct myopia, hyperopia and astigmatism.

  3. ILT for double exposure lithography with conventional and novel materials

    NASA Astrophysics Data System (ADS)

    Poonawala, Amyn; Borodovsky, Yan; Milanfar, Peyman

    2007-03-01

    Multiple paths exists to provide lithography solutions pursuant to Moore's Law for next 3-5 generations of technology, yet each of those paths inevitably leads to solutions eventually requiring patterning at k I < 0.30 and below. In this article, we explore double exposure single development lithography for k I >= 0.25 (using conventional resist) and k1 < 0.25 (using new out-of-sight out-of-mind materials). For the case of k I >= 0.25, we propose a novel double exposure inverse lithography technique (ILT) to split the pattern. Our algorithm is based on our earlier proposed single exposure ILT framework, and works by decomposing the aerial image (instead of the target pattern) into two parts. It also resolves the phase conflicts automatically as part of the decomposition, and the combined aerial image obtained using the estimated masks has a superior contrast. For the case of k I < 0.25, we focus on analyzing the use of various dual patterning techniques enabled by the use of hypothetic materials with properties that allow for the violation of the linear superposition of intensities from the two exposures. We investigate the possible use of two materials: contrast enhancement layer (CEL) and two-photon absorption resists. We propose a mathematical model for CEL, define its characteristic properties, and derive fundamental bounds on the improvement in image log-slope. Simulation results demonstrate that double exposure single development lithography using CEL enables printing 80nm gratings using dry lithography. We also combine ILT, CEL, and DEL to synthesize 2-D patterns with k I = 0.185. Finally, we discuss the viability of two-photon absorption resists for double exposure lithography.

  4. Grayscale lithography-automated mask generation for complex three-dimensional topography

    NASA Astrophysics Data System (ADS)

    Loomis, James; Ratnayake, Dilan; McKenna, Curtis; Walsh, Kevin M.

    2016-01-01

    Grayscale lithography is a relatively underutilized technique that enables fabrication of three-dimensional (3-D) microstructures in photosensitive polymers (photoresists). By spatially modulating ultraviolet (UV) dosage during the writing process, one can vary the depth at which photoresist is developed. This means complex structures and bioinspired designs can readily be produced that would otherwise be cost prohibitive or too time intensive to fabricate. The main barrier to widespread grayscale implementation, however, stems from the laborious generation of mask files required to create complex surface topography. We present a process and associated software utility for automatically generating grayscale mask files from 3-D models created within industry-standard computer-aided design (CAD) suites. By shifting the microelectromechanical systems (MEMS) design onus to commonly used CAD programs ideal for complex surfacing, engineering professionals already familiar with traditional 3-D CAD software can readily utilize their pre-existing skills to make valuable contributions to the MEMS community. Our conversion process is demonstrated by prototyping several samples on a laser pattern generator-capital equipment already in use in many foundries. Finally, an empirical calibration technique is shown that compensates for nonlinear relationships between UV exposure intensity and photoresist development depth as well as a thermal reflow technique to help smooth microstructure surfaces.

  5. Understanding overlay signatures using machine learning on non-lithography context information

    NASA Astrophysics Data System (ADS)

    Overcast, Marshall; Mellegaard, Corey; Daniel, David; Habets, Boris; Erley, Georg; Guhlemann, Steffen; Thrun, Xaver; Buhl, Stefan; Tottewitz, Steven

    2018-03-01

    Overlay errors between two layers can be caused by non-lithography processes. While these errors can be compensated by the run-to-run system, such process and tool signatures are not always stable. In order to monitor the impact of non-lithography context on overlay at regular intervals, a systematic approach is needed. Using various machine learning techniques, significant context parameters that relate to deviating overlay signatures are automatically identified. Once the most influential context parameters are found, a run-to-run simulation is performed to see how much improvement can be obtained. The resulting analysis shows good potential for reducing the influence of hidden context parameters on overlay performance. Non-lithographic contexts are significant contributors, and their automatic detection and classification will enable the overlay roadmap, given the corresponding control capabilities.

  6. Micro-fabrication method of graphite mesa microdevices based on optical lithography technology

    NASA Astrophysics Data System (ADS)

    Zhang, Cheng; Wen, Donghui; Zhu, Huamin; Zhang, Xiaorui; Yang, Xing; Shi, Yunsheng; Zheng, Tianxiang

    2017-12-01

    Graphite mesa microdevices have incommensurate contact nanometer interfaces, superlubricity, high-speed self-retraction, and other characteristics, which have potential applications in high-performance oscillators and micro-scale switches, memory devices, and gyroscopes. However, the current method of fabricating graphite mesa microdevices is mainly based on high-cost, low efficiency electron beam lithography technology. In this paper, the processing technologies of graphite mesa microdevices with various shapes and sizes were investigated by a low-cost micro-fabrication method, which was mainly based on optical lithography technology. The characterization results showed that the optical lithography technology could realize a large-area of patterning on the graphite surface, and the graphite mesa microdevices, which have a regular shape, neat arrangement, and high verticality could be fabricated in large batches through optical lithography technology. The experiments and analyses showed that the graphite mesa microdevices fabricated through optical lithography technology basically have the same self-retracting characteristics as those fabricated through electron beam lithography technology, and the maximum size of the graphite mesa microdevices with self-retracting phenomenon can reach 10 µm  ×  10 µm. Therefore, the proposed method of this paper can realize the high-efficiency and low-cost processing of graphite mesa microdevices, which is significant for batch fabrication and application of graphite mesa microdevices.

  7. Full-chip level MEEF analysis using model based lithography verification

    NASA Astrophysics Data System (ADS)

    Kim, Juhwan; Wang, Lantian; Zhang, Daniel; Tang, Zongwu

    2005-11-01

    MEEF (Mask Error Enhancement Factor) has become a critical factor in CD uniformity control since optical lithography process moved to sub-resolution era. A lot of studies have been done by quantifying the impact of the mask CD (Critical Dimension) errors on the wafer CD errors1-2. However, the benefits from those studies were restricted only to small pattern areas of the full-chip data due to long simulation time. As fast turn around time can be achieved for the complicated verifications on very large data by linearly scalable distributed processing technology, model-based lithography verification becomes feasible for various types of applications such as post mask synthesis data sign off for mask tape out in production and lithography process development with full-chip data3,4,5. In this study, we introduced two useful methodologies for the full-chip level verification of mask error impact on wafer lithography patterning process. One methodology is to check MEEF distribution in addition to CD distribution through process window, which can be used for RET/OPC optimization at R&D stage. The other is to check mask error sensitivity on potential pinch and bridge hotspots through lithography process variation, where the outputs can be passed on to Mask CD metrology to add CD measurements on those hotspot locations. Two different OPC data were compared using the two methodologies in this study.

  8. Airborne laser sensors and integrated systems

    NASA Astrophysics Data System (ADS)

    Sabatini, Roberto; Richardson, Mark A.; Gardi, Alessandro; Ramasamy, Subramanian

    2015-11-01

    The underlying principles and technologies enabling the design and operation of airborne laser sensors are introduced and a detailed review of state-of-the-art avionic systems for civil and military applications is presented. Airborne lasers including Light Detection and Ranging (LIDAR), Laser Range Finders (LRF), and Laser Weapon Systems (LWS) are extensively used today and new promising technologies are being explored. Most laser systems are active devices that operate in a manner very similar to microwave radars but at much higher frequencies (e.g., LIDAR and LRF). Other devices (e.g., laser target designators and beam-riders) are used to precisely direct Laser Guided Weapons (LGW) against ground targets. The integration of both functions is often encountered in modern military avionics navigation-attack systems. The beneficial effects of airborne lasers including the use of smaller components and remarkable angular resolution have resulted in a host of manned and unmanned aircraft applications. On the other hand, laser sensors performance are much more sensitive to the vagaries of the atmosphere and are thus generally restricted to shorter ranges than microwave systems. Hence it is of paramount importance to analyse the performance of laser sensors and systems in various weather and environmental conditions. Additionally, it is important to define airborne laser safety criteria, since several systems currently in service operate in the near infrared with considerable risk for the naked human eye. Therefore, appropriate methods for predicting and evaluating the performance of infrared laser sensors/systems are presented, taking into account laser safety issues. For aircraft experimental activities with laser systems, it is essential to define test requirements taking into account the specific conditions for operational employment of the systems in the intended scenarios and to verify the performance in realistic environments at the test ranges. To support the

  9. Navigated Pattern Laser System versus Single-Spot Laser System for Postoperative 360-Degree Laser Retinopexy.

    PubMed

    Kulikov, Alexei N; Maltsev, Dmitrii S; Boiko, Ernest V

    2016-01-01

    Purpose . To compare three 360°-laser retinopexy (LRP) approaches (using navigated pattern laser system, single-spot slit-lamp (SL) laser delivery, and single-spot indirect ophthalmoscope (IO) laser delivery) in regard to procedure duration, procedural pain score, technical difficulties, and the ability to achieve surgical goals. Material and Methods . Eighty-six rhegmatogenous retinal detachment patients (86 eyes) were included in this prospective randomized study. The mean procedural time, procedural pain score (using 4-point Verbal Rating Scale), number of laser burns, and achievement of the surgical goals were compared between three groups (pattern LRP (Navilas® laser system), 36 patients; SL-LRP, 28 patients; and IO-LRP, 22 patients). Results . In the pattern LRP group, the amount of time needed for LRP and pain level were statistically significantly lower, whereas the number of applied laser burns was higher compared to those in the SL-LRP group and in the IO-LRP group. In the pattern LRP, SL-LRP, and IO-LRP groups, surgical goals were fully achieved in 28 (77.8%), 17 (60.7%), and 13 patients (59.1%), respectively ( p > 0.05). Conclusion . The navigated pattern approach allows improving the treatment time and pain in postoperative 360° LRP. Moreover, 360° pattern LRP is at least as effective in achieving the surgical goal as the conventional (slit-lamp or indirect ophthalmoscope) approaches with a single-spot laser.

  10. Mask-induced aberration in EUV lithography

    NASA Astrophysics Data System (ADS)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  11. High-numerical aperture extreme ultraviolet scanner for 8-nm lithography and beyond

    NASA Astrophysics Data System (ADS)

    Schoot, Jan van; Setten, Eelco van; Rispens, Gijsbert; Troost, Kars Z.; Kneer, Bernhard; Migura, Sascha; Neumann, Jens Timo; Kaiser, Winfried

    2017-10-01

    Current extreme ultraviolet (EUV) projection lithography systems exploit a projection lens with a numerical aperture (NA) of 0.33. It is expected that these will be used in mass production in the 2018/2019 timeframe. By then, the most difficult layers at the 7-nm logic and the mid-10-nm DRAM nodes will be exposed. These systems are a more economical alternative to multiple-exposure by 193 argon fluoride immersion scanners. To enable cost-effective shrink by EUV lithography down to 8-nm half pitch, a considerably larger NA is needed. As a result of the increased NA, the incidence angles of the light rays at the mask increase significantly. Consequently, the shadowing and the variation of the multilayer reflectivity deteriorate the aerial image contrast to unacceptably low values at the current 4× magnification. The only solution to reduce the angular range at the mask is to increase the magnification. Simulations show that the magnification has to be doubled to 8× to overcome the shadowing effects. Assuming that the mask infrastructure will not change the mask form factor, this would inevitably lead to a field size that is a quarter of the field size of the current 0.33-NA step and scan systems and reduce the throughput (TPT) of the high-NA scanner to a value below 100 wafers per hour unless additional measures are taken. This paper presents an anamorphic step and scan system capable of printing fields that are half the field size of the current full field. The anamorphic system has the potential to achieve a TPT in excess of 150 wafers per hour by increasing the transmission of the optics, as well as increasing the acceleration of the wafer stage and mask stage. This makes it an economically viable lithography solution.

  12. Development of laser transmission system

    NASA Astrophysics Data System (ADS)

    Song, Jiawu; Zhang, Yulan; Yang, Jiandong; Zhang, Xinming

    1998-08-01

    This paper discusses a light transfer system of therapeutic machine using carbon-dioxide laser. This system is based on imitating human being arm motion principle, consists of optical cardans mainly and can move in three-D space freely. Through it carbon-dioxide laser (which wavelength is 10.6 micrometer) is reflected, focused or diverged and transferred to the different therapeutic part of body to realize the purpose of cutting operation, gasification, cauterization and irradiation. This system includes an indicating system using He-Ne laser, by which carbon-dioxide laser can arrive therapeutic part accurately. This system possesses some advantages e.g. an accurate transfer, large moving range, small power consumption, high power density and easy operation. At present the occupancy in home market of this kind laser transfer system products is over 95%. Some products have been exported to other countries.

  13. Robotic Laser Coating Removal System

    DTIC Science & Technology

    2008-07-01

    Materiel Command IRR Internal Rate of Return JTP Joint Test Protocol JTR Joint Test Report LARPS Large Area Robotic Paint Stripping LASER Light...use of laser paint stripping systems is applicable to depainting activities on large off-aircraft components and weapons systems for the Air Force...The use of laser paint stripping systems is applicable to depainting activities on large off-aircraft components and weapons systems for the Air

  14. Scanning two-photon continuous flow lithography for synthesis of high-resolution 3D microparticles.

    PubMed

    Shaw, Lucas A; Chizari, Samira; Shusteff, Maxim; Naghsh-Nilchi, Hamed; Di Carlo, Dino; Hopkins, Jonathan B

    2018-05-14

    Demand continues to rise for custom-fabricated and engineered colloidal microparticles across a breadth of application areas. This paper demonstrates an improvement in the fabrication rate of high-resolution 3D colloidal particles by using two-photon scanning lithography within a microfluidic channel. To accomplish this, we present (1) an experimental setup that supports fast, 3D scanning by synchronizing a galvanometer, piezoelectric stage, and an acousto-optic switch, and (2) a new technique for modifying the laser's scan path to compensate for the relative motion of the rapidly-flowing photopolymer medium. The result is an instrument that allows for rapid conveyor-belt-like fabrication of colloidal objects with arbitrary 3D shapes and micron-resolution features.

  15. Defect tolerant transmission lithography mask

    DOEpatents

    Vernon, Stephen P.

    2000-01-01

    A transmission lithography mask that utilizes a transparent substrate or a partially transparent membrane as the active region of the mask. A reflective single layer or multilayer coating is deposited on the membrane surface facing the illumination system. The coating is selectively patterned (removed) to form transmissive (bright) regions. Structural imperfections and defects in the coating have negligible effect on the aerial image of the mask master pattern since the coating is used to reflect radiation out of the entrance pupil of the imaging system. Similarly, structural imperfections in the clear regions of the membrane have little influence on the amplitude or phase of the transmitted electromagnetic fields. Since the mask "discards," rather than absorbs, unwanted radiation, it has reduced optical absorption and reduced thermal loading as compared to conventional designs. For EUV applications, the mask circumvents the phase defect problem, and is independent of the thermal load during exposure.

  16. Farbrication of diffractive optical elements on a Si chip by an imprint lithography using nonsymmetrical silicon mold

    NASA Astrophysics Data System (ADS)

    Hirai, Yoshihiko; Okano, Masato; Okuno, Takayuki; Toyota, Hiroshi; Yotsuya, Tsutomu; Kikuta, Hisao; Tanaka, Yoshio

    2001-11-01

    Fabrication of a fine diffractive optical element on a Si chip is demonstrated using imprint lithography. A chirped diffraction grating, which has modulated pitched pattern with curved cross section is fabricated by an electron beam lithography, where the exposure dose profile is automatically optimized by computer aided system. Using the resist pattern as an etching mask, anisotropic dry etching is performed to transfer the resist pattern profile to the Si chip. The etched Si substrate is used as a mold in the imprint lithography. The Si mold is pressed to a thin polymer (poly methyl methacrylate) on a Si chip. After releasing the mold, a fine diffractive optical pattern is successfully transferred to the thin polymer. This method is exceedingly useful for fabrication of integrated diffractive optical elements with electric circuits on a Si chip.

  17. Laser spark distribution and ignition system

    DOEpatents

    Woodruff, Steven [Morgantown, WV; McIntyre, Dustin L [Morgantown, WV

    2008-09-02

    A laser spark distribution and ignition system that reduces the high power optical requirements for use in a laser ignition and distribution system allowing for the use of optical fibers for delivering the low peak energy pumping pulses to a laser amplifier or laser oscillator. An optical distributor distributes and delivers optical pumping energy from an optical pumping source to multiple combustion chambers incorporating laser oscillators or laser amplifiers for inducing a laser spark within a combustion chamber. The optical distributor preferably includes a single rotating mirror or lens which deflects the optical pumping energy from the axis of rotation and into a plurality of distinct optical fibers each connected to a respective laser media or amplifier coupled to an associated combustion chamber. The laser spark generators preferably produce a high peak power laser spark, from a single low power pulse. The laser spark distribution and ignition system has application in natural gas fueled reciprocating engines, turbine combustors, explosives and laser induced breakdown spectroscopy diagnostic sensors.

  18. Combination photo and electron beam lithography with polymethyl methacrylate (PMMA) resist.

    PubMed

    Carbaugh, Daniel J; Pandya, Sneha G; Wright, Jason T; Kaya, Savas; Rahman, Faiz

    2017-11-10

    We describe techniques for performing photolithography and electron beam lithography in succession on the same resist-covered substrate. Larger openings are defined in the resist film through photolithography whereas smaller openings are defined through conventional electron beam lithography. The two processes are carried out one after the other and without an intermediate wet development step. At the conclusion of the two exposures, the resist film is developed once to reveal both large and small openings. Interestingly, these techniques are applicable to both positive and negative tone lithographies with both optical and electron beam exposure. Polymethyl methacrylate, by itself or mixed with a photocatalytic cross-linking agent, is used for this purpose. We demonstrate that such resists are sensitive to both ultraviolet and electron beam irradiation. All four possible combinations, consisting of optical and electron beam lithographies, carried out in positive and negative tone modes have been described. Demonstration grating structures have been shown and process conditions have been described for all four cases.

  19. EB and EUV lithography using inedible cellulose-based biomass resist material

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  20. Controlling bridging and pinching with pixel-based mask for inverse lithography

    NASA Astrophysics Data System (ADS)

    Kobelkov, Sergey; Tritchkov, Alexander; Han, JiWan

    2016-03-01

    Inverse Lithography Technology (ILT) has become a viable computational lithography candidate in recent years as it can produce mask output that results in process latitude and CD control in the fab that is hard to match with conventional OPC/SRAF insertion approaches. An approach to solving the inverse lithography problem as a nonlinear, constrained minimization problem over a domain mask pixels was suggested in the paper by Y. Granik "Fast pixel-based mask optimization for inverse lithography" in 2006. The present paper extends this method to satisfy bridging and pinching constraints imposed on print contours. Namely, there are suggested objective functions expressing penalty for constraints violations, and their minimization with gradient descent methods is considered. This approach has been tested with an ILT-based Local Printability Enhancement (LPTM) tool in an automated flow to eliminate hotspots that can be present on the full chip after conventional SRAF placement/OPC and has been applied in 14nm, 10nm node production, single and multiple-patterning flows.

  1. Finger-powered microfluidic systems using multilayer soft lithography and injection molding processes.

    PubMed

    Iwai, Kosuke; Shih, Kuan Cheng; Lin, Xiao; Brubaker, Thomas A; Sochol, Ryan D; Lin, Liwei

    2014-10-07

    Point-of-care (POC) and disposable biomedical applications demand low-power microfluidic systems with pumping components that provide controlled pressure sources. Unfortunately, external pumps have hindered the implementation of such microfluidic systems due to limitations associated with portability and power requirements. Here, we propose and demonstrate a 'finger-powered' integrated pumping system as a modular element to provide pressure head for a variety of advanced microfluidic applications, including finger-powered on-chip microdroplet generation. By utilizing a human finger for the actuation force, electrical power sources that are typically needed to generate pressure head were obviated. Passive fluidic diodes were designed and implemented to enable distinct fluids from multiple inlet ports to be pumped using a single actuation source. Both multilayer soft lithography and injection molding processes were investigated for device fabrication and performance. Experimental results revealed that the pressure head generated from a human finger could be tuned based on the geometric characteristics of the pumping system, with a maximum observed pressure of 7.6 ± 0.1 kPa. In addition to the delivery of multiple, distinct fluids into microfluidic channels, we also employed the finger-powered pumping system to achieve the rapid formation of both water-in-oil droplets (106.9 ± 4.3 μm in diameter) and oil-in-water droplets (75.3 ± 12.6 μm in diameter) as well as the encapsulation of endothelial cells in droplets without using any external or electrical controllers.

  2. On-Chip Laser-Power Delivery System for Dielectric Laser Accelerators

    NASA Astrophysics Data System (ADS)

    Hughes, Tyler W.; Tan, Si; Zhao, Zhexin; Sapra, Neil V.; Leedle, Kenneth J.; Deng, Huiyang; Miao, Yu; Black, Dylan S.; Solgaard, Olav; Harris, James S.; Vuckovic, Jelena; Byer, Robert L.; Fan, Shanhui; England, R. Joel; Lee, Yun Jo; Qi, Minghao

    2018-05-01

    We propose an on-chip optical-power delivery system for dielectric laser accelerators based on a fractal "tree-network" dielectric waveguide geometry. This system replaces experimentally demanding free-space manipulations of the driving laser beam with chip-integrated techniques based on precise nanofabrication, enabling access to orders-of-magnitude increases in the interaction length and total energy gain for these miniature accelerators. Based on computational modeling, in the relativistic regime, our laser delivery system is estimated to provide 21 keV of energy gain over an acceleration length of 192 μ m with a single laser input, corresponding to a 108-MV/m acceleration gradient. The system may achieve 1 MeV of energy gain over a distance of less than 1 cm by sequentially illuminating 49 identical structures. These findings are verified by detailed numerical simulation and modeling of the subcomponents, and we provide a discussion of the main constraints, challenges, and relevant parameters with regard to on-chip laser coupling for dielectric laser accelerators.

  3. Coherent Laser Radar System Theory.

    DTIC Science & Technology

    1987-11-05

    This program is aimed at developing a system theory for the emerging technology of multifunction coherent CO2 laser radars. It builds upon previous...work funded by U.S. Army Research Office contract DAAG29-80-K-0022. Keywords include: Laser radar theory, Radar system theory , and Laser speckle.

  4. Lithography-free nanofluidic concentrator based on droplets-on-demand system

    NASA Astrophysics Data System (ADS)

    Yu, Miao; Zhou, Hongbo; Yao, Shuhuai

    2013-11-01

    Biomarkers are usually low-abundance proteins in biofluids and below detection limit of conventional biosensors. Nanofluidic concentration devices allow efficient biomolecules trapping by utilizing ion concentration polarization near nanochannels. However, once the electric field is turned off, the electrokinetic concentration plug cannot maintain its concentration status and starts to diffuse. In order to maintain the high concentration and extract the concentrated sample for further analysis, a good approach is to encapsulate these plugs into water-in-oil droplets. Here we developed a nanofluidic concentrator based on droplet-on-demand generator to encapsulate concentrated sample in nL droplets. The lithography-free nanochannels were patterned by thermal cracking on the surface of PS Petri-dish. The resulting nanochannel arrays were 30 nm in depth. In combination with microchannels on PDMS, the micro-nano hybrid chip was developed. We used FITC solution to demonstrate that the chip significantly increased the sample concentration for more than 100 folds within 5 minutes. By tuning the pulsed pressure imposed by the solenoid valve connected to the concentration channel, the system can generate a desired volume of droplet with a target sample concentration at a prescribed time. This work was supported by the Research Grants Council of Hong Kong under General Research Fund (Grant No. 621110).

  5. Implementation of assist features in EUV lithography

    NASA Astrophysics Data System (ADS)

    Jiang, Fan; Burkhardt, Martin; Raghunathan, Ananthan; Torres, Andres; Gupta, Rachit; Word, James

    2015-03-01

    The introduction of EUV lithography will happen at a critical feature pitch which corresponds to a k1 factor of roughly 0.45. While this number seems not very aggressive compared to recent ArF lithography nodes, the number is sufficiently low that the introduction of assist features has to be considered. While the small NA makes the k1 factor larger, the depth of focus still needs to be scaled down with wavelength. However the exposure tool's focus control is not greatly improved over the ArF tools, so other solutions to improve the depth of focus, e.g. SRAFs, are needed. On the other hand, sub-resolution assist features (SRAFs) require very small mask dimensions, which make masks more costly to write and inspect. Another disadvantage of SRAFs is the fact that they may cause pattern-dependent best focus shift due to thick mask effects. Those effects can be predicted, but the shift of best focus and the associated tilt of Bossung curves make the process more difficult to control. We investigate the impact of SRAFs on printing in EUV lithography and evaluate advantages and disadvantages. By using image quality parameters such as best focus (BF), and depth of focus (DOF), respectively with and without SRAFs, we will answer the question if we can gain a net benefit for 1D and 2D patterns by adding SRAFs. SRAFs will only be introduced if any net improvement in process variation (PV) outweighs the additional expense of assist patterning on the mask. In this paper, we investigate the difference in printing behavior of symmetric and asymmetric SRAF placement and whether through slit effect needs to be considered in SRAF placement for EUV lithography.

  6. Interference lithography for optical devices and coatings

    NASA Astrophysics Data System (ADS)

    Juhl, Abigail Therese

    Interference lithography can create large-area, defect-free nanostructures with unique optical properties. In this thesis, interference lithography will be utilized to create photonic crystals for functional devices or coatings. For instance, typical lithographic processing techniques were used to create 1, 2 and 3 dimensional photonic crystals in SU8 photoresist. These structures were in-filled with birefringent liquid crystal to make active devices, and the orientation of the liquid crystal directors within the SU8 matrix was studied. Most of this thesis will be focused on utilizing polymerization induced phase separation as a single-step method for fabrication by interference lithography. For example, layered polymer/nanoparticle composites have been created through the one-step two-beam interference lithographic exposure of a dispersion of 25 and 50 nm silica particles within a photopolymerizable mixture at a wavelength of 532 nm. In the areas of constructive interference, the monomer begins to polymerize via a free-radical process and concurrently the nanoparticles move into the regions of destructive interference. The holographic exposure of the particles within the monomer resin offers a single-step method to anisotropically structure the nanoconstituents within a composite. A one-step holographic exposure was also used to fabricate self-healing coatings that use water from the environment to catalyze polymerization. Polymerization induced phase separation was used to sequester an isocyanate monomer within an acrylate matrix. Due to the periodic modulation of the index of refraction between the monomer and polymer, the coating can reflect a desired wavelength, allowing for tunable coloration. When the coating is scratched, polymerization of the liquid isocyanate is catalyzed by moisture in air; if the indices of the two polymers are matched, the coatings turn transparent after healing. Interference lithography offers a method of creating multifunctional self

  7. Driving imaging and overlay performance to the limits with advanced lithography optimization

    NASA Astrophysics Data System (ADS)

    Mulkens, Jan; Finders, Jo; van der Laan, Hans; Hinnen, Paul; Kubis, Michael; Beems, Marcel

    2012-03-01

    Immersion lithography is being extended to 22-nm and even below. Next to generic scanner system improvements, application specific solutions are needed to follow the requirements for CD control and overlay. Starting from the performance budgets, this paper discusses how to improve (in volume manufacturing environment) CDU towards 1-nm and overlay towards 3-nm. The improvements are based on deploying the actuator capabilities of the immersion scanner. The latest generation immersion scanners have extended the correction capabilities for overlay and imaging, offering freeform adjustments of lens, illuminator and wafer grid. In order to determine the needed adjustments the recipe generation per user application is based on a combination wafer metrology data and computational lithography methods. For overlay, focus and CD metrology we use an angle resolved optical scatterometer.

  8. CO laser angioplasty system: efficacy of manipulatable laser angioscope catheter

    NASA Astrophysics Data System (ADS)

    Arai, Tsunenori; Kikuchi, Makoto; Mizuno, Kyoichi; Sakurada, Masami; Miyamoto, Akira; Arakawa, Koh; Kurita, Akira; Nakamura, Haruo; Takeuchi, Kiyoshi; Utsumi, Atsushi; Akai, Yoshiro

    1992-08-01

    A percutaneous transluminal coronary angioplasty system using a unique combination of CO laser (5 micrometers ) and As-S infrared glass fiber under the guidance of a manipulatable laser angioscope catheter is described. The ablation and guidance functions of this system are evaluated. The angioplasty treatment procedure under angioscope guidance was studied by in vitro model experiment and in vivo animal experiment. The whole angioplasty system is newly developed. That is, a transportable compact medical CO laser device which can emit up to 10 W, a 5 F manipulatable laser angioscope catheter, a thin CO laser cable of which the diameter is 0.6 mm, an angioscope imaging system for laser ablation guidance, and a system controller were developed. Anesthetized adult mongrel dogs (n equals 5) with an artificial complete occlusion in the femoral artery and an artificial human vessel model including occluded or stenotic coronary artery were used. The manipulatability of the catheter was drastically improved (both rotation and bending), therefore, precise control of ablation to expand stenosis was obtained. A 90% artificial stenosis made of human yellow plaque in 4.0 mm diameter in the vessel was expanded to 70% stenosis by repetitive CO laser ablations of which total energy was 220 J. All procedures were performed and controlled under angioscope visualization.

  9. Surface phenomena related to mirror degradation in extreme ultraviolet (EUV) lithography

    NASA Astrophysics Data System (ADS)

    Madey, Theodore E.; Faradzhev, Nadir S.; Yakshinskiy, Boris V.; Edwards, N. V.

    2006-12-01

    One of the most promising methods for next generation device manufacturing is extreme ultraviolet (EUV) lithography, which uses 13.5 nm wavelength radiation generated from freestanding plasma-based sources. The short wavelength of the incident illumination allows for a considerable decrease in printed feature size, but also creates a range of technological challenges not present for traditional optical lithography. Contamination and oxidation form on multilayer reflecting optics surfaces that not only reduce system throughput because of the associated reduction in EUV reflectivity, but also introduce wavefront aberrations that compromise the ability to print uniform features. Capping layers of ruthenium, films ∼2 nm thick, are found to extend the lifetime of Mo/Si multilayer mirrors used in EUV lithography applications. However, reflectivities of even the Ru-coated mirrors degrade in time during exposure to EUV radiation. Ruthenium surfaces are chemically reactive and are very effective as heterogeneous catalysts. In the present paper we summarize the thermal and radiation-induced surface chemistry of bare Ru exposed to gases; the emphasis is on H2O vapor, a dominant background gas in vacuum processing chambers. Our goal is to provide insights into the fundamental physical processes that affect the reflectivity of Ru-coated Mo/Si multilayer mirrors exposed to EUV radiation. Our ultimate goal is to identify and recommend practices or antidotes that may extend mirror lifetimes.

  10. Hylemetry versus Biometry: a new method to certificate the lithography authenticity

    NASA Astrophysics Data System (ADS)

    Schirripa Spagnolo, Giuseppe; Cozzella, Lorenzo; Simonetti, Carla

    2011-06-01

    When we buy an artwork object a certificate of authenticity contain specific details about the artwork. Unfortunately, these certificates are often exchanged between similar artworks: the same document is supplied by the seller to certificate the originality. In this way the buyer will have a copy of an original certificate to attest that the "not original artwork" is an original one. A solution for this problem would be to insert a system that links together the certificate and a specific artwork. To do this it is necessary, for a single artwork, to find unique, unrepeatable, and unchangeable characteristics. In this paper we propose a new lithography certification based on the color spots distribution, which compose the lithography itself. Due to the high resolution acquisition media available today, it is possible using analysis method typical of speckle metrology. In particular, in verification phase it is only necessary acquiring the same portion of lithography, extracting the verification information, using the private key to obtain the same information from the certificate and confronting the two information using a comparison threshold. Due to the possible rotation and translation it is applied image correlation solutions, used in speckle metrology, to determine translation and rotation error and correct allow to verifying extracted and acquired images in the best situation, for granting correct originality verification.

  11. High throughput optical lithography by scanning a massive array of bowtie aperture antennas at near-field

    PubMed Central

    Wen, X.; Datta, A.; Traverso, L. M.; Pan, L.; Xu, X.; Moon, E. E.

    2015-01-01

    Optical lithography, the enabling process for defining features, has been widely used in semiconductor industry and many other nanotechnology applications. Advances of nanotechnology require developments of high-throughput optical lithography capabilities to overcome the optical diffraction limit and meet the ever-decreasing device dimensions. We report our recent experimental advancements to scale up diffraction unlimited optical lithography in a massive scale using the near field nanolithography capabilities of bowtie apertures. A record number of near-field optical elements, an array of 1,024 bowtie antenna apertures, are simultaneously employed to generate a large number of patterns by carefully controlling their working distances over the entire array using an optical gap metrology system. Our experimental results reiterated the ability of using massively-parallel near-field devices to achieve high-throughput optical nanolithography, which can be promising for many important nanotechnology applications such as computation, data storage, communication, and energy. PMID:26525906

  12. Optimizing laser produced plasmas for efficient extreme ultraviolet and soft X-ray light sources

    NASA Astrophysics Data System (ADS)

    Sizyuk, Tatyana; Hassanein, Ahmed

    2014-08-01

    Photon sources produced by laser beams with moderate laser intensities, up to 1014 W/cm2, are being developed for many industrial applications. The performance requirements for high volume manufacture devices necessitate extensive experimental research supported by theoretical plasma analysis and modeling predictions. We simulated laser produced plasma sources currently being developed for several applications such as extreme ultraviolet lithography using 13.5% ± 1% nm bandwidth, possibly beyond extreme ultraviolet lithography using 6.× nm wavelengths, and water-window microscopy utilizing 2.48 nm (La-α) and 2.88 nm (He-α) emission. We comprehensively modeled plasma evolution from solid/liquid tin, gadolinium, and nitrogen targets as three promising materials for the above described sources, respectively. Results of our analysis for plasma characteristics during the entire course of plasma evolution showed the dependence of source conversion efficiency (CE), i.e., laser energy to photons at the desired wavelength, on plasma electron density gradient. Our results showed that utilizing laser intensities which produce hotter plasma than the optimum emission temperatures allows increasing CE for all considered sources that, however, restricted by the reabsorption processes around the main emission region and this restriction is especially actual for the 6.× nm sources.

  13. X-ray laser system, x-ray laser and method

    DOEpatents

    London, Richard A.; Rosen, Mordecai D.; Strauss, Moshe

    1992-01-01

    Disclosed is an x-ray laser system comprising a laser containing generating means for emitting short wave length radiation, and means external to said laser for energizing said generating means, wherein when the laser is in an operative mode emitting radiation, the radiation has a transverse coherence length to width ratio of from about 0.05 to 1. Also disclosed is a method of adjusting the parameters of the laser to achieve the desired coherence length to laser width ratio.

  14. Laser system using regenerative amplifier

    DOEpatents

    Emmett, John L. [Pleasanton, CA

    1980-03-04

    High energy laser system using a regenerative amplifier, which relaxes all constraints on laser components other than the intrinsic damage level of matter, so as to enable use of available laser system components. This can be accomplished by use of segmented components, spatial filters, at least one amplifier using solid state or gaseous media, and separated reflector members providing a long round trip time through the regenerative cavity, thereby allowing slower switching and adequate time to clear the spatial filters, etc. The laser system simplifies component requirements and reduces component cost while providing high energy output.

  15. Laser system using regenerative amplifier

    DOEpatents

    Emmett, J.L.

    1980-03-04

    High energy laser system is disclosed using a regenerative amplifier, which relaxes all constraints on laser components other than the intrinsic damage level of matter, so as to enable use of available laser system components. This can be accomplished by use of segmented components, spatial filters, at least one amplifier using solid state or gaseous media, and separated reflector members providing a long round trip time through the regenerative cavity, thereby allowing slower switching and adequate time to clear the spatial filters, etc. The laser system simplifies component requirements and reduces component cost while providing high energy output. 10 figs.

  16. Programmable lithography engine (ProLE) grid-type supercomputer and its applications

    NASA Astrophysics Data System (ADS)

    Petersen, John S.; Maslow, Mark J.; Gerold, David J.; Greenway, Robert T.

    2003-06-01

    There are many variables that can affect lithographic dependent device yield. Because of this, it is not enough to make optical proximity corrections (OPC) based on the mask type, wavelength, lens, illumination-type and coherence. Resist chemistry and physics along with substrate, exposure, and all post-exposure processing must be considered too. Only a holistic approach to finding imaging solutions will accelerate yield and maximize performance. Since experiments are too costly in both time and money, accomplishing this takes massive amounts of accurate simulation capability. Our solution is to create a workbench that has a set of advanced user applications that utilize best-in-class simulator engines for solving litho-related DFM problems using distributive computing. Our product, ProLE (Programmable Lithography Engine), is an integrated system that combines Petersen Advanced Lithography Inc."s (PAL"s) proprietary applications and cluster management software wrapped around commercial software engines, along with optional commercial hardware and software. It uses the most rigorous lithography simulation engines to solve deep sub-wavelength imaging problems accurately and at speeds that are several orders of magnitude faster than current methods. Specifically, ProLE uses full vector thin-mask aerial image models or when needed, full across source 3D electromagnetic field simulation to make accurate aerial image predictions along with calibrated resist models;. The ProLE workstation from Petersen Advanced Lithography, Inc., is the first commercial product that makes it possible to do these intensive calculations at a fraction of a time previously available thus significantly reducing time to market for advance technology devices. In this work, ProLE is introduced, through model comparison to show why vector imaging and rigorous resist models work better than other less rigorous models, then some applications of that use our distributive computing solution are shown

  17. Fabrication of Three-Dimensional Imprint Lithography Templates by Colloidal Dispersions

    DTIC Science & Technology

    2011-03-06

    Dispersions A. Marcia Almanza-Workman, Taussig P. Carl, Albert H. Jeans, Robert L. Cobene HP Laboratories HPL-2011-32 Flexible displays, Self aligned...imprint lithography, stamps, fluorothermoplastics, latex Self -aligned imprint lithography (SAIL) enables patterning and alignment of submicron-sized...features on flexible substrates in the roll-to roll (R2R) environment. Soft molds made of elastomers have been used as stamps to pattern three

  18. Nanostructures Enabled by On-Wire Lithography (OWL)

    PubMed Central

    Braunschweig, Adam B.; Schmucker, Abrin L.; Wei, Wei David; Mirkin, Chad A.

    2010-01-01

    Nanostructures fabricated by a novel technique, termed On-Wire-Lithography (OWL), can be combined with organic and biological molecules to create systems with emergent and highly functional properties. OWL is a template-based, electrochemical process for forming gapped cylindrical structures on a solid support, with feature sizes (both gap and segment length) that can be controlled on the sub-100 nm length scale. Structures prepared by this method have provided valuable insight into the plasmonic properties of noble metal nanomaterials and have formed the basis for novel molecular electronic, encoding, and biological detection devices. PMID:20396668

  19. Fabrication and Characterization of Three Dimensional Photonic Crystals Generated by Multibeam Interference Lithography

    ERIC Educational Resources Information Center

    Chen, Ying-Chieh

    2009-01-01

    Multibeam interference lithography is investigated as a manufacturing technique for three-dimensional photonic crystal templates. In this research, optimization of the optical setup and the photoresist initiation system leads to a significant improvement of the optical quality of the crystal, as characterized by normal incidence optical…

  20. Geoscience laser altimeter system-stellar reference system

    NASA Astrophysics Data System (ADS)

    Millar, Pamela S.; Sirota, J. Marcos

    1998-01-01

    GLAS is an EOS space-based laser altimeter being developed to profile the height of the Earth's ice sheets with ~15 cm single shot accuracy from space under NASA's Mission to Planet Earth (MTPE). The primary science goal of GLAS is to determine if the ice sheets are increasing or diminishing for climate change modeling. This is achieved by measuring the ice sheet heights over Greenland and Antarctica to 1.5 cm/yr over 100 km×100 km areas by crossover analysis (Zwally 1994). This measurement performance requires the instrument to determine the pointing of the laser beam to ~5 urad (1 arcsecond), 1-sigma, with respect to the inertial reference frame. The GLAS design incorporates a stellar reference system (SRS) to relate the laser beam pointing angle to the star field with this accuracy. This is the first time a spaceborne laser altimeter is measuring pointing to such high accuracy. The design for the stellar reference system combines an attitude determination system (ADS) with a laser reference system (LRS) to meet this requirement. The SRS approach and expected performance are described in this paper.

  1. Plastic masters-rigid templates for soft lithography.

    PubMed

    Desai, Salil P; Freeman, Dennis M; Voldman, Joel

    2009-06-07

    We demonstrate a simple process for the fabrication of rigid plastic master molds for soft lithography directly from (poly)dimethysiloxane devices. Plastics masters (PMs) provide a cost-effective alternative to silicon-based masters and can be easily replicated without the need for cleanroom facilities. We have successfully demonstrated the use of plastics micromolding to generate both single and dual-layer plastic structures, and have characterized the fidelity of the molding process. Using the PM fabrication technique, world-to-chip connections can be integrated directly into the master enabling devices with robust, well-aligned fluidic ports directly after molding. PMs provide an easy technique for the fabrication of microfluidic devices and a simple route for the scaling-up of fabrication of robust masters for soft lithography.

  2. 16 nm-resolution lithography using ultra-small-gap bowtie apertures

    NASA Astrophysics Data System (ADS)

    Chen, Yang; Qin, Jin; Chen, Jianfeng; Zhang, Liang; Ma, Chengfu; Chu, Jiaru; Xu, Xianfan; Wang, Liang

    2017-02-01

    Photolithography has long been a critical technology for nanoscale manufacturing, especially in the semiconductor industry. However, the diffractive nature of light has limited the continuous advance of optical lithography resolution. To overcome this obstacle, near-field scanning optical lithography (NSOL) is an alternative low-cost technique, whose resolution is determined by the near-field localization that can be achieved. Here, we apply the newly-developed backside milling method to fabricate bowtie apertures with a sub-15 nm gap, which can substantially improve the resolution of NSOL. A highly confined electric near field is produced by localized surface plasmon excitation and nanofocusing of the closely-tapered gap. We show contact lithography results with a record 16 nm resolution (FWHM). This photolithography scheme promises potential applications in data storage, high-speed computation, energy harvesting, and other nanotechnology areas.

  3. Laser Safety and Hazardous Analysis for the ARES (Big Sky) Laser System

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    AUGUSTONI, ARNOLD L.

    A laser safety and hazard analysis was performed for the ARES laser system based on the 2000 version of the American National Standards Institute's (ANSI) Standard Z136.1,for Safe Use of Lasers and the 2000 version of the ANSI Standard Z136.6, for Safe Use of Lasers Outdoors. The ARES laser system is a Van/Truck based mobile platform, which is used to perform laser interaction experiments and tests at various national test sites.

  4. Imprint lithography: lab curiosity or the real NGL

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Dauksher, William J.; Mancini, David P.; Nordquist, Kevin J.; Bailey, Todd C.; Johnson, Stephen C.; Stacey, Nicholas A.; Ekerdt, John G.; Willson, C. Grant; Sreenivasan, S. V.; Schumaker, Norman E.

    2003-06-01

    The escalating cost for Next Generation Lithography (NGL) tools is driven in part by the need for complex sources and optics. The cost for a single NGL tool could exceed $50M in the next few years, a prohibitive number for many companies. As a result, several researchers are looking at low cost alternative methods for printing sub-100 nm features. In the mid-1990s, several resarech groups started investigating different methods for imprinting small features. Many of these methods, although very effective at printing small features across an entire wafer, are limited in their ability to do precise overlay. In 1999, Willson and Sreenivasan discovered that imprinting could be done at low pressures and at room temperatures by using low viscosity UV curable monomers. The technology is typically referred to as Step and Flash Imprint Lithography. The use of a quartz template enabled the photocuring process to occur and also opened up the potential for optical alignment of teh wafer and template. This paper traces the development of nanoimprint lithography and addresses the issues that must be solved if this type of technology is to be applied to high-density silicon integrated circuitry.

  5. VUV lithography

    DOEpatents

    George, Edward V.; Oster, Yale; Mundinger, David C.

    1990-01-01

    Deep UV projection lithography can be performed using an e-beam pumped solid excimer UV source, a mask, and a UV reduction camera. The UV source produces deep UV radiation in the range 1700-1300A using xenon, krypton or argon; shorter wavelengths of 850-650A can be obtained using neon or helium. A thin solid layer of the gas is formed on a cryogenically cooled plate and bombarded with an e-beam to cause fluorescence. The UV reduction camera utilizes multilayer mirrors having high reflectivity at the UV wavelength and images the mask onto a resist coated substrate at a preselected demagnification. The mask can be formed integrally with the source as an emitting mask.

  6. Laser engineering of microbial systems

    NASA Astrophysics Data System (ADS)

    Yusupov, V. I.; Gorlenko, M. V.; Cheptsov, V. S.; Minaev, N. V.; Churbanova, E. S.; Zhigarkov, V. S.; Chutko, E. A.; Evlashin, S. A.; Chichkov, B. N.; Bagratashvili, V. N.

    2018-06-01

    A technology of laser engineering of microbial systems (LEMS) based on the method of laser-induced transfer of heterogeneous mixtures containing microorganisms (laser bioprinting) is described. This technology involves laser printing of soil microparticles by focusing near-infrared laser pulses on a specially prepared gel/soil mixture spread onto a gold-coated glass plate. The optimal range of laser energies from the point of view of the formation of stable jets and droplets with minimal negative impact on living systems of giant accelerations, laser pulse irradiation, and Au nanoparticles was found. Microsamples of soil were printed on glucose-peptone-yeast agar plates to estimate the LEMS process influence on structural and morphological microbial diversity. The obtained results were compared with traditionally treated soil samples. It was shown that LEMS technology allows significantly increasing the biodiversity of printed organisms and is effective for isolating rare or unculturable microorganisms.

  7. Swept Frequency Laser Metrology System

    NASA Technical Reports Server (NTRS)

    Zhao, Feng (Inventor)

    2010-01-01

    A swept frequency laser ranging system having sub-micron accuracy that employs multiple common-path heterodyne interferometers, one coupled to a calibrated delay-line for use as an absolute reference for the ranging system. An exemplary embodiment uses two laser heterodyne interferometers to create two laser beams at two different frequencies to measure distance and motions of target(s). Heterodyne fringes generated from reflections off a reference fiducial X(sub R) and measurement (or target) fiducial X(sub M) are reflected back and are then detected by photodiodes. The measured phase changes Delta phi(sub R) and Delta phi (sub m) resulting from the laser frequency swept gives target position. The reference delay-line is the only absolute reference needed in the metrology system and this provides an ultra-stable reference and simple/economical system.

  8. Demonstration of Laser Plasma X-Ray Source with X-Ray Collimator Final Report CRADA No. TC-1564-99

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lane, S. M.; Forber, R. A.

    2017-09-28

    This collaborative effort between the University of California, Lawrence Livermore National Laboratory (LLNL) and JMAR Research, Inc. (JRI), was to demonstrate that LLNL x-ray collimators can effectively increase the wafer throughput of JRI's laser based x-ray lithography systems. The technical objectives were expected to be achieved by completion of the following tasks, which are separated into two task lists by funding source. The organization (LLNL or JMAR) having primary responsibility is given parenthetically for each task.

  9. Photoinhibition superresolution lithography

    NASA Astrophysics Data System (ADS)

    Forman, Darren Lawrence

    While the prospect of nanoscale manufacturing has generated tremendous excitement, arbitrary patterning at nanometer length scales cannot be brought about with current photolithography---the technology that for decades has driven electronics miniaturization and enabled mass production of digital logic, memory, MEMS and flat-panel displays. This is due to the relatively long wavelength of light and diffraction, which imposes a physical not technological limit on the resolution of a far-field optical pattern. Photoinhibited superresolution (PInSR) lithography is a new scheme designed to beat the diffraction limit through two-color confinement of photopolymerization and, via efficient single-photon absorption kinetics, also be high-throughput capable. This thesis describes development of an integrated optical and materials system for investigating spatiotemporal dynamics of photoinhibited superresolution lithography, with a demonstrated 3x superresolution beyond the diffraction limit. The two-color response, arising from orthogonal photogeneration of species that participate in competing reactions, is shown to be highly complex. This is both a direct and indirect consequence of mobility. Interesting trade-offs arise: thin-film resins (necessitated by single-photon absorption kinetics) require high viscosity for film stability, but the photoinhibition effect is suppressed in viscous resins. Despite this apparent suppression, which can be overcome with high excitation of the photoinhibition system, the low mobility afforded by viscous materials is beneficial for confinement of active species. Diffusion-induced blurring of patterned photoinhibition is problematic in a resin with viscosity = 1,000 cP, and overcome in a resin with viscosity eta = 500,000 cP. Superresolution of factor 3x beyond the diffraction limit is demonstrated at 0.2 NA, with additional results indicating superresolution ability at 1.2 NA. Investigating the effect of diminished photoinhibition efficacy

  10. Heterodyne laser instantaneous frequency measurement system

    DOEpatents

    Wyeth, Richard W.; Johnson, Michael A.; Globig, Michael A.

    1989-01-01

    A heterodyne laser instantaneous frequency measurement system is disclosed. The system utilizes heterodyning of a pulsed laser beam with a continuous wave laser beam to form a beat signal. The beat signal is processed by a controller or computer which determines both the average frequency of the laser pulse and any changes or chirp of th frequency during the pulse.

  11. Diffraction spectral filter for use in extreme-UV lithography condenser

    DOEpatents

    Sweatt, William C.; Tichenor, Daniel A.; Bernardez, Luis J.

    2002-01-01

    A condenser system for generating a beam of radiation includes a source of radiation light that generates a continuous spectrum of radiation light; a condenser comprising one or more first optical elements for collecting radiation from the source of radiation light and for generating a beam of radiation; and a diffractive spectral filter for separating first radiation light having a particular wavelength from the continuous spectrum of radiation light. Cooling devices can be employed to remove heat generated. The condenser system can be used with a ringfield camera in projection lithography.

  12. Extreme-UV lithography condenser

    DOEpatents

    Sweatt, William C.; Sweeney, Donald W.; Shafer, David; McGuire, James

    2001-01-01

    Condenser system for use with a ringfield camera in projection lithography where the condenser includes a series of segments of a parent aspheric mirror having one foci at a quasi-point source of radiation and the other foci at the radius of a ringfield have all but one or all of their beams translated and rotated by sets of mirrors such that all of the beams pass through the real entrance pupil of a ringfield camera about one of the beams and fall onto the ringfield radius as a coincident image as an arc of the ringfield. The condenser has a set of correcting mirrors with one of the correcting mirrors of each set, or a mirror that is common to said sets of mirrors, from which the radiation emanates, is a concave mirror that is positioned to shape a beam segment having a chord angle of about 25 to 85 degrees into a second beam segment having a chord angle of about 0 to 60 degrees.

  13. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    DOEpatents

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  14. Injection mode-locking Ti-sapphire laser system

    DOEpatents

    Hovater, James Curtis; Poelker, Bernard Matthew

    2002-01-01

    According to the present invention there is provided an injection modelocking Ti-sapphire laser system that produces a unidirectional laser oscillation through the application of a ring cavity laser that incorporates no intracavity devices to achieve unidirectional oscillation. An argon-ion or doubled Nd:YVO.sub.4 laser preferably serves as the pump laser and a gain-switched diode laser serves as the seed laser. A method for operating such a laser system to produce a unidirectional oscillating is also described.

  15. Implementation and benefits of advanced process control for lithography CD and overlay

    NASA Astrophysics Data System (ADS)

    Zavyalova, Lena; Fu, Chong-Cheng; Seligman, Gary S.; Tapp, Perry A.; Pol, Victor

    2003-05-01

    Due to the rapidly reduced imaging process windows and increasingly stingent device overlay requirements, sub-130 nm lithography processes are more severely impacted than ever by systamic fault. Limits on critical dimensions (CD) and overlay capability further challenge the operational effectiveness of a mix-and-match environment using multiple lithography tools, as such mode additionally consumes the available error budgets. Therefore, a focus on advanced process control (APC) methodologies is key to gaining control in the lithographic modules for critical device levels, which in turn translates to accelerated yield learning, achieving time-to-market lead, and ultimately a higher return on investment. This paper describes the implementation and unique challenges of a closed-loop CD and overlay control solution in high voume manufacturing of leading edge devices. A particular emphasis has been placed on developing a flexible APC application capable of managing a wide range of control aspects such as process and tool drifts, single and multiple lot excursions, referential overlay control, 'special lot' handling, advanced model hierarchy, and automatic model seeding. Specific integration cases, including the multiple-reticle complementary phase shift lithography process, are discussed. A continuous improvement in the overlay and CD Cpk performance as well as the rework rate has been observed through the implementation of this system, and the results are studied.

  16. Task five report: Laser communications for data acquisition networks. [characteristics of lasers and laser systems for optical communication applications

    NASA Technical Reports Server (NTRS)

    1973-01-01

    Laser communication technology and laser communication performance are reviewed. The subjects discussed are: (1) characteristics of laser communication systems, (2) laser technology problems, (3) means of overcoming laser technology problems, and (4) potential schedule for including laser communications into data acquisition networks. Various types of laser communication systems are described and their capabilities are defined.

  17. Laser safety research and modeling for high-energy laser systems

    NASA Astrophysics Data System (ADS)

    Smith, Peter A.; Montes de Oca, Cecilia I.; Kennedy, Paul K.; Keppler, Kenneth S.

    2002-06-01

    The Department of Defense has an increasing number of high-energy laser weapons programs with the potential to mature in the not too distant future. However, as laser systems with increasingly higher energies are developed, the difficulty of the laser safety problem increases proportionally, and presents unique safety challenges. The hazard distance for the direct beam can be in the order of thousands of miles, and radiation reflected from the target may also be hazardous over long distances. This paper details the Air Force Research Laboratory/Optical Radiation Branch (AFRL/HEDO) High-Energy Laser (HEL) safety program, which has been developed to support DOD HEL programs by providing critical capability and knowledge with respect to laser safety. The overall aim of the program is to develop and demonstrate technologies that permit safe testing, deployment and use of high-energy laser weapons. The program spans the range of applicable technologies, including evaluation of the biological effects of high-energy laser systems, development and validation of laser hazard assessment tools, and development of appropriate eye protection for those at risk.

  18. Laser power conversion system analysis, volume 2

    NASA Technical Reports Server (NTRS)

    Jones, W. S.; Morgan, L. L.; Forsyth, J. B.; Skratt, J. P.

    1979-01-01

    The orbit-to-ground laser power conversion system analysis investigated the feasibility and cost effectiveness of converting solar energy into laser energy in space, and transmitting the laser energy to earth for conversion to electrical energy. The analysis included space laser systems with electrical outputs on the ground ranging from 100 to 10,000 MW. The space laser power system was shown to be feasible and a viable alternate to the microwave solar power satellite. The narrow laser beam provides many options and alternatives not attainable with a microwave beam.

  19. Investigation of pattern transfer to piezoelectric jetted polymer using roll-to-roll nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Menezes, Shannon John

    Nanoimprint Lithography (NIL) has existed since the mid 1990s as a proven concept of creating micro- and nanostructures using direct mechanical pattern transfer. Initially seen as a viable option to replace conventional lithography methods, the lack of technology to support large-scale manufacturing using NIL has motivated researchers to explore the application of NIL to create a better, more cost-efficient process with the ability to integrate NIL into a mass manufacturing system. One such method is the roll-to-roll process, similar to that used in printing presses of newspapers and plastics. This thesis is an investigation to characterize polymer deposition using a piezoelectric jetting head and attempt to create micro- and nanostructures on the polymer using R2RNIL technique.

  20. The study of laser beam riding guided system based on 980nm diode laser

    NASA Astrophysics Data System (ADS)

    Qu, Zhou; Xu, Haifeng; Sui, Xin; Yang, Kun

    2015-10-01

    With the development of science and technology, precision-strike weapons has been considered to be important for winning victory in military field. Laser guidance is a major method to execute precision-strike in modern warfare. At present, the problems of primary stage of Laser guidance has been solved with endeavors of countries. Several technical aspects of laser-beam riding guided system have been mature, such as atmosphere penetration of laser beam, clutter inhibition on ground, laser irradiator, encoding and decoding of laser beam. Further, laser beam quality, equal output power and atmospheric transmission properties are qualified for warfare situation. Riding guidance instrument is a crucial element of Laser-beam riding guided system, and is also a vital element of airborne, vehicle-mounted and individual weapon. The optical system mainly consist of sighting module and laser-beam guided module. Photoelectric detector is the most important sensing device of seeker, and also the key to acquire the coordinate information of target space. Currently, in consideration of the 1.06 u m of wavelength applied in all the semi-active laser guided weapons systems, lithium drifting silicon photodiode which is sensitive to 1.06 u m of wavelength is used in photoelectric detector. Compared to Solid and gas laser, diode laser has many merits such as small volume, simple construction, light weight, long life, low lost and easy modulation. This article introduced the composition and operating principle of Laser-beam riding guided system based on 980 nm diode laser, and made a analysis of key technology; for instance, laser irradiator, modulating disk of component, laser zooming system. Through the use of laser diode, Laser-beam riding guided system is likely to have smaller shape and very light.

  1. Precision laser aiming system

    DOEpatents

    Ahrens, Brandon R [Albuquerque, NM; Todd, Steven N [Rio Rancho, NM

    2009-04-28

    A precision laser aiming system comprises a disrupter tool, a reflector, and a laser fixture. The disrupter tool, the reflector and the laser fixture are configurable for iterative alignment and aiming toward an explosive device threat. The invention enables a disrupter to be quickly and accurately set up, aligned, and aimed in order to render safe or to disrupt a target from a standoff position.

  2. Inverse Tomo-Lithography for Making Microscopic 3D Parts

    NASA Technical Reports Server (NTRS)

    White, Victor; Wiberg, Dean

    2003-01-01

    According to a proposal, basic x-ray lithography would be extended to incorporate a technique, called inverse tomography, that would enable the fabrication of microscopic three-dimensional (3D) objects. The proposed inverse tomo-lithographic process would make it possible to produce complex shaped, submillimeter-sized parts that would be difficult or impossible to make in any other way. Examples of such shapes or parts include tapered helices, paraboloids with axes of different lengths, and even Archimedean screws that could serve as rotors in microturbines. The proposed inverse tomo-lithographic process would be based partly on a prior microfabrication process known by the German acronym LIGA (lithographie, galvanoformung, abformung, which means lithography, electroforming, molding). In LIGA, one generates a precise, high-aspect ratio pattern by exposing a thick, x-ray-sensitive resist material to an x-ray beam through a mask that contains the pattern. One can electrodeposit metal into the developed resist pattern to form a precise metal part, then dissolve the resist to free the metal. Aspect ratios of 100:1 and patterns into resist thicknesses of several millimeters are possible.

  3. Advanced coatings for next generation lithography

    NASA Astrophysics Data System (ADS)

    Naujok, P.; Yulin, S.; Kaiser, N.; Tünnermann, A.

    2015-03-01

    Beyond EUV lithography at 6.X nm wavelength has a potential to extend EUVL beyond the 11 nm node. To implement B-based mirrors and to enable their industrial application in lithography tools, a reflectivity level of > 70% has to be reached in near future. The authors will prove that transition from conventional La/B4C to promising LaN/B4C multilayer coatings leads to enhanced optical properties. Currently a near normal-incidence reflectivity of 58.1% @ 6.65 nm is achieved by LaN/B4C multilayer mirrors. The introduction of ultrathin diffusion barriers into the multilayer design to reach the targeted reflectivity of 70% was also tested. The optimization of multilayer design and deposition process for interface-engineered La/C/B4C multilayer mirrors resulted in peak reflectivity of 56.8% at the wavelength of 6.66 nm. In addition, the thermal stability of several selected multilayers was investigated and will be discussed.

  4. Laser Doppler velocimetry for continuous flow solar-pumped iodine laser system

    NASA Technical Reports Server (NTRS)

    Tabibi, Bagher M.; Lee, Ja H.

    1991-01-01

    A laser Doppler velocimetry (LDV) system was employed to measure the flow velocity profile of iodide vapor inside laser tubes of 36 mm ID and 20 mm ID. The LDV, which was operated in the forward scatter mode used a low power (15 mW) He-Ne laser beam. Velocity ranges from 1 m/s was measured to within one percent accuracy. The flow velocity profile across the laser tube was measured and the intensity of turbulence was determined. The flow of iodide inside the laser tube demonstrated a mixture of both turbulence and laminar flow. The flowmeter used for the laser system previously was calibrated with the LDV and found to be in good agreement.

  5. Development of Minimally Invasive Medical Tools Using Laser Processing on Cylindrical Substrates

    NASA Astrophysics Data System (ADS)

    Haga, Yoichi; Muyari, Yuta; Goto, Shoji; Matsunaga, Tadao; Esashi, Masayoshi

    This paper reports micro-fabrication techniques using laser processing on cylindrical substrates for the realization of high-performance multifunctional minimally invasive medical tools with small sizes. A spring-shaped shape memory alloy (SMA) micro-coil with a square cross section has been fabricated by spiral cutting of a Ti-Ni SMA tube with a femtosecond laser. Small diameter active bending catheter which is actuated by hydraulic suction mechanism for intravascular minimally invasive diagnostics and therapy has also been developed. The catheter is made of a Ti-Ni super elastic alloy (SEA) tube which is processed by laser micromachining and a silicone rubber tube which covers the outside of the SEA tube. The active catheter is effective for insertion in branch of blood vessel which diverse in acute angle which is difficult to proceed. Multilayer metallization and patterning have been performed on glass tubes with 2 and 3 mm external diameters using maskless lithography techniques using a laser exposure system. Using laser soldering technique, a integrated circuit parts have been mounted on a multilayer circuit patterned on a glass tube. These fabrication techniques will effective for realization of high-performance multifunctional catheters, endoscopic tools, and implanted small capsules.

  6. Classifying the Basic Parameters of Ultraviolet Copper Bromide Laser

    NASA Astrophysics Data System (ADS)

    Gocheva-Ilieva, S. G.; Iliev, I. P.; Temelkov, K. A.; Vuchkov, N. K.; Sabotinov, N. V.

    2009-10-01

    The performance of deep ultraviolet copper bromide lasers is of great importance because of their applications in medicine, microbiology, high-precision processing of new materials, high-resolution laser lithography in microelectronics, high-density optical recording of information, laser-induced fluorescence in plasma and wide-gap semiconductors and more. In this paper we present a statistical study on the classification of 12 basic lasing parameters, by using different agglomerative methods of cluster analysis. The results are based on a big amount of experimental data for UV Cu+ Ne-CuBr laser with wavelengths 248.6 nm, 252.9 nm, 260.0 nm and 270.3 nm, obtained in Georgi Nadjakov Institute of Solid State Physics, Bulgarian Academy of Sciences. The relevant influence of parameters on laser generation is also evaluated. The results are applicable in computer modeling and planning the experiments and further laser development with improved output characteristics.

  7. Satellite Power Systems (SPS) laser studies. Volume 1: Laser environmental impact study

    NASA Technical Reports Server (NTRS)

    Beverly, R. E., III

    1980-01-01

    The environmental impact of space to Earth power transmission using space borne laser subsystems is emphasized. A laser system is defined, estimates of relevant efficiencies for laser power generation and atmospheric transmission are developed, and a comparison is made to a microwave system. Ancillary issues, such as laser beam spreading, safety and security, mass and volume estimates and technology growth are considered.

  8. Rapid fabrication of microfluidic chips based on the simplest LED lithography

    NASA Astrophysics Data System (ADS)

    Li, Yue; Wu, Ping; Luo, Zhaofeng; Ren, Yuxuan; Liao, Meixiang; Feng, Lili; Li, Yuting; He, Liqun

    2015-05-01

    Microfluidic chips are generally fabricated by a soft lithography method employing commercial lithography equipment. These heavy machines require a critical room environment and high lamp power, and the cost remains too high for most normal laboratories. Here we present a novel microfluidics fabrication method utilizing a portable ultraviolet (UV) LED as an alternative UV source for photolithography. With this approach, we can repeat several common microchannels as do these conventional commercial exposure machines, and both the verticality of the channel sidewall and lithography resolution are proved to be acceptable. Further microfluidics applications such as mixing, blood typing and microdroplet generation are implemented to validate the practicability of the chips. This simple but innovative method decreases the cost and requirement of chip fabrication dramatically and may be more popular with ordinary laboratories.

  9. A novel design for maskless direct laser writing nanolithography: Combination of diffractive optical element and nonlinear absorption inorganic resists

    NASA Astrophysics Data System (ADS)

    Zha, Yikun; Wei, Jingsong; Gan, Fuxi

    2013-09-01

    Maskless laser direct writing lithography has been applied in the fabrication of optical elements and electric-optical devices. With the development of technology, the feature size of the elements and devices is required to reduce down to nanoscale. Increasing the numerical aperture of converging lens and shortening the laser wavelength are good methods to obtain the small spot and reduce the feature size to nanoscale, while this will cause the reduction of the depth of focus. The reduction of depth of focus will lead to some difficulties in the focusing and tracking servo controlling during the high speed laser direct writing lithography. In this work, the combination of the diffractive optical elements and the nonlinear absorption inorganic resist thin films cannot only extend the depth of focus, but also reduce the feature size of the lithographic marks down to nanoscale. By using the five-zone annular phase-only binary pupil filter as the diffractive optical elements and AgInSbTe as the nonlinear absorption inorganic resist thin film, the depth of focus cannot only extend to 7.39 times that of the focused spot, but also reduce the lithographic feature size down to 54.6 nm. The ill-effect of sidelobe on the lithography is also eliminated by the nonlinear reverse saturable absorption and the phase change threshold lithographic characteristics.

  10. Airborne Laser Systems Testing and Analysis (essals et analyse des systemes laser embarques)

    DTIC Science & Technology

    2010-04-01

    of Surface/ Paints Reflection Properties (PILASTER targets); • PILASTER Sensors Testing and Calibration; • LOAS Laser System Testing; and • Test...PILASTER targets candidate paints and materials), a Laser Scatter-meter (LSM) was built. To briefly summarise the fundamental concepts involved...Green Painted Target. 7.6.3 Laser Beam Misalignment with Respect to the Beam-Expander Support For measuring the beam misalignment, the beam expander

  11. VUV lithography

    DOEpatents

    George, E.V.; Oster, Y.; Mundinger, D.C.

    1990-12-25

    Deep UV projection lithography can be performed using an e-beam pumped solid excimer UV source, a mask, and a UV reduction camera. The UV source produces deep UV radiation in the range 1,700--1,300A using xenon, krypton or argon; shorter wavelengths of 850--650A can be obtained using neon or helium. A thin solid layer of the gas is formed on a cryogenically cooled plate and bombarded with an e-beam to cause fluorescence. The UV reduction camera utilizes multilayer mirrors having high reflectivity at the UV wavelength and images the mask onto a resist coated substrate at a preselected demagnification. The mask can be formed integrally with the source as an emitting mask. 6 figs.

  12. Fiber laser coupled optical spark delivery system

    DOEpatents

    Yalin, Azer [Fort Collins, CO; Willson, Bryan [Fort Collins, CO; Defoort, Morgan [Fort Collins, CO; Joshi, Sachin [Fort Collins, CO; Reynolds, Adam [Fort Collins, CO

    2008-03-04

    A spark delivery system for generating a spark using a laser beam is provided, and includes a laser light source and a laser delivery assembly. The laser delivery assembly includes a hollow fiber and a launch assembly comprising launch focusing optics to input the laser beam in the hollow fiber. The laser delivery assembly further includes exit focusing optics that demagnify an exit beam of laser light from the hollow fiber, thereby increasing the intensity of the laser beam and creating a spark. Other embodiments use a fiber laser to generate a spark. Embodiments of the present invention may be used to create a spark in an engine. Yet other embodiments include collecting light from the spark or a flame resulting from the spark and conveying the light for diagnostics. Methods of using the spark delivery systems and diagnostic systems are provided.

  13. Transition from nonresonant to resonant random lasers by the geometrical confinement of disorder.

    PubMed

    Ghofraniha, N; Viola, I; Zacheo, A; Arima, V; Gigli, G; Conti, C

    2013-12-01

    We report on a transition in random lasers that is induced by the geometrical confinement of the emitting material. Different dye doped paper devices with controlled geometry are fabricated by soft lithography and show two distinguished behaviors in the stimulated emission: in the absence of boundary constraints, the energy threshold decreases for larger laser volumes showing the typical trend of diffusive nonresonant random lasers, while when the same material is lithographed into channels, the walls act as cavity and the resonant behavior typical of standard lasers is observed. The experimental results are consistent with the general theories of random and standard lasers and a clear phase diagram of the transition is reported.

  14. Quantum lithography beyond the diffraction limit via Rabi-oscillations

    NASA Astrophysics Data System (ADS)

    Liao, Zeyang; Al-Amri, Mohammad; Zubairy, M. Suhail

    2011-03-01

    We propose a quantum optical method to do the sub-wavelength lithography. Our method is similar to the traditional lithography but adding a critical step before dissociating the chemical bound of the photoresist. The subwavelength pattern is achieved by inducing the multi-Rabi-oscillation between the two atomic levels. The proposed method does not require multiphoton absorption and the entanglement of photons. This method is expected to be realizable using current technology. This work is supported by a grant from the Qatar National Research Fund (QNRF) under the NPRP project and a grant from the King Abdulaziz City for Science and Technology (KACST).

  15. Effect of wafer geometry on lithography chucking processes

    NASA Astrophysics Data System (ADS)

    Turner, Kevin T.; Sinha, Jaydeep K.

    2015-03-01

    Wafer flatness during exposure in lithography tools is critical and is becoming more important as feature sizes in devices shrink. While chucks are used to support and flatten the wafer during exposure, it is essential that wafer geometry be controlled as well. Thickness variations of the wafer and high-frequency wafer shape components can lead to poor flatness of the chucked wafer and ultimately patterning problems, such as defocus errors. The objective of this work is to understand how process-induced wafer geometry, resulting from deposited films with non-uniform stress, can lead to high-frequency wafer shape variations that prevent complete chucking in lithography scanners. In this paper, we discuss both the acceptable limits of wafer shape that permit complete chucking to be achieved, and how non-uniform residual stresses in films, either due to patterning or process non-uniformity, can induce high spatial frequency wafer shape components that prevent chucking. This paper describes mechanics models that relate non-uniform film stress to wafer shape and presents results for two example cases. The models and results can be used as a basis for establishing control strategies for managing process-induced wafer geometry in order to avoid wafer flatness-induced errors in lithography processes.

  16. Development of inorganic resists for electron beam lithography: Novel materials and simulations

    NASA Astrophysics Data System (ADS)

    Jeyakumar, Augustin

    Electron beam lithography is gaining widespread utilization as the semiconductor industry progresses towards both advanced optical and non-optical lithographic technologies for high resolution patterning. The current resist technologies are based on organic systems that are imaged most commonly through chain scission, networking, or a chemically amplified polarity change in the material. Alternative resists based on inorganic systems were developed and characterized in this research for high resolution electron beam lithography and their interactions with incident electrons were investigated using Monte Carlo simulations. A novel inorganic resist imaging scheme was developed using metal-organic precursors which decompose to form metal oxides upon electron beam irradiation that can serve as inorganic hard masks for hybrid bilayer inorganic-organic imaging systems and also as directly patternable high resolution metal oxide structures. The electron beam imaging properties of these metal-organic materials were correlated to the precursor structure by studying effects such as interactions between high atomic number species and the incident electrons. Optimal single and multicomponent precursors were designed for utilization as viable inorganic resist materials for sub-50nm patterning in electron beam lithography. The electron beam imaging characteristics of the most widely used inorganic resist material, hydrogen silsesquioxane (HSQ), was also enhanced using a dual processing imaging approach with thermal curing as well as a sensitizer catalyzed imaging approach. The interaction between incident electrons and the high atomic number species contained in these inorganic resists was also studied using Monte Carlo simulations. The resolution attainable using inorganic systems as compared to organic systems can be greater for accelerating voltages greater than 50 keV due to minimized lateral scattering in the high density inorganic systems. The effects of loading

  17. Nd:YAG laser system for ophthalmic microsurgery

    NASA Astrophysics Data System (ADS)

    Savastru, Dan; Ristici, Esofina; Dragu, T.; Cotirlan, C.; Miclos, Sorin; Mustata, Marina

    2005-04-01

    The Nd:YAG solid state laser can be used in ophthalmologic microsurgery because of its specific wavelength of 1064 nm, which has the property to penetrate the transparent medium of the eye. We design a specific ophthalmic system, containing a Q-switch Nd:YAG laser, an optical stereomicroscope and an aiming system. This laser-stereomicroscope system is used for eye examination and for microsurgical proceedings like posterior capsulotomy and pupilar membranectomy. We had to design an optical scheme of the laser to settle the radiation route. In order to cover the medical domain of the energies, we calibrate eleven attenuation filters using ratiometric method. For a correct position of the place where the laser pulse strikes, we used an original system consisting of two red laser diodes mounted on each side of the binocular One of the advantages of this laser system is taht the output energies can be varied widely (0.8-15 mJ), making a great numbers of applications in clinical ophthalmology possible.

  18. EUV lithography using water-developable resist material derived from biomass

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Oshima, Akihiro; Oyama, Tomoko G.; Ichikawa, Takumi; Sekiguchi, Atsushi; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2013-03-01

    A water-developable resist material which had specific desired properties such as high sensitivity of 5.0 μC/cm2, thermal stability of 160 °C, suitable calculated linear absorption coefficients of 13.5 nm, and acceptable CF4 etch selectivity was proposed using EB lithography for EUV lithography. A water developable resist material derived from biomass is expected for non-petroleum resources, environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of trimethylphenylammonium hydroxide. 100 nm line and 400 nm space patterning images with exposure dose of 5.0 μC/cm2 were provided by specific process conditions of EB lithography. The developed trehalose derivatives with hydroxyl groups and EB sensitive groups in the water-developable resist material derived from biomass were applicable to future development of high-sensitive and resolution negative type of water-developable resist material as a novel chemical design.

  19. Fabrication of cobalt magnetic nanostructures using atomic force microscope lithography.

    PubMed

    Chu, Haena; Yun, Seonghun; Lee, Haiwon

    2013-12-01

    Cobalt nanopatterns are promising assemblies for patterned magnetic storage applications. The fabrication of cobalt magnetic nanostructures on n-tridecylamine x hydrochloride (TDA x HCl) self-assembled monolayer (SAM) modified silicon surfaces using direct writing atomic force microscope (AFM) lithography for localized electrochemical reduction of cobalt ions was demonstrated. The ions were reduced to form metal nanowires along the direction of the electricfield between the AFM tip and the substrate. In this lithography process, TDA x HCI SAMs play an important role in the lithography process for improving the resolution of cobalt nanopatterns by preventing nonspecific reduction of cobalt ions on the unwritten background. Cobalt nanowires and nanodots with width of 225 +/- 26 nm and diameter of 208 +/- 28 nm were successfully fabricated. Platinium-coated polydimethylsiloxane (PDMS) stamp was used fabricating bulk cobalt structures which can be detected by energy dispersive X-ray spectroscopy for element analysis and the physical and magnetic properties of these cobalt nanopatterns were characterized using AFM and magnetic force microscope.

  20. Compact Hybrid Laser Rod and Laser System

    NASA Technical Reports Server (NTRS)

    Pierrottet, Diego F. (Inventor); Busch, George E. (Inventor); Amzajerdian, Farzin (Inventor)

    2017-01-01

    A hybrid fiber rod includes a fiber core and inner and outer cladding layers. The core is doped with an active element. The inner cladding layer surrounds the core, and has a refractive index substantially equal to that of the core. The outer cladding layer surrounds the inner cladding layer, and has a refractive index less than that of the core and inner cladding layer. The core length is about 30 to 2000 times the core diameter. A hybrid fiber rod laser system includes an oscillator laser, modulating device, the rod, and pump laser diode(s) energizing the rod from opposite ends. The rod acts as a waveguide for pump radiation but allows for free-space propagation of laser radiation. The rod may be used in a laser resonator. The core length is less than about twice the Rayleigh range. Degradation from single-mode to multi-mode beam propagation is thus avoided.

  1. Direct nanopatterning of 100 nm metal oxide periodic structures by Deep-UV immersion lithography.

    PubMed

    Stehlin, Fabrice; Bourgin, Yannick; Spangenberg, Arnaud; Jourlin, Yves; Parriaux, Olivier; Reynaud, Stéphanie; Wieder, Fernand; Soppera, Olivier

    2012-11-15

    Deep-UV lithography using high-efficiency phase mask has been developed to print 100 nm period grating on sol-gel based thin layer. High efficiency phase mask has been designed to produce a high-contrast interferogram (periodic fringes) under water immersion conditions for 244 nm laser. The demonstration has been applied to a new developed immersion-compatible sol-gel layer. A sol-gel photoresist prepared from zirconium alkoxides caped with methacrylic acids was developed to achieve 50 nm resolution in a single step exposure. The nanostructures can be thermally annealed into ZrO(2). Such route considerably simplifies the process for elaborating nanopatterned surfaces of transition metal oxides, and opens new routes for integrating materials of interest for applications in the field of photocatalysis, photovoltaic, optics, photonics or microelectronics.

  2. Compensation for Lithography Induced Process Variations during Physical Design

    NASA Astrophysics Data System (ADS)

    Chin, Eric Yiow-Bing

    This dissertation addresses the challenge of designing robust integrated circuits in the deep sub micron regime in the presence of lithography process variability. By extending and combining existing process and circuit analysis techniques, flexible software frameworks are developed to provide detailed studies of circuit performance in the presence of lithography variations such as focus and exposure. Applications of these software frameworks to select circuits demonstrate the electrical impact of these variations and provide insight into variability aware compact models that capture the process dependent circuit behavior. These variability aware timing models abstract lithography variability from the process level to the circuit level and are used to estimate path level circuit performance with high accuracy with very little overhead in runtime. The Interconnect Variability Characterization (IVC) framework maps lithography induced geometrical variations at the interconnect level to electrical delay variations. This framework is applied to one dimensional repeater circuits patterned with both 90nm single patterning and 32nm double patterning technologies, under the presence of focus, exposure, and overlay variability. Studies indicate that single and double patterning layouts generally exhibit small variations in delay (between 1--3%) due to self compensating RC effects associated with dense layouts and overlay errors for layouts without self-compensating RC effects. The delay response of each double patterned interconnect structure is fit with a second order polynomial model with focus, exposure, and misalignment parameters with 12 coefficients and residuals of less than 0.1ps. The IVC framework is also applied to a repeater circuit with cascaded interconnect structures to emulate more complex layout scenarios, and it is observed that the variations on each segment average out to reduce the overall delay variation. The Standard Cell Variability Characterization

  3. Advancing semiconductor-electrocatalyst systems: application of surface transformation films and nanosphere lithography.

    PubMed

    Brinkert, Katharina; Richter, Matthias H; Akay, Ömer; Giersig, Michael; Fountaine, Katherine T; Lewerenz, Hans-Joachim

    2018-05-24

    Photoelectrochemical (PEC) cells offer the possibility of carbon-neutral solar fuel production through artificial photosynthesis. The pursued design involves technologically advanced III-V semiconductor absorbers coupled via an interfacial film to an electrocatalyst layer. These systems have been prepared by in situ surface transformations in electrochemical environments. High activity nanostructured electrocatalysts are required for an efficiently operating cell, optimized in their optical and electrical properties. We demonstrate that shadow nanosphere lithography (SNL) is an auspicious tool to systematically create three-dimensional electrocatalyst nanostructures on the semiconductor photoelectrode through controlling their morphology and optical properties. First results are demonstrated by means of the photoelectrochemical production of hydrogen on p-type InP photocathodes where hitherto applied photoelectrodeposition and SNL-deposited Rh electrocatalysts are compared based on their J-V and spectroscopic behavior. We show that smaller polystyrene particle masks achieve higher defect nanostructures of rhodium on the photoelectrode which leads to a higher catalytic activity and larger short circuit currents. Structural analyses including HRSEM and the analysis of the photoelectrode surface composition by using photoelectron spectroscopy support and complement the photoelectrochemical observations. The optical performance is further compared to theoretical models of the nanostructured photoelectrodes on light scattering and propagation.

  4. Dynamically variable spot size laser system

    NASA Technical Reports Server (NTRS)

    Gradl, Paul R. (Inventor); Hurst, John F. (Inventor); Middleton, James R. (Inventor)

    2012-01-01

    A Dynamically Variable Spot Size (DVSS) laser system for bonding metal components includes an elongated housing containing a light entry aperture coupled to a laser beam transmission cable and a light exit aperture. A plurality of lenses contained within the housing focus a laser beam from the light entry aperture through the light exit aperture. The lenses may be dynamically adjusted to vary the spot size of the laser. A plurality of interoperable safety devices, including a manually depressible interlock switch, an internal proximity sensor, a remotely operated potentiometer, a remotely activated toggle and a power supply interlock, prevent activation of the laser and DVSS laser system if each safety device does not provide a closed circuit. The remotely operated potentiometer also provides continuous variability in laser energy output.

  5. Simulation study of reticle enhancement technology applications for 157-nm lithography

    NASA Astrophysics Data System (ADS)

    Schurz, Dan L.; Flack, Warren W.; Karklin, Linard

    2002-03-01

    The acceleration of the International Technology Roadmap for Semiconductors (ITRS) is placing significant pressure on the industry's infrastructure, particularly the lithography equipment. As recently as 1997, there was no optical solution offered past the 130 nm design node. The current roadmap has the 65 nm node (reduced from 70 nm) pulled in one year to 2007. Both 248 nm and 193 nm wavelength lithography tools will be pushed to their practical resolution limits in the near term. Very high numerical aperture (NA) 193 nm exposure tools in conjunction with resolution enhancement techniques (RET) will postpone the requirement for 157 nm lithography in manufacturing. However, ICs produced at 70 nm design rules with manufacturable k 1 values will require that 157 nm wavelength lithography tools incorporate the same RETs utilized in 248nm, and 193 nm tools. These enhancements will include Alternating Phase Shifting Masks (AltPSM) and Optical Proximity Correction (OPC) on F 2 doped quartz reticle substrates. This study investigates simulation results when AltPSM is applied to sub-100 nm test patterns in 157 nm lithography in order to maintain Critical Dimension (CD) control for both nested and isolated geometries. Aerial image simulations are performed for a range of numerical apertures, chrome regulators, gate pitches and gate widths. The relative performance for phase shifted versus binary structures is also compared. Results are demonstrated in terms of aerial image contrast and process window changes. The results clearly show that a combination of high NA and RET is necessary to achieve usable process windows for 70 nm line/space structures. In addition, it is important to consider two-dimensional proximity effects for sub-100 nm gate structures.

  6. A review of nanoimprint lithography for high-volume semiconductor device manufacturing

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Choi, Jin

    2017-06-01

    Imprint lithography has been shown to be a promising technique for the replication of nanoscale features. Jet and flash imprint lithography (J-FIL) [jet and flash imprint lithography and J-FIL are trademarks of Molecular Imprints, Inc.] involves the field-by-field deposition and exposure of a low-viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid, which then quickly flows into the relief patterns in the mask by capillary action. After this filling step, the resist is cross-linked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Included on the list are overlay, throughput, and defectivity. The most demanding devices now require an overlay of better than 4 nm, 3σ. Throughput for an imprint tool is generally targeted at 80 wafers/h. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. The purpose of this paper is to report the status of throughput and defectivity work and to describe the progress made in addressing overlay for advanced devices. To address high-order corrections, a high-order distortion correction (HODC) system is introduced. The combination of applying magnification actuation to the mask and temperature correction to the wafer is described in detail. Examples are presented for the correction of K7, K11, and K17 distortions as well as distortions on actual device wafers.

  7. Fiber Based Seed Laser for CO 2 Ultrafast Laser Systems

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Yuchuan

    A compact and effective 10-micron femtosecond laser with pulse duration <500fs and repetition rate of >100Hz or smaller is desirable by DOE for seeding CO 2 ultrafast laser systems to improve the stability, reliability and efficiency in generating 10-micron laser from GW up to 100TW peak power, which is irreplaceable in driving an accelerator for particle beam generation due to the efficiency proportional to the square of the laser wavelength. Agiltron proposes a fiber based ultrafast 10-micron seed laser that can provide the required specifications and high performance. Its success will directly benefit DOE’s compact proton and ion sources. Themore » innovative technology can be used for ultrafast laser generation over the whole mid-IR range, and speed up the development of mid-IR laser applications. Agiltron, Inc. has successfully completed all tasks and demonstrated the feasibility of a fiber based 10-micron ultrafast laser in Phase I of the Program. We built a mode-locked fiber laser that generated < 400fs ultrafast laser pulses and successfully controlled the repetition rate to be the required 100Hz. Using this mode-locked laser, we demonstrated the feasibility of parametric femtosecond laser generation based on frequency down conversion. The experimental results agree with our simulation results. The investigation results of Phase I will be used to optimize the design of the laser system and build a fully functional prototype for delivery to the DOE in the Phase II program. The prototype development in Phase II program will be in the collaboration with Professor Chandrashekhar Joshi, the leader of UCLA Laser-Plasma group. Prof. Joshi discovered a new mechanism for generation of monoenergetic proton/ion beams: Shock Wave Acceleration in a near critical density plasma and demonstrated that high-energy proton beams using CO 2 laser driven collisionless shocks in a gas jet plasma, which opened an opportunity to develop a rather compact high-repetition rate

  8. Variable emissivity laser thermal control system

    DOEpatents

    Milner, J.R.

    1994-10-25

    A laser thermal control system for a metal vapor laser maintains the wall temperature of the laser at a desired level by changing the effective emissivity of the water cooling jacket. This capability increases the overall efficiency of the laser. 8 figs.

  9. Picosecond excimer laser-plasma x-ray source for microscopy, biochemistry, and lithography

    NASA Astrophysics Data System (ADS)

    Turcu, I. C. Edmond; Ross, Ian N.; Trenda, P.; Wharton, C. W.; Meldrum, R. A.; Daido, Hiroyuki; Schulz, M. S.; Fluck, P.; Michette, Alan G.; Juna, A. P.; Maldonado, Juan R.; Shields, Harry; Tallents, Gregory J.; Dwivedi, L.; Krishnan, J.; Stevens, D. L.; Jenner, T.; Batani, Dimitri; Goodson, H.

    1994-02-01

    At Rutherford Appleton Laboratory we developed a high repetition rate, picosecond, excimer laser system which generates a high temperature and density plasma source emitting approximately 200 mW (78 mW/sr) x ray average power at h(nu) approximately 1.2 KeV or 0.28 KeV < h(nu) < 0.53 KeV (the `water window'). At 3.37 nm wavelength the spectral brightness of the source is approximately 9 X 1011 photons/s/mm2/mrad2/0.1% bandwidth. The x-ray source serves a large user community for applications such as: scanning and holographic microscopy, the study of the biochemistry of DNA damage and repair, microlithography and spectroscopy.

  10. New self-assembly strategies for next generation lithography

    NASA Astrophysics Data System (ADS)

    Schwartz, Evan L.; Bosworth, Joan K.; Paik, Marvin Y.; Ober, Christopher K.

    2010-04-01

    Future demands of the semiconductor industry call for robust patterning strategies for critical dimensions below twenty nanometers. The self assembly of block copolymers stands out as a promising, potentially lower cost alternative to other technologies such as e-beam or nanoimprint lithography. One approach is to use block copolymers that can be lithographically patterned by incorporating a negative-tone photoresist as the majority (matrix) phase of the block copolymer, paired with photoacid generator and a crosslinker moiety. In this system, poly(α-methylstyrene-block-hydroxystyrene)(PαMS-b-PHOST), the block copolymer is spin-coated as a thin film, processed to a desired microdomain orientation with long-range order, and then photopatterned. Therefore, selfassembly of the block copolymer only occurs in select areas due to the crosslinking of the matrix phase, and the minority phase polymer can be removed to produce a nanoporous template. Using bulk TEM analysis, we demonstrate how the critical dimension of this block copolymer is shown to scale with polymer molecular weight using a simple power law relation. Enthalpic interactions such as hydrogen bonding are used to blend inorganic additives in order to enhance the etch resistance of the PHOST block. We demonstrate how lithographically patternable block copolymers might fit in to future processing strategies to produce etch-resistant self-assembled features at length scales impossible with conventional lithography.

  11. Personal medical information system using laser card

    NASA Astrophysics Data System (ADS)

    Cho, Seong H.; Kim, Keun Ho; Choi, Hyung-Sik; Park, Hyun Wook

    1996-04-01

    The well-known hospital information system (HIS) and the picture archiving and communication system (PACS) are typical applications of multimedia to medical area. This paper proposes a personal medical information save-and-carry system using a laser card. This laser card is very useful, especially in emergency situations, because the medical information in the laser card can be read at anytime and anywhere if there exists a laser card reader/writer. The contents of the laser card include the clinical histories of a patient such as clinical chart, exam result, diagnostic reports, images, and so on. The purpose of this system is not a primary diagnosis, but emergency reference of clinical history of the patient. This personal medical information system consists of a personal computer integrated with laser card reader/writer, color frame grabber, color CCD camera and a high resolution image scanner optionally. Window-based graphical user interface was designed for easy use. The laser card has relatively sufficient capacity to store the personal medical information, and has fast access speed to restore and load the data with a portable size as compact as a credit card. Database items of laser card provide the doctors with medical data such as laser card information, patient information, clinical information, and diagnostic result information.

  12. Cost-effective, transfer-free, flexible resistive random access memory using laser-scribed reduced graphene oxide patterning technology.

    PubMed

    Tian, He; Chen, Hong-Yu; Ren, Tian-Ling; Li, Cheng; Xue, Qing-Tang; Mohammad, Mohammad Ali; Wu, Can; Yang, Yi; Wong, H-S Philip

    2014-06-11

    Laser scribing is an attractive reduced graphene oxide (rGO) growth and patterning technology because the process is low-cost, time-efficient, transfer-free, and flexible. Various laser-scribed rGO (LSG) components such as capacitors, gas sensors, and strain sensors have been demonstrated. However, obstacles remain toward practical application of the technology where all the components of a system are fabricated using laser scribing. Memory components, if developed, will substantially broaden the application space of low-cost, flexible electronic systems. For the first time, a low-cost approach to fabricate resistive random access memory (ReRAM) using laser-scribed rGO as the bottom electrode is experimentally demonstrated. The one-step laser scribing technology allows transfer-free rGO synthesis directly on flexible substrates or non-flat substrates. Using this time-efficient laser-scribing technology, the patterning of a memory-array area up to 100 cm(2) can be completed in 25 min. Without requiring the photoresist coating for lithography, the surface of patterned rGO remains as clean as its pristine state. Ag/HfOx/LSG ReRAM using laser-scribing technology is fabricated in this work. Comprehensive electrical characteristics are presented including forming-free behavior, stable switching, reasonable reliability performance and potential for 2-bit storage per memory cell. The results suggest that laser-scribing technology can potentially produce more cost-effective and time-effective rGO-based circuits and systems for practical applications.

  13. Report on the fifth workshop on synchrotron x ray lithography

    NASA Astrophysics Data System (ADS)

    Williams, G. P.; Godel, J. B.; Brown, G. S.; Liebmann, W.

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel, and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990s. X ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin, and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the exposure tool, that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x ray lithography and set a time frame, the other to focus on sources.

  14. A combined electron beam/optical lithography process step for the fabrication of sub-half-micron-gate-length MMIC chips

    NASA Technical Reports Server (NTRS)

    Sewell, James S.; Bozada, Christopher A.

    1994-01-01

    Advanced radar and communication systems rely heavily on state-of-the-art microelectronics. Systems such as the phased-array radar require many transmit/receive (T/R) modules which are made up of many millimeter wave - microwave integrated circuits (MMIC's). The heart of a MMIC chip is the Gallium Arsenide (GaAs) field-effect transistor (FET). The transistor gate length is the critical feature that determines the operating frequency of the radar system. A smaller gate length will typically result in a higher frequency. In order to make a phased array radar system economically feasible, manufacturers must be capable of producing very large quantities of small-gate-length MMIC chips at a relatively low cost per chip. This requires the processing of a large number of wafers with a large number of chips per wafer, minimum processing time, and a very high chip yield. One of the bottlenecks in the fabrication of MIMIC chips is the transistor gate definition. The definition of sub-half-micron gates for GaAs-based field-effect transistors is generally performed by direct-write electron beam lithography (EBL). Because of the throughput limitations of EBL, the gate-layer fabrication is conventionally divided into two lithographic processes where EBL is used to generate the gate fingers and optical lithography is used to generate the large-area gate pads and interconnects. As a result, two complete sequences of resist application, exposure, development, metallization and lift-off are required for the entire gate structure. We have baselined a hybrid process, referred to as EBOL (electron beam/optical lithography), in which a single application of a multi-level resist is used for both exposures. The entire gate structure, (gate fingers, interconnects and pads), is then formed with a single metallization and lift-off process. The EBOL process thus retains the advantages of the high-resolution E-beam lithography and the high throughput of optical lithography while essentially

  15. A combined electron beam/optical lithography process step for the fabrication of sub-half-micron-gate-length MMIC chips

    NASA Astrophysics Data System (ADS)

    Sewell, James S.; Bozada, Christopher A.

    1994-02-01

    Advanced radar and communication systems rely heavily on state-of-the-art microelectronics. Systems such as the phased-array radar require many transmit/receive (T/R) modules which are made up of many millimeter wave - microwave integrated circuits (MMIC's). The heart of a MMIC chip is the Gallium Arsenide (GaAs) field-effect transistor (FET). The transistor gate length is the critical feature that determines the operating frequency of the radar system. A smaller gate length will typically result in a higher frequency. In order to make a phased array radar system economically feasible, manufacturers must be capable of producing very large quantities of small-gate-length MMIC chips at a relatively low cost per chip. This requires the processing of a large number of wafers with a large number of chips per wafer, minimum processing time, and a very high chip yield. One of the bottlenecks in the fabrication of MIMIC chips is the transistor gate definition. The definition of sub-half-micron gates for GaAs-based field-effect transistors is generally performed by direct-write electron beam lithography (EBL). Because of the throughput limitations of EBL, the gate-layer fabrication is conventionally divided into two lithographic processes where EBL is used to generate the gate fingers and optical lithography is used to generate the large-area gate pads and interconnects. As a result, two complete sequences of resist application, exposure, development, metallization and lift-off are required for the entire gate structure. We have baselined a hybrid process, referred to as EBOL (electron beam/optical lithography), in which a single application of a multi-level resist is used for both exposures. The entire gate structure, (gate fingers, interconnects and pads), is then formed with a single metallization and lift-off process. The EBOL process thus retains the advantages of the high-resolution E-beam lithography and the high throughput of optical lithography while essentially

  16. Fabrication of Nonperiodic Metasurfaces by Microlens Projection Lithography.

    PubMed

    Gonidec, Mathieu; Hamedi, Mahiar M; Nemiroski, Alex; Rubio, Luis M; Torres, Cesar; Whitesides, George M

    2016-07-13

    This paper describes a strategy that uses template-directed self-assembly of micrometer-scale microspheres to fabricate arrays of microlenses for projection photolithography of periodic, quasiperiodic, and aperiodic infrared metasurfaces. This method of "template-encoded microlens projection lithography" (TEMPL) enables rapid prototyping of planar, multiscale patterns of similarly shaped structures with critical dimensions down to ∼400 nm. Each of these structures is defined by local projection lithography with a single microsphere acting as a lens. This paper explores the use of TEMPL for the fabrication of a broad range of two-dimensional lattices with varying types of nonperiodic spatial distribution. The matching optical spectra of the fabricated and simulated metasurfaces confirm that TEMPL can produce structures that conform to expected optical behavior.

  17. Resist development status for immersion lithography

    NASA Astrophysics Data System (ADS)

    Tsuji, Hiromitsu; Yoshida, Masaaki; Ishizuka, Keita; Hirano, Tomoyuki; Endo, Kotaro; Sato, Mitsuru

    2005-05-01

    Immersion lithography has already demonstrated superior performance for next generation semiconductor manufacturing, while some challenges with contact immersion fluids and resist still remain. There are many interactions to be considered with regards to the solid and liquid interface. Resist elusion in particular requires very careful attention since the impact on the lens and fluid supply system in exposure tool could pose a significant risk at the manufacturing stage. TOK developed a screening procedure to detect resist elution of ion species down to ppb levels during non and post exposure steps. It was found that the PAG cation elution is affected by molecular weight and structure while the PAG anion elution was dependent on the molecular structure and mobility. In this paper, lithographic performance is also discussed with the low elution type resist.

  18. High throughput, high resolution enzymatic lithography process: effect of crystallite size, moisture, and enzyme concentration.

    PubMed

    Mao, Zhantong; Ganesh, Manoj; Bucaro, Michael; Smolianski, Igor; Gross, Richard A; Lyons, Alan M

    2014-12-08

    By bringing enzymes into contact with predefined regions of a surface, a polymer film can be selectively degraded to form desired patterns that find a variety of applications in biotechnology and electronics. This so-called "enzymatic lithography" is an environmentally friendly process as it does not require actinic radiation or synthetic chemicals to develop the patterns. A significant challenge to using enzymatic lithography has been the need to restrict the mobility of the enzyme in order to maintain control of feature sizes. Previous approaches have resulted in low throughput and were limited to polymer films only a few nanometers thick. In this paper, we demonstrate an enzymatic lithography system based on Candida antartica lipase B (CALB) and poly(ε-caprolactone) (PCL) that can resolve fine-scale features, (<1 μm across) in thick (0.1-2.0 μm) polymer films. A Polymer Pen Lithography (PPL) tool was developed to deposit an aqueous solution of CALB onto a spin-cast PCL film. Immobilization of the enzyme on the polymer surface was monitored using fluorescence microscopy by labeling CALB with FITC. The crystallite size in the PCL films was systematically varied; small crystallites resulted in significantly faster etch rates (20 nm/min) and the ability to resolve smaller features (as fine as 1 μm). The effect of printing conditions and relative humidity during incubation is also presented. Patterns formed in the PCL film were transferred to an underlying copper foil demonstrating a "Green" approach to the fabrication of printed circuit boards.

  19. Review Of Laser Lightcraft Propulsion System

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Davis, Eric W.; Mead, Franklin B. Jr

    Laser-powered 'Lightcraft' systems that deliver nano-satellites to LEO have been studied for the Air Force Research Laboratory (AFRL). The study was built on the extensive Lightcraft laser propulsion technology already developed by theoretical and experimental work by the AFRL's Propulsion Directorate at Edwards AFB, CA. Here we review the history and engineering-physics of the laser Lightcraft system and its propulsive performance. We will also review the effectiveness and cost of a Lightcraft vehicle powered by a high-energy laser beam. One result of this study is the significant influence of laser wavelength on the power lost during laser beam propagation throughmore » Earth's atmosphere and in space. It was discovered that energy and power losses in the laser beam are extremely sensitive to wavelength for Earth-To-Orbit missions, and this significantly affects the amount of mass that can be placed into orbit for a given maximum amount of radiated power from a ground-based laser.« less

  20. Looking into the crystal ball: future device learning using hybrid e-beam and optical lithography (Keynote Paper)

    NASA Astrophysics Data System (ADS)

    Steen, S. E.; McNab, S. J.; Sekaric, L.; Babich, I.; Patel, J.; Bucchignano, J.; Rooks, M.; Fried, D. M.; Topol, A. W.; Brancaccio, J. R.; Yu, R.; Hergenrother, J. M.; Doyle, J. P.; Nunes, R.; Viswanathan, R. G.; Purushothaman, S.; Rothwell, M. B.

    2005-05-01

    Semiconductor process development teams are faced with increasing process and integration complexity while the time between lithographic capability and volume production has remained more or less constant over the last decade. Lithography tools have often gated the volume checkpoint of a new device node on the ITRS roadmap. The processes have to be redeveloped after the tooling capability for the new groundrule is obtained since straight scaling is no longer sufficient. In certain cases the time window that the process development teams have is actually decreasing. In the extreme, some forecasts are showing that by the time the 45nm technology node is scheduled for volume production, the tooling vendors will just begin shipping the tools required for this technology node. To address this time pressure, IBM has implemented a hybrid-lithography strategy that marries the advantages of optical lithography (high throughput) with electron beam direct write lithography (high resolution and alignment capability). This hybrid-lithography scheme allows for the timely development of semiconductor processes for the 32nm node, and beyond. In this paper we will describe how hybrid lithography has enabled early process integration and device learning and how IBM applied e-beam & optical hybrid lithography to create the world's smallest working SRAM cell.

  1. Laser safety and hazard analysis for the temperature stabilized BSLT ARES laser system.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Augustoni, Arnold L.

    A laser safety and hazard analysis was performed for the temperature stabilized Big Sky Laser Technology (BSLT) laser central to the ARES system based on the 2000 version of the American National Standards Institute's (ANSI) Standard Z136.1, for Safe Use of Lasers and the 2000 version of the ANSI Standard Z136.6, for Safe Use of Lasers Outdoors. As a result of temperature stabilization of the BSLT laser the operating parameters of the laser had changed requiring a hazard analysis based on the new operating conditions. The ARES laser system is a Van/Truck based mobile platform, which is used to performmore » laser interaction experiments and tests at various national test sites.« less

  2. Report on the Study of Radiation Damage in Calcium Fluoride and Magnesium Fluoride Crystals for use in Excimer Laser Applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    None, None

    1999-10-04

    A study was performed to investigate the effects of radiation damage in calcium fluoride and magnesium fluoride crystals caused by gamma rays and UV photons from excimer lasers. The purpose was to study and correlate the damage caused by these two different mechanisms in various types of material used for fabricating optical elements in high power excimer lasers and lens systems of lithography tools. These optical systems are easily damaged by the laser itself, and it is necessary to use only the most radiation resistant materials for certain key elements. It was found that a clear correlation exists between the,more » radiation induced damage caused by high energy gamma rays and that produced by UV photons from the excimer laser. This correlation allows a simple procedure to be developed to select the most radiation resistant material at the ingot level, which would be later used to fabricate various components of the optical system. This avoids incurring the additional cost of fabricating actual optical elements with material that would later be damaged under prolonged use. The result of this screening procedure can result in a considerable savings in the overall cost of the lens and laser system.« less

  3. Micro-optics: enabling technology for illumination shaping in optical lithography

    NASA Astrophysics Data System (ADS)

    Voelkel, Reinhard

    2014-03-01

    Optical lithography has been the engine that has empowered semiconductor industry to continually reduce the half-pitch for over 50 years. In early mask aligners a simple movie lamp was enough to illuminate the photomask. Illumination started to play a more decisive role when proximity mask aligners appeared in the mid-1970s. Off-axis illumination was introduced to reduce diffraction effects. For early projection lithography systems (wafer steppers), the only challenge was to collect the light efficiently to ensure short exposure time. When projection optics reached highest level of perfection, further improvement was achieved by optimizing illumination. Shaping the illumination light, also referred as pupil shaping, allows the optical path from reticle to wafer to be optimized and thus has a major impact on aberrations and diffraction effects. Highly-efficient micro-optical components are perfectly suited for this task. Micro-optics for illumination evolved from simple flat-top (fly's-eye) to annular, dipole, quadrupole, multipole and freeform illumination. Today, programmable micro-mirror arrays allow illumination to be changed on the fly. The impact of refractive, diffractive and reflective microoptics for photolithography will be discussed.

  4. Scalable fabrication of nanostructured devices on flexible substrates using additive driven self-assembly and nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Watkins, James

    2013-03-01

    Roll-to-roll (R2R) technologies provide routes for continuous production of flexible, nanostructured materials and devices with high throughput and low cost. We employ additive-driven self-assembly to produce well-ordered polymer/nanoparticle hybrid materials that can serve as active device layers, we use highly filled nanoparticle/polymer hybrids for applications that require tailored dielectric constant or refractive index, and we employ R2R nanoimprint lithography for device scale patterning. Specific examples include the fabrication of flexible floating gate memory and large area films for optical/EM management. Our newly constructed R2R processing facility includes a custom designed, precision R2R UV-assisted nanoimprint lithography (NIL) system and hybrid nanostructured materials coaters.

  5. Plasmonic nanostructures through DNA-assisted lithography

    PubMed Central

    Shen, Boxuan; Linko, Veikko; Tapio, Kosti; Pikker, Siim; Lemma, Tibebe; Gopinath, Ashwin; Gothelf, Kurt V.; Kostiainen, Mauri A.; Toppari, J. Jussi

    2018-01-01

    Programmable self-assembly of nucleic acids enables the fabrication of custom, precise objects with nanoscale dimensions. These structures can be further harnessed as templates to build novel materials such as metallic nanostructures, which are widely used and explored because of their unique optical properties and their potency to serve as components of novel metamaterials. However, approaches to transfer the spatial information of DNA constructions to metal nanostructures remain a challenge. We report a DNA-assisted lithography (DALI) method that combines the structural versatility of DNA origami with conventional lithography techniques to create discrete, well-defined, and entirely metallic nanostructures with designed plasmonic properties. DALI is a parallel, high-throughput fabrication method compatible with transparent substrates, thus providing an additional advantage for optical measurements, and yields structures with a feature size of ~10 nm. We demonstrate its feasibility by producing metal nanostructures with a chiral plasmonic response and bowtie-shaped nanoantennas for surface-enhanced Raman spectroscopy. We envisage that DALI can be generalized to large substrates, which would subsequently enable scale-up production of diverse metallic nanostructures with tailored plasmonic features. PMID:29423446

  6. 21 CFR 884.6200 - Assisted reproduction laser system.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 8 2011-04-01 2011-04-01 false Assisted reproduction laser system. 884.6200... Assisted reproduction laser system. (a) Identification. The assisted reproduction laser system is a device that images, targets, and controls the power and pulse duration of a laser beam used to ablate a small...

  7. 21 CFR 884.6200 - Assisted reproduction laser system.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Assisted reproduction laser system. 884.6200... Assisted reproduction laser system. (a) Identification. The assisted reproduction laser system is a device that images, targets, and controls the power and pulse duration of a laser beam used to ablate a small...

  8. 21 CFR 884.6200 - Assisted reproduction laser system.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... 21 Food and Drugs 8 2012-04-01 2012-04-01 false Assisted reproduction laser system. 884.6200... Assisted reproduction laser system. (a) Identification. The assisted reproduction laser system is a device that images, targets, and controls the power and pulse duration of a laser beam used to ablate a small...

  9. 21 CFR 884.6200 - Assisted reproduction laser system.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 21 Food and Drugs 8 2014-04-01 2014-04-01 false Assisted reproduction laser system. 884.6200... Assisted reproduction laser system. (a) Identification. The assisted reproduction laser system is a device that images, targets, and controls the power and pulse duration of a laser beam used to ablate a small...

  10. 21 CFR 884.6200 - Assisted reproduction laser system.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... 21 Food and Drugs 8 2013-04-01 2013-04-01 false Assisted reproduction laser system. 884.6200... Assisted reproduction laser system. (a) Identification. The assisted reproduction laser system is a device that images, targets, and controls the power and pulse duration of a laser beam used to ablate a small...

  11. Laser and solar-photovoltaic space power systems comparison. II.

    NASA Technical Reports Server (NTRS)

    De Young, R. J.; Stripling, J.; Enderson, T. M.; Humes, D. H.; Davis, W. T.

    1984-01-01

    A comparison of total system cost is made between solar photovoltaic and laser/receiver systems. The laser systems assume either a solar-pumped CO2 blackbody transfer laser with MHD receiver or a solar pumped liquid neodymium laser with a photovoltaic receiver. Total system costs are less for the laser systems below 300 km where drag is significant. System costs are highly dependent on altitude.

  12. Spun-wrapped aligned nanofiber (SWAN) lithography for fabrication of micro/nano-structures on 3D objects

    NASA Astrophysics Data System (ADS)

    Ye, Zhou; Nain, Amrinder S.; Behkam, Bahareh

    2016-06-01

    Fabrication of micro/nano-structures on irregularly shaped substrates and three-dimensional (3D) objects is of significant interest in diverse technological fields. However, it remains a formidable challenge thwarted by limited adaptability of the state-of-the-art nanolithography techniques for nanofabrication on non-planar surfaces. In this work, we introduce Spun-Wrapped Aligned Nanofiber (SWAN) lithography, a versatile, scalable, and cost-effective technique for fabrication of multiscale (nano to microscale) structures on 3D objects without restriction on substrate material and geometry. SWAN lithography combines precise deposition of polymeric nanofiber masks, in aligned single or multilayer configurations, with well-controlled solvent vapor treatment and etching processes to enable high throughput (>10-7 m2 s-1) and large-area fabrication of sub-50 nm to several micron features with high pattern fidelity. Using this technique, we demonstrate whole-surface nanopatterning of bulk and thin film surfaces of cubes, cylinders, and hyperbola-shaped objects that would be difficult, if not impossible to achieve with existing methods. We demonstrate that the fabricated feature size (b) scales with the fiber mask diameter (D) as b1.5 ~ D. This scaling law is in excellent agreement with theoretical predictions using the Johnson, Kendall, and Roberts (JKR) contact theory, thus providing a rational design framework for fabrication of systems and devices that require precisely designed multiscale features.Fabrication of micro/nano-structures on irregularly shaped substrates and three-dimensional (3D) objects is of significant interest in diverse technological fields. However, it remains a formidable challenge thwarted by limited adaptability of the state-of-the-art nanolithography techniques for nanofabrication on non-planar surfaces. In this work, we introduce Spun-Wrapped Aligned Nanofiber (SWAN) lithography, a versatile, scalable, and cost-effective technique for

  13. Fast Offset Laser Phase-Locking System

    NASA Technical Reports Server (NTRS)

    Shaddock, Daniel; Ware, Brent

    2008-01-01

    Figure 1 shows a simplified block diagram of an improved optoelectronic system for locking the phase of one laser to that of another laser with an adjustable offset frequency specified by the user. In comparison with prior systems, this system exhibits higher performance (including higher stability) and is much easier to use. The system is based on a field-programmable gate array (FPGA) and operates almost entirely digitally; hence, it is easily adaptable to many different systems. The system achieves phase stability of less than a microcycle. It was developed to satisfy the phase-stability requirement for a planned spaceborne gravitational-wave-detecting heterodyne laser interferometer (LISA). The system has potential terrestrial utility in communications, lidar, and other applications. The present system includes a fast phasemeter that is a companion to the microcycle-accurate one described in High-Accuracy, High-Dynamic-Range Phase-Measurement System (NPO-41927), NASA Tech Briefs, Vol. 31, No. 6 (June 2007), page 22. In the present system (as in the previously reported one), beams from the two lasers (here denoted the master and slave lasers) interfere on a photodiode. The heterodyne photodiode output is digitized and fed to the fast phasemeter, which produces suitably conditioned, low-latency analog control signals which lock the phase of the slave laser to that of the master laser. These control signals are used to drive a thermal and a piezoelectric transducer that adjust the frequency and phase of the slave-laser output. The output of the photodiode is a heterodyne signal at the difference between the frequencies of the two lasers. (The difference is currently required to be less than 20 MHz due to the Nyquist limit of the current sampling rate. We foresee few problems in doubling this limit using current equipment.) Within the phasemeter, the photodiode-output signal is digitized to 15 bits at a sampling frequency of 40 MHz by use of the same analog

  14. Laser ablation system, and method of decontaminating surfaces

    DOEpatents

    Ferguson, Russell L.; Edelson, Martin C.; Pang, Ho-ming

    1998-07-14

    A laser ablation system comprising a laser head providing a laser output; a flexible fiber optic cable optically coupled to the laser output and transmitting laser light; an output optics assembly including a nozzle through which laser light passes; an exhaust tube in communication with the nozzle; and a blower generating a vacuum on the exhaust tube. A method of decontaminating a surface comprising the following steps: providing an acousto-optic, Q-switched Nd:YAG laser light ablation system having a fiber optically coupled output optics assembly; and operating the laser light ablation system to produce an irradiance greater than 1.times.10.sup.7 W/cm.sup.2, and a pulse width between 80 and 170 ns.

  15. Microprocessor-Controlled Laser Balancing System

    NASA Technical Reports Server (NTRS)

    Demuth, R. S.

    1985-01-01

    Material removed by laser action as part tested for balance. Directed by microprocessor, laser fires appropriate amount of pulses in correct locations to remove necessary amount of material. Operator and microprocessor software interact through video screen and keypad; no programing skills or unprompted system-control decisions required. System provides complete and accurate balancing in single load-and-spinup cycle.

  16. Application of laser Doppler velocimeter to chemical vapor laser system

    NASA Technical Reports Server (NTRS)

    Gartrell, Luther R.; Hunter, William W., Jr.; Lee, Ja H.; Fletcher, Mark T.; Tabibi, Bagher M.

    1993-01-01

    A laser Doppler velocimeter (LDV) system was used to measure iodide vapor flow fields inside two different-sized tubes. Typical velocity profiles across the laser tubes were obtained with an estimated +/-1 percent bias and +/-0.3 to 0.5 percent random uncertainty in the mean values and +/-2.5 percent random uncertainty in the turbulence-intensity values. Centerline velocities and turbulence intensities for various longitudinal locations ranged from 13 to 17.5 m/sec and 6 to 20 percent, respectively. In view of these findings, the effects of turbulence should be considered for flow field modeling. The LDV system provided calibration data for pressure and mass flow systems used routinely to monitor the research laser gas flow velocity.

  17. ARGOS laser system mechanical design

    NASA Astrophysics Data System (ADS)

    Deysenroth, M.; Honsberg, M.; Gemperlein, H.; Ziegleder, J.; Raab, W.; Rabien, S.; Barl, L.; Gässler, W.; Borelli, J. L.

    2014-07-01

    ARGOS, a multi-star adaptive optics system is designed for the wide-field imager and multi-object spectrograph LUCI on the LBT (Large Binocular Telescope). Based on Rayleigh scattering the laser constellation images 3 artificial stars (at 532 nm) per each of the 2 eyes of the LBT, focused at a height of 12 km (Ground Layer Adaptive Optics). The stars are nominally positioned on a circle 2' in radius, but each star can be moved by up to 0.5' in any direction. For all of these needs are following main subsystems necessary: 1. A laser system with its 3 Lasers (Nd:YAG ~18W each) for delivering strong collimated light as for LGS indispensable. 2. The Launch system to project 3 beams per main mirror as a 40 cm telescope to the sky. 3. The Wave Front Sensor with a dichroic mirror. 4. The dichroic mirror unit to grab and interpret the data. 5. A Calibration Unit to adjust the system independently also during day time. 6. Racks + platforms for the WFS units. 7. Platforms and ladders for a secure access. This paper should mainly demonstrate how the ARGOS Laser System is configured and designed to support all other systems.

  18. High resolution imaging and lithography with hard x rays using parabolic compound refractive lenses

    NASA Astrophysics Data System (ADS)

    Schroer, C. G.; Benner, B.; Günzler, T. F.; Kuhlmann, M.; Zimprich, C.; Lengeler, B.; Rau, C.; Weitkamp, T.; Snigirev, A.; Snigireva, I.; Appenzeller, J.

    2002-03-01

    Parabolic compound refractive lenses are high quality optical components for hard x rays. They are particularly suited for full field imaging, with applications in microscopy and x-ray lithography. Taking advantage of the large penetration depth of hard x rays, the interior of opaque samples can be imaged with submicrometer resolution. To obtain the three-dimensional structure of a sample, microscopy is combined with tomographic techniques. In a first hard x-ray lithography experiment, parabolic compound refractive lenses have been used to project the reduced image of a lithography mask onto a resist. Future developments are discussed.

  19. Optical system for UV-laser technological equipment

    NASA Astrophysics Data System (ADS)

    Fedosov, Yuri V.; Romanova, Galina E.; Afanasev, Maxim Ya.

    2017-09-01

    Recently there has been an intensive development of intelligent industrial equipment that is highly automated and can be rapidly adjusted for certain details. This equipment can be robotics systems, automatic wrappers and markers, CNC machines and 3D printers. The work equipment considered is the system for selective curing of photopolymers using a UV-laser and UV-radiation in such equipment that leads to additional technical difficulties. In many cases for transporting the radiation from the laser to the point processed, a multi-mirror system is used: however, such systems are usually difficult to adjust. Additionally, such multi-mirror systems are usually used as a part of the equipment for laser cutting of metals using high-power IR-lasers. For the UV-lasers, using many mirrors leads to crucial radiation losses because of many reflections. Therefore, during the development of the optical system for technological equipment using UV-laser we need to solve two main problems: to transfer the radiation for the working point with minimum losses and to include the system for controlling/handling the radiation spot position. We introduce a system for working with UV-lasers with 450mW of power and a wavelength of 0.45 μm based on a fiber system. In our modelling and design, we achieve spot sizes of about 300 μm, and the designed optical and mechanical systems (prototypes) were manufactured and assembled. In this paper, we present the layout of the technological unit, the results of the theoretical modelling of some parts of the system and some experimental results.

  20. Laser-SPS systems analysis and environmental impact assessment

    NASA Technical Reports Server (NTRS)

    Beverly, R. E., III

    1980-01-01

    The systems feasibility and environmental impact of replacing the microwave transmitters on the Satellite Power System with laser transmitters are examined. The lasers suggested are two molecular-gas electric-discharge lasers (EDL's), namely the CO and CO2 lasers. Calculations are made on system efficiency, atmospheric transmission efficiency, and laser beam spreading. It is found that the present satellite concept using lasers is far too inefficient and massive to be economically viable. However, the safety issues associated with laser power transmission appear tractable, and no effects could be identified which present a real danger of serious injury to the environment, although certain phenomena deserve closer scrutiny.

  1. Ultra-short wavelength x-ray system

    DOEpatents

    Umstadter, Donald [Ann Arbor, MI; He, Fei [Ann Arbor, MI; Lau, Yue-Ying [Potomac, MD

    2008-01-22

    A method and apparatus to generate a beam of coherent light including x-rays or XUV by colliding a high-intensity laser pulse with an electron beam that is accelerated by a synchronized laser pulse. Applications include x-ray and EUV lithography, protein structural analysis, plasma diagnostics, x-ray diffraction, crack analysis, non-destructive testing, surface science and ultrafast science.

  2. Space Applications Industrial Laser System (SAILS)

    NASA Technical Reports Server (NTRS)

    Mccay, T. D.; Bible, J. B.; Mueller, R. E.

    1993-01-01

    A program is underway to develop a YAG laser based materials processing workstation to fly in the cargo bay of the Space Shuttle. This workstation, called Space Applications Industrial Laser System (SAILS), will be capable of cutting and welding steel, aluminum, and Inconel alloys of the type planned for use in constructing the Space Station Freedom. As well as demonstrating the ability of a YAG laser to perform remote (fiber-optic delivered) repair and fabrication operations in space, fundamental data will be collected on these interactions for comparison with terrestrial data and models. The flight system, scheduled to fly in 1996, will be constructed as three modules using standard Get-Away-Special (GAS) canisters. The first module holds the laser head and cooling system, while the second contains a high peak power electrical supply. The third module houses the materials processing workstation and the command and data acquisition subsystems. The laser head and workstation cansisters are linked by a fiber-optic cable to transmit the laser light. The team assembled to carry out this project includes Lumonics Industrial Products (laser), Tennessee Technological University (structural analysis and fabrication), Auburn University Center for Space Power (electrical engineering), University of Waterloo (low-g laser process consulting), and CSTAR/UTSI (data acquisition, control, software, integration, experiment design). This report describes the SAILS program and highlights recent activities undertaken at CSTAR.

  3. Laser Pyro System Standardization and Man Rating

    NASA Technical Reports Server (NTRS)

    Brown, Christopher W.

    2004-01-01

    This viewgraph presentation reviews an X-38 laser pyro system standardization system designed for a new manned rated program. The plans to approve this laser initiation system and preliminary ideas for this system are also provided.

  4. Coaxial lithography

    NASA Astrophysics Data System (ADS)

    Ozel, Tuncay; Bourret, Gilles R.; Mirkin, Chad A.

    2015-05-01

    The optical and electrical properties of heterogeneous nanowires are profoundly related to their composition and nanoscale architecture. However, the intrinsic constraints of conventional synthetic and lithographic techniques have limited the types of multi-compositional nanowire that can be created and studied in the laboratory. Here, we report a high-throughput technique that can be used to prepare coaxial nanowires with sub-10 nm control over the architectural parameters in both axial and radial dimensions. The method, termed coaxial lithography (COAL), relies on templated electrochemical synthesis and can create coaxial nanowires composed of combinations of metals, metal oxides, metal chalcogenides and conjugated polymers. To illustrate the possibilities of the technique, a core/shell semiconductor nanowire with an embedded plasmonic nanoring was synthesized—a structure that cannot be prepared by any previously known method—and its plasmon-excitation-dependent optoelectronic properties were characterized.

  5. Maskless lithography

    DOEpatents

    Sweatt, William C.; Stulen, Richard H.

    1999-01-01

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of these individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides.

  6. Maskless lithography

    DOEpatents

    Sweatt, W.C.; Stulen, R.H.

    1999-02-09

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of these individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides. 12 figs.

  7. Integrating nanosphere lithography in device fabrication

    NASA Astrophysics Data System (ADS)

    Laurvick, Tod V.; Coutu, Ronald A.; Lake, Robert A.

    2016-03-01

    This paper discusses the integration of nanosphere lithography (NSL) with other fabrication techniques, allowing for nano-scaled features to be realized within larger microelectromechanical system (MEMS) based devices. Nanosphere self-patterning methods have been researched for over three decades, but typically not for use as a lithography process. Only recently has progress been made towards integrating many of the best practices from these publications and determining a process that yields large areas of coverage, with repeatability and enabled a process for precise placement of nanospheres relative to other features. Discussed are two of the more common self-patterning methods used in NSL (i.e. spin-coating and dip coating) as well as a more recently conceived variation of dip coating. Recent work has suggested the repeatability of any method depends on a number of variables, so to better understand how these variables affect the process a series of test vessels were developed and fabricated. Commercially available 3-D printing technology was used to incrementally alter the test vessels allowing for each variable to be investigated individually. With these deposition vessels, NSL can now be used in conjunction with other fabrication steps to integrate features otherwise unattainable through current methods, within the overall fabrication process of larger MEMS devices. Patterned regions in 1800 series photoresist with a thickness of ~700nm are used to capture regions of self-assembled nanospheres. These regions are roughly 2-5 microns in width, and are able to control the placement of 500nm polystyrene spheres by controlling where monolayer self-assembly occurs. The resulting combination of photoresist and nanospheres can then be used with traditional deposition or etch methods to utilize these fine scale features in the overall design.

  8. The impact of 14-nm photomask uncertainties on computational lithography solutions

    NASA Astrophysics Data System (ADS)

    Sturtevant, John; Tejnil, Edita; Lin, Tim; Schultze, Steffen; Buck, Peter; Kalk, Franklin; Nakagawa, Kent; Ning, Guoxiang; Ackmann, Paul; Gans, Fritz; Buergel, Christian

    2013-04-01

    Computational lithography solutions rely upon accurate process models to faithfully represent the imaging system output for a defined set of process and design inputs. These models, which must balance accuracy demands with simulation runtime boundary conditions, rely upon the accurate representation of multiple parameters associated with the scanner and the photomask. While certain system input variables, such as scanner numerical aperture, can be empirically tuned to wafer CD data over a small range around the presumed set point, it can be dangerous to do so since CD errors can alias across multiple input variables. Therefore, many input variables for simulation are based upon designed or recipe-requested values or independent measurements. It is known, however, that certain measurement methodologies, while precise, can have significant inaccuracies. Additionally, there are known errors associated with the representation of certain system parameters. With shrinking total CD control budgets, appropriate accounting for all sources of error becomes more important, and the cumulative consequence of input errors to the computational lithography model can become significant. In this work, we examine with a simulation sensitivity study, the impact of errors in the representation of photomask properties including CD bias, corner rounding, refractive index, thickness, and sidewall angle. The factors that are most critical to be accurately represented in the model are cataloged. CD Bias values are based on state of the art mask manufacturing data and other variables changes are speculated, highlighting the need for improved metrology and awareness.

  9. Optical diagnostics integrated with laser spark delivery system

    DOEpatents

    Yalin, Azer [Fort Collins, CO; Willson, Bryan [Fort Collins, CO; Defoort, Morgan [Fort Collins, CO; Joshi, Sachin [Fort Collins, CO; Reynolds, Adam [Fort Collins, CO

    2008-09-02

    A spark delivery system for generating a spark using a laser beam is provided, and includes a laser light source and a laser delivery assembly. The laser delivery assembly includes a hollow fiber and a launch assembly comprising launch focusing optics to input the laser beam in the hollow fiber. The laser delivery assembly further includes exit focusing optics that demagnify an exit beam of laser light from the hollow fiber, thereby increasing the intensity of the laser beam and creating a spark. Other embodiments use a fiber laser to generate a spark. Embodiments of the present invention may be used to create a spark in an engine. Yet other embodiments include collecting light from the spark or a flame resulting from the spark and conveying the light for diagnostics. Methods of using the spark delivery systems and diagnostic systems are provided.

  10. Automated aberration compensation in high numerical aperture systems for arbitrary laser modes (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Hering, Julian; Waller, Erik H.; von Freymann, Georg

    2017-02-01

    Since a large number of optical systems and devices are based on differently shaped focal intensity distributions (point-spread-functions, PSF), the PSF's quality is crucial for the application's performance. E.g., optical tweezers, optical potentials for trapping of ultracold atoms as well as stimulated-emission-depletion (STED) based microscopy and lithography rely on precisely controlled intensity distributions. However, especially in high numerical aperture (NA) systems, such complex laser modes are easily distorted by aberrations leading to performance losses. Although different approaches addressing phase retrieval algorithms have been recently presented[1-3], fast and automated aberration compensation for a broad variety of complex shaped PSFs in high NA systems is still missing. Here, we report on a Gerchberg-Saxton[4] based algorithm (GSA) for automated aberration correction of arbitrary PSFs, especially for high NA systems. Deviations between the desired target intensity distribution and the three-dimensionally (3D) scanned experimental focal intensity distribution are used to calculate a correction phase pattern. The target phase distribution plus the correction pattern are displayed on a phase-only spatial-light-modulator (SLM). Focused by a high NA objective, experimental 3D scans of several intensity distributions allow for characterization of the algorithms performance: aberrations are reliably identified and compensated within less than 10 iterations. References 1. B. M. Hanser, M. G. L. Gustafsson, D. A. Agard, and J. W. Sedat, "Phase-retrieved pupil functions in wide-field fluorescence microscopy," J. of Microscopy 216(1), 32-48 (2004). 2. A. Jesacher, A. Schwaighofer, S. Frhapter, C. Maurer, S. Bernet, and M. Ritsch-Marte, "Wavefront correction of spatial light modulators using an optical vortex image," Opt. Express 15(9), 5801-5808 (2007). 3. A. Jesacher and M. J. Booth, "Parallel direct laser writing in three dimensions with spatially dependent

  11. Laser beam riding guided system principle and design research

    NASA Astrophysics Data System (ADS)

    Qu, Zhou; Jin, Yi; Xu, Zhou; Xing, Hao

    2016-01-01

    With the development of science and technology, precision-strike weapons has been considered to be important for winning victory in military field. Laser guidance is a major method to execute precision-strike in modern warfare. At present, the problems of primary stage of Laser guidance has been solved with endeavors of countries. Several technical aspects of laser-beam riding guided system have been mature, such as atmosphere penetration of laser beam, clutter inhibition on ground, laser irradiator, encoding and decoding of laser beam. Further, laser beam quality, equal output power and atmospheric transmission properties are qualified for warfare situation. Riding guidance instrument is a crucial element of Laser-beam riding guided system, and is also a vital element of airborne, vehicle-mounted and individual weapon. The optical system mainly consist of sighting module and laser-beam guided module. Photoelectric detector is the most important sensing device of seeker, and also the key to acquire the coordinate information of target space. Currently, in consideration of the 1.06 u m of wavelength applied in all the semi-active laser guided weapons systems, lithium drifting silicon photodiode which is sensitive to 1.06 u m of wavelength is used in photoelectric detector. Compared to Solid and gas laser, diode laser has many merits such as small volume, simple construction, light weight, long life, low lost and easy modulation. This article introduced the composition and operating principle of Laser-beam riding guided system based on 980 nm diode laser, and made a analysis of key technology; for instance, laser irradiator, modulating disk of component, laser zooming system. Through the use of laser diode, Laser-beam riding guided system is likely to have smaller shape and very light.

  12. Laser angle measurement system

    NASA Technical Reports Server (NTRS)

    Pond, C. R.; Texeira, P. D.; Wilbert, R. E.

    1980-01-01

    The design and fabrication of a laser angle measurement system is described. The instrument is a fringe counting interferometer that monitors the pitch attitude of a model in a wind tunnel. A laser source and detector are mounted above the mode. Interference fringes are generated by a small passive element on the model. The fringe count is accumulated and displayed by a processor in the wind tunnel control room. Optical and electrical schematics, system maintenance and operation procedures are included, and the results of a demonstration test are given.

  13. Repetitive output laser system and method using target reflectivity

    DOEpatents

    Johnson, Roy R.

    1978-01-01

    An improved laser system and method for implosion of a thermonuclear fuel pellet in which that portion of a laser pulse reflected by the target pellet is utilized in the laser system to initiate a succeeding target implosion, and in which the energy stored in the laser system to amplify the initial laser pulse, but not completely absorbed thereby, is used to amplify succeeding laser pulses initiated by target reflection.

  14. Solid-state coherent laser radar wind shear measuring systems

    NASA Technical Reports Server (NTRS)

    Huffaker, R. Milton

    1992-01-01

    Coherent Technologies, Inc. (CTI) was established in 1984 to engage in the development of coherent laser radar systems and subsystems with applications in atmospheric remote sensing, and in target tracking, ranging and imaging. CTI focuses its capabilities in three major areas: (1) theoretical performance and design of coherent laser radar system; (2) development of coherent laser radar systems for government agencies such as DoD and NASA; and (3) development of coherent laser radar systems for commercial markets. The topics addressed are: (1) 1.06 micron solid-state coherent laser radar system; (2) wind measurement using 1.06 micron system; and flashlamp-pumped 2.09 micron solid-state coherent laser radar system.

  15. Ion projection lithography: November 2000 status and sub-70-nm prospects

    NASA Astrophysics Data System (ADS)

    Kaesmaier, Rainer; Wolter, Andreas; Loeschner, Hans; Schunck, Stefan

    2000-10-01

    Among all next generation lithography (NGL) options Ion Projection Lithography (IPL) offers the smallest (particle) wavelength of 5x10- 5nm (l00keV Helium ions). Thus, 4x reduction ion-optics has diffraction limits <3nm even when using a numerical aperture as low as NAequals10-5. As part of the European MEDEA IPL project headed by Infineon Technologies wide field ion-optics have been designed by IMS- Vienna with predicted resolution of 50nm within a 12.5mm exposure field. The ion-optics part of the PDT tool (PDT-IOS) has been realized and assembled. In parallel to the PDT-IOS effort, at Leica Jena a test bench for a vertical vacuum 300mm-wafer stage has been realized. Operation of magnetic bearing supported stage movement has already been demonstrated. As ASML vacuum compatible optical wafer alignment system, with 3nm(3(sigma) ) precision demonstrated in air, has been integrated to this wafer test bench system recently. Parallel to the IPL tool development, Infineon Technologies Mask House and the Institute for Microelectronics Stuttgart are intensively working on the development of IPL stencil masks with success in producing 150mm and 200mm stencil masks as reported elsewhere. This paper is focused on information about the status of the PDT-IOS tool.

  16. LASER APPLICATIONS AND OTHER TOPICS IN QUANTUM ELECTRONICS: Laser-induced extreme UV radiation sources for manufacturing next-generation integrated circuits

    NASA Astrophysics Data System (ADS)

    Borisov, V. M.; Vinokhodov, A. Yu; Ivanov, A. S.; Kiryukhin, Yu B.; Mishchenko, V. A.; Prokof'ev, A. V.; Khristoforov, O. B.

    2009-10-01

    The development of high-power discharge sources emitting in the 13.5±0.135-nm spectral band is of current interest because they are promising for applications in industrial EUV (extreme ultraviolet) lithography for manufacturing integrated circuits according to technological precision standards of 22 nm and smaller. The parameters of EUV sources based on a laser-induced discharge in tin vapours between rotating disc electrodes are investigated. The properties of the discharge initiation by laser radiation at different wavelengths are established and the laser pulse parameters providing the maximum energy characteristics of the EUV source are determined. The EUV source developed in the study emits an average power of 276 W in the 13.5±0.135-nm spectral band on conversion to the solid angle 2π sr in the stationary regime at a pulse repetition rate of 3000 Hz.

  17. Wafer-shape metrics based foundry lithography

    NASA Astrophysics Data System (ADS)

    Kim, Sungtae; Liang, Frida; Mileham, Jeffrey; Tsai, Damon; Bouche, Eric; Lee, Sean; Huang, Albert; Hua, C. F.; Wei, Ming Sheng

    2017-03-01

    As device shrink, there are many difficulties with process integration and device yield. Lithography process control is expected to be a major challenge due to tighter overlay and focus control requirement. The understanding and control of stresses accumulated during device fabrication has becoming more critical at advanced technology nodes. Within-wafer stress variations cause local wafer distortions which in turn present challenges for managing overlay and depth of focus during lithography. A novel technique for measuring distortion is Coherent Gradient Sensing (CGS) interferometry, which is capable of generating a high-density distortion data set of the full wafer within a time frame suitable for a high volume manufacturing (HVM) environment. In this paper, we describe the adoption of CGS (Coherent Gradient Sensing) interferometry into high volume foundry manufacturing to overcome these challenges. Leveraging this high density 3D metrology, we characterized its In-plane distortion as well as its topography capabilities applied to the full flow of an advanced foundry manufacturing. Case studies are presented that summarize the use of CGS data to reveal correlations between in-plane distortion and overlay variation as well as between topography and device yield.

  18. Laser beam soldering of micro-optical components

    NASA Astrophysics Data System (ADS)

    Eberhardt, R.

    2003-05-01

    MOTIVATION Ongoing miniaturisation and higher requirements within optical assemblies and the processing of temperature sensitive components demands for innovative selective joining techniques. So far adhesive bonding has primarily been used to assemble and adjust hybrid micro optical systems. However, the properties of the organic polymers used for the adhesives limit the application of these systems. In fields of telecommunication and lithography, an enhancement of existing joining techniques is necessary to improve properties like humidity resistance, laserstability, UV-stability, thermal cycle reliability and life time reliability. Against this background laser beam soldering of optical components is a reasonable joining technology alternative. Properties like: - time and area restricted energy input - energy input can be controlled by the process temperature - direct and indirect heating of the components is possible - no mechanical contact between joining tool and components give good conditions to meet the requirements on a joining technology for sensitive optical components. Additionally to the laser soldering head, for the assembly of optical components it is necessary to include positioning units to adjust the position of the components with high accuracy before joining. Furthermore, suitable measurement methods to characterize the soldered assemblies (for instance in terms of position tolerances) need to be developed.

  19. ILT optimization of EUV masks for sub-7nm lithography

    NASA Astrophysics Data System (ADS)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  20. Estimation of line dimensions in 3D direct laser writing lithography

    NASA Astrophysics Data System (ADS)

    Guney, M. G.; Fedder, G. K.

    2016-10-01

    Two photon polymerization (TPP) based 3D direct laser writing (3D-DLW) finds application in a wide range of research areas ranging from photonic and mechanical metamaterials to micro-devices. Most common structures are either single lines or formed by a set of interconnected lines as in the case of crystals. In order to increase the fidelity of these structures and reach the ultimate resolution, the laser power and scan speed used in the writing process should be chosen carefully. However, the optimization of these writing parameters is an iterative and time consuming process in the absence of a model for the estimation of line dimensions. To this end, we report a semi-empirical analytic model through simulations and fitting, and demonstrate that it can be used for estimating the line dimensions mostly within one standard deviation of the average values over a wide range of laser power and scan speed combinations. The model delimits the trend in onset of micro-explosions in the photoresist due to over-exposure and of low degree of conversion due to under-exposure. The model guides setting of high-fidelity and robust writing parameters of a photonic crystal structure without iteration and in close agreement with the estimated line dimensions. The proposed methodology is generalizable by adapting the model coefficients to any 3D-DLW setup and corresponding photoresist as a means to estimate the line dimensions for tuning the writing parameters.

  1. Diffractive optical elements on non-flat substrates using electron beam lithography

    NASA Technical Reports Server (NTRS)

    Maker, Paul D. (Inventor); Muller, Richard E. (Inventor); Wilson, Daniel W. (Inventor)

    2002-01-01

    The present disclosure describes a technique for creating diffraction gratings on curved surfaces with electron beam lithography. The curved surface can act as an optical element to produce flat and aberration-free images in imaging spectrometers. In addition, the fabrication technique can modify the power structure of the grating orders so that there is more energy in the first order than for a typical grating. The inventors noticed that by using electron-beam lithography techniques, a variety of convex gratings that are well-suited to the requirements of imaging spectrometers can be manufactured.

  2. Maskless lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sweatt, W.C.; Stulen, R.H.

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of thesemore » individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides. 12 figs.« less

  3. Imaging System With Confocally Self-Detecting Laser.

    DOEpatents

    Webb, Robert H.; Rogomentich, Fran J.

    1996-10-08

    The invention relates to a confocal laser imaging system and method. The system includes a laser source, a beam splitter, focusing elements, and a photosensitive detector. The laser source projects a laser beam along a first optical path at an object to be imaged, and modulates the intensity of the projected laser beam in response to light reflected from the object. A beam splitter directs a portion of the projected laser beam onto a photodetector. The photodetector monitors the intensity of laser output. The laser source can be an electrically scannable array, with a lens or objective assembly for focusing light generated by the array onto the object of interest. As the array is energized, its laser beams scan over the object, and light reflected at each point is returned by the lens to the element of the array from which it originated. A single photosensitive detector element can generate an intensity-representative signal for all lasers of the array. The intensity-representative signal from the photosensitive detector can be processed to provide an image of the object of interest.

  4. Sub-Optical Lithography With Nanometer Definition Masks

    NASA Technical Reports Server (NTRS)

    Hartley, Frank T.; Malek, Chantal Khan; Neogi, Jayant

    2000-01-01

    Nanometer feature size lithography represents a major paradigm shift for the electronics and micro-electro-mechanical industries. In this paper, we discuss the capacity of dynamic focused reactive ion beam (FIB) etching systems to undertake direct and highly anisotropic erosion of thick evaporated gold coatings on boron-doped silicon X-ray mask membranes. FIB offers a new level of flexibility in micro fabrication, allowing for fast fabrication of X-ray masks, where pattern definition and surface alteration are combined in the same step which eliminates the whole lithographic process, in particular resist, resist development, electro-deposition and resist removal. Focused ion beam diameters as small as 7 nm can be obtained enabling fabrication well into the sub-20 nm regime. In preliminary demonstrations of this X-ray mask fabrication technique 22 nm width lines were milled directly through 0.9 microns of gold and a miniature mass spectrometer pattern was milled through over 0.5 microns of gold. Also presented are the results of the shadow printing, using the large depth of field of synchrotron high energy parallel X-ray beam, of these and other sub-optical defined patterns in photoresist conformally coated over surfaces of extreme topographical variation. Assuming that electronic circuits and/or micro devices scale proportionally, the surface area of devices processed with X-ray lithography and 20 nm critical dimension X-ray masks would be 0.5% that of contemporary devices (350 nm CD). The 20 CD mask fabrication represents an initial effort - a further factor of three reduction is anticipated which represents a further order-of-magnitude reduction in die area.

  5. Development of Fiber-Based Laser Systems for LISA

    NASA Technical Reports Server (NTRS)

    Numata, Kenji; Camp, Jordan

    2010-01-01

    We present efforts on fiber-based laser systems for the LISA mission at the NASA Goddard Space Flight Center. A fiber-based system has the advantage of higher robustness against external disturbances and easier implementation of redundancies. For a master oscillator, we are developing a ring fiber laser and evaluating two commercial products, a DBR linear fiber laser and a planar-waveguide external cavity diode laser. They all have comparable performance to a traditional NPRO at LISA band. We are also performing reliability tests of a 2-W Yb fiber amplifier and radiation tests of fiber laser/amplifier components. We describe our progress to date and discuss the path to a working LISA laser system design.

  6. Amine control for DUV lithography: identifying hidden sources

    NASA Astrophysics Data System (ADS)

    Kishkovich, Oleg P.; Larson, Carl E.

    2000-06-01

    The impact of airborne basic molecular contamination (MB) on the performance of chemically amplified (CA) resist systems has been a long standing problem. Low ppb levels of MB may be sufficient for robust 0.25 micrometer lithography with today's advanced CA resist systems combined with adequate chemical air filtration. However, with minimum CD targets heading below 150 nm, the introduction of new resist chemistries for Next Generation Lithography, and the trend towards thinner resists, the impact of MB at low and sub-ppb levels again becomes a critical manufacturing issue. Maximizing process control at aggressive feature sizes requires that the level of MB be maintained below a certain limit, which depends on such parameters as the sensitivity of the CA resist, the type of production tools, product mix, and process characteristics. Three approaches have been identified to reduce the susceptibility of CA resists to MB: effective chemical air filtration, modifications to resist chemistry/processing and cleanroom protocols involving MB monitoring and removal of MB sources from the fab. The final MB concentration depends on the effectiveness of filtration resources and on the total pollution originating from different sources in and out of the cleanroom. There are many well-documented sources of MB. Among these are: ambient air; polluted exhaust from other manufacturing areas re-entering the cleanroom through make-up air handlers; manufacturing process chemicals containing volatile molecular bases; certain cleanroom construction materials, such as paint and ceiling tiles; and volatile, humidifier system boiler additives (corrosion inhibitors), such as morpholine, cyclohexylamine, and dimethylaminoethanol. However, there is also an indeterminate number of other 'hidden' pollution sources, which are neither obvious nor well-documented. None of these sources are new, but they had little impact on earlier semiconductor manufacturing processes because the contamination

  7. High-power modular LED-based illumination systems for mask-aligner lithography.

    PubMed

    Bernasconi, Johana; Scharf, Toralf; Vogler, Uwe; Herzig, Hans Peter

    2018-04-30

    Mask-aligner lithography is traditionally performed using mercury arc lamps with wavelengths ranging from 250 nm to 600 nm with intensity peaks at the i, g and h lines. Since mercury arc lamps present several disadvantages, it is of interest to replace them with high power light emitting diodes (LEDs), which recently appeared on the market at those wavelengths. In this contribution, we present a prototype of an LED-based mask-aligner illumination. An optical characterization is made and the prototype is tested in a mask-aligner. Very good performances are demonstrated. The measured uniformity in the mask plane is 2.59 ± 0.24 % which is within the uniformity of the standard lamp. Print tests show resolution of 1 micron in contact printing and of 3 microns in proximity printing with a proximity gap of 30 microns.

  8. Solid-state-based laser system as a replacement for Ar+ lasers.

    PubMed

    Beck, Tobias; Rein, Benjamin; Sörensen, Fabian; Walther, Thomas

    2016-09-15

    We report on a solid-state-based laser system at 1028 nm. The light is generated by a diode laser seeded ytterbium fiber amplifier. In two build-up cavities, its frequency is doubled and quadrupled to 514 nm and 257 nm, respectively. At 514 nm, the system delivers up to 4.7 W of optical power. In the fourth harmonic, up to 173 mW are available limited by the nonlinear crystal. The frequency of the laser is mode-hop-free tunable by 16 GHz in 10 ms in the UV. Therefore, the system is suitable as a low maintenance, efficient, and tunable narrowband replacement for frequency doubled Ar+ laser systems.

  9. Blue laser system for photo-dynamic therapy

    NASA Astrophysics Data System (ADS)

    Dabu, R.; Carstocea, B.; Blanaru, C.; Pacala, O.; Stratan, A.; Ursu, D.; Stegaru, F.

    2007-03-01

    A blue laser system for eye diseases (age related macular degeneration, sub-retinal neo-vascularisation in myopia and presumed ocular histoplasmosis syndrome - POHS) photo-dynamic therapy, based on riboflavin as photosensitive substance, has been developed. A CW diode laser at 445 nm wavelength was coupled through an opto-mechanical system to the viewing path of a bio-microscope. The laser beam power in the irradiated area is adjustable between 1 mW and 40 mW, in a spot of 3-5 mm diameter. The irradiation time can be programmed in the range of 1-19 minutes. Currently, the laser system is under clinic tests.

  10. Laser demonstration and performance characterization of optically pumped Alkali Laser systems

    NASA Astrophysics Data System (ADS)

    Sulham, Clifford V.

    Diode Pumped Alkali Lasers (DPALs) offer a promising approach for high power lasers in military applications that will not suffer from the long logistical trails of chemical lasers or the thermal management issues of diode pumped solid state lasers. This research focuses on characterizing a DPAL-type system to gain a better understanding of using this type of laser as a directed energy weapon. A rubidium laser operating at 795 nm is optically pumped by a pulsed titanium sapphire laser to investigate the dynamics of DPALs at pump intensities between 1.3 and 45 kW/cm2. Linear scaling as high as 32 times threshold is observed, with no evidence of second order kinetics. Comparison of laser characteristics with a quasi-two level analytic model suggests performance near the ideal steady-state limit, disregarding the mode mis-match. Additionally, the peak power scales linearly as high as 1 kW, suggesting aperture scaling to a few cm2 is sufficient to achieve tactical level laser powers. The temporal dynamics of the 100 ns pump and rubidium laser pulses are presented, and the continually evolving laser efficiency provides insight into the bottlenecking of the rubidium atoms in the 2P3/2 state. Lastly, multiple excited states of rubidium and cesium were accessed through two photon absorption in the red, yielding a blue and an IR photon through amplified stimulated emission. Threshold is modest at 0.3 mJ/pulse, and slope efficiencies increase dramatically with alkali concentrations and peak at 0.4%, with considerable opportunity for improvement. This versatile system might find applications for IR countermeasures or underwater communications.

  11. High power laser perforating tools and systems

    DOEpatents

    Zediker, Mark S; Rinzler, Charles C; Faircloth, Brian O; Koblick, Yeshaya; Moxley, Joel F

    2014-04-22

    ystems devices and methods for the transmission of 1 kW or more of laser energy deep into the earth and for the suppression of associated nonlinear phenomena. Systems, devices and methods for the laser perforation of a borehole in the earth. These systems can deliver high power laser energy down a deep borehole, while maintaining the high power to perforate such boreholes.

  12. ArF halftone PSM cleaning process optimization for next-generation lithography

    NASA Astrophysics Data System (ADS)

    Son, Yong-Seok; Jeong, Seong-Ho; Kim, Jeong-Bae; Kim, Hong-Seok

    2000-07-01

    ArF lithography which is expected for the next generation optical lithography is adapted for 0.13 micrometers design-rule and beyond. ArF half-tone phase shift mask (HT PSM) will be applied as 1st generation of ArF lithography. Also ArF PSM cleaning demands by means of tighter controls related to phase angle, transmittance and contamination on the masks. Phase angle on ArF HT PSM should be controlled within at least +/- 3 degree and transmittance controlled within at least +/- 3 percent after cleaning process and pelliclization. In the cleaning process of HT PSM, requires not only the remove the particle on mask, but also control to half-tone material for metamorphosis. Contamination defects on the Qz of half tone type PSM is not easy to remove on the photomask surface. New technology and methods of cleaning will be developed in near future, but we try to get out for limit contamination on the mask, without variation of phase angle and transmittance after cleaning process.

  13. Line-frequency doubling of directed self-assembly patterns for single-digit bit pattern media lithography

    NASA Astrophysics Data System (ADS)

    Patel, K. C.; Ruiz, R.; Lille, J.; Wan, L.; Dobiz, E.; Gao, H.; Robertson, N.; Albrecht, T. R.

    2012-03-01

    Directed self-assembly is emerging as a promising technology to define sub-20nm features. However, a straightforward path to scale block copolymer lithography to single-digit fabrication remains challenging given the diverse material properties found in the wide spectrum of self-assembling materials. A vast amount of block copolymer research for industrial applications has been dedicated to polystyrene-b-methyl methacrylate (PS-b-PMMA), a model system that displays multiple properties making it ideal for lithography, but that is limited by a weak interaction parameter that prevents it from scaling to single-digit lithography. Other block copolymer materials have shown scalability to much smaller dimensions, but at the expense of other material properties that could delay their insertion into industrial lithographic processes. We report on a line doubling process applied to block copolymer patterns to double the frequency of PS-b-PMMA line/space features, demonstrating the potential of this technique to reach single-digit lithography. We demonstrate a line-doubling process that starts with directed self-assembly of PS-b-PMMA to define line/space features. This pattern is transferred into an underlying sacrificial hard-mask layer followed by a growth of self-aligned spacers which subsequently serve as hard-masks for transferring the 2x frequency doubled pattern to the underlying substrate. We applied this process to two different block copolymer materials to demonstrate line-space patterns with a half pitch of 11nm and 7nm underscoring the potential to reach single-digit critical dimensions. A subsequent patterning step with perpendicular lines can be used to cut the fine line patterns into a 2-D array of islands suitable for bit patterned media. Several integration challenges such as line width control and line roughness are addressed.

  14. Space-qualified laser system for the BepiColombo Laser Altimeter.

    PubMed

    Kallenbach, Reinald; Murphy, Eamonn; Gramkow, Bodo; Rech, Markus; Weidlich, Kai; Leikert, Thomas; Henkelmann, Reiner; Trefzger, Boris; Metz, Bodo; Michaelis, Harald; Lingenauber, Kay; DelTogno, Simone; Behnke, Thomas; Thomas, Nicolas; Piazza, Daniele; Seiferlin, Karsten

    2013-12-20

    The space-qualified design of a miniaturized laser for pulsed operation at a wavelength of 1064 nm and at repetition rates up to 10 Hz is presented. This laser consists of a pair of diode-laser pumped, actively q-switched Nd:YAG rod oscillators hermetically sealed and encapsulated in an environment of dry synthetic air. The system delivers at least 300 million laser pulses with 50 mJ energy and 5 ns pulse width (FWHM). It will be launched in 2017 aboard European Space Agency's Mercury Planetary Orbiter as part of the BepiColombo Laser Altimeter, which, after a 6-years cruise, will start recording topographic data from orbital altitudes between 400 and 1500 km above Mercury's surface.

  15. Modematic: a fast laser beam analyzing system for high power CO2-laser beams

    NASA Astrophysics Data System (ADS)

    Olsen, Flemming O.; Ulrich, Dan

    2003-03-01

    The performance of an industrial laser is very much depending upon the characteristics of the laser beam. The ISO standards 11146 and 11154 describing test methods for laser beam parameters have been approved. To implement these methods in industry is difficult and especially for the infrared laser sources, such as the CO2-laser, the availabl analyzing systems are slow, difficult to apply and having limited reliability due to the nature of the detection methods. In an EUREKA-project the goal was defined to develop a laser beam analyzing system dedicated to high power CO2-lasers, which could fulfill the demands for an entire analyzing system, automating the time consuming pre-alignment and beam conditioning work required before a beam mode analyses, automating the analyzing sequences and data analysis required to determine the laser beam caustics and last but not least to deliver reliable close to real time data to the operator. The results of this project work will be described in this paper. The research project has led to the development of the Modematic laser beam analyzer, which is ready for the market.

  16. Multiplex electric discharge gas laser system

    NASA Technical Reports Server (NTRS)

    Laudenslager, James B. (Inventor); Pacala, Thomas J. (Inventor)

    1987-01-01

    A multiple pulse electric discharge gas laser system is described in which a plurality of pulsed electric discharge gas lasers are supported in a common housing. Each laser is supplied with excitation pulses from a separate power supply. A controller, which may be a microprocessor, is connected to each power supply for controlling the application of excitation pulses to each laser so that the lasers can be fired simultaneously or in any desired sequence. The output light beams from the individual lasers may be combined or utilized independently, depending on the desired application. The individual lasers may include multiple pairs of discharge electrodes with a separate power supply connected across each electrode pair so that multiple light output beams can be generated from a single laser tube and combined or utilized separately.

  17. Improving laser system productivity through production line integration

    NASA Astrophysics Data System (ADS)

    Belforte, David A.

    1994-09-01

    Thousands of laser systems are employed profitably in a variety of industrial applications. These installations have proved successful for economic and technical reasons. And, in certain applications: ceramic scribing, resistor trimming, sheet metal cutting, and air foil drilling, for example, have become the industry standard. Most of these installations are free standing or, at best, part of an off-line manufacturing cell. Examples of laser systems fully integrated into a production line, where the laser process is synchronized with up and down stream manufacturing operation, are rare. The laser has been under utilized in its potential contribution to production line productivity. Current development in laser beam delivery: multiplexing, beam splitting and other distributed energy concepts make the laser an attractive option for just-in-time manufacturing operations. The reasons for this apparent neglect of the laser's full potential are reviewed in this paper, and suggestions for improvement of this situation are offered. Examples of fully integrated laser systems and their successful implementation are described and a forecast of changes in the way lasers contribute to improved productivity and profitability will be made.

  18. 1047 nm laser diode master oscillator Nd:YLF power amplifier laser system

    NASA Technical Reports Server (NTRS)

    Yu, A. W.; Krainak, M. A.; Unger, G. L.

    1993-01-01

    A master oscillator power amplifier (MOPA) laser transmitter system at 1047 nm wavelength using a semiconductor laser diode and a diode pumped solid state (Nd:YLF) laser (DPSSL) amplifier is described. A small signal gain of 23 dB, a near diffraction limited beam, 1 Gbit/s modulation rates and greater than 0.6 W average power are achieved. This MOPA laser has the advantage of amplifying the modulation signal from the laser diode master oscillator (MO) with no signal degradation.

  19. 2.5 dimension structures in deep proton lithography

    NASA Astrophysics Data System (ADS)

    Kasztelanic, Rafal

    2006-04-01

    There are several technologies for cheap mass fabrication of microelements. One of them is deep proton lithography, used for the fabrication of elements of high structural depth. In this technology, accelerated protons are usually focused or formed by a mask to light a target. The energy of the proton beam is enough for all the protons to get through the target, losing only a part of their kinesthetic energy. Protons leaving the target are counted in various ways, thanks to which it is possible to estimate the energy deposed inside the target. In the next step chemical development is used to get rid of the radiated part of the target. With the use of this method, various 2D microelements can be obtained and the proton beam plays the role of a knife, cutting out the required shapes from the material. However, in order to make elements of modified surface (2.5D surface) it is necessary to change the energy of the proton beam or to change the dose deposed inside the material. The current article presents a proposal of creating simple 2.5D structures with the use of the method modifying the deposed does. This entails the modification of the deep proton lithography setup, which results moving the part for measuring the deposed dose of energy before the target. Additionally, the new deep proton lithography setup operates in the air. This article presents the results of simulations, as well as experimental results for such a setup built for the tandem accelerator in Erlangen, Germany.

  20. Flow lithography in ultraviolet-curable polydimethylsiloxane microfluidic chips

    PubMed Central

    Kim, Junbeom; An, Heseong; Seo, Yoojin; Jung, Youngmee; Lee, Jong Suk; Bong, Ki Wan

    2017-01-01

    Flow Lithography (FL) is the technique used for the synthesis of hydrogel microparticles with various complex shapes and distinct chemical compositions by combining microfluidics with photolithography. Although polydimethylsiloxane (PDMS) has been used most widely as almost the sole material for FL, PDMS microfluidic chips have limitations: (1) undesired shrinkage due to the thermal expansion of masters used for replica molding and (2) interfacial delamination between two thermally cured PDMS layers. Here, we propose the utilization of ultraviolet (UV)-curable PDMS (X-34-4184) for FL as an excellent alternative material of the conventional PDMS. Our proposed utilization of the UV-curable PDMS offers three key advantages, observed in our study: (1) UV-curable PDMS exhibited almost the same oxygen permeability as the conventional PDMS. (2) The almost complete absence of shrinkage facilitated the fabrication of more precise reverse duplication of microstructures. (3) UV-cured PDMS microfluidic chips were capable of much stronger interfacial bonding so that the burst pressure increased to ∼0.9 MPa. Owing to these benefits, we demonstrated a substantial improvement of productivity in synthesizing polyethylene glycol diacrylate microparticles via stop flow lithography, by applying a flow time (40 ms) an order of magnitude shorter. Our results suggest that UV-cured PDMS chips can be used as a general platform for various types of flow lithography and also be employed readily in other applications where very precise replication of structures on micro- or sub-micrometer scales and/or strong interfacial bonding are desirable. PMID:28469763

  1. Mask cost of ownership for advanced lithography

    NASA Astrophysics Data System (ADS)

    Muzio, Edward G.; Seidel, Philip K.

    2000-07-01

    As technology advances, becoming more difficult and more expensive, the cost of ownership (CoO) metric becomes increasingly important in evaluating technical strategies. The International SEMATECH CoC analysis has steadily gained visibility over the past year, as it attempts to level the playing field between technology choices, and create a fair relative comparison. In order to predict mask cots for advanced lithography, mask process flows are modeled using bets-known processing strategies, equipment cost, and yields. Using a newly revised yield mode, and updated mask manufacture flows, representative mask flows can be built. These flows are then used to calculate mask costs for advanced lithography down to the 50 nm node. It is never the goal of this type of work to provide absolute cost estimates for business planning purposes. However, the combination of a quantifiable yield model with a clearly defined set of mask processing flows and a cost model based upon them serves as an excellent starting point for cost driver analysis and process flow discussion.

  2. Improving the Fabrication of Semiconductor Bragg Lasers

    NASA Astrophysics Data System (ADS)

    Chen, Eric Ping Chun

    Fabrication process developments for Bragg reflection lasers have been optimized in this thesis using resources available to the group. New e-beam lithography and oxide etch recipes have been developed to minimize sidewall roughness and residues. E-beam evaporated metal contacts for semiconductor diode laser utilizing oblique angle deposition have also been developed in-house for the first time. Furthermore, improvement in micro-loading effect of DFB laser etching has been demonstrated where the ratio of tapered portion of the sidewall to total etch depth is reduced by half, from 33% to 15%. Electrical, optical and thermal performance of the fabricated lasers are characterized. Comparing the results to previous generation lasers, average dynamic resistance is decreased drastically from 14 Ohms to 7 Ohms and threshold current density also reduced from 1705A/cm2 to 1383A/ cm2. Improvement in laser performance is result of reduced loss from optimized fabrication processes. BRL bow-tie tapered lasers is then fabricated for the first time and output power of 18mW at 200mA input is measured. Benefiting from the increased effective area and better carrier utilization, reduction in threshold current density from 1383A/cm 2 to 712A/cm2 is observed.

  3. Suspended liquid subtractive lithography: printing three dimensional channels directly into uncured PDMS

    NASA Astrophysics Data System (ADS)

    Helmer, D.; Voigt, A.; Wagner, S.; Keller, N.; Sachsenheimer, K.; Kotz, F.; Nargang, T. M.; Rapp, B. E.

    2018-02-01

    Polydimethylsiloxane (PDMS) is one of the most widely used polymers for the generation of microfluidic chips. The standard procedures of soft lithography require the formation of a new master structure for every design which is timeconsuming and expensive. All channel generated by soft lithography need to be consecutively sealed by bonding which is a process that can proof to be hard to control. Channel cross-sections are largely restricted to squares or flat-topped designs and the generation of truly three-dimensional designs is not straightforward. Here we present Suspended Liquid Subtractive Lithography (SLSL) a method for generating microfluidic channels of nearly arbitrary three-dimensional structures in PDMS that do not require master formation or bonding and give circular channel cross sections which are especially interesting for mimicking in vivo environments. In SLSL, an immiscible liquid is introduced into the uncured PDMS by a capillary mounted on a 3D printer head. The liquid forms continuous "threads" inside the matrix thus creating void suspended channel structures.

  4. 450mm wafer patterning with jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Thompson, Ecron; Hellebrekers, Paul; Hofemann, Paul; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2013-09-01

    The next step in the evolution of wafer size is 450mm. Any transition in sizing is an enormous task that must account for fabrication space, environmental health and safety concerns, wafer standards, metrology capability, individual process module development and device integration. For 450mm, an aggressive goal of 2018 has been set, with pilot line operation as early as 2016. To address these goals, consortiums have been formed to establish the infrastructure necessary to the transition, with a focus on the development of both process and metrology tools. Central to any process module development, which includes deposition, etch and chemical mechanical polishing is the lithography tool. In order to address the need for early learning and advance process module development, Molecular Imprints Inc. has provided the industry with the first advanced lithography platform, the Imprio® 450, capable of patterning a full 450mm wafer. The Imprio 450 was accepted by Intel at the end of 2012 and is now being used to support the 450mm wafer process development demands as part of a multi-year wafer services contract to facilitate the semiconductor industry's transition to lower cost 450mm wafer production. The Imprio 450 uses a Jet and Flash Imprint Lithography (J-FILTM) process that employs drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for markets including NAND Flash memory, patterned media for hard disk drives and displays. This paper reviews the recent performance of the J-FIL technology (including overlay, throughput and defectivity), mask development improvements provided by Dai Nippon Printing, and the application of the technology to a 450mm lithography platform.

  5. Lasers in tattoo and pigmentation control: role of the PicoSure(®) laser system.

    PubMed

    Torbeck, Richard; Bankowski, Richard; Henize, Sarah; Saedi, Nazanin

    2016-01-01

    The use of picosecond lasers to remove tattoos has greatly improved due to the long-standing outcomes of nanosecond lasers, both clinically and histologically. The first aesthetic picosecond laser available for this use was the PicoSure(®) laser system (755/532 nm). Now that a vast amount of research on its use has been conducted, we performed a comprehensive review of the literature to validate the continued application of the PicoSure(®) laser system for tattoo removal. A PubMed search was conducted using the term "picosecond" combined with "laser", "dermatology", and "laser tattoo removal". A total of 13 articles were identified, and ten of these met the inclusion criteria for this review. The majority of studies showed that picosecond lasers are an effective and safe treatment mode for the removal of tattoo pigments. Several studies also indicated potential novel applications of picosecond lasers in the removal of various tattoo pigments (eg, black, red, and yellow). Adverse effects were generally mild, such as transient hypopigmentation or blister formation, and were rarely more serious, such as scarring and/or textural change. Advancements in laser technologies and their application in cutaneous medicine have revolutionized the field of laser surgery. Computational modeling provides evidence that the optimal pulse durations for tattoo ink removal are in the picosecond domain. It is recommended that the PicoSure(®) laser system continue to be used for safe and effective tattoo removal, including for red and yellow pigments.

  6. Design and development of next-generation bottom anti-reflective coatings for 45nm process with hyper NA lithography

    NASA Astrophysics Data System (ADS)

    Nakajima, Makoto; Sakaguchi, Takahiro; Hashimoto, Keisuke; Sakamoto, Rikimaru; Kishioka, Takahiro; Takei, Satoshi; Enomoto, Tomoyuki; Nakajima, Yasuyuki

    2006-03-01

    Integrated circuit manufacturers are consistently seeking to minimize device feature dimensions in order to reduce chip size and increase integration level. Feature sizes on chips are achieved sub 65nm with the advanced 193nm microlithography process. R&D activities of 45nm process have been started so far, and 193nm lithography is used for this technology. The key parameters for this lithography process are NA of exposure tool, resolution capability of resist, and reflectivity control with bottom anti-reflective coating (BARC). In the point of etching process, single-layer resist process can't be applied because resist thickness is too thin for getting suitable aspect ratio. Therefore, it is necessary to design novel BARC system and develop hard mask materials having high etching selectivity. This system and these materials can be used for 45nm generation lithography. Nissan Chemical Industries, Ltd. and Brewer Science, Inc. have been designed and developed the advanced BARCs for the above propose. In order to satisfy our target, we have developed novel BARC and hard mask materials. We investigated the multi-layer resist process stacked 4 layers (resist / thin BARC / silicon-contained BARC (Si-ARC) / spin on carbon hard mask (SOC)) (4 layers process). 4 layers process showed the excellent lithographic performance and pattern transfer performance. In this paper, we will discuss the detail of our approach and materials for 4 layers process.

  7. Laser cutting of irregular shape object based on stereo vision laser galvanometric scanning system

    NASA Astrophysics Data System (ADS)

    Qi, Li; Zhang, Yixin; Wang, Shun; Tang, Zhiqiang; Yang, Huan; Zhang, Xuping

    2015-05-01

    Irregular shape objects with different 3-dimensional (3D) appearances are difficult to be shaped into customized uniform pattern by current laser machining approaches. A laser galvanometric scanning system (LGS) could be a potential candidate since it can easily achieve path-adjustable laser shaping. However, without knowing the actual 3D topography of the object, the processing result may still suffer from 3D shape distortion. It is desirable to have a versatile auxiliary tool that is capable of generating 3D-adjusted laser processing path by measuring the 3D geometry of those irregular shape objects. This paper proposed the stereo vision laser galvanometric scanning system (SLGS), which takes the advantages of both the stereo vision solution and conventional LGS system. The 3D geometry of the object obtained by the stereo cameras is used to guide the scanning galvanometers for 3D-shape-adjusted laser processing. In order to achieve precise visual-servoed laser fabrication, these two independent components are integrated through a system calibration method using plastic thin film target. The flexibility of SLGS has been experimentally demonstrated by cutting duck feathers for badminton shuttle manufacture.

  8. Optical design of laser transmission system

    NASA Astrophysics Data System (ADS)

    Zhang, Yulan; Feng, Jinliang; Li, Yongliang; Yang, Jiandong

    1998-08-01

    This paper discusses a design of optical transfer system used in carbon-dioxide laser therapeutic machine. The design of this system is according to the requirement of the therapeutic machine. The therapeutic machine requires the movement of laser transfer system is similar to the movement of human beings arms, which possesses 7 rotating hinges. We use optical hinges, which is composed of 45 degree mirrors. Because the carbon-dioxide laser mode is not good, light beam diameter at focus and divergence angle dissemination are big, we use a collecting lens at the transfer system output part in order to make the light beam diameter at focus in 0.2 to approximately 0.3 mm. For whole system the focus off-axis error is less than 0.5 mm, the transfer power consumption is smaller than 10%. The system can move in three dimension space freely and satisfies the therapeutic machine requirement.

  9. Method and system for modulation of gain suppression in high average power laser systems

    DOEpatents

    Bayramian, Andrew James [Manteca, CA

    2012-07-31

    A high average power laser system with modulated gain suppression includes an input aperture associated with a first laser beam extraction path and an output aperture associated with the first laser beam extraction path. The system also includes a pinhole creation laser having an optical output directed along a pinhole creation path and an absorbing material positioned along both the first laser beam extraction path and the pinhole creation path. The system further includes a mechanism operable to translate the absorbing material in a direction crossing the first laser beam extraction laser path and a controller operable to modulate the second laser beam.

  10. Lead zirconate titanate nanoscale patterning by ultraviolet-based lithography lift-off technique for nano-electromechanical system applications.

    PubMed

    Guillon, Samuel; Saya, Daisuke; Mazenq, Laurent; Costecalde, Jean; Rèmiens, Denis; Soyer, Caroline; Nicu, Liviu

    2012-09-01

    The advantage of using lead zirconate titanate (PbZr(0.54)Ti(0.46)O(3)) ceramics as an active material in nanoelectromechanical systems (NEMS) comes from its relatively high piezoelectric coefficients. However, its integration within a technological process is limited by the difficulty of structuring this material with submicrometer resolution at the wafer scale. In this work, we develop a specific patterning method based on optical lithography coupled with a dual-layer resist process. The main objective is to obtain sub-micrometer features by lifting off a 100-nm-thick PZT layer while preserving the material's piezoelectric properties. A subsequent result of the developed method is the ability to stack several layers with a lateral resolution of few tens of nanometers, which is mandatory for the fabrication of NEMS with integrated actuation and read-out capabilities.

  11. Parametric infrared tunable laser system

    NASA Technical Reports Server (NTRS)

    Garbuny, M.; Henningsen, T.; Sutter, J. R.

    1980-01-01

    A parametric tunable infrared laser system was built to serve as transmitter for the remote detection and density measurement of pollutant, poisonous, or trace gases in the atmosphere. The system operates with a YAG:Nd laser oscillator amplifier chain which pumps a parametric tunable frequency converter. The completed system produced pulse energies of up to 30 mJ. The output is tunable from 1.5 to 3.6 micrometers at linewidths of 0.2-0.5 /cm (FWHM), although the limits of the tuning range and the narrower line crystals presently in the parametric converter by samples of the higher quality already demonstrated is expected to improve the system performance further.

  12. Indus-2 X-ray lithography beamline for X-ray optics and material science applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dhamgaye, V. P., E-mail: vishal@rrcat.gov.in; Lodha, G. S., E-mail: vishal@rrcat.gov.in

    2014-04-24

    X-ray lithography is an ideal technique by which high aspect ratio and high spatial resolution micro/nano structures are fabricated using X-rays from synchrotron radiation source. The technique has been used for fabricating optics (X-ray, visible and infrared), sensors and actuators, fluidics and photonics. A beamline for X-ray lithography is operational on Indus-2. The beamline offers wide lithographic window from 1-40keV photon energy and wide beam for producing microstructures in polymers upto size ∼100mm × 100mm. X-ray exposures are possible in air, vacuum and He gas environment. The air based exposures enables the X-ray irradiation of resist for lithography and alsomore » irradiation of biological and liquid samples.« less

  13. Copper vapor laser acoustic thermometry system

    DOEpatents

    Galkowski, Joseph J.

    1987-01-01

    A copper vapor laser (CVL) acoustic thermometry system is disclosed. The invention couples an acoustic pulse a predetermined distance into a laser tube by means of a transducer and an alumina rod such that an echo pulse is returned along the alumina rod to the point of entry. The time differential between the point of entry of the acoustic pulse into the laser tube and the exit of the echo pulse is related to the temperature at the predetermined distance within the laser tube. This information is processed and can provide an accurate indication of the average temperature within the laser tube.

  14. Laterally Coupled Quantum-Dot Distributed-Feedback Lasers

    NASA Technical Reports Server (NTRS)

    Qui, Yueming; Gogna, Pawan; Muller, Richard; Maker, paul; Wilson, Daniel; Stintz, Andreas; Lester, Luke

    2003-01-01

    InAs quantum-dot lasers that feature distributed feedback and lateral evanescent- wave coupling have been demonstrated in operation at a wavelength of 1.3 m. These lasers are prototypes of optical-communication oscillators that are required to be capable of stable single-frequency, single-spatial-mode operation. A laser of this type (see figure) includes an active layer that comprises multiple stacks of InAs quantum dots embedded within InGaAs quantum wells. Distributed feedback is provided by gratings formed on both sides of a ridge by electron lithography and reactive-ion etching on the surfaces of an AlGaAs/GaAs waveguide. The lateral evanescent-wave coupling between the gratings and the wave propagating in the waveguide is strong enough to ensure operation at a single frequency, and the waveguide is thick enough to sustain a stable single spatial mode. In tests, the lasers were found to emit continuous-wave radiation at temperatures up to about 90 C. Side modes were found to be suppressed by more than 30 dB.

  15. State of the art of CO laser angioplasty system

    NASA Astrophysics Data System (ADS)

    Arai, Tsunenori; Mizuno, Kyoichi; Miyamoto, Akira; Sakurada, Masami; Kikuchi, Makoto; Kurita, Akira; Nakamura, Haruo; Takaoka, Hidetsugu; Utsumi, Atsushi; Takeuchi, Kiyoshi

    1994-07-01

    A unique percutaneous transluminal coronary angioplasty system new IR therapy laser with IR glass fiber delivery under novel angioscope guidance was described. Carbon monoxide (CO) laser emission of 5 mm in wavelength was employed as therapy laser to achieve precise ablation of atheromatous plaque with a flexible As-S IR glass fiber for laser delivery. We developed the first medical CO laser as well as As-S IR glass fiber cable. We also developed 5.5 Fr. thin angioscope catheter with complete directional manipulatability at its tip. The system control unit could manage to prevent failure irradiations and fiber damages. This novel angioplasty system was evaluated by a stenosis model of mongrel dogs. We demonstrated the usefulness of our system to overcome current issues on laser angioplasty using multifiber catheter with over-the-guidewire system.

  16. Laser beam distribution system for the HiLASE Center

    NASA Astrophysics Data System (ADS)

    Macúchová, Karolina; Heřmánek, Jan; Kaufman, Jan; Muresan, Mihai-George; Růžička, Jan; Řeháková, Martina; Divoký, Martin; Švandrlík, Luděk.; Mocek, Tomáś

    2017-12-01

    We report recent progress in design and testing of a distribution system for high-power laser beam delivery developed within the HiLASE project of the IOP in the Czech Republic. Laser beam distribution system is a technical system allowing safe and precise distribution of different laser beams from laboratories to several experimental stations. The unique nature of HiLASE lasers requires new approach, which makes design of the distribution system a state-of-the-art challenge.

  17. Optimizing laser beam profiles using micro-lens arrays for efficient material processing: applications to solar cells

    NASA Astrophysics Data System (ADS)

    Hauschild, Dirk; Homburg, Oliver; Mitra, Thomas; Ivanenko, Mikhail; Jarczynski, Manfred; Meinschien, Jens; Bayer, Andreas; Lissotschenko, Vitalij

    2009-02-01

    High power laser sources are used in various production tools for microelectronic products and solar cells, including the applications annealing, lithography, edge isolation as well as dicing and patterning. Besides the right choice of the laser source suitable high performance optics for generating the appropriate beam profile and intensity distribution are of high importance for the right processing speed, quality and yield. For industrial applications equally important is an adequate understanding of the physics of the light-matter interaction behind the process. In advance simulations of the tool performance can minimize technical and financial risk as well as lead times for prototyping and introduction into series production. LIMO has developed its own software founded on the Maxwell equations taking into account all important physical aspects of the laser based process: the light source, the beam shaping optical system and the light-matter interaction. Based on this knowledge together with a unique free-form micro-lens array production technology and patented micro-optics beam shaping designs a number of novel solar cell production tool sub-systems have been built. The basic functionalities, design principles and performance results are presented with a special emphasis on resilience, cost reduction and process reliability.

  18. Suppression of Laser Shot Noise Using Laser-Cooled OptoMechanical Systems

    DTIC Science & Technology

    2010-04-22

    that this device will be able to demonstrate squeezing in a fairly short time . Background: The goal of this effort was to create laser light with...The public reporting burden for this collection of information is estimated to average 1 hour per response, including the time for reviewing...REPORT Final report on Seedling project: "Suppression of Laser Shot Noise Using Laser -Cooled Opto-Mechanical Systems" 14. ABSTRACT 16. SECURITY

  19. Laser sensor system documentation.

    DOT National Transportation Integrated Search

    2017-03-01

    Phase 1 of TxDOT Project 0-6873, True Road Surface Deflection Measuring Device, developed a : laser sensor system based on several sensors mounted on a rigid beam. : This sensor system remains with CTR currently, as the project is moving into Phase 2...

  20. Material Processing Laser Systems In Production

    NASA Astrophysics Data System (ADS)

    Taeusch, David R.

    1988-11-01

    The laser processing system is now a respected, productive machine tool in the manufacturing industries. Systems in use today are proving their cost effectiveness and capabilities of processing quality parts. Several types of industrial lasers are described and their applications are discussed, with emphasis being placed on the production environment and methods of protection required for optical equipment against this normally hostile environment.

  1. Efficient dielectric metasurface collimating lenses for mid-infrared quantum cascade lasers.

    PubMed

    Arbabi, Amir; Briggs, Ryan M; Horie, Yu; Bagheri, Mahmood; Faraon, Andrei

    2015-12-28

    Light emitted from single-mode semiconductor lasers generally has large divergence angles, and high numerical aperture lenses are required for beam collimation. Visible and near infrared lasers are collimated using aspheric glass or plastic lenses, yet collimation of mid-infrared quantum cascade lasers typically requires more costly aspheric lenses made of germanium, chalcogenide compounds, or other infrared-transparent materials. Here we report mid-infrared dielectric metasurface flat lenses that efficiently collimate the output beam of single-mode quantum cascade lasers. The metasurface lenses are composed of amorphous silicon posts on a flat sapphire substrate and can be fabricated at low cost using a single step conventional UV binary lithography. Mid-infrared radiation from a 4.8 μm distributed-feedback quantum cascade laser is collimated using a polarization insensitive metasurface lens with 0.86 numerical aperture and 79% transmission efficiency. The collimated beam has a half divergence angle of 0.36° and beam quality factor of M2=1.02.

  2. Efficient dielectric metasurface collimating lenses for mid-infrared quantum cascade lasers

    DOE PAGES

    Arbabi, Amir; Briggs, Ryan M.; Horie, Yu; ...

    2015-01-01

    Light emitted from single-mode semiconductor lasers generally has large divergence angles, and high numerical aperture lenses are required for beam collimation. Visible and near infrared lasers are collimated using aspheric glass or plastic lenses, yet collimation of mid-infrared quantum cascade lasers typically requires more costly aspheric lenses made of germanium, chalcogenide compounds, or other infrared-transparent materials. We report mid-infrared dielectric metasurface flat lenses that efficiently collimate the output beam of single-mode quantum cascade lasers. The metasurface lenses are composed of amorphous silicon posts on a flat sapphire substrate and can be fabricated at low cost using a single step conventionalmore » UV binary lithography. Mid-infrared radiation from a 4.8 μm distributed-feedback quantum cascade laser is collimated using a polarization insensitive metasurface lens with 0.86 numerical aperture and 79% transmission efficiency. The collimated beam has a half divergence angle of 0.36° and beam quality factor of M² =1.02.« less

  3. Laser-GMA Hybrid Pipe Welding System

    DTIC Science & Technology

    2007-11-01

    Experimental Results.................................................................................................34 Autogenous Laser Welds...APPENDIX B. Training Manual – Overview of System Components and Software...................... APPENDIX C. NASSCO...17. Autogenous laser welds in different joint configurations (10 mm thick mild steel, 5 mm land

  4. Laser system development for gravitational-wave interferometry in space

    NASA Astrophysics Data System (ADS)

    Numata, Kenji; Yu, Anthony W.; Camp, Jordan B.; Krainak, Michael A.

    2018-02-01

    A highly stable and robust laser system is a key component of the space-based Laser Interferometer Space Antenna (LISA) mission, which is designed to detect gravitational waves from various astronomical sources. The baseline architecture for the LISA laser consists of a low-power, low-noise Nd:YAG non-planar ring oscillator (NPRO) followed by a diode-pumped Yb-fiber amplifier with 2 W output. We are developing such laser system at the NASA Goddard Space Flight Center (GSFC), as well as investigating other laser options. In this paper, we will describe our progress to date and plans to demonstrate a technology readiness level (TRL) 6 LISA laser system.

  5. Design and fabrication of spectrally selective emitter for thermophotovoltaic system by using nano-imprint lithography

    NASA Astrophysics Data System (ADS)

    Kim, Jong-Moo; Park, Keum-Hwan; Kim, Da-Som; Hwang, Bo-yeon; Kim, Sun-Kyung; Chae, Hee-Man; Ju, Byeong-Kwon; Kim, Young-Seok

    2018-01-01

    Thermophotovoltaic (TPV) systems have attracted attention as promising power generation systems that can directly convert the radiant energy produced by the combustion of fuel into electrical energy. However, there is a fundamental limit of their conversion efficiency due to the broadband distribution of the radiant spectrum. To overcome this problem, several spectrally selective thermal emitter technologies have been investigated, including the fabrication of photonic crystal (PhC) structures. In this paper, we present some design rules based on finite-a difference time-domain (FDTD) simulation results for tungsten (W) PhC emitter. The W 2D PhC was fabricated by a simple nano-imprint lithography (NIL) process, and inductive coupled plasma reactive ion etching (ICP-RIE) with an isotropic etching process, the benefits and parameters of which are presented. The fabricated W PhC emitter showed spectrally selective emission near the infrared wavelength range, and the optical properties varied depending on the size of the nano-patterns. The measured results of the fabricated prototype structure correspond well to the simulated values. Finally, compared with the performance of a flat W emitter, the total thermal emitter efficiency was almost 3.25 times better with the 2D W PhC structure.

  6. Nano-porous electrode systems by colloidal lithography for sensitive electrochemical detection: fabrication technology and properties

    NASA Astrophysics Data System (ADS)

    Lohmüller, Theobald; Müller, Ulrich; Breisch, Stefanie; Nisch, Wilfried; Rudorf, Ralf; Schuhmann, Wolfgang; Neugebauer, Sebastian; Kaczor, Markus; Linke, Stephan; Lechner, Sebastian; Spatz, Joachim; Stelzle, Martin

    2008-11-01

    A porous metal-insulator-metal sensor system was developed with the ultimate goal of enhancing the sensitivity of electrochemical sensors by taking advantage of redox cycling of electro active molecules between closely spaced electrodes. The novel fabrication technology is based on thin film deposition in combination with colloidal self-assembly and reactive ion etching to create micro- or nanopores. This cost effective approach is advantageous compared to common interdigitated electrode arrays (IDA) since it does not require high definition lithography technology. Spin-coating and random particle deposition, combined with a new sublimation process are discussed as competing strategies to generate monolayers of colloidal spheres. Metal-insulator-metal layer systems with low leakage currents < 10 pA and an insulator thickness as low as 100 nm were obtained at high yield (typically > 90%). We also discuss possible causes of sensor failure with respect to critical fabrication processes. Short circuits which could occur during or as a result of the pore etching process were investigated in detail. Infrared microscopy in combination with focused ion beam etching/SEM were used to reveal a defect mechanism creating interconnects and increased leakage current between the top and bottom electrodes. Redox cycling provides for amplification factors of >100. A general applicability for electrochemical diagnostic assays is therefore anticipated.

  7. Diffractive element in extreme-UV lithography condenser

    DOEpatents

    Sweatt, William C.; Ray-Chaudhuri, Avijit

    2001-01-01

    Condensers having a mirror with a diffraction grating in projection lithography using extreme ultra-violet significantly enhances critical dimension control. The diffraction grating has the effect of smoothing the illumination at the camera's entrance pupil with minimum light loss. Modeling suggests that critical dimension control for 100 nm features can be improved from 3 nm to less than about 0.5 nm.

  8. Diffractive element in extreme-UV lithography condenser

    DOEpatents

    Sweatt, William C.; Ray-Chaudhurl, Avijit K.

    2000-01-01

    Condensers having a mirror with a diffraction grating in projection lithography using extreme ultra-violet significantly enhances critical dimension control. The diffraction grating has the effect of smoothing the illumination at the camera's entrance pupil with minimum light loss. Modeling suggests that critical dimension control for 100 nm features can be improved from 3 nm to less than about 0.5 nm.

  9. Photomask quality evaluation using lithography simulation and multi-detector MVM-SEM

    NASA Astrophysics Data System (ADS)

    Ito, Keisuke; Murakawa, Tsutomu; Fukuda, Naoki; Shida, Soichi; Iwai, Toshimichi; Matsumoto, Jun; Nakamura, Takayuki; Matsushita, Shohei; Hagiwara, Kazuyuki; Hara, Daisuke

    2013-06-01

    The detection and management of mask defects which are transferred onto wafer becomes more important day by day. As the photomask patterns becomes smaller and more complicated, using Inverse Lithography Technology (ILT) and Source Mask Optimization (SMO) with Optical Proximity Correction (OPC). To evaluate photomask quality, the current method uses aerial imaging by optical inspection tools. This technique at 1Xnm node has a resolution limit because small defects will be difficult to detect. We already reported the MEEF influence of high-end photomask using wide FOV SEM contour data of "E3630 MVM-SEM®" and lithography simulator "TrueMask® DS" of D2S Inc. in the prior paper [1]. In this paper we evaluate the correlation between our evaluation method and optical inspection tools as ongoing assessment. Also in order to reduce the defect classification work, we can compose the 3 Dimensional (3D) information of defects and can judge whether repairs of defects would be required. Moreover, we confirm the possibility of wafer plane CD measurement based on the combination between E3630 MVM-SEM® and 3D lithography simulation.

  10. Guidance system for laser targets

    DOEpatents

    Porter, Gary D.; Bogdanoff, Anatoly

    1978-01-01

    A system for guiding charged laser targets to a predetermined focal spot of a laser along generally arbitrary, and especially horizontal, directions which comprises a series of electrostatic sensors which provide inputs to a computer for real time calculation of position, velocity, and direction of the target along an initial injection trajectory, and a set of electrostatic deflection means, energized according to a calculated output of said computer, to change the target trajectory to intercept the focal spot of the laser which is triggered so as to illuminate the target of the focal spot.

  11. High Quality 3D Photonics using Nano Imprint Lithography of Fast Sol-gel Materials.

    PubMed

    Bar-On, Ofer; Brenner, Philipp; Siegle, Tobias; Gvishi, Raz; Kalt, Heinz; Lemmer, Uli; Scheuer, Jacob

    2018-05-18

    A method for the realization of low-loss integrated optical components is proposed and demonstrated. This approach is simple, fast, inexpensive, scalable for mass production, and compatible with both 2D and 3D geometries. The process is based on a novel dual-step soft nano imprint lithography process for producing devices with smooth surfaces, combined with fast sol-gel technology providing highly transparent materials. As a concrete example, this approach is demonstrated on a micro ring resonator made by direct laser writing (DLW) to achieve a quality factor improvement from one hundred thousand to more than 3 million. To the best of our knowledge this also sets a Q-factor record for UV-curable integrated micro-ring resonators. The process supports the integration of many types of materials such as light-emitting, electro-optic, piezo-electric, and can be readily applied to a wide variety of devices such as waveguides, lenses, diffractive elements and more.

  12. High power laser downhole cutting tools and systems

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zediker, Mark S; Rinzler, Charles C; Faircloth, Brian O

    Downhole cutting systems, devices and methods for utilizing 10 kW or more laser energy transmitted deep into the earth with the suppression of associated nonlinear phenomena. Systems and devices for the laser cutting operations within a borehole in the earth. These systems and devices can deliver high power laser energy down a deep borehole, while maintaining the high power to perform cutting operations in such boreholes deep within the earth.

  13. Grayscale photomask fabricated by laser direct writing in metallic nano-films.

    PubMed

    Guo, Chuan Fei; Cao, Sihai; Jiang, Peng; Fang, Ying; Zhang, Jianming; Fan, Yongtao; Wang, Yongsheng; Xu, Wendong; Zhao, Zhensheng; Liu, Qian

    2009-10-26

    The grayscale photomask plays a key role in grayscale lithography for creating 3D microstructures like micro-optical elements and MEMS structures, but how to fabricate grayscale masks in a cost-effective way is still a big challenge. Here we present novel low cost grayscale masks created in a two-step method by laser direct writing on Sn nano-films, which demonstrate continuous-tone gray levels depended on writing powers. The mechanism of the gray levels is due to the coexistence of the metal and the oxides formed in a laser-induced thermal process. The photomasks reveal good technical properties in fabricating 3D microstructures for practical applications.

  14. Sub-10-nm suspended nano-web formation by direct laser writing

    NASA Astrophysics Data System (ADS)

    Wang, Sihao; Yu, Ye; Liu, Hailong; Lim, Kevin T. P.; Madurai Srinivasan, Bharathi; Zhang, Yong Wei; Yang, Joel K. W.

    2018-06-01

    A diffraction-limited three-dimensional (3D) direct laser writing (DLW) system based on two-photon polymerization can routinely pattern structures at the 100 nm length scale. Several schemes have been developed to improve the patterning resolution of 3D DLW but often require customized resist formulations or multi-wavelength exposures. Here, we introduce a scheme to produce suspended nano-webs with feature sizes below 10 nm in IP-Dip resist using sub-threshold exposure conditions in a commercial DLW system. The narrowest suspended lines (nano-webs) measured 7 nm in width. Larger ∼20 nm nano-webs were patterned with ∼80% yield at increased laser powers. In addition, closely spaced nano-gaps with a center-to-center distance of 33 nm were produced by patterning vertically displaced suspended lines followed by metal deposition and liftoff. We provide hypotheses and present preliminary results for a mechanism involving the initiation of a percolative path and a strain-induced narrowing in the nano-web formation. Our approach allows selective features to be patterned with dimensions comparable to the sub-10 nm patterning capability of electron-beam lithography (EBL).

  15. Non-contact finger vein acquisition system using NIR laser

    NASA Astrophysics Data System (ADS)

    Kim, Jiman; Kong, Hyoun-Joong; Park, Sangyun; Noh, SeungWoo; Lee, Seung-Rae; Kim, Taejeong; Kim, Hee Chan

    2009-02-01

    Authentication using finger vein pattern has substantial advantage than other biometrics. Because human vein patterns are hidden inside the skin and tissue, it is hard to forge vein structure. But conventional system using NIR LED array has two drawbacks. First, direct contact with LED array raise sanitary problem. Second, because of discreteness of LEDs, non-uniform illumination exists. We propose non-contact finger vein acquisition system using NIR laser and Laser line generator lens. Laser line generator lens makes evenly distributed line laser from focused laser light. Line laser is aimed on the finger longitudinally. NIR camera was used for image acquisition. 200 index finger vein images from 20 candidates are collected. Same finger vein pattern extraction algorithm was used to evaluate two sets of images. Acquired images from proposed non-contact system do not show any non-uniform illumination in contrary with conventional system. Also results of matching are comparable to conventional system. We developed Non-contact finger vein acquisition system. It can prevent potential cross contamination of skin diseases. Also the system can produce uniformly illuminated images unlike conventional system. With the benefit of non-contact, proposed system shows almost equivalent performance compared with conventional system.

  16. Laser beam modeling in optical storage systems

    NASA Technical Reports Server (NTRS)

    Treptau, J. P.; Milster, T. D.; Flagello, D. G.

    1991-01-01

    A computer model has been developed that simulates light propagating through an optical data storage system. A model of a laser beam that originates at a laser diode, propagates through an optical system, interacts with a optical disk, reflects back from the optical disk into the system, and propagates to data and servo detectors is discussed.

  17. Pedestal cleaning for high laser pulse contrast ratio with a 100 TW class laser system.

    PubMed

    Fourmaux, S; Payeur, S; Buffechoux, S; Lassonde, P; St-Pierre, C; Martin, F; Kieffer, J C

    2011-04-25

    Laser matter interaction at relativistic intensities using 100 TW class laser systems or higher is becoming more and more widespread. One of the critical issues of such laser systems is to let the laser pulse interact at high intensity with the solid target and avoid any pre-plasma. Thus, a high Laser Pulse Contrast Ratio (LPCR) parameter is of prime importance. We present the LPCR characterization of a high repetition 100 TW class laser system. We demonstrate that the generated Amplified Spontaneous Emission (ASE) degrades the overall LPCR performance. We propose a simple way to clean the pulse after the first amplification stage by introducing a solid state saturable absorber which results in a LPCR improvement to better than 10(10) with only a 30% energy loss at a 10 Hz repetition rate. We finally correlated this cleaning method with experimental results.

  18. An auto-locked diode laser system for precision metrology

    NASA Astrophysics Data System (ADS)

    Beica, H. C.; Carew, A.; Vorozcovs, A.; Dowling, P.; Pouliot, A.; Barron, B.; Kumarakrishnan, A.

    2017-05-01

    We present a unique external cavity diode laser system that can be auto-locked with reference to atomic and molecular spectra. The vacuum-sealed laser head design uses an interchangeable base-plate comprised of a laser diode and optical elements that can be selected for desired wavelength ranges. The feedback light to the laser diode is provided by a narrow-band interference filter, which can be tuned from outside the laser cavity to fineadjust the output wavelength in vacuum. To stabilize the laser frequency, the digital laser controller relies either on a pattern-matching algorithm stored in memory, or on first or third derivative feedback. We have used the laser systems to perform spectroscopic studies in rubidium at 780 nm, and in iodine at 633 nm. The linewidth of the 780-nm laser system was measured to be ˜500 kHz, and we present Allan deviation measurements of the beat note and the lock stability. Furthermore, we show that the laser system can be the basis for a new class of lidar transmitters in which a temperature-stabilized fiber-Bragg grating is used to generate frequency references for on-line points of the transmitter. We show that the fiber-Bragg grating spectra can be calibrated with reference to atomic transitions.

  19. Test techniques for determining laser ranging system performance

    NASA Technical Reports Server (NTRS)

    Zagwodzki, T. W.

    1981-01-01

    Procedures and results of an on going test program intended to evaluate laser ranging system performance levels in the field as well as in the laboratory are summarized. Tests show that laser ranging system design requires consideration of time biases and RMS jitters of individual system components. All simple Q switched lasers tested were found to be inadequate for 10 centimeter ranging systems. Timing discriminators operating over a typical 100:1 dynamic signal range may introduce as much as 7 to 9 centimeters of range bias. Time interval units commercially available today are capable of half centimeter performance and are adequate for all field systems currently deployed. Photomultipliers tested show typical tube time biases of one centimeter with single photoelectron transit time jitter of approximately 10 centimeters. Test results demonstrate that NASA's Mobile Laser Ranging System (MOBLAS) receiver configuration is limiting system performance below the 100 photoelectron level.

  20. A Laser Stabilization System for Rydberg Atom Physics

    DTIC Science & Technology

    2015-09-06

    offset locking method which we did. For each system, a small amount of light from a 852 nm (780 nm) diode laser is picked off from the output beam ...this way, tunable sidebands, from 1-10 GHz, that are themselves modulated at .05-5 MHz, can be generated on the input laser beam . The light from the...phase modulation signal. This signal is fed back into the fast (10 MHz bandwidth) locking electronics of the diode laser system to lock the laser to

  1. Laser-assisted electrochemical micromachining of mould cavity on the stainless steel surface

    NASA Astrophysics Data System (ADS)

    Li, Xiaohai; Wang, Shuming; Wang, Dong; Tong, Han

    2018-02-01

    In order to fabricate the micro mould cavities with complex structures on 304 stainless steel, laser-assisted electrochemical micromachining (EMM) based on surface modification by fiber laser masking was studied,and a new device of laser-assisted EMM was developed. Laser marking on the surface of 304 stainless steel can first be realized by fiber laser heating scanning. Through analysis of X ray diffraction analysis (XRD), metal oxide layer with predefined pattern can be formed by laser marking, and phase transformation can also occur on the 304 stainless steel surface, which produce the laser masking layer with corrosion resistance. The stainless steel surface with laser masking layer is subsequently etched by EMM, the laser masking layer severs as the temporary protective layer without relying on lithography mask, the fabrication of formed electrodes is also avoided, so micro pattern cavities can fast be fabricated. The impacts on machining accuracy during EMM with laser masking were discussed to optimize machining parameters, such as machining voltage, electrolyte concentration, duty cycle of pulse power supply and electrode gap size, the typical mould cavities 23μm deep were fabricated under the optimized parameters.

  2. Laser-Directed Ranging System Implementing Single Camera System for Telerobotics Applications

    NASA Technical Reports Server (NTRS)

    Wells, Dennis L. (Inventor); Li, Larry C. (Inventor); Cox, Brian J. (Inventor)

    1995-01-01

    The invention relates generally to systems for determining the range of an object from a reference point and, in one embodiment, to laser-directed ranging systems useful in telerobotics applications. Digital processing techniques are employed which minimize the complexity and cost of the hardware and software for processing range calculations, thereby enhancing the commercial attractiveness of the system for use in relatively low-cost robotic systems. The system includes a video camera for generating images of the target, image digitizing circuitry, and an associated frame grabber circuit. The circuit first captures one of the pairs of stereo video images of the target, and then captures a second video image of the target as it is partly illuminated by the light beam, suitably generated by a laser. The two video images, taken sufficiently close together in time to minimize camera and scene motion, are converted to digital images and then compared. Common pixels are eliminated, leaving only a digital image of the laser-illuminated spot on the target. Mw centroid of the laser illuminated spot is dm obtained and compared with a predetermined reference point, predetermined by design or calibration, which represents the coordinate at the focal plane of the laser illumination at infinite range. Preferably, the laser and camera are mounted on a servo-driven platform which can be oriented to direct the camera and the laser toward the target. In one embodiment the platform is positioned in response to movement of the operator's head. Position and orientation sensors are used to monitor head movement. The disparity between the digital image of the laser spot and the reference point is calculated for determining range to the target. Commercial applications for the system relate to active range-determination systems, such as those used with robotic systems in which it is necessary to determine the, range to a workpiece or object to be grasped or acted upon by a robot arm end

  3. Curved grating fabrication techniques for concentric-circle grating, surface-emitting semiconductor lasers

    NASA Technical Reports Server (NTRS)

    Jordan, Rebecca H.; King, Oliver; Wicks, Gary W.; Hall, Dennis G.; Anderson, Erik H.; Rooks, Michael J.

    1993-01-01

    We describe the fabrication and operational characteristics of a novel, surface-emitting semiconductor laser that makes use of a concentric-circle grating to both define its resonant cavity and to provide surface emission. A properly fabricated circular grating causes the laser to operate in radially inward- and outward-going circular waves in the waveguide, thus, introducing the circular symmetry needed for the laser to emit a beam with a circular cross-section. The basic circular-grating-resonator concept can be implemented in any materials system; an AlGaAs/GaAs graded-index, separate confinement heterostructure (GRINSCH), single-quantum-well (SQW) semiconductor laser, grown by molecular beam epitaxy (MBE), was used for the experiments discussed here. Each concentric-circle grating was fabricated on the surface of the AlGaAs/GaAs semiconductor laser. The circular pattern was first defined by electron-beam (e-beam) lithography in a layer of polymethylmethacrylate (PMMA) and subsequently etched into the semiconductor surface using chemically-assisted (chlorine) ion-beam etching (CAIBE). We consider issues that affect the fabrication and quality of the gratings. These issues include grating design requirements, data representation of the grating pattern, and e-beam scan method. We provide examples of how these techniques can be implemented and their impact on the resulting laser performance. A comparison is made of the results obtained using two fundamentally different electron-beam writing systems. Circular gratings with period lambda = 0.25 microns and overall diameters ranging from 80 microns to 500 microns were fabricated. We also report our successful demonstration of an optically pumped, concentric-circle grating, semiconductor laser that emits a beam with a far-field divergence angle that is less than one degree. The emission spectrum is quite narrow (less than 0.1 nm) and is centered at wavelength lambda = 0.8175 microns.

  4. High power laser workover and completion tools and systems

    DOEpatents

    Zediker, Mark S; Rinzler, Charles C; Faircloth, Brian O; Koblick, Yeshaya; Moxley, Joel F

    2014-10-28

    Workover and completion systems, devices and methods for utilizing 10 kW or more laser energy transmitted deep into the earth with the suppression of associated nonlinear phenomena. Systems and devices for the laser workover and completion of a borehole in the earth. These systems and devices can deliver high power laser energy down a deep borehole, while maintaining the high power to perform laser workover and completion operations in such boreholes deep within the earth.

  5. Multiple pass laser amplifier system

    DOEpatents

    Brueckner, Keith A.; Jorna, Siebe; Moncur, N. Kent

    1977-01-01

    A laser amplification method for increasing the energy extraction efficiency from laser amplifiers while reducing the energy flux that passes through a flux limited system which includes apparatus for decomposing a linearly polarized light beam into multiple components, passing the components through an amplifier in delayed time sequence and recombining the amplified components into an in phase linearly polarized beam.

  6. A two-in-one process for reliable graphene transistors processed with photo-lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahlberg, P.; Hinnemo, M.; Song, M.

    2015-11-16

    Research on graphene field-effect transistors (GFETs) has mainly relied on devices fabricated using electron-beam lithography for pattern generation, a method that has known problems with polymer contaminants. GFETs fabricated via photo-lithography suffer even worse from other chemical contaminations, which may lead to strong unintentional doping of the graphene. In this letter, we report on a scalable fabrication process for reliable GFETs based on ordinary photo-lithography by eliminating the aforementioned issues. The key to making this GFET processing compatible with silicon technology lies in a two-in-one process where a gate dielectric is deposited by means of atomic layer deposition. During thismore » deposition step, contaminants, likely unintentionally introduced during the graphene transfer and patterning, are effectively removed. The resulting GFETs exhibit current-voltage characteristics representative to that of intrinsic non-doped graphene. Fundamental aspects pertaining to the surface engineering employed in this work are investigated in the light of chemical analysis in combination with electrical characterization.« less

  7. Optimized filtration for reduced defectivity and improved dispense recipe in 193-nm BARC lithography

    NASA Astrophysics Data System (ADS)

    Do, Phong; Pender, Joe; Lehmann, Thomas; Mc Ardle, Leo P.; Gotlinsky, Barry; Mesawich, Michael

    2004-05-01

    The implementation of 193 nm lithography into production has been complicated by high defectivity issues. Many companies have been struggling with high defect densities, forcing process and lithography engineers to focus their efforts on chemical filtration instead of process development. After-etch defects have complicated the effort to reduce this problem. In particular it has been determined that chemical filtration at the 90 nm node and below is a crucial item which current industry standard pump recipes and material choices are not able to address. LSI Logic and Pall Corporation have been working together exploring alternative materials and resist pump process parameters to address these issues. These changes will free up process development time by reducing these high defect density issues. This paper provides a fundamental understanding of how 20nm filtration combined with optimized resist pump set-up and dispense can significantly reduce defects in 193nm lithography. The purpose of this study is to examine the effectiveness of 20 nanometer rated filters to reduce various defects observed in bottom anti reflective coating materials. Multiple filter types were installed on a Tokyo Electron Limited Clean Track ACT8 tool utilizing two-stage resist pumps. Lithographic performance of the filtered resist and defect analysis of patterned and non-patterned wafers were performed. Optimized pump start-up and dispense recipes also were evaluated to determine their effect on defect improvements. The track system used in this experiment was a standard production tool and was not modified from its original specifications.

  8. Comparison of three different laser systems for application in dentistry

    NASA Astrophysics Data System (ADS)

    Mindermann, Anja; Niemz, M. H.; Eisenmann, L.; Loesel, Frieder H.; Bille, Josef F.

    1993-12-01

    Three different laser systems have been investigated according to their possible application in dentistry: a free running and a Q-switched microsecond Ho:YAG laser, a free running microsecond Er:YAG laser and picosecond Nd:YLF laser system consisting of an actively mode locked oscillator and a regenerative amplifier. The experiments focused on the question if lasers can support or maybe replace ordinary drilling machines. For this purpose several cavities were generated with the lasers mentioned above. Their depth and quality were judged by light and electron microscopy. The results of the experiments showed that the picosecond Nd:YLF laser system has advantages compared to other lasers regarding their application in dentistry.

  9. Development of a US Gravitational Wave Laser System for LISA

    NASA Technical Reports Server (NTRS)

    Camp, Jordan B.; Numata, Kenji

    2015-01-01

    A highly stable and robust laser system is a key component of the space-based LISA mission architecture.In this talk I will describe our plans to demonstrate a TRL 5 LISA laser system at Goddard Space Flight Center by 2016.The laser system includes a low-noise oscillator followed by a power amplifier. The oscillator is a low-mass, compact 10mW External Cavity Laser, consisting of a semiconductor laser coupled to an optical cavity, built by the laser vendorRedfern Integrated Optics. The amplifier is a diode-pumped Yb fiber with 2W output, built at Goddard. I will show noiseand reliability data for the full laser system, and describe our plans to reach TRL 5 by 2016.

  10. Method and system for powering and cooling semiconductor lasers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Telford, Steven J; Ladran, Anthony S

    A semiconductor laser system includes a diode laser tile. The diode laser tile includes a mounting fixture having a first side and a second side opposing the first side and an array of semiconductor laser pumps coupled to the first side of the mounting fixture. The semiconductor laser system also includes an electrical pulse generator thermally coupled to the diode bar and a cooling member thermally coupled to the diode bar and the electrical pulse generator.

  11. A 1J LD pumped Nd:YAG pulsed laser system

    NASA Astrophysics Data System (ADS)

    Yi, Xue-bin; Wang, Bin; Yang, Feng; Li, Jing; Liu, Ya-Ping; Li, Hui-Jun; Wang, Yu; Chen, Ren

    2017-11-01

    A 1J LD pumped Nd;YAG pulsed laser was designed. The laser uses an oscillation and two-staged amplification structure, and applies diode bar integrated array as side-pump. The TEC temperature control device combing liquid cooling system is organized to control the temperature of the laser system. This study also analyzed the theoretical threshold of working material, the effect of thermal lens and the basic principle of laser amplification. The results showed that the laser system can achieve 1J, 25Hz pulse laser output, and the laser pulse can be output at two width: 6-7ns and 10ns, respectively, and the original beam angle is 1.2mrad. The laser system is characterized by small size, light weight, as well as good stability, which make it being applied in varied fields such as photovoltaic radar platform and etc

  12. Printing Functional 3D Microdevices by Laser-Induced Forward Transfer.

    PubMed

    Luo, Jun; Pohl, Ralph; Qi, Lehua; Römer, Gert-Willem; Sun, Chao; Lohse, Detlef; Visser, Claas Willem

    2017-03-01

    Slender, out-of-plane metal microdevices are made in a new spatial domain, by using laser-induced forward transfer (LIFT) of metals. Here, a thermocouple with a thickness of 10 µm and a height of 250 µm, consisting of platinum and gold pillars is demonstrated. Multimaterial LIFT enables manufacturing in the micrometer to millimeter range, i.e., between lithography and other 3D printing technologies. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Reflex ring laser amplifier system

    DOEpatents

    Summers, M.A.

    1983-08-31

    The invention is a method and apparatus for providing a reflex ring laser system for amplifying an input laser pulse. The invention is particularly useful in laser fusion experiments where efficient production of high-energy and high power laser pulses is required. The invention comprises a large aperture laser amplifier in an unstable ring resonator which includes a combination spatial filter and beam expander having a magnification greater than unity. An input pulse is injected into the resonator, e.g., through an aperture in an input mirror. The injected pulse passes through the amplifier and spatial filter/expander components on each pass around the ring. The unstable resonator is designed to permit only a predetermined number of passes before the amplified pulse exits the resonator. On the first pass through the amplifier, the beam fills only a small central region of the gain medium. On each successive pass, the beam has been expanded to fill the next concentric non-overlapping region of the gain medium.

  14. Utilizing laser interference lithography to fabricate hierarchical optical active nanostructures inspired by the blue Morpho butterfly

    NASA Astrophysics Data System (ADS)

    Siddique, Radwanul H.; Faisal, Abrar; Hünig, Ruben; Bartels, Carolin; Wacker, Irene; Lemmer, Uli; Hoelscher, Hendrik

    2014-09-01

    The famous non-iridescent blue of the Morpho butter by is caused by a `Christmas tree' like nanostructure which is a challenge for common fabrication techniques. Here, we introduce a method to fabricate this complex morphology utilizing dual beam interference lithography. We add a reflective coating below the photoresist to create a second interference pattern in vertical direction by exploiting the back reflection from the substrate. This vertical pattern exposes the lamella structure into the photosensitive polymer while the horizontal interference pattern determines the distance of the ridges. The photosensitive polymer is chosen accordingly to create the Christmas tree' like tapered shape. The resulting artificial Morpho replica shows brilliant non-iridescent blue up to an incident angle of 40. Its optical properties are close to the original Morpho structure because the refractive index of the polymer is close to chitin. Moreover, the biomimetic surface is water repellent with a contact angle of 110.

  15. Laser multiplexing system

    DOEpatents

    Johnson, Steve A.; English, Jr., Ronald Edward; White, Ronald K.

    2001-01-01

    A plurality of copper lasers, as radiant power sources, emits a beam of power carrying radiation. A plurality of fiber injection assemblies receives power from the plurality of copper lasers and injects such power into a plurality of fibers for individually transmitting the received power to a plurality of power-receiving devices. The power-transmitting fibers of the system are so arranged that power is delivered therethrough to each of the power-receiving devices such that, even if a few of the radiant power sources and/or fibers fail, the power supply to any of the power receiving devices will not completely drop to zero but will drop by the same proportionate amount.

  16. Laser illuminator and optical system for disk patterning

    DOEpatents

    Hackel, Lloyd A.; Dane, C. Brent; Dixit, Shamasundar N.; Everett, Mathew; Honig, John

    2000-01-01

    Magnetic recording media are textured over areas designated for contact in order to minimize friction with data transducing heads. In fabricating a hard disk, an aluminum nickel-phosphorous substrate is polished to a specular finish. A mechanical means is then used to roughen an annular area intended to be the head contact band. An optical and mechanical system allows thousands of spots to be generated with each laser pulse, allowing the textured pattern to be rapidly generated with a low repetition rate laser and an uncomplicated mechanical system. The system uses a low power laser, a beam expander, a specially designed phase plate, a prism to deflect the beam, a lens to transmit the diffraction pattern to the far field, a mechanical means to rotate the pattern and a trigger system to fire the laser when sections of the pattern are precisely aligned. The system generates an annular segment of the desired pattern with which the total pattern is generated by rotating the optical system about its optic axis, sensing the rotational position and firing the laser as the annular segment rotates into the next appropriate position. This marking system can be integrated into a disk sputtering system for manufacturing magnetic disks, allowing for a very streamlined manufacturing process.

  17. 3D Laser System

    NASA Image and Video Library

    2015-09-16

    NASA Glenn's Icing Research Tunnel 3D Laser System used for digitizing ice shapes created in the wind tunnel. The ice shapes are later utilized for characterization, analysis, and software development.

  18. Simulation of exposure and alignment for nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Deng, Yunfei; Neureuther, Andrew R.

    2002-07-01

    Rigorous electromagnetic simulation with TEMPEST is used to examine the exposure and alignment processes for nano-imprint lithography with attenuating thin-film molds. Parameters in the design of topographical features of the nano-imprint system and material choices of the components are analyzed. The small feature size limits light transmission through the feature. While little can be done with auxiliary structures to attract light into small holes, the use of an absorbing material with a low real part of the refractive index such as silver helps mitigates the problem. Results on complementary alignment marks shows that the small transmission through the metal layer and the vertical separation of two alignment marks create the leakage equivalent to 1 nm misalignment but satisfactory alignment can be obtained by measuring alignment signals over a +/- 30 nm range.

  19. Control electronics for a multi-laser/multi-detector scanning system

    NASA Technical Reports Server (NTRS)

    Kennedy, W.

    1980-01-01

    The Mars Rover Laser Scanning system uses a precision laser pointing mechanism, a photodetector array, and the concept of triangulation to perform three dimensional scene analysis. The system is used for real time terrain sensing and vision. The Multi-Laser/Multi-Detector laser scanning system is controlled by a digital device called the ML/MD controller. A next generation laser scanning system, based on the Level 2 controller, is microprocessor based. The new controller capabilities far exceed those of the ML/MD device. The first draft circuit details and general software structure are presented.

  20. Investigation on cone jetting regimes of liquid droplets subjected to pyroelectric fields induced by laser blasts

    NASA Astrophysics Data System (ADS)

    Gennari, Oriella; Battista, Luigi; Silva, Benjamin; Grilli, Simonetta; Miccio, Lisa; Vespini, Veronica; Coppola, Sara; Orlando, Pierangelo; Aprin, Laurent; Slangen, Pierre; Ferraro, Pietro

    2015-02-01

    Electrical conductivity and viscosity play a major role in the tip jetting behaviour of liquids subjected to electrohydrodynamic (EHD) forces, thus influencing significantly the printing performance. Recently, we developed a nozzle- and electrode-free pyro-EHD system as a versatile alternative to conventional EHD configurations and we demonstrated different applications, including inkjet printing and three-dimensional lithography. However, only dielectric fluids have been used in all of those applications. Here, we present an experimental characterization of the pyro-EHD jetting regimes, induced by laser blasts, of sessile drops in case of dielectric and conductive liquids in order to extend the applicability of the system to a wider variety of fields including biochemistry and biotechnology where conductive aqueous solutions are typically used.

  1. Tracking Control and System Development for Laser-Driven Micro-Vehicles

    NASA Astrophysics Data System (ADS)

    Kajiwara, Itsuro; Hoshino, Kentaro; Hara, Shinji; Shiokata, Daisuke; Yabe, Takashi

    The purpose of this paper is to design a control system for an integrated laser propulsion/tracking system to achieve continuous motion and control of laser-driven micro-vehicles. Laser propulsion is significant in achieving miniature and light micro-vehicles. A laser-driven micro-airplane has been studied using a paper airplane and YAG laser, resulting in successful gliding of the airplane. High-performance laser tracking control is required to achieve continuous flight. This paper presents a control design strategy based on the generalized Kalman-Yakubovic-Popov lemma to achieve this requirement. Experiments have been carried out to evaluate the performance of the integrated laser propulsion/tracking system.

  2. Imbalance aware lithography hotspot detection: a deep learning approach

    NASA Astrophysics Data System (ADS)

    Yang, Haoyu; Luo, Luyang; Su, Jing; Lin, Chenxi; Yu, Bei

    2017-03-01

    With the advancement of VLSI technology nodes, light diffraction caused lithographic hotspots have become a serious problem affecting manufacture yield. Lithography hotspot detection at the post-OPC stage is imperative to check potential circuit failures when transferring designed patterns onto silicon wafers. Although conventional lithography hotspot detection methods, such as machine learning, have gained satisfactory performance, with extreme scaling of transistor feature size and more and more complicated layout patterns, conventional methodologies may suffer from performance degradation. For example, manual or ad hoc feature extraction in a machine learning framework may lose important information when predicting potential errors in ultra-large-scale integrated circuit masks. In this paper, we present a deep convolutional neural network (CNN) targeting representative feature learning in lithography hotspot detection. We carefully analyze impact and effectiveness of different CNN hyper-parameters, through which a hotspot-detection-oriented neural network model is established. Because hotspot patterns are always minorities in VLSI mask design, the training data set is highly imbalanced. In this situation, a neural network is no longer reliable, because a trained model with high classification accuracy may still suffer from high false negative results (missing hotspots), which is fatal in hotspot detection problems. To address the imbalance problem, we further apply minority upsampling and random-mirror flipping before training the network. Experimental results show that our proposed neural network model achieves highly comparable or better performance on the ICCAD 2012 contest benchmark compared to state-of-the-art hotspot detectors based on deep or representative machine leaning.

  3. Imbalance aware lithography hotspot detection: a deep learning approach

    NASA Astrophysics Data System (ADS)

    Yang, Haoyu; Luo, Luyang; Su, Jing; Lin, Chenxi; Yu, Bei

    2017-07-01

    With the advancement of very large scale integrated circuits (VLSI) technology nodes, lithographic hotspots become a serious problem that affects manufacture yield. Lithography hotspot detection at the post-OPC stage is imperative to check potential circuit failures when transferring designed patterns onto silicon wafers. Although conventional lithography hotspot detection methods, such as machine learning, have gained satisfactory performance, with the extreme scaling of transistor feature size and layout patterns growing in complexity, conventional methodologies may suffer from performance degradation. For example, manual or ad hoc feature extraction in a machine learning framework may lose important information when predicting potential errors in ultra-large-scale integrated circuit masks. We present a deep convolutional neural network (CNN) that targets representative feature learning in lithography hotspot detection. We carefully analyze the impact and effectiveness of different CNN hyperparameters, through which a hotspot-detection-oriented neural network model is established. Because hotspot patterns are always in the minority in VLSI mask design, the training dataset is highly imbalanced. In this situation, a neural network is no longer reliable, because a trained model with high classification accuracy may still suffer from a high number of false negative results (missing hotspots), which is fatal in hotspot detection problems. To address the imbalance problem, we further apply hotspot upsampling and random-mirror flipping before training the network. Experimental results show that our proposed neural network model achieves comparable or better performance on the ICCAD 2012 contest benchmark compared to state-of-the-art hotspot detectors based on deep or representative machine leaning.

  4. Solid Freeform Fabrication Proceedings -1999

    DTIC Science & Technology

    1999-08-11

    geometry of the stylus. Some geometries cannot be used to acquire data if the part geometry interferes 48 with a feature on the part. Thus, the data...fabrication processing systems such as surface micro- machining and lithography . 63 Conclusion The LCVD system (figure 6) has the versatility and...part, creating STL (STereo Lithography ) or VRML (Virtual Reality Modeling Language) files, slicing them, converting into laser path files, and

  5. Laser and optical system for laser assisted hydrogen ion beam stripping at SNS

    DOE PAGES

    Liu, Y.; Rakhman, A.; Menshov, A.; ...

    2016-12-01

    A high-efficiency laser assisted hydrogen ion (H-) beam stripping was recently successfully carried out in the Spallation Neutron Source (SNS) accelerator. The experiment was not only an important step toward foil-less H- stripping for charge exchange injection, it also set up a first example of using megawatt ultraviolet (UV) laser source in an operational high power proton accelerator facility. This study reports in detail the design, installation, and commissioning result of a macro-pulsed multi-megawatt UV laser system and laser beam transport line for the laser stripping experiment.

  6. Laser and optical system for laser assisted hydrogen ion beam stripping at SNS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Y.; Rakhman, A.; Menshov, A.

    A high-efficiency laser assisted hydrogen ion (H-) beam stripping was recently successfully carried out in the Spallation Neutron Source (SNS) accelerator. The experiment was not only an important step toward foil-less H- stripping for charge exchange injection, it also set up a first example of using megawatt ultraviolet (UV) laser source in an operational high power proton accelerator facility. This study reports in detail the design, installation, and commissioning result of a macro-pulsed multi-megawatt UV laser system and laser beam transport line for the laser stripping experiment.

  7. 300-mW narrow-linewidth deep-ultraviolet light generation at 193 nm by frequency mixing between Yb-hybrid and Er-fiber lasers.

    PubMed

    Xuan, Hongwen; Zhao, Zhigang; Igarashi, Hironori; Ito, Shinji; Kakizaki, Kouji; Kobayashi, Yohei

    2015-04-20

    A narrow-linewidth, high average power deep-ultraviolet (DUV) coherent laser emitting at 193 nm is demonstrated by frequency mixing a Yb-hybrid laser with an Er-fiber laser. The Yb-hybrid laser consists of Yb-fiber lasers and an Yb:YAG amplifier. The average output power of the 193 nm laser is 310 mW at 6 kHz, which corresponds to a pulse energy of 51 μJ. To the best of our knowledge, this is the highest average power and pulse energy ever reported for a narrow-linewidth 193 nm light generated by a combination of solid-state and fiber lasers with frequency mixing. We believe this laser will be beneficial for the application of interference lithography by seeding an injection-locking ArF eximer laser.

  8. High refractive index nanocomposite fluids for immersion lithography.

    PubMed

    Bremer, L; Tuinier, R; Jahromi, S

    2009-02-17

    The concept of using dispersions of nanoparticles as high refractive index fluids in immersion lithography is examined both from a theoretical and experimental point of view. In the theoretical part we show that gelation and demixing can be controlled in high solid dispersions, needed to achieve a high (refractive) index, by using short stabilizing brushes. We considered both fluid-fluid demixing by using statistical thermodynamics and percolation, computed using liquid-state approaches. Whenever demixing or percolation takes place, the nanoparticle dispersion is unsuited for immersion lithography. The minimum thickness of the stabilizer layer of a stable suspension is estimated assuming particles plus steric stabilizer to act as hard spheres with van der Waals attraction between the cores. Since the van der Waals attraction can be related to the optical properties of the particles and dispersion medium, it is also possible to estimate the refractive index that can be attained with composite immersion fluids. Using materials that are known to be highly transparent in the bulk at a wavelength of 193 nm, indices above 1.8 can be attained. Other materials with higher indices are expected to be transparent at 193 nm due to a blue shift of the UV absorption and enable much higher indices. In the experiment, we show that it is possible to prepare suspensions with particles of about 4 nm diameter that increase the refractive index of the continuous phase with 0.2 at a wavelength of 193 nm. The refractive index and density of such dispersions are proportional to the volume fraction of the disperse phase, and it is shown that the refractive index of the composite fluid can be predicted very well from the optical properties of the components. Furthermore, successful imaging experiments were performed through a dispersion of silica nanoparticles. These findings lead to the conclusion that immersion lithography using nanoparticle dispersions is indeed possible.

  9. Deep X-ray lithography for the fabrication of microstructures at ELSA

    NASA Astrophysics Data System (ADS)

    Pantenburg, F. J.; Mohr, J.

    2001-07-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 μm are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  10. Novel organosilicone materials and patterning techniques for nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Pina, Carlos Alberto

    Nanoimprint Lithography (NIL) is a high-throughput patterning technique that allows the fabrication of nanostructures with great precision. It has been listed on the International Technology Roadmap for Semiconductors (ITRS) as a candidate technology for future generation Si chip manufacturing. In nanoimprint Lithography a resist material, e.g. a thermoplastic polymer, is placed in contact with a mold and then mechanically deformed under an applied load to transfer the nano-features on the mold surface into the resist. The success of NIL relies heavily in the capability of fabricating nanostructures on different types of materials. Thus, a key factor for NIL implementation in industrial settings is the development of advanced materials suitable as the nanoimprint resist. This dissertation focuses on the engineering of new polymer materials suitable as NIL resist. A variety of silicone-based polymer precursors were synthesized and formulated for NIL applications. High throughput and high yield nanopatterning was successfully achieved. Furthermore, additional capabilities of the developed materials were explored for a range of NIL applications such as their use as flexible, UV-transparent stamps and silicon compatible etching layers. Finally, new strategies were investigated to expand the NIL potentiality. High throughput, non-residual layer imprinting was achieved with the newly developed resist materials. In addition, several strategies were designed for the precise control of nanoscale size patterned structures with multifunctional resist systems by post-imprinting modification of the pattern size. These developments provide NIL with a new set of tools for a variety of additional important applications.

  11. Laser power conversion system analysis, volume 1

    NASA Technical Reports Server (NTRS)

    Jones, W. S.; Morgan, L. L.; Forsyth, J. B.; Skratt, J. P.

    1979-01-01

    The orbit-to-orbit laser energy conversion system analysis established a mission model of satellites with various orbital parameters and average electrical power requirements ranging from 1 to 300 kW. The system analysis evaluated various conversion techniques, power system deployment parameters, power system electrical supplies and other critical supplies and other critical subsystems relative to various combinations of the mission model. The analysis show that the laser power system would not be competitive with current satellite power systems from weight, cost and development risk standpoints.

  12. The application of phase grating to CLM technology for the sub-65nm node optical lithography

    NASA Astrophysics Data System (ADS)

    Yoon, Gi-Sung; Kim, Sung-Hyuck; Park, Ji-Soong; Choi, Sun-Young; Jeon, Chan-Uk; Shin, In-Kyun; Choi, Sung-Woon; Han, Woo-Sung

    2005-06-01

    As a promising technology for sub-65nm node optical lithography, CLM(Chrome-Less Mask) technology among RETs(Resolution Enhancement Techniques) for low k1 has been researched worldwide in recent years. CLM has several advantages, such as relatively simple manufacturing process and competitive performance compared to phase-edge PSM's. For the low-k1 lithography, we have researched CLM technique as a good solution especially for sub-65nm node. As a step for developing the sub-65nm node optical lithography, we have applied CLM technology in 80nm-node lithography with mesa and trench method. From the analysis of the CLM technology in the 80nm lithography, we found that there is the optimal shutter size for best performance in the technique, the increment of wafer ADI CD varied with pattern's pitch, and a limitation in patterning various shapes and size by OPC dead-zone - OPC dead-zone in CLM technique is the specific region of shutter size that dose not make the wafer CD increased more than a specific size. And also small patterns are easily broken, while fabricating the CLM mask in mesa method. Generally, trench method has better optical performance than mesa. These issues have so far restricted the application of CLM technology to a small field. We approached these issues with 3-D topographic simulation tool and found that the issues could be overcome by applying phase grating in trench-type CLM. With the simulation data, we made some test masks which had many kinds of patterns with many different conditions and analyzed their performance through AIMS fab 193 and exposure on wafer. Finally, we have developed the CLM technology which is free of OPC dead-zone and pattern broken in fabrication process. Therefore, we can apply the CLM technique into sub-65nm node optical lithography including logic devices.

  13. New 223-nm excimer laser surgical system for photorefractive keratectomy

    NASA Astrophysics Data System (ADS)

    Bagaev, Sergei N.; Razhev, Alexander M.; Zhupikov, Andrey A.

    1999-02-01

    The using of KrCl (223 nm) excimer laser in ophthalmic devices for Photorefractive Keratectomy (PRK) and phototherapeutic Keratectomy (PTK) is offered. The structure and functions of a new surgical UV ophthalmic laser systems Medilex using ArF (193 nm) or KrCl (223 nm) excimer laser for corneal surgery are presented. The systems Medilex with the new optical delivery system is used for photoablative reprofiling of the cornea to correct refraction errors (myopia, hyperopia and astigmatism) and to treat a corneal pathologies. The use of the 223 nanometer laser is proposed to have advantages over the 193 nanometer laser. The results of application of the ophthalmic excimer laser systems Medilex for treatment of myopia are presented.

  14. Large area and deep sub-wavelength interference lithography employing odd surface plasmon modes.

    PubMed

    Liu, Liqin; Luo, Yunfei; Zhao, Zeyu; Zhang, Wei; Gao, Guohan; Zeng, Bo; Wang, Changtao; Luo, Xiangang

    2016-07-28

    In this paper, large area and deep sub-wavelength interference patterns are realized experimentally by using odd surface plasmon modes in the metal/insulator/metal structure. Theoretical investigation shows that the odd modes possesses much higher transversal wave vector and great inhibition of tangential electric field components, facilitating surface plasmon interference fringes with high resolution and contrast in the measure of electric field intensity. Interference resist patterns with 45 nm (∼λ/8) half-pitch, 50 nm depth, and area size up to 20 mm × 20 mm were obtained by using 20 nm Al/50 nm photo resist/50 nm Al films with greatly reduced surface roughness and 180 nm pitch exciting grating fabricated with conventional laser interference lithography. Much deeper resolution down to 19.5 nm is also feasible by decreasing the thickness of PR. Considering that no requirement of expensive EBL or FIB tools are employed, it provides a cost-effective way for large area and nano-scale fabrication.

  15. Two-photon equivalent weighting of spatial excimer laser beam profiles

    NASA Astrophysics Data System (ADS)

    Eva, Eric; Bauer, Harry H.; Metzger, K.; Pfeiffer, A.

    2001-04-01

    Damage in optical materials for semiconductor lithography applications caused by exposure to 248 or 193 nm light is usually two-photon driven, hence it is a nonlinear function of incident intensity. Materials should be tested with flat- topped temporal and spatial laser beam profiles to facilitate interpretation of data, but in reality this is hard to achieve. Sandstrom provided a formula that approximates any given temporal pulse shape with a two- photon equivalent rectangular pulse (Second Symposium on 193 nm Lithography, Colorado Springs 1997). Known as the integral-square pulse duration, this definition has been embraced as an industry standard. Originally faced with the problem of comparing results obtained with pseudo-Gaussian spatial profiles to literature data, we found that a general solution for arbitrarily inhomogeneous spatial beam profiles exists which results in a definition much similar to Sandstrom's. In addition, we proved the validity of our approach in experiments with intentionally altered beam profiles.

  16. The national ignition facility high-energy ultraviolet laser system

    NASA Astrophysics Data System (ADS)

    Moses, Edward I.

    2004-09-01

    The National Ignition Facility (NIF), currently under construction at the Lawrence Livermore National Laboratory, is a stadium-sized facility containing a 192-beam, 1.8 MJ, 500 TW, ultraviolet laser system together with a 10-m diameter target chamber with room for nearly 100 experimental diagnostics. When completed, NIF will be the world's largest and most energetic laser experimental system, providing an international center to study inertial confinement fusion and the physics of matter at extreme energy densities and pressures. NIF's 192 energetic laser beams will compress fusion targets to conditions required for thermonuclear burn, liberating more energy than required to initiate the fusion reactions. Other NIF experiments will allow the study of physical processes at temperatures approaching 10 8 K and 10 11 Bar, conditions that exist naturally only in the interior of stars, planets and in nuclear weapons. NIF is now entering the first phases of its laser commissioning program. The first four beams of the NIF laser system have generated 106 kJ of infrared light and over 10 kJ at the third harmonic (351 nm). NIF's target experimental systems are also being installed in preparation for experiments to begin in late 2003. This paper provides a detailed look the NIF laser systems, the significant laser and optical systems breakthroughs that were developed, the results of recent laser commissioning shots, and plans for commissioning diagnostics for experiments on NIF.

  17. Performance calculation and simulation system of high energy laser weapon

    NASA Astrophysics Data System (ADS)

    Wang, Pei; Liu, Min; Su, Yu; Zhang, Ke

    2014-12-01

    High energy laser weapons are ready for some of today's most challenging military applications. Based on the analysis of the main tactical/technical index and combating process of high energy laser weapon, a performance calculation and simulation system of high energy laser weapon was established. Firstly, the index decomposition and workflow of high energy laser weapon was proposed. The entire system was composed of six parts, including classical target, platform of laser weapon, detect sensor, tracking and pointing control, laser atmosphere propagation and damage assessment module. Then, the index calculation modules were designed. Finally, anti-missile interception simulation was performed. The system can provide reference and basis for the analysis and evaluation of high energy laser weapon efficiency.

  18. High-Resolution Graphene Films for Electrochemical Sensing via Inkjet Maskless Lithography.

    PubMed

    Hondred, John A; Stromberg, Loreen R; Mosher, Curtis L; Claussen, Jonathan C

    2017-10-24

    Solution-phase printing of nanomaterial-based graphene inks are rapidly gaining interest for fabrication of flexible electronics. However, scalable manufacturing techniques for high-resolution printed graphene circuits are still lacking. Here, we report a patterning technique [i.e., inkjet maskless lithography (IML)] to form high-resolution, flexible, graphene films (line widths down to 20 μm) that significantly exceed the current inkjet printing resolution of graphene (line widths ∼60 μm). IML uses an inkjet printed polymer lacquer as a sacrificial pattern, viscous spin-coated graphene, and a subsequent graphene lift-off to pattern films without the need for prefabricated stencils, templates, or cleanroom technology (e.g., photolithography). Laser annealing is employed to increase conductivity on thermally sensitive, flexible substrates [polyethylene terephthalate (PET)]. Laser annealing and subsequent platinum nanoparticle deposition substantially increases the electroactive nature of graphene as illustrated by electrochemical hydrogen peroxide (H 2 O 2 ) sensing [rapid response (5 s), broad linear sensing range (0.1-550 μm), high sensitivity (0.21 μM/μA), and low detection limit (0.21 μM)]. Moreover, high-resolution, complex graphene circuits [i.e., interdigitated electrodes (IDE) with varying finger width and spacing] were created with IML and characterized via potassium chloride (KCl) electrochemical impedance spectroscopy (EIS). Results indicated that sensitivity directly correlates to electrode feature size as the IDE with the smallest finger width and spacing (50 and 50 μm) displayed the largest response to changes in KCl concentration (∼21 kΩ). These results indicate that the developed IML patterning technique is well-suited for rapid, solution-phase graphene film prototyping on flexible substrates for numerous applications including electrochemical sensing.

  19. Dual-beam laser autofocusing system based on liquid lens

    NASA Astrophysics Data System (ADS)

    Zhang, Fumin; Yao, Yannan; Qu, Xinghua; Zhang, Tong; Pei, Bing

    2017-02-01

    A dual-beam laser autofocusing system is designed in this paper. The autofocusing system is based on a liquid lens with less moving parts and fast response time, which makes the system simple, reliable, compact and fast. A novel scheme ;Time-sharing focus, fast conversion; is innovatively proposed. The scheme effectively solves the problem that the guiding laser and the working laser cannot focus at the same target point because of the existence of chromatic aberration. This scheme not only makes both guiding laser and working laser achieve optimal focusing in guiding stage and working stage respectively, but also greatly reduces the system complexity and simplifies the focusing process as well as makes autofocusing time of the working laser reduce to about 10 ms. In the distance range of 1 m to 30 m, the autofocusing spot size is kept under 4.3 mm at 30 m and just 0.18 mm at 1 m. The spot size is much less influenced by the target distance compared with the collimated laser with a micro divergence angle for its self-adaptivity. The dual-beam laser autofocusing system based on liquid lens is fully automatic, compact and efficient. It is fully meet the need of dynamicity and adaptivity and it will play an important role in a number of long-range control applications.

  20. The laser and optical system for the RIBF-PALIS experiment

    NASA Astrophysics Data System (ADS)

    Sonoda, T.; Iimura, H.; Reponen, M.; Wada, M.; Katayama, I.; Sonnenschein, V.; Takamatsu, T.; Tomita, H.; Kojima, T. M.

    2018-01-01

    This paper describes the laser and optical system for the Parasitic radioactive isotope (RI) beam production by Laser Ion-Source (PALIS) in the RIKEN fragment separator facility. This system requires an optical path length of 70 m for transporting the laser beam from the laser light source to the place for resonance ionization. To accomplish this, we designed and implemented a simple optical system consisting of several mirrors equipped with compact stepping motor actuators, lenses, beam spot screens and network cameras. The system enables multi-step laser resonance ionization in the gas cell and gas jet via overlap with a diameter of a few millimeters, between the laser photons and atomic beam. Despite such a long transport distance, we achieved a transport efficiency for the UV laser beam of about 50%. We also confirmed that the position stability of the laser beam stays within a permissible range for dedicated resonance ionization experiments.