Sample records for memory cell characteristics

  1. SONOS Nonvolatile Memory Cell Programming Characteristics

    NASA Technical Reports Server (NTRS)

    MacLeod, Todd C.; Phillips, Thomas A.; Ho, Fat D.

    2010-01-01

    Silicon-oxide-nitride-oxide-silicon (SONOS) nonvolatile memory is gaining favor over conventional EEPROM FLASH memory technology. This paper characterizes the SONOS write operation using a nonquasi-static MOSFET model. This includes floating gate charge and voltage characteristics as well as tunneling current, voltage threshold and drain current characterization. The characterization of the SONOS memory cell predicted by the model closely agrees with experimental data obtained from actual SONOS memory cells. The tunnel current, drain current, threshold voltage and read drain current all closely agreed with empirical data.

  2. Characteristics of a Nonvolatile SRAM Memory Cell Utilizing a Ferroelectric Transistor

    NASA Technical Reports Server (NTRS)

    Mitchell, Cody; Laws, Crystal; MacLeod, Todd C.; Ho, Fat D.

    2011-01-01

    The SRAM cell circuit is a standard for volatile data storage. When utilizing one or more ferroelectric transistors, the hysteresis characteristics give unique properties to the SRAM circuit, providing for investigation into the development of a nonvolatile memory cell. This paper discusses various formations of the SRAM circuit, using ferroelectric transistors, n-channel and p-channel MOSFETs, and resistive loads. With varied source and supply voltages, the effects on the timing and retention characteristics are investigated, including retention times of up to 24 hours.

  3. Electrically-controlled nonlinear switching and multi-level storage characteristics in WOx film-based memory cells

    NASA Astrophysics Data System (ADS)

    Duan, W. J.; Wang, J. B.; Zhong, X. L.

    2018-05-01

    Resistive switching random access memory (RRAM) is considered as a promising candidate for the next generation memory due to its scalability, high integration density and non-volatile storage characteristics. Here, the multiple electrical characteristics in Pt/WOx/Pt cells are investigated. Both of the nonlinear switching and multi-level storage can be achieved by setting different compliance current in the same cell. The correlations among the current, time and temperature are analyzed by using contours and 3D surfaces. The switching mechanism is explained in terms of the formation and rupture of conductive filament which is related to oxygen vacancies. The experimental results show that the non-stoichiometric WOx film-based device offers a feasible way for the applications of oxide-based RRAMs.

  4. Disturbance characteristics of half-selected cells in a cross-point resistive switching memory array

    NASA Astrophysics Data System (ADS)

    Chen, Zhe; Li, Haitong; Chen, Hong-Yu; Chen, Bing; Liu, Rui; Huang, Peng; Zhang, Feifei; Jiang, Zizhen; Ye, Hongfei; Gao, Bin; Liu, Lifeng; Liu, Xiaoyan; Kang, Jinfeng; Wong, H.-S. Philip; Yu, Shimeng

    2016-05-01

    Disturbance characteristics of cross-point resistive random access memory (RRAM) arrays are comprehensively studied in this paper. An analytical model is developed to quantify the number of pulses (#Pulse) the cell can bear before disturbance occurs under various sub-switching voltage stresses based on physical understanding. An evaluation methodology is proposed to assess the disturb behavior of half-selected (HS) cells in cross-point RRAM arrays by combining the analytical model and SPICE simulation. The characteristics of cross-point RRAM arrays such as energy consumption, reliable operating cycles and total error bits are evaluated by the methodology. A possible solution to mitigate disturbance is proposed.

  5. A hybrid ferroelectric-flash memory cells

    NASA Astrophysics Data System (ADS)

    Park, Jae Hyo; Byun, Chang Woo; Seok, Ki Hwan; Kim, Hyung Yoon; Chae, Hee Jae; Lee, Sol Kyu; Son, Se Wan; Ahn, Donghwan; Joo, Seung Ki

    2014-09-01

    A ferroelectric-flash (F-flash) memory cells having a metal-ferroelectric-nitride-oxynitride-silicon structure are demonstrated, and the ferroelectric materials were perovskite-dominated Pb(Zr,Ti)O3 (PZT) crystallized by Pt gate electrode. The PZT thin-film as a blocking layer improves electrical and memorial performance where programming and erasing mechanism are different from the metal-ferroelectric-insulator-semiconductor device or the conventional silicon-oxide-nitride-oxide-silicon device. F-flash cells exhibit not only the excellent electrical transistor performance, having 442.7 cm2 V-1 s-1 of field-effect mobility, 190 mV dec-1 of substhreshold slope, and 8 × 105 on/off drain current ratio, but also a high reliable memory characteristics, having a large memory window (6.5 V), low-operating voltage (0 to -5 V), faster P/E switching speed (50/500 μs), long retention time (>10 years), and excellent fatigue P/E cycle (>105) due to the boosting effect, amplification effect, and energy band distortion of nitride from the large polarization. All these characteristics correspond to the best performances among conventional flash cells reported so far.

  6. Effect of embedded metal nanocrystals on the resistive switching characteristics in NiN-based resistive random access memory cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yun, Min Ju; Kim, Hee-Dong; Man Hong, Seok

    2014-03-07

    The metal nanocrystals (NCs) embedded-NiN-based resistive random access memory cells are demonstrated using several metal NCs (i.e., Pt, Ni, and Ti) with different physical parameters in order to investigate the metal NC's dependence on resistive switching (RS) characteristics. First, depending on the electronegativity of metal, the size of metal NCs is determined and this affects the operating current of memory cells. If metal NCs with high electronegativity are incorporated, the size of the NCs is reduced; hence, the operating current is reduced owing to the reduced density of the electric field around the metal NCs. Second, the potential wells aremore » formed by the difference of work function between the metal NCs and active layer, and the barrier height of the potential wells affects the level of operating voltage as well as the conduction mechanism of metal NCs embedded memory cells. Therefore, by understanding these correlations between the active layer and embedded metal NCs, we can optimize the RS properties of metal NCs embedded memory cells as well as predict their conduction mechanisms.« less

  7. A Novel Metal-Ferroelectric-Semiconductor Field-Effect Transistor Memory Cell Design

    NASA Technical Reports Server (NTRS)

    Phillips, Thomas A.; Bailey, Mark; Ho, Fat Duen

    2004-01-01

    The use of a Metal-Ferroelectric-Semiconductor Field-Effect Transistor (MFSFET) in a resistive-load SRAM memory cell has been investigated A typical two-transistor resistive-load SRAM memory cell architecture is modified by replacing one of the NMOS transistors with an n-channel MFSFET. The gate of the MFSFET is connected to a polling voltage pulse instead of the other NMOS transistor drain. The polling voltage pulses are of sufficient magnitude to saturate the ferroelectric gate material and force the MFSFET into a particular logic state. The memory cell circuit is further modified by the addition of a PMOS transistor and a load resistor in order to improve the retention characteristics of the memory cell. The retention characteristics of both the "1" and "0" logic states are simulated. The simulations show that the MFSFET memory cell design can maintain both the "1" and "0" logic states for a long period of time.

  8. Memory. Engram cells retain memory under retrograde amnesia.

    PubMed

    Ryan, Tomás J; Roy, Dheeraj S; Pignatelli, Michele; Arons, Autumn; Tonegawa, Susumu

    2015-05-29

    Memory consolidation is the process by which a newly formed and unstable memory transforms into a stable long-term memory. It is unknown whether the process of memory consolidation occurs exclusively through the stabilization of memory engrams. By using learning-dependent cell labeling, we identified an increase of synaptic strength and dendritic spine density specifically in consolidated memory engram cells. Although these properties are lacking in engram cells under protein synthesis inhibitor-induced amnesia, direct optogenetic activation of these cells results in memory retrieval, and this correlates with retained engram cell-specific connectivity. We propose that a specific pattern of connectivity of engram cells may be crucial for memory information storage and that strengthened synapses in these cells critically contribute to the memory retrieval process. Copyright © 2015, American Association for the Advancement of Science.

  9. Memory T cells in organ transplantation: progress and challenges

    PubMed Central

    Espinosa, Jaclyn R.; Samy, Kannan P.; Kirk, Allan D.

    2017-01-01

    Antigen-experienced T cells, also known as memory T cells, are functionally and phenotypically distinct from naive T cells. Their enhanced expression of adhesion molecules and reduced requirement for co-stimulation enables them to mount potent and rapid recall responses to subsequent antigen encounters. Memory T cells generated in response to prior antigen exposures can cross-react with other nonidentical, but similar, antigens. This heterologous cross-reactivity not only enhances protective immune responses, but also engenders de novo alloimmunity. This latter characteristic is increasingly recognized as a potential barrier to allograft acceptance that is worthy of immunotherapeutic intervention, and several approaches have been investigated. Calcineurin inhibition effectively controls memory T-cell responses to allografts, but this benefit comes at the expense of increased infectious morbidity. Lymphocyte depletion eliminates allospecific T cells but spares memory T cells to some extent, such that patients do not completely lose protective immunity. Co-stimulation blockade is associated with reduced adverse-effect profiles and improved graft function relative to calcineurin inhibition, but lacks efficacy in controlling memory T-cell responses. Targeting the adhesion molecules that are upregulated on memory T cells might offer additional means to control co-stimulation-blockade-resistant memory T-cell responses. PMID:26923209

  10. Characteristics of positive autobiographical memories in adulthood.

    PubMed

    Bluck, Susan; Alea, Nicole

    2009-01-01

    The characteristics of positive autobiographical memory narratives were examined in younger and older adults. Narratives were content-coded for the extent to which they contained indicators of affect, sensory imagery, and cognition. Affect was additionally assessed through self-report. Young adults expressed more positive affect and less sensory imagery in their memory narratives than did older adults. Age differences in cognitive characteristics also appeared: younger adults showed greater causation-insight, and greater tentativeness in retelling their autobiographical memories. Controlling for episodic memory ability eliminated age differences in positive affect but did not affect age differences on other memory characteristics. Results are discussed in terms of the role that positive autobiographical memories play in daily emotional life across adulthood.

  11. Characteristics of Near-Death Experiences Memories as Compared to Real and Imagined Events Memories

    PubMed Central

    Brédart, Serge; Dehon, Hedwige; Ledoux, Didier; Laureys, Steven; Vanhaudenhuyse, Audrey

    2013-01-01

    Since the dawn of time, Near-Death Experiences (NDEs) have intrigued and, nowadays, are still not fully explained. Since reports of NDEs are proposed to be imagined events, and since memories of imagined events have, on average, fewer phenomenological characteristics than real events memories, we here compared phenomenological characteristics of NDEs reports with memories of imagined and real events. We included three groups of coma survivors (8 patients with NDE as defined by the Greyson NDE scale, 6 patients without NDE but with memories of their coma, 7 patients without memories of their coma) and a group of 18 age-matched healthy volunteers. Five types of memories were assessed using Memory Characteristics Questionnaire (MCQ – Johnson et al., 1988): target memories (NDE for NDE memory group, coma memory for coma memory group, and first childhood memory for no memory and control groups), old and recent real event memories and old and recent imagined event memories. Since NDEs are known to have high emotional content, participants were requested to choose the most emotionally salient memories for both real and imagined recent and old event memories. Results showed that, in NDE memories group, NDE memories have more characteristics than memories of imagined and real events (p<0.02). NDE memories contain more self-referential and emotional information and have better clarity than memories of coma (all ps<0.02). The present study showed that NDE memories contained more characteristics than real event memories and coma memories. Thus, this suggests that they cannot be considered as imagined event memories. On the contrary, their physiological origins could lead them to be really perceived although not lived in the reality. Further work is needed to better understand this phenomenon. PMID:23544039

  12. Characteristics of memories for near-death experiences.

    PubMed

    Moore, Lauren E; Greyson, Bruce

    2017-05-01

    Near-death experiences are vivid, life-changing experiences occurring to people who come close to death. Because some of their features, such as enhanced cognition despite compromised brain function, challenge our understanding of the mind-brain relationship, the question arises whether near-death experiences are imagined rather than real events. We administered the Memory Characteristics Questionnaire to 122 survivors of a close brush with death who reported near-death experiences. Participants completed Memory Characteristics Questionnaires for three different memories: that of their near-death experience, that of a real event around the same time, and that of an event they had imagined around the same time. The Memory Characteristics Questionnaire score was higher for the memory of the near-death experience than for that of the real event, which in turn was higher than that of the imagined event. These data suggest that memories of near-death experiences are recalled as "realer" than real events or imagined events. Copyright © 2017 Elsevier Inc. All rights reserved.

  13. Hoxb4 overexpression in CD4 memory phenotype T cells increases the central memory population upon homeostatic proliferation.

    PubMed

    Frison, Héloïse; Giono, Gloria; Thébault, Paméla; Fournier, Marilaine; Labrecque, Nathalie; Bijl, Janet J

    2013-01-01

    Memory T cell populations allow a rapid immune response to pathogens that have been previously encountered and thus form the basis of success in vaccinations. However, the molecular pathways underlying the development and maintenance of these cells are only starting to be unveiled. Memory T cells have the capacity to self renew as do hematopoietic stem cells, and overlapping gene expression profiles suggested that these cells might use the same self-renewal pathways. The transcription factor Hoxb4 has been shown to promote self-renewal divisions of hematopoietic stem cells resulting in an expansion of these cells. In this study we investigated whether overexpression of Hoxb4 could provide an advantage to CD4 memory phenotype T cells in engrafting the niche of T cell deficient mice following adoptive transfer. Competitive transplantation experiments demonstrated that CD4 memory phenotype T cells derived from mice transgenic for Hoxb4 contributed overall less to the repopulation of the lymphoid organs than wild type CD4 memory phenotype T cells after two months. These proportions were relatively maintained following serial transplantation in secondary and tertiary mice. Interestingly, a significantly higher percentage of the Hoxb4 CD4 memory phenotype T cell population expressed the CD62L and Ly6C surface markers, characteristic for central memory T cells, after homeostatic proliferation. Thus Hoxb4 favours the maintenance and increase of the CD4 central memory phenotype T cell population. These cells are more stem cell like and might eventually lead to an advantage of Hoxb4 T cells after subjecting the cells to additional rounds of proliferation.

  14. Tier identification (TID) for tiered memory characteristics

    DOEpatents

    Chang, Jichuan; Lim, Kevin T; Ranganathan, Parthasarathy

    2014-03-25

    A tier identification (TID) is to indicate a characteristic of a memory region associated with a virtual address in a tiered memory system. A thread may be serviced according to a first path based on the TID indicating a first characteristic. The thread may be serviced according to a second path based on the TID indicating a second characteristic.

  15. CONDENSED MATTER: ELECTRONIC STRUCTURE, ELECTRICAL, MAGNETIC, AND OPTICAL PROPERTIES: Switching Characteristics of Phase Change Memory Cell Integrated with Metal-Oxide Semiconductor Field Effect Transistor

    NASA Astrophysics Data System (ADS)

    Xu, Cheng; Liu, Bo; Chen, Yi-Feng; Liang, Shuang; Song, Zhi-Tang; Feng, Song-Lin; Wan, Xu-Dong; Yang, Zuo-Ya; Xie, Joseph; Chen, Bomy

    2008-05-01

    A Ge2Sb2Te5 based phase change memory device cell integrated with metal-oxide semiconductor field effect transistor (MOSFET) is fabricated using standard 0. 18 μm complementary metal-oxide semiconductor process technology. It shows steady switching characteristics in the dc current-voltage measurement. The phase changing phenomenon from crystalline state to amorphous state with a voltage pulse altitude of 2.0 V and pulse width of 50 ns is also obtained. These results show the feasibility of integrating phase change memory cell with MOSFET.

  16. Is There Natural Killer Cell Memory and Can It Be Harnessed by Vaccination? Natural Killer Cells in Vaccination.

    PubMed

    Neely, Harold R; Mazo, Irina B; Gerlach, Carmen; von Andrian, Ulrich H

    2017-12-18

    Natural killer (NK) cells have historically been considered to be a part of the innate immune system, exerting a rapid response against pathogens and tumors in an antigen (Ag)-independent manner. However, over the past decade, evidence has accumulated suggesting that at least some NK cells display certain characteristics of adaptive immune cells. Indeed, NK cells can learn and remember encounters with a variety of Ags, including chemical haptens and viruses. Upon rechallenge, memory NK cells mount potent recall responses selectively to those Ags. This phenomenon, traditionally termed "immunological memory," has been reported in mice, nonhuman primates, and even humans and appears to be concentrated in discrete NK cell subsets. Because immunological memory protects against recurrent infections and is the central goal of active vaccination, it is crucial to define the mechanisms and consequences of NK cell memory. Here, we summarize the different kinds of memory responses that have been attributed to specific NK cell subsets and discuss the possibility to harness NK cell memory for vaccination purposes. Copyright © 2017 Cold Spring Harbor Laboratory Press; all rights reserved.

  17. Intensity and memory characteristics of near-death experiences.

    PubMed

    Martial, Charlotte; Charland-Verville, Vanessa; Cassol, Héléna; Didone, Vincent; Van Der Linden, Martial; Laureys, Steven

    2017-11-01

    Memories of Near-Death Experiences (NDEs) seem to be very detailed and stable over time. At present, there is still no satisfactory explanation for the NDEs' rich phenomenology. Here we compared phenomenological characteristics of NDE memories with the reported experience's intensity. We included 152 individuals with a self-reported "classical" NDE (i.e. occurring in life-threatening conditions). All participants completed a mailed questionnaire that included a measure of phenomenological characteristics of memories (the Memory Characteristics Questionnaire; MCQ) and a measure of NDE's intensity (the Greyson NDE scale). Greyson NDE scale total score was positively correlated with MCQ total score, suggesting that participants who described more intense NDEs also reported more phenomenological memory characteristics of NDE. Using MCQ items, our study also showed that NDE's intensity is associated in particular with sensory details, personal importance and reactivation frequency variables. Copyright © 2017 Elsevier Inc. All rights reserved.

  18. Quiescence of Memory CD8(+) T Cells Is Mediated by Regulatory T Cells through Inhibitory Receptor CTLA-4.

    PubMed

    Kalia, Vandana; Penny, Laura Anne; Yuzefpolskiy, Yevgeniy; Baumann, Florian Martin; Sarkar, Surojit

    2015-06-16

    Immune memory cells are poised to rapidly expand and elaborate effector functions upon reinfection yet exist in a functionally quiescent state. The paradigm is that memorycells remain inactive due to lack of T cell receptor (TCR) stimuli. Here, we report that regulatory T (Treg) cells orchestrate memorycell quiescence by suppressing effector and proliferation programs through inhibitory receptor, cytotoxic-T-lymphocyte-associated protein-4 (CTLA-4). Loss of Treg cells resulted in activation of genome-wide transcriptional programs characteristic of effector T cells and drove transitioning as well as established memory CD8(+) T cells toward terminally differentiated KLRG-1(hi)IL-7Rα(lo)GzmB(hi) phenotype, with compromised metabolic fitness, longevity, polyfunctionality, and protective efficacy. CTLA-4 functionally replaced Treg cells in trans to rescue memorycell defects and restore homeostasis. These studies present the CTLA-4-CD28-CD80/CD86 axis as a potential target to accelerate vaccine-induced immunity and improve T cell memory quality in current cancer immunotherapies proposing transient Treg cell ablation. Copyright © 2015 Elsevier Inc. All rights reserved.

  19. Effect with high density nano dot type storage layer structure on 20 nm planar NAND flash memory characteristics

    NASA Astrophysics Data System (ADS)

    Sasaki, Takeshi; Muraguchi, Masakazu; Seo, Moon-Sik; Park, Sung-kye; Endoh, Tetsuo

    2014-01-01

    The merits, concerns and design principle for the future nano dot (ND) type NAND flash memory cell are clarified, by considering the effect of storage layer structure on NAND flash memory characteristics. The characteristics of the ND cell for a NAND flash memory in comparison with the floating gate type (FG) is comprehensively studied through the read, erase, program operation, and the cell to cell interference with device simulation. Although the degradation of the read throughput (0.7% reduction of the cell current) and slower program time (26% smaller programmed threshold voltage shift) with high density (10 × 1012 cm-2) ND NAND are still concerned, the suppress of the cell to cell interference with high density (10 × 1012 cm-2) plays the most important part for scaling and multi-level cell (MLC) operation in comparison with the FG NAND. From these results, the design knowledge is shown to require the control of the number of nano dots rather than the higher nano dot density, from the viewpoint of increasing its memory capacity by MLC operation and suppressing threshold voltage variability caused by the number of dots in the storage layer. Moreover, in order to increase its memory capacity, it is shown the tunnel oxide thickness with ND should be designed thicker (>3 nm) than conventional designed ND cell for programming/erasing with direct tunneling mechanism.

  20. Place Cells, Grid Cells, and Memory

    PubMed Central

    Moser, May-Britt; Rowland, David C.; Moser, Edvard I.

    2015-01-01

    The hippocampal system is critical for storage and retrieval of declarative memories, including memories for locations and events that take place at those locations. Spatial memories place high demands on capacity. Memories must be distinct to be recalled without interference and encoding must be fast. Recent studies have indicated that hippocampal networks allow for fast storage of large quantities of uncorrelated spatial information. The aim of the this article is to review and discuss some of this work, taking as a starting point the discovery of multiple functionally specialized cell types of the hippocampal–entorhinal circuit, such as place, grid, and border cells. We will show that grid cells provide the hippocampus with a metric, as well as a putative mechanism for decorrelation of representations, that the formation of environment-specific place maps depends on mechanisms for long-term plasticity in the hippocampus, and that long-term spatiotemporal memory storage may depend on offline consolidation processes related to sharp-wave ripple activity in the hippocampus. The multitude of representations generated through interactions between a variety of functionally specialized cell types in the entorhinal–hippocampal circuit may be at the heart of the mechanism for declarative memory formation. PMID:25646382

  1. Molecular regulation of effector and memory T cell differentiation

    PubMed Central

    Chang, John T; Wherry, E John; Goldrath, Ananda W

    2015-01-01

    Immunological memory is a cardinal feature of adaptive immunity and an important goal of vaccination strategies. Here we highlight advances in the understanding of the diverse T lymphocyte subsets that provide acute and long-term protection from infection. These include new insights into the transcription factors, and the upstream ‘pioneering’ factors that regulate their accessibility to key sites of gene regulation, as well as metabolic regulators that contribute to the differentiation of effector and memory subsets; ontogeny and defining characteristics of tissue-resident memory lymphocytes; and origins of the remarkable heterogeneity exhibited by activated T cells. Collectively, these findings underscore progress in delineating the underlying pathways that control diversification in T cell responses but also reveal gaps in the knowledge, as well as the challenges that arise in the application of this knowledge to rationally elicit desired T cell responses through vaccination and immunotherapy. PMID:25396352

  2. The CD8+ memory T-cell state of readiness is actively maintained and reversible

    PubMed Central

    Allam, Atef; Conze, Dietrich B.; Giardino Torchia, Maria Letizia; Munitic, Ivana; Yagita, Hideo; Sowell, Ryan T.; Marzo, Amanda L.

    2009-01-01

    The ability of the adaptive immune system to respond rapidly and robustly upon repeated antigen exposure is known as immunologic memory, and it is thought that acquisition of memory T-cell function is an irreversible differentiation event. In this study, we report that many phenotypic and functional characteristics of antigen-specific CD8 memory T cells are lost when they are deprived of contact with dendritic cells. Under these circumstances, memory T cells reverted from G1 to the G0 cell-cycle state and responded to stimulation like naive T cells, as assessed by proliferation, dependence upon costimulation, and interferon-γ production, without losing cell surface markers associated with memory. The memory state was maintained by signaling via members of the tumor necrosis factor receptor superfamily, CD27 and 4-1BB. Foxo1, a transcription factor involved in T-cell quiescence, was reduced in memory cells, and stimulation of naive CD8 cells via CD27 caused Foxo1 to be phosphorylated and emigrate from the nucleus in a phosphatidylinositol-3 kinase–dependent manner. Consistent with these results, maintenance of G1 in vivo was compromised in antigen-specific memory T cells in vesicular stomatitis virus-infected CD27-deficient mice. Therefore, sustaining the functional phenotype of T memory cells requires active signaling and maintenance. PMID:19617575

  3. Engram Cells Retain Memory Under Retrograde Amnesia

    PubMed Central

    Ryan, Tomás J.; Roy, Dheeraj S.; Pignatelli, Michele; Arons, Autumn; Tonegawa, Susumu

    2017-01-01

    Memory consolidation is the process by which a newly formed and unstable memory transforms into a stable long-term memory. It is unknown whether the process of memory consolidation occurs exclusively by the stabilization of memory engrams. By employing learning-dependent cell labeling, we identified an increase of synaptic strength and dendritic spine density specifically in consolidated memory engram cells. While these properties are lacking in the engram cells under protein synthesis inhibitor-induced amnesia, direct optogenetic activation of these cells results in memory retrieval, and this correlates with the retained engram cell-specific connectivity. We propose that a specific pattern of connectivity of engram cells may be crucial for memory information storage and that strengthened synapses in these cells critically contribute to the memory retrieval process. PMID:26023136

  4. Persistence of memory B-cell and T-cell responses to the quadrivalent HPV vaccine in HIV-infected children.

    PubMed

    Weinberg, Adriana; Huang, Sharon; Moscicki, Anna-Barbara; Saah, Afred; Levin, Myron J

    2018-04-24

    To determine the magnitude and persistence of quadrivalent human papillomavirus (HPV)16 and HPV18 B-cell and T-cell memory after three or four doses of quadrivalent HPV vaccine (QHPV) in HIV-infected children. Seventy-four HIV-infected children immunized with four doses and 23 with three doses of QHPV had HPV16 and HPV18 IgG B-cell and IFNγ and IL2 T-cell ELISPOT performed at 2, 3.5 and 4-5 years after the last dose. HPV16 and HPV18 T-cell responses were similar in both treatment groups, with higher responses to HPV16 vs. HPV18. These HPV T-cell responses correlated with HIV disease characteristics at the study visits. Global T-cell function declined over time as measured by nonspecific mitogenic stimulation. B-cell memory was similar across treatment groups and HPV genotypes. There was a decline in HPV-specific B-cell memory over time that reached statistical significance for HPV16 in the four-dose group. B-cell and T-cell memory did not significantly differ after either three or four doses of QHPV in HIV-infected children. The clinical consequences of decreasing global T-cell function and HPV B-cell memory over time in HIV-infected children requires further investigation.

  5. Natural Killer Cell Memory

    PubMed Central

    O’Sullivan, Timothy E.; Sun, Joseph C.; Lanier, Lewis L.

    2015-01-01

    Natural killer (NK) cells have historically been considered short-lived cytolytic cells that can rapidly respond against pathogens and tumors in an antigen-independent manner, and then undergo cell death. Recently, however, NK cells have been shown to possess traits of adaptive immunity, and can acquire immunological memory in a similar manner to T and B cells. In this review, we discuss evidence for NK cell memory and the mechanisms involved in the generation and survival of these innate lymphocytes. PMID:26488815

  6. Memory T cells and vaccines.

    PubMed

    Esser, Mark T; Marchese, Rocio D; Kierstead, Lisa S; Tussey, Lynda G; Wang, Fubao; Chirmule, Narendra; Washabaugh, Michael W

    2003-01-17

    T lymphocytes play a central role in the generation of a protective immune response in many microbial infections. After immunization, dendritic cells take up microbial antigens and traffic to draining lymph nodes where they present processed antigens to naïve T cells. These naïve T cells are stimulated to proliferate and differentiate into effector and memory T cells. Activated, effector and memory T cells provide B cell help in the lymph nodes and traffic to sites of infection where they secrete anti-microbial cytokines and kill infected cells. At least two types of memory cells have been defined in humans based on their functional and migratory properties. T central-memory (T(CM)) cells are found predominantly in lymphoid organs and can not be immediately activated, whereas T effector-memory (T(EM)) cells are found predominantly in peripheral tissue and sites of inflammation and exhibit rapid effector function. Most currently licensed vaccines induce antibody responses capable of mediating long-term protection against lytic viruses such as influenza and small pox. In contrast, vaccines against chronic pathogens that require cell-mediated immune responses to control, such as malaria, Mycobacterium tuberculosis (TB), human immunodeficiency virus (HIV) and hepatitis C virus (HCV), are currently not available or are ineffective. Understanding the mechanisms by which long-lived cellular immune responses are generated following vaccination should facilitate the development of safe and effective vaccines against these emerging diseases. Here, we review the current literature with respect to memory T cells and their implications to vaccine development.

  7. The Importance of Memory Specificity and Memory Coherence for the Self: Linking Two Characteristics of Autobiographical Memory

    PubMed Central

    Vanderveren, Elien; Bijttebier, Patricia; Hermans, Dirk

    2017-01-01

    Autobiographical memory forms a network of memories about personal experiences that defines and supports well-being and effective functioning of the self in various ways. During the last three decades, there have been two characteristics of autobiographical memory that have received special interest regarding their role in psychological well-being and psychopathology, namely memory specificity and memory coherence. Memory specificity refers to the extent to which retrieved autobiographical memories are specific (i.e., memories about a particular experience that happened on a particular day). Difficulty retrieving specific memories interferes with effective functioning of the self and is related to depression and post-traumatic stress disorder. Memory coherence refers to the narrative expression of the overall structure of autobiographical memories. It has likewise been related to psychological well-being and the occurrence of psychopathology. Research on memory specificity and memory coherence has developed as two largely independent research domains, even though they show much overlap. This raises some important theoretical questions. How do these two characteristics of autobiographical memory relate to each other, both theoretically and empirically? Additionally, how can the integration of these two facilitate our understanding of the importance of autobiographical memory for the self? In this article, we give a critical overview of memory specificity and memory coherence and their relation to the self. We link both features of autobiographical memory by describing some important similarities and by formulating hypotheses about how they might relate to each other. By situating both memory specificity and memory coherence within Conway and Pleydell-Pearce’s Self-Memory System, we make a first attempt at a theoretical integration. Finally, we suggest some new and exciting research possibilities and explain how both research fields could benefit from integration

  8. CHARACTERISTICS OF IMMUNOLOGICAL MEMORY IN MICE

    PubMed Central

    Black, S. J.; Inchley, C. J.

    1974-01-01

    The kinetics of the generation of primed IgM and IgG antibody-forming cell precursors, and of helper T-cell populations, were analyzed in mice whose primary responses to high and low doses of SRBC were arrested at intervals by the immunosuppressive agents cyclophosphamide monohydrate and specific antibody. The extent to which immunological memory was established in these animals before blockade of the primary response was assessed by the hemolytic plaque assay following challenge 12 wk after priming. The presence of IgG B-memory cells and T-memory cells in suppressed mice was further investigated by the transfer into these animals of syngeneic SRBC-stimulated thymocytes or anti-θ-treated spleen cells. It was found that the progenitors of secondary IgM-synthesizing cells were primed almost immediately after injection of antigen, and that early blockade of the primary response resulted in a raised IgM response after challenge. On the other hand, priming for a secondary IgG response took at least 4 days, and was dose-dependent, although helper T populations for a secondary IgG response appeared 3 days after antigen injection. It appeared that both IgM and IgG memory cells may be considered as Y cells in terms of the X-Y-Z scheme of lymphocyte activation, but that the two populations are generated at different times after exposure to antigen. The size of either Y-cell population at any given time is dependent upon the amount of antigen available to provoke differentiation to antibody-forming Z cells, and the IgM Y-cell population in particular is likely to be depleted during the course of a normal 1° response. When IgM Y cells were maintained for long periods as a result of immunosuppression, their secondary antibody response was independent of the primed T cells necessary for a secondary IgG response. PMID:4602981

  9. Phenomenological characteristics of autobiographical memory in Korsakoff's syndrome.

    PubMed

    El Haj, Mohamad; Nandrino, Jean-Louis

    2017-10-01

    A body of research suggests compromise of autobiographical memory in Korsakoff's syndrome (KS). The present paper extends this literature by investigating the subjective experience of autobiographical recall in the syndrome. Patients with KS and controls were asked to retrieve autobiographical memories. After memory retrieval, participants were asked to rate phenomenological characteristics of their memories (i.e., reliving, back in time, remembering, realness, visual imagery, auditory imagery, language, emotion, rehearsal, importance, spatial recall and temporal recall). Analysis showed lower "Mean Phenomenological Experience" in the Korsakoff patients than in controls. However, the Korsakoff patients attributed relatively high emotional value and importance to their memories. Although our findings suggest compromised phenomenological reliving of autobiographical memory in patients with KS, affective characteristics such as emotion and importance are likely to play a main role in the subjective experience of the past in these patients. Copyright © 2017 Elsevier Inc. All rights reserved.

  10. Th1-like Plasmodium-Specific Memory CD4+ T Cells Support Humoral Immunity.

    PubMed

    Zander, Ryan A; Vijay, Rahul; Pack, Angela D; Guthmiller, Jenna J; Graham, Amy C; Lindner, Scott E; Vaughan, Ashley M; Kappe, Stefan H I; Butler, Noah S

    2017-11-14

    Effector T cells exhibiting features of either T helper 1 (Th1) or T follicular helper (Tfh) populations are essential to control experimental Plasmodium infection and are believed to be critical for resistance to clinical malaria. To determine whether Plasmodium-specific Th1- and Tfh-like effector cells generate memory populations that contribute to protection, we developed transgenic parasites that enable high-resolution study of anti-malarial memory CD4 T cells in experimental models. We found that populations of both Th1- and Tfh-like Plasmodium-specific memory CD4 T cells persist. Unexpectedly, Th1-like memory cells exhibit phenotypic and functional features of Tfh cells during recall and provide potent B cell help and protection following transfer, characteristics that are enhanced following ligation of the T cell co-stimulatory receptor OX40. Our findings delineate critical functional attributes of Plasmodium-specific memory CD4 T cells and identify a host-specific factor that can be targeted to improve resolution of acute malaria and provide durable, long-term protection against Plasmodium parasite re-exposure. Copyright © 2017 The Author(s). Published by Elsevier Inc. All rights reserved.

  11. Effector CD8 T cells dedifferentiate into long-lived memory cells.

    PubMed

    Youngblood, Ben; Hale, J Scott; Kissick, Haydn T; Ahn, Eunseon; Xu, Xiaojin; Wieland, Andreas; Araki, Koichi; West, Erin E; Ghoneim, Hazem E; Fan, Yiping; Dogra, Pranay; Davis, Carl W; Konieczny, Bogumila T; Antia, Rustom; Cheng, Xiaodong; Ahmed, Rafi

    2017-12-21

    Memory CD8 T cells that circulate in the blood and are present in lymphoid organs are an essential component of long-lived T cell immunity. These memory CD8 T cells remain poised to rapidly elaborate effector functions upon re-exposure to pathogens, but also have many properties in common with naive cells, including pluripotency and the ability to migrate to the lymph nodes and spleen. Thus, memory cells embody features of both naive and effector cells, fuelling a long-standing debate centred on whether memory T cells develop from effector cells or directly from naive cells. Here we show that long-lived memory CD8 T cells are derived from a subset of effector T cells through a process of dedifferentiation. To assess the developmental origin of memory CD8 T cells, we investigated changes in DNA methylation programming at naive and effector cell-associated genes in virus-specific CD8 T cells during acute lymphocytic choriomeningitis virus infection in mice. Methylation profiling of terminal effector versus memory-precursor CD8 T cell subsets showed that, rather than retaining a naive epigenetic state, the subset of cells that gives rise to memory cells acquired de novo DNA methylation programs at naive-associated genes and became demethylated at the loci of classically defined effector molecules. Conditional deletion of the de novo methyltransferase Dnmt3a at an early stage of effector differentiation resulted in reduced methylation and faster re-expression of naive-associated genes, thereby accelerating the development of memory cells. Longitudinal phenotypic and epigenetic characterization of the memory-precursor effector subset of virus-specific CD8 T cells transferred into antigen-free mice revealed that differentiation to memory cells was coupled to erasure of de novo methylation programs and re-expression of naive-associated genes. Thus, epigenetic repression of naive-associated genes in effector CD8 T cells can be reversed in cells that develop into long

  12. Longevity of T-cell memory following acute viral infection.

    PubMed

    Walker, Joshua M; Slifka, Mark K

    2010-01-01

    Investigation of T-cell-mediated immunity following acute viral infection represents an area of research with broad implications for both fundamental immunology research as well as vaccine development. Here, we review techniques that are used to assess T-cell memory including limiting dilution analysis, enzyme-linked immunospot (ELISPOT) assays, intracellular cytokine staining (ICCS) and peptide-MHC Class I tetramer staining. The durability of T-cell memory is explored in the context of several acute viral infections including vaccinia virus (VV), measles virus (MV) and yellow fever virus (YFV). Following acute infection, different virus-specific T-cell subpopulations exhibit distinct cytokine profiles and these profiles change over the course of infection. Differential regulation of the cytotoxic proteins, granzyme A, granzyme B and perforin are also observed in virus-specific T cells following infection. As a result of this work, we have gained a broader understanding of the kinetics and magnitude of antiviral T-cell immunity as well as new insight into the patterns of immunodominance and differential regulation of cytokines and cytotoxicity-associated molecules. This information may eventually lead to the generation of more effective vaccines that elicit T-cell memory with the optimal combination of functional characteristics required for providing protective immunity against infectious disease.

  13. Distribution of Peripheral Memory T Follicular Helper Cells in Patients with Schistosomiasis Japonica

    PubMed Central

    Chen, Xiaojun; Li, Wei; Zhang, Yang; Song, Xian; Xu, Lei; Xu, Zhipeng; Zhou, Sha; Zhu, Jifeng; Jin, Xin; Liu, Feng; Chen, Gengxin; Su, Chuan

    2015-01-01

    Background Schistosomiasis is a helminthic disease that affects more than 200 million people. An effective vaccine would be a major step towards eliminating the disease. Studies suggest that T follicular helper (Tfh) cells provide help to B cells to generate the long-term humoral immunity, which would be a crucial component of successful vaccines. Thus, understanding the biological characteristics of Tfh cells in patients with schistosomiasis, which has never been explored, is essential for vaccine design. Methodology/Principal Findings In this study, we investigated the biological characteristics of peripheral memory Tfh cells in schistosomiasis patients by flow cytometry. Our data showed that the frequencies of total and activated peripheral memory Tfh cells in patients were significantly increased during Schistosoma japonicum infection. Moreover, Tfh2 cells, which were reported to be a specific subpopulation to facilitate the generation of protective antibodies, were increased more greatly than other subpopulations of total peripheral memory Tfh cells in patients with schistosomiasis japonica. More importantly, our result showed significant correlations of the percentage of Tfh2 cells with both the frequency of plasma cells and the level of IgG antibody. In addition, our results showed that the percentage of T follicular regulatory (Tfr) cells was also increased in patients with schistosomiasis. Conclusions/Significance Our report is the first characterization of peripheral memory Tfh cells in schistosomasis patients, which not only provides potential targets to improve immune response to vaccination, but also is important for the development of vaccination strategies to control schistosomiasis. PMID:26284362

  14. Vantage perspective during encoding: The effects on phenomenological memory characteristics.

    PubMed

    Mooren, Nora; Krans, Julie; Näring, Gérard W B; Moulds, Michelle L; van Minnen, Agnes

    2016-05-01

    The vantage perspective from which a memory is retrieved influences the memory's emotional impact, intrusiveness, and phenomenological characteristics. This study tested whether similar effects are observed when participants were instructed to imagine the events from a specific perspective. Fifty student participants listened to a verbal report of car-accidents and visualized the scenery from either a field or observer perspective. There were no between-condition differences in emotionality of memories and the number of intrusions, but imagery experienced from a relative observer perspective was rated as less self-relevant. In contrast to earlier studies on memory retrieval, vantage perspective influenced phenomenological memory characteristics of the memory representation such as sensory details, and ratings of vividness and distancing of the memory. However, vantage perspective is most likely not a stable phenomenological characteristic itself. Implications and suggestions for future research are discussed. Copyright © 2016 Elsevier Inc. All rights reserved.

  15. Experimental realization of a multiplexed quantum memory with 225 individually accessible memory cells

    PubMed Central

    Pu, Y-F; Jiang, N.; Chang, W.; Yang, H-X; Li, C.; Duan, L-M

    2017-01-01

    To realize long-distance quantum communication and quantum network, it is required to have multiplexed quantum memory with many memory cells. Each memory cell needs to be individually addressable and independently accessible. Here we report an experiment that realizes a multiplexed DLCZ-type quantum memory with 225 individually accessible memory cells in a macroscopic atomic ensemble. As a key element for quantum repeaters, we demonstrate that entanglement with flying optical qubits can be stored into any neighboring memory cells and read out after a programmable time with high fidelity. Experimental realization of a multiplexed quantum memory with many individually accessible memory cells and programmable control of its addressing and readout makes an important step for its application in quantum information technology. PMID:28480891

  16. Experimental realization of a multiplexed quantum memory with 225 individually accessible memory cells.

    PubMed

    Pu, Y-F; Jiang, N; Chang, W; Yang, H-X; Li, C; Duan, L-M

    2017-05-08

    To realize long-distance quantum communication and quantum network, it is required to have multiplexed quantum memory with many memory cells. Each memory cell needs to be individually addressable and independently accessible. Here we report an experiment that realizes a multiplexed DLCZ-type quantum memory with 225 individually accessible memory cells in a macroscopic atomic ensemble. As a key element for quantum repeaters, we demonstrate that entanglement with flying optical qubits can be stored into any neighboring memory cells and read out after a programmable time with high fidelity. Experimental realization of a multiplexed quantum memory with many individually accessible memory cells and programmable control of its addressing and readout makes an important step for its application in quantum information technology.

  17. Akt signaling is critical for memory CD8+ T-cell development and tumor immune surveillance.

    PubMed

    Rogel, Anne; Willoughby, Jane E; Buchan, Sarah L; Leonard, Henry J; Thirdborough, Stephen M; Al-Shamkhani, Aymen

    2017-02-14

    Memory CD8 + T cells confer long-term immunity against tumors, and anticancer vaccines therefore should maximize their generation. Multiple memory CD8 + T-cell subsets with distinct functional and homing characteristics exist, but the signaling pathways that regulate their development are ill defined. Here we examined the role of the serine/threonine kinase Akt in the generation of protective immunity by CD8 + T cells. Akt is known to be activated by the T-cell antigen receptor and the cytokine IL-2, but its role in T-cell immunity in vivo has not been explored. Using CD8 + T cells from pdk1 K465E/K465E knockin mice, we found that decreased Akt activity inhibited the survival of T cells during the effector-to-memory cell transition and abolished their differentiation into C-X-C chemokine receptor 3 (CXCR3) lo CD43 lo effector-like memory cells. Consequently, antitumor immunity by CD8 + T cells that display defective Akt signaling was substantially diminished during the memory phase. Reduced memory T-cell survival and altered memory cell differentiation were associated with up-regulation of the proapoptotic protein Bim and the T-box transcription factor eomesodermin, respectively. These findings suggest an important role for effector-like memory CD8 + T cells in tumor immune surveillance and identify Akt as a key signaling node in the development of protective memory CD8 + T-cell responses.

  18. Akt signaling is critical for memory CD8+ T-cell development and tumor immune surveillance

    PubMed Central

    Rogel, Anne; Willoughby, Jane E.; Buchan, Sarah L.; Leonard, Henry J.; Thirdborough, Stephen M.; Al-Shamkhani, Aymen

    2017-01-01

    Memory CD8+ T cells confer long-term immunity against tumors, and anticancer vaccines therefore should maximize their generation. Multiple memory CD8+ T-cell subsets with distinct functional and homing characteristics exist, but the signaling pathways that regulate their development are ill defined. Here we examined the role of the serine/threonine kinase Akt in the generation of protective immunity by CD8+ T cells. Akt is known to be activated by the T-cell antigen receptor and the cytokine IL-2, but its role in T-cell immunity in vivo has not been explored. Using CD8+ T cells from pdk1K465E/K465E knockin mice, we found that decreased Akt activity inhibited the survival of T cells during the effector-to-memory cell transition and abolished their differentiation into C-X-C chemokine receptor 3 (CXCR3)loCD43lo effector-like memory cells. Consequently, antitumor immunity by CD8+ T cells that display defective Akt signaling was substantially diminished during the memory phase. Reduced memory T-cell survival and altered memory cell differentiation were associated with up-regulation of the proapoptotic protein Bim and the T-box transcription factor eomesodermin, respectively. These findings suggest an important role for effector-like memory CD8+ T cells in tumor immune surveillance and identify Akt as a key signaling node in the development of protective memory CD8+ T-cell responses. PMID:28137869

  19. Distinct T helper cell dependence of memory B-cell proliferation versus plasma cell differentiation.

    PubMed

    Zabel, Franziska; Fettelschoss, Antonia; Vogel, Monique; Johansen, Pål; Kündig, Thomas M; Bachmann, Martin F

    2017-03-01

    Several memory B-cell subclasses with distinct functions have been described, of which the most effective is the class-switched (CS) memory B-cell population. We have previously shown, using virus-like particles (VLPs), that the proliferative potential of these CS memory B cells is limited and they fail to re-enter germinal centres (GCs). However, VLP-specific memory B cells quickly differentiated into secondary plasma cells (PCs) with the virtue of elevated antibody production compared with primary PCs. Whereas the induction of VLP + memory B cells was strongly dependent on T helper cells, we were wondering whether re-stimulation of VLP + memory B cells and their differentiation into secondary PCs would also require T helper cells. Global absence of T helper cells led to strongly impaired memory B cell proliferation and PC differentiation. In contrast, lack of interleukin-21 receptor-dependent follicular T helper cells or CD40 ligand signalling strongly affected proliferation of memory B cells, but differentiation into mature secondary PCs exhibiting increased antibody production was essentially normal. This contrasts with primary B-cell responses, where a strong dependence on CD40 ligand but limited importance of interleukin-21 receptor was seen. Hence, T helper cell dependence differs between primary and secondary B-cell responses as well as between memory B-cell proliferation and PC differentiation. © 2016 John Wiley & Sons Ltd.

  20. Memory vs memory-like: The different facets of CD8+ T-cell memory in HCV infection.

    PubMed

    Hofmann, Maike; Wieland, Dominik; Pircher, Hanspeter; Thimme, Robert

    2018-05-01

    Memory CD8 + T cells are essential in orchestrating protection from re-infection. Hallmarks of virus-specific memory CD8 + T cells are the capacity to mount recall responses with rapid induction of effector cell function and antigen-independent survival. Growing evidence reveals that even chronic infection does not preclude virus-specific CD8 + T-cell memory formation. However, whether this kind of CD8 + T-cell memory that is established during chronic infection is indeed functional and provides protection from re-infection is still unclear. Human chronic hepatitis C virus infection represents a unique model system to study virus-specific CD8 + T-cell memory formation during and after cessation of persisting antigen stimulation. © 2018 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  1. Memory T and memory B cells share a transcriptional program of self-renewal with long-term hematopoietic stem cells

    PubMed Central

    Luckey, Chance John; Bhattacharya, Deepta; Goldrath, Ananda W.; Weissman, Irving L.; Benoist, Christophe; Mathis, Diane

    2006-01-01

    The only cells of the hematopoietic system that undergo self-renewal for the lifetime of the organism are long-term hematopoietic stem cells and memory T and B cells. To determine whether there is a shared transcriptional program among these self-renewing populations, we first compared the gene-expression profiles of naïve, effector and memory CD8+ T cells with those of long-term hematopoietic stem cells, short-term hematopoietic stem cells, and lineage-committed progenitors. Transcripts augmented in memory CD8+ T cells relative to naïve and effector T cells were selectively enriched in long-term hematopoietic stem cells and were progressively lost in their short-term and lineage-committed counterparts. Furthermore, transcripts selectively decreased in memory CD8+ T cells were selectively down-regulated in long-term hematopoietic stem cells and progressively increased with differentiation. To confirm that this pattern was a general property of immunologic memory, we turned to independently generated gene expression profiles of memory, naïve, germinal center, and plasma B cells. Once again, memory-enriched and -depleted transcripts were also appropriately augmented and diminished in long-term hematopoietic stem cells, and their expression correlated with progressive loss of self-renewal function. Thus, there appears to be a common signature of both up- and down-regulated transcripts shared between memory T cells, memory B cells, and long-term hematopoietic stem cells. This signature was not consistently enriched in neural or embryonic stem cell populations and, therefore, appears to be restricted to the hematopoeitic system. These observations provide evidence that the shared phenotype of self-renewal in the hematopoietic system is linked at the molecular level. PMID:16492737

  2. Pregnancy persistently affects memory T cell populations.

    PubMed

    Kieffer, Tom E C; Faas, Marijke M; Scherjon, Sicco A; Prins, Jelmer R

    2017-02-01

    Pregnancy is an immune challenge to the maternal immune system. The effects of pregnancy on maternal immunity and particularly on memory T cells during and after pregnancy are not fully known. This observational study aims to show the short term and the long term effects of pregnancy on the constitution, size and activation status of peripheral human memory T-lymphocyte populations. Effector memory (EM) and central memory (CM) T-lymphocytes were analyzed using flow cytometry of peripheral blood from 14 nulligravid, 12 primigravid and 15 parous women that were on average 18 months postpartum. The short term effects were shown by the significantly higher CD4+ EM cell and activated CD4+ memory cell proportions in primigravid women compared to nulligravid women. The persistent effects found in this study were the significantly higher proportions of CD4+ EM, CD4+ CM and activated memory T cells in parous women compared to nulligravid women. In contrast to CD4+ cells, activation status of CD8+ memory cells did not differ between the groups. This study shows that pregnancy persistently affects the pre-pregnancy CD4+ memory cell pool in human peripheral blood. During pregnancy, CD4+ T-lymphocytes might differentiate into EM cells followed by persistent higher proportions of CD4+ CM and EM cells postpartum. The persistent effects of pregnancy on memory T cells found in this study support the hypothesis that memory T cells are generated during pregnancy and that these cells could be involved in the lower complication risks in multiparous pregnancies in humans. Copyright © 2016 The Authors. Published by Elsevier B.V. All rights reserved.

  3. Energy-band engineering for tunable memory characteristics through controlled doping of reduced graphene oxide.

    PubMed

    Han, Su-Ting; Zhou, Ye; Yang, Qing Dan; Zhou, Li; Huang, Long-Biao; Yan, Yan; Lee, Chun-Sing; Roy, Vellaisamy A L

    2014-02-25

    Tunable memory characteristics are used in multioperational mode circuits where memory cells with various functionalities are needed in one combined device. It is always a challenge to obtain control over threshold voltage for multimode operation. On this regard, we use a strategy of shifting the work function of reduced graphene oxide (rGO) in a controlled manner through doping gold chloride (AuCl3) and obtained a gradient increase of rGO work function. By inserting doped rGO as floating gate, a controlled threshold voltage (Vth) shift has been achieved in both p- and n-type low voltage flexible memory devices with large memory window (up to 4 times for p-type and 8 times for n-type memory devices) in comparison with pristine rGO floating gate memory devices. By proper energy band engineering, we demonstrated a flexible floating gate memory device with larger memory window and controlled threshold voltage shifts.

  4. The evolving roles of memory immune cells in transplantation

    PubMed Central

    Chen, Wenhao; Ghobrial, Rafik M.; Li, Xian C.

    2015-01-01

    Memory cells are the products of immune responses but also exert significant impact on subsequent immunity and immune tolerance, thus placing them in a unique position in transplant research. Memory cells are heterogeneous, including not only memory T cells but also memory B cells and innate memory cells. Memory cells are a critical component of protective immunity against invading pathogens, especially in immunosuppressed patients, but they also mediate graft loss and tolerance resistance. Recent studies suggest that some memory cells unexpectedly act as regulatory cells, promoting rather than hindering transplant survival. This functional diversity makes therapeutic targeting of memory cells a challenging task in transplantation. In this article we highlight recent advances in our understanding of memory cells, focusing on diversity of memory cells and mechanisms involved in their induction and functions. We also provide a broad overview on the challenges and opportunities in targeting memory cells in the induction of transplant tolerance. PMID:26102615

  5. Cancer immunotherapy and immunological memory.

    PubMed

    Murata, Kenji; Tsukahara, Tomohide; Torigoe, Toshihiko

    2016-01-01

    Human immunological memory is the key distinguishing hallmark of the adaptive immune system and plays an important role in the prevention of morbidity and the severity of infection. The differentiation system of T cell memory has been clarified using mouse models. However, the human T cell memory system has great diversity induced by natural antigens derived from many pathogens and tumor cells throughout life, and profoundly differs from the mouse memory system constructed using artificial antigens and transgenic T cells. We believe that only human studies can elucidate the human immune system. The importance of immunological memory in cancer immunotherapy has been pointed out, and the trafficking properties and long-lasting anti-tumor capacity of memory T cells play a crucial role in the control of malignant tumors. Adoptive cell transfer of less differentiated T cells has consistently demonstrated superior anti-tumor capacity relative to more differentiated T cells. Therefore, a human T cell population with the characteristics of stem cell memory is thought to be attractive for peptide vaccination and adoptive cell transfer. A novel human memory T cell population that we have identified is closer to the naive state than previous memory T cells in the T cell differentiation lineage, and has the characteristics of stem-like chemoresistance. Here we introduce this novel population and describe the fundamentals of immunological memory in cancer immunotherapy.

  6. Flexible and twistable non-volatile memory cell array with all-organic one diode-one resistor architecture.

    PubMed

    Ji, Yongsung; Zeigler, David F; Lee, Dong Su; Choi, Hyejung; Jen, Alex K-Y; Ko, Heung Cho; Kim, Tae-Wook

    2013-01-01

    Flexible organic memory devices are one of the integral components for future flexible organic electronics. However, high-density all-organic memory cell arrays on malleable substrates without cross-talk have not been demonstrated because of difficulties in their fabrication and relatively poor performances to date. Here we demonstrate the first flexible all-organic 64-bit memory cell array possessing one diode-one resistor architectures. Our all-organic one diode-one resistor cell exhibits excellent rewritable switching characteristics, even during and after harsh physical stresses. The write-read-erase-read output sequence of the cells perfectly correspond to the external pulse signal regardless of substrate deformation. The one diode-one resistor cell array is clearly addressed at the specified cells and encoded letters based on the standard ASCII character code. Our study on integrated organic memory cell arrays suggests that the all-organic one diode-one resistor cell architecture is suitable for high-density flexible organic memory applications in the future.

  7. IgG1 memory B cells keep the memory of IgE responses.

    PubMed

    He, Jin-Shu; Subramaniam, Sharrada; Narang, Vipin; Srinivasan, Kandhadayar; Saunders, Sean P; Carbajo, Daniel; Wen-Shan, Tsao; Hidayah Hamadee, Nur; Lum, Josephine; Lee, Andrea; Chen, Jinmiao; Poidinger, Michael; Zolezzi, Francesca; Lafaille, Juan J; Curotto de Lafaille, Maria A

    2017-09-21

    The unique differentiation of IgE cells suggests unconventional mechanisms of IgE memory. IgE germinal centre cells are transient, most IgE cells are plasma cells, and high affinity IgE is produced by the switching of IgG1 cells to IgE. Here we investigate the function of subsets of IgG1 memory B cells in IgE production and find that two subsets of IgG1 memory B cells, CD80 + CD73 + and CD80 - CD73 - , contribute distinctively to the repertoires of high affinity pathogenic IgE and low affinity non-pathogenic IgE. Furthermore, repertoire analysis indicates that high affinity IgE and IgG1 plasma cells differentiate from rare CD80 + CD73 + high affinity memory clones without undergoing further mutagenesis. By identifying the cellular origin of high affinity IgE and the clonal selection of high affinity memory B cells into the plasma cell fate, our findings provide fundamental insights into the pathogenesis of allergies, and on the mechanisms of antibody production in memory B cell responses.IgE is an important mediator of protective immunity as well as allergic reaction, but how high affinity IgE antibodies are produced in memory responses is not clear. Here the authors show that IgE can be generated via class-switch recombination in IgG1 memory B cells without additional somatic hypermutation.

  8. Characteristics of memory B cells elicited by a highly efficacious HPV vaccine in subjects with no pre-existing immunity.

    PubMed

    Scherer, Erin M; Smith, Robin A; Simonich, Cassandra A; Niyonzima, Nixon; Carter, Joseph J; Galloway, Denise A

    2014-10-01

    Licensed human papillomavirus (HPV) vaccines provide near complete protection against the types of HPV that most commonly cause anogenital and oropharyngeal cancers (HPV 16 and 18) when administered to individuals naive to these types. These vaccines, like most other prophylactic vaccines, appear to protect by generating antibodies. However, almost nothing is known about the immunological memory that forms following HPV vaccination, which is required for long-term immunity. Here, we have identified and isolated HPV 16-specific memory B cells from female adolescents and young women who received the quadrivalent HPV vaccine in the absence of pre-existing immunity, using fluorescently conjugated HPV 16 pseudoviruses to label antigen receptors on the surface of memory B cells. Antibodies cloned and expressed from these singly sorted HPV 16-pseudovirus labeled memory B cells were predominantly IgG (>IgA>IgM), utilized diverse variable genes, and potently neutralized HPV 16 pseudoviruses in vitro despite possessing only average levels of somatic mutation. These findings suggest that the quadrivalent HPV vaccine provides an excellent model for studying the development of B cell memory; and, in the context of what is known about memory B cells elicited by influenza vaccination/infection, HIV-1 infection, or tetanus toxoid vaccination, indicates that extensive somatic hypermutation is not required to achieve potent vaccine-specific neutralizing antibody responses.

  9. T inflammatory memory CD8 T cells participate to antiviral response and generate secondary memory cells with an advantage in XCL1 production.

    PubMed

    Jubin, Virginie; Ventre, Erwan; Leverrier, Yann; Djebali, Sophia; Mayol, Katia; Tomkowiak, Martine; Mafille, Julien; Teixeira, Marie; Teoh, Denise Y-L; Lina, Bruno; Walzer, Thierry; Arpin, Christophe; Marvel, Jacqueline

    2012-06-01

    Besides the classically described subsets of memory CD8 T cells generated under infectious conditions, are T inflammatory memory cells generated under sterile priming conditions, such as sensitization to allergens. Although not fully differentiated as pathogen-induced memory cells, they display memory properties that distinguish them from naive CD8 T cells. Given these memory cells are generated in an antigen-specific context that is devoid of pathogen-derived danger signals and CD4 T cell help, we herein questioned whether they maintained their activation and differentiation potential, could be recruited in an immune response directed against a pathogen expressing their cognate antigen and further differentiate in fully competent secondary memory cells. We show that T inflammatory memory cells can indeed take part to the immune response triggered by a viral infection, differentiate into secondary effectors and further generate typical central memory CD8 T cells and effector memory CD8 T cells. Furthermore, the secondary memory cells they generate display a functional advantage over primary memory cells in their capacity to produce TNF-α and the XCL1 chemokine. These results suggest that cross-reactive stimulations and differentiation of cells directed against allergens or self into fully competent pathogen-induced memory cells might have incidences in inflammatory immuno-pathologies.

  10. The Memories of NK Cells: Innate-Adaptive Immune Intrinsic Crosstalk.

    PubMed

    Gabrielli, Sara; Ortolani, Claudio; Del Zotto, Genny; Luchetti, Francesca; Canonico, Barbara; Buccella, Flavia; Artico, Marco; Papa, Stefano; Zamai, Loris

    2016-01-01

    Although NK cells are considered part of the innate immune system, a series of evidences has demonstrated that they possess characteristics typical of the adaptive immune system. These NK adaptive features, in particular their memory-like functions, are discussed from an ontogenetic and evolutionary point of view.

  11. Asymptomatic memory CD8+ T cells

    PubMed Central

    Khan, Arif Azam; Srivastava, Ruchi; Lopes, Patricia Prado; Wang, Christine; Pham, Thanh T; Cochrane, Justin; Thai, Nhi Thi Uyen; Gutierrez, Lucas; BenMohamed, Lbachir

    2014-01-01

    Generation and maintenance of high quantity and quality memory CD8+ T cells determine the level of protection from viral, bacterial, and parasitic re-infections, and hence constitutes a primary goal for T cell epitope-based human vaccines and immunotherapeutics. Phenotypically and functionally characterizing memory CD8+ T cells that provide protection against herpes simplex virus type 1 and type 2 (HSV-1 and HSV-2) infections, which cause blinding ocular herpes, genital herpes, and oro-facial herpes, is critical for better vaccine design. We have recently categorized 2 new major sub-populations of memory symptomatic and asymptomatic CD8+ T cells based on their phenotype, protective vs. pathogenic function, and anatomical locations. In this report we are discussing a new direction in developing T cell-based human herpes vaccines and immunotherapeutics based on the emerging new concept of “symptomatic and asymptomatic memory CD8+ T cells.” PMID:24499824

  12. Memory-like Responses of Natural Killer Cells

    PubMed Central

    Cooper, Megan A.; Yokoyama, Wayne M.

    2010-01-01

    Summary Natural killer (NK) cells are lymphocytes with the capacity to produce cytokines and kill target cells upon activation. NK cells have long been categorized as members of the innate immune system and as such have been thought to follow the ‘rules’ of innate immunity, including the principle that they have no immunologic memory, a property thought to be strictly limited to adaptive immunity. However, recent studies have suggested that NK cells have the capacity to alter their behavior based on prior activation. This property is analogous to adaptive immune memory; however, some NK cell memory-like functions are not strictly antigen-dependent and can be demonstrated following cytokine stimulation. Here we discuss the recent evidence that NK cells can exhibit properties of immunologic memory, focusing on the ability of cytokines to non-specifically induce memory-like NK cells with enhanced responses to restimulation. PMID:20536571

  13. The Memories of NK Cells: Innate-Adaptive Immune Intrinsic Crosstalk

    PubMed Central

    Ortolani, Claudio; del Zotto, Genny; Luchetti, Francesca; Canonico, Barbara; Artico, Marco; Papa, Stefano

    2016-01-01

    Although NK cells are considered part of the innate immune system, a series of evidences has demonstrated that they possess characteristics typical of the adaptive immune system. These NK adaptive features, in particular their memory-like functions, are discussed from an ontogenetic and evolutionary point of view. PMID:28078307

  14. CD4 T-Cell Memory Generation and Maintenance

    PubMed Central

    Gasper, David J.; Tejera, Melba Marie; Suresh, M.

    2014-01-01

    Immunologic memory is the adaptive immune system's powerful ability to remember a previous antigen encounter and react with accelerated vigor upon antigen re-exposure. It provides durable protection against reinfection with pathogens and is the foundation for vaccine-induced immunity. Unlike the relatively restricted immunologic purview of memory B cells and CD8 T cells, the field of CD4 T-cell memory must account for multiple distinct lineages with diverse effector functions, the issue of lineage commitment and plasticity, and the variable distribution of memory cells within each lineage. Here, we discuss the evidence for lineage-specific CD4 T-cell memory and summarize the known factors contributing to memory-cell generation, plasticity, and long-term maintenance. PMID:24940912

  15. Development and psychometric properties of a new measure for memory phenomenology: The Autobiographical Memory Characteristics Questionnaire.

    PubMed

    Boyacioglu, Inci; Akfirat, Serap

    2015-01-01

    The purpose of this study is to develop a valid and reliable measure for the phenomenology of autobiographical memories. The psychometric properties of the Autobiographical Memory Characteristics Questionnaire (AMCQ) were tested in three studies: the factor structure of the AMCQ was examined for childhood memories in Study 1 (N = 305); for autobiographical memories related to romantic relationships in Study 2 (N = 197); and for self-defining memories in Study 3 (N = 262). The explanatory factor analyses performed for each memory type demonstrated the consistency of the AMCQ factor structure across all memory types; while a confirmatory factor analysis on the data garnered from all three studies supported the constructs for the autobiographical memory characteristics defined by the researchers. The AMCQ consists of 63 items and 14 factors, and the internal consistency values of all 14 scales were ranged between .66 and .97. The relationships between the AMCQ scales related to gender and individual emotions, as well as the intercorrelations among the scales, were consistent with both theoretical expectations and previous findings. The results of all the three studies indicated that this new instrument is a reliable and robust measure for memory phenomenology.

  16. Stroma: the forgotten cells of innate immune memory.

    PubMed

    Crowley, Thomas; Buckley, Christopher D; Clark, Andrew R

    2018-05-05

    All organisms are constantly exposed to a variety of infectious and injurious stimuli. These induce inflammatory responses tailored to the threat posed. Whilst the innate immune system is the front line of response to each stimulant, it has been traditionally considered to lack memory, acting in a generic fashion until the adaptive immune arm can take over. This outmoded simplification of the roles of innate and acquired arms of the immune system has been challenged by evidence of myeloid cells altering their response to subsequent encounters based on earlier exposure. This concept of "innate immune memory" has been known for nearly a century, and is accepted amongst myeloid biologists. In recent years, other innate immune cells, such as natural killer cells, have been shown to display memory, suggesting innate immune memory is a trait common to several cell types. Over the last thirty years, evidence has slowly accumulated in favour of not only haematopoietic cells, but also stromal cells, being imbued with memory following inflammatory episodes. A recent publication showing this also to be true in epithelial cells suggests innate immune memory to be widespread, if underappreciated, in non-haematopoietic cells. In this review, we will examine the evidence supporting the existence of innate immune memory in stromal cells. We will also discuss the ramifications of memory in long-lived tissue-resident cells. Finally, we will pose questions we feel to be important in the understanding of these forgotten cells in the field of innate memory. This article is protected by copyright. All rights reserved. © 2018 British Society for Immunology.

  17. CD8 T cell memory: it takes all kinds

    PubMed Central

    Hamilton, Sara E.; Jameson, Stephen C.

    2012-01-01

    Understanding the mechanisms that regulate the differentiation and maintenance of CD8+ memory T cells is fundamental to the development of effective T cell-based vaccines. Memory cell differentiation is influenced by the cytokines that accompany T cell priming, the history of previous antigen encounters, and the tissue sites into which memory cells migrate. These cues combine to influence the developing CD8+ memory pool, and recent work has revealed the importance of multiple transcription factors, metabolic molecules, and surface receptors in revealing the type of memory cell that is generated. Paired with increasingly meticulous subsetting and sorting of memory populations, we now know the CD8+ memory pool to be phenotypically and functionally heterogeneous in nature. This includes both recirculating and tissue-resident memory populations, and cells with varying degrees of inherent longevity and protective function. These data point to the importance of tailored vaccine design. Here we discuss how the diversity of the memory CD8+ T cell pool challenges the notion that “one size fits all” for pathogen control, and how distinct memory subsets may be suited for distinct aspects of protective immunity. PMID:23230436

  18. Memory T cells maintain protracted protection against malaria.

    PubMed

    Krzych, Urszula; Zarling, Stasya; Pichugin, Alexander

    2014-10-01

    Immunologic memory is one of the cardinal features of antigen-specific immune responses, and the persistence of memory cells contributes to prophylactic immunizations against infectious agents. Adequately maintained memory T and B cell pools assure a fast, effective and specific response against re-infections. However, many aspects of immunologic memory are still poorly understood, particularly immunologic memory inducible by parasites, for example, Plasmodium spp., the causative agents of malaria. For example, memory responses to Plasmodium antigens amongst residents of malaria endemic areas appear to be either inadequately developed or maintained, because persons who survive episodes of childhood malaria remain vulnerable to intermittent malaria infections. By contrast, multiple exposures of humans and laboratory rodents to radiation-attenuated Plasmodium sporozoites (γ-spz) induce sterile and long-lasting protection against experimental sporozoite challenge. Multifactorial immune mechanisms maintain this protracted and sterile protection. While the presence of memory CD4 T cell subsets has been associated with lasting protection in humans exposed to multiple bites from Anopheles mosquitoes infected with attenuated Plasmodium falciparum, memory CD8 T cells maintain protection induced with Plasmodium yoelii and Plasmodium berghei γ-spz in murine models. In this review, we discuss our observations that show memory CD8 T cells specific for antigens expressed by P. berghei liver stage parasites as an indispensable component for the maintenance of protracted protective immunity against experimental malaria infection; moreover, the provision of an Ag-depot assures a quick recall of memory T cells as IFN-γ-producing effector CD8 T cells and IL-4- producing CD4 T cells that collaborate with B cells for an effective antibody response. Published by Elsevier B.V.

  19. A room-temperature non-volatile CNT-based molecular memory cell

    NASA Astrophysics Data System (ADS)

    Ye, Senbin; Jing, Qingshen; Han, Ray P. S.

    2013-04-01

    Recent experiments with a carbon nanotube (CNT) system confirmed that the innertube can oscillate back-and-forth even under a room-temperature excitation. This demonstration of relative motion suggests that it is now feasible to build a CNT-based molecular memory cell (MC), and the key to bring the concept to reality is the precision control of the moving tube for sustained and reliable read/write (RW) operations. Here, we show that by using a 2-section outertube design, we are able to suitably recalibrate the system energetics and obtain the designed performance characteristics of a MC. Further, the resulting energy modification enables the MC to operate as a non-volatile memory element at room temperatures. Our paper explores a fundamental understanding of a MC and its response at the molecular level to roadmap a novel approach in memory technologies that can be harnessed to overcome the miniaturization limit and memory volatility in memory technologies.

  20. Selective effects of emotion on the phenomenal characteristics of autobiographical memories.

    PubMed

    Schaefer, Alexandre; Philippot, Pierre

    2005-02-01

    The present study investigates the emotional determinants of the phenomenal characteristics of autobiographical memories. A total of 84 participants completed the Memory Characteristics Questionnaire (MCQ, Johnson, Foley, Suengas, & Raye, 1988) after retrieving and orally describing a negative, a positive, and a neutral autobiographical memory. In addition, self-report and physiological measures of emotional state at retrieval were recorded. Results suggest that recall of perceptual, sensory, and semantic elements is better for emotional memories than for neutral ones. This difference is not significant for contextual and temporal aspects, suggesting that emotional memories are more vivid but no more specific than are neutral ones. In addition, positive memories yielded higher MCQ ratings than did negative memories for sensory, temporal, and contextual aspects. Finally, correlations suggest a positive relation between emotional state at retrieval and level of phenomenal detail of retrieved memories. Results are interpreted in terms of multilevel models of emotion and of Conway and Pleydell-Pearce's (2000) model.

  1. Covert retrieval in working memory impacts the phenomenological characteristics remembered during episodic memory.

    PubMed

    Loaiza, Vanessa M; Borovanska, Borislava M

    2018-01-01

    Much research has investigated the qualitative experience of retrieving events from episodic memory (EM). The present study investigated whether covert retrieval in WM increases the phenomenological characteristics that participants find memorable in EM using tasks that distract attention from the maintenance of memoranda (i.e., complex span; Experiment 1) relative to tasks that do not (i.e., short or long list lengths of simple span; Experiments 1 and 2). Participants rated the quality of the phonological, semantic, and temporal-contextual characteristics remembered during a delayed memory characteristics questionnaire (MCQ). Whereas an advantage of the complex over simple span items was observed for each characteristic (Experiment 1), no such difference was observed between short and long trials of simple span (Experiment 2). These results are consistent with the view that covert retrieval in WM promotes content-context bindings that are later accessible from EM for both objective performance and subjective details of the remembered information. Copyright © 2017 Elsevier Inc. All rights reserved.

  2. Secondary immunization generates clonally related antigen-specific plasma cells and memory B cells.

    PubMed

    Frölich, Daniela; Giesecke, Claudia; Mei, Henrik E; Reiter, Karin; Daridon, Capucine; Lipsky, Peter E; Dörner, Thomas

    2010-09-01

    Rechallenge with T cell-dependent Ags induces memory B cells to re-enter germinal centers (GCs) and undergo further expansion and differentiation into plasma cells (PCs) and secondary memory B cells. It is currently not known whether the expanded population of memory B cells and PCs generated in secondary GCs are clonally related, nor has the extent of proliferation and somatic hypermutation of their precursors been delineated. In this study, after secondary tetanus toxoid (TT) immunization, TT-specific PCs increased 17- to 80-fold on days 6-7, whereas TT-specific memory B cells peaked (delayed) on day 14 with a 2- to 22-fold increase. Molecular analyses of V(H)DJ(H) rearrangements of individual cells revealed no major differences of gene usage and CDR3 length between TT-specific PCs and memory B cells, and both contained extensive evidence of somatic hypermutation with a pattern consistent with GC reactions. This analysis identified clonally related TT-specific memory B cells and PCs. Within clusters of clonally related cells, sequences shared a number of mutations but also could contain additional base pair changes. The data indicate that although following secondary immunization PCs can derive from memory B cells without further somatic hypermutation, in some circumstances, likely within GC reactions, asymmetric mutation can occur. These results suggest that after the fate decision to differentiate into secondary memory B cells or PCs, some committed precursors continue to proliferate and mutate their V(H) genes.

  3. Generation of memory B cells and their reactivation.

    PubMed

    Inoue, Takeshi; Moran, Imogen; Shinnakasu, Ryo; Phan, Tri Giang; Kurosaki, Tomohiro

    2018-05-01

    The successful establishment of humoral memory response depends on at least two layers of defense. Pre-existing protective antibodies secreted by long-lived plasma cells act as a first line of defense against reinfection ("constitutive humoral memory"). Previously, a second line of defense in which pathogen-experienced memory B cells are rapidly reactivated to produce antibodies ("reactive humoral memory"), was considered as simply a back-up system for the first line (particularly for re-infection with homologous viruses). However, in the case of re-infection with similar but different strains of viruses, or in response to viral escape mutants, the reactive humoral memory plays a crucial role. Here, we review recent progress in our understanding of how memory B cells are generated in the pre-GC stage and during the GC reaction, and how these memory B cells are robustly reactivated with the help of memory Tfh cells to generate the secondary antibody response. In addition, we discuss how these advances may be relevant to the quest for a vaccine that can induce broadly reactive antibodies against influenza and HIV. © 2018 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  4. A Memory B Cell Crossmatch Assay for Quantification of Donor-Specific Memory B Cells in the Peripheral Blood of HLA-Immunized Individuals.

    PubMed

    Karahan, G E; de Vaal, Y J H; Krop, J; Wehmeier, C; Roelen, D L; Claas, F H J; Heidt, S

    2017-10-01

    Humoral responses against mismatched donor HLA are routinely measured as serum HLA antibodies, which are mainly produced by bone marrow-residing plasma cells. Individuals with a history of alloimmunization but lacking serum antibodies may harbor circulating dormant memory B cells, which may rapidly become plasma cells on antigen reencounter. Currently available methods to detect HLA-specific memory B cells are scarce and insufficient in quantifying the complete donor-specific memory B cell response due to their dependence on synthetic HLA molecules. We present a highly sensitive and specific tool for quantifying donor-specific memory B cells in peripheral blood of individuals using cell lysates covering the complete HLA class I and class II repertoire of an individual. Using this enzyme-linked immunospot (ELISpot) assay, we found a median frequency of 31 HLA class I and 89 HLA class II-specific memory B cells per million IgG-producing cells directed at paternal HLA in peripheral blood samples from women (n = 22) with a history of pregnancy, using cell lysates from spouses. The donor-specific memory B cell ELISpot can be used in HLA diagnostic laboratories as a cross-match assay to quantify donor-specific memory B cells in patients with a history of sensitizing events. © 2017 The American Society of Transplantation and the American Society of Transplant Surgeons.

  5. Memory B cells in Guillain-Barré syndrome.

    PubMed

    Wang, Qian; Xing, Chunye; Hao, Yanlei; Shi, Qiguang; Qi, Ziyou; Lv, Zhanyun; Song, Yan; Xu, Peng; Feng, Xungang; Zhang, Lili; Zhang, Yong; Wang, Yuzhong; Yuki, Nobuhiro

    2017-04-15

    IgG autoantibodies against gangliosides show the highest titers at the disease onset of axonal Guillain-Barré syndrome (GBS), in which there are no IgM anti-ganglioside antibodies. We hypothesized that memory B cells take part in the development of producing IgG autoantibodies. In this study, we analyzed the memory B cells in patients with GBS using flow cytometry. There was significantly higher percentage of memory B cells in patients with GBS than the healthy controls. The Spearman correlation analysis demonstrated that increased percentage of memory B cells was positively correlated with the clinical severity of the patients with GBS. Our study provides the evidences that memory B cells may be involved in mechanism of GBS. Copyright © 2017 Elsevier B.V. All rights reserved.

  6. Induction and Maintenance of CX3CR1-Intermediate Peripheral Memory CD8+ T Cells by Persistent Viruses and Vaccines.

    PubMed

    Gordon, Claire Louse; Lee, Lian Ni; Swadling, Leo; Hutchings, Claire; Zinser, Madeleine; Highton, Andrew John; Capone, Stefania; Folgori, Antonella; Barnes, Eleanor; Klenerman, Paul

    2018-04-17

    The induction and maintenance of T cell memory is critical to the success of vaccines. A recently described subset of memory CD8 + T cells defined by intermediate expression of the chemokine receptor CX3CR1 was shown to have self-renewal, proliferative, and tissue-surveillance properties relevant to vaccine-induced memory. We tracked these cells when memory is sustained at high levels: memory inflation induced by cytomegalovirus (CMV) and adenovirus-vectored vaccines. In mice, both CMV and vaccine-induced inflationary T cells showed sustained high levels of CX3R1 int cells exhibiting an effector-memory phenotype, characteristic of inflationary pools, in early memory. In humans, CX3CR1 int CD8 + T cells were strongly induced following adenovirus-vectored vaccination for hepatitis C virus (HCV) (ChAd3-NSmut) and during natural CMV infection and were associated with a memory phenotype similar to that in mice. These data indicate that CX3CR1 int cells form an important component of the memory pool in response to persistent viruses and vaccines in both mice and humans. Copyright © 2018 The Author(s). Published by Elsevier Inc. All rights reserved.

  7. Naive T-cell receptor transgenic T cells help memory B cells produce antibody

    PubMed Central

    Duffy, Darragh; Yang, Chun-Ping; Heath, Andrew; Garside, Paul; Bell, Eric B

    2006-01-01

    Injection of the same antigen following primary immunization induces a classic secondary response characterized by a large quantity of high-affinity antibody of an immunoglobulin G class produced more rapidly than in the initial response – the products of memory B cells are qualitatively distinct from that of the original naive B lymphocytes. Very little is known of the help provided by the CD4 T cells that stimulate memory B cells. Using antigen-specific T-cell receptor transgenic CD4 T cells (DO11.10) as a source of help, we found that naive transgenic T cells stimulated memory B cells almost as well (in terms of quantity and speed) as transgenic T cells that had been recently primed. There was a direct correlation between serum antibody levels and the number of naive transgenic T cells transferred. Using T cells from transgenic interleukin-2-deficient mice we showed that interleukin-2 was not required for a secondary response, although it was necessary for a primary response. The results suggested that the signals delivered by CD4 T cells and required by memory B cells for their activation were common to both antigen-primed and naive CD4 T cells. PMID:17067314

  8. Analysis of antigen-specific B-cell memory directly ex vivo.

    PubMed

    McHeyzer-Williams, Louise J; McHeyzer-Williams, Michael G

    2004-01-01

    Helper T-cell-regulated B-cell memory develops in response to initial antigen priming as a cellular product of the germinal center (GC) reaction. On antigen recall, memory response precursors expand rapidly with exaggerated differentiation into plasma cells to produce the high-titer, high-affinity antibody(Ab) that typifies the memory B-cell response in vivo. We have devised a high-resolution flow cytometric strategy to quantify the emergence and maintenance of antigen-specific memory B cells directly ex vivo. Extended cell surface phenotype establishes a level of cellular diversity not previously appreciated for the memory B-cell compartment. Using an "exclusion transfer" strategy, we ascertain the capacity of two distinct memory B-cell populations to transfer antigen-specific memory into naive adoptive hosts. Finally, we sequence expressed messenger ribonucleic acid (mRNA) from single cells within the population to estimate the level of somatic hypermutation as the best molecular indicator of B-cell memory. In this chapter, we describe the methods used in each of these four sections that serve to provide high-resolution quantification of antigen-specific B-cell memory responses directly ex vivo.

  9. Characteristics of color memory for natural scenes

    NASA Astrophysics Data System (ADS)

    Amano, Kinjiro; Uchikawa, Keiji; Kuriki, Ichiro

    2002-08-01

    To study the characteristics of color memory for natural images, a memory-identification task was performed with differing color contrasts; three of the contrasts were defined by chromatic and luminance components of the image, and the others were defined with respect to the categorical colors. After observing a series of pictures successively, subjects identified the pictures using a confidence rating. Detection of increased contrasts tended to be harder than detection of decreased contrasts, suggesting that the chromaticness of pictures is enhanced in memory. Detecting changes within each color category was more difficult than across the categories. A multiple mechanism that processes color differences and categorical colors is briefly considered. 2002 Optical Society of America

  10. Memory CD8+ T Cells Protect Dendritic Cells from CTL Killing1

    PubMed Central

    Watchmaker, Payal B.; Urban, Julie A.; Berk, Erik; Nakamura, Yutaro; Mailliard, Robbie B.; Watkins, Simon C.; van Ham, S. Marieke; Kalinski, Pawel

    2010-01-01

    CD8+ T cells have been shown to be capable of either suppressing or promoting immune responses. To reconcile these contrasting regulatory functions, we compared the ability of human effector and memory CD8+ T cells to regulate survival and functions of dendritic cells (DC). We report that, in sharp contrast to the effector cells (CTLs) that kill DCs in a granzyme B- and perforin-dependent mechanism, memory CD8+ T cells enhance the ability of DCs to produce IL-12 and to induce functional Th1 and CTL responses in naive CD4+ and CD8+ T cell populations. Moreover, memory CD8+ T cells that release the DC-activating factor TNF-α before the release of cytotoxic granules induce DC expression of an endogenous granzyme B inhibitor PI-9 and protect DCs from CTL killing with similar efficacy as CD4+ Th cells. The currently identified DC-protective function of memory CD8+ T cells helps to explain the phenomenon of CD8+ T cell memory, reduced dependence of recall responses on CD4+ T cell help, and the importance of delayed administration of booster doses of vaccines for the optimal outcome of immunization. PMID:18322193

  11. Memory Applications Using Resonant Tunneling Diodes

    NASA Astrophysics Data System (ADS)

    Shieh, Ming-Huei

    Resonant tunneling diodes (RTDs) producing unique folding current-voltage (I-V) characteristics have attracted considerable research attention due to their promising application in signal processing and multi-valued logic. The negative differential resistance of RTDs renders the operating points self-latching and stable. We have proposed a multiple -dimensional multiple-state RTD-based static random-access memory (SRAM) cell in which the number of stable states can significantly be increased to (N + 1)^ m or more for m number of N-peak RTDs connected in series. The proposed cells take advantage of the hysteresis and folding I-V characteristics of RTD. Several cell designs are presented and evaluated. A two-dimensional nine-state memory cell has been implemented and demonstrated by a breadboard circuit using two 2-peak RTDs. The hysteresis phenomenon in a series of RTDs is also further analyzed. The switch model provided in SPICE 3 can be utilized to simulate the hysteretic I-V characteristics of RTDs. A simple macro-circuit is described to model the hysteretic I-V characteristic of RTD for circuit simulation. A new scheme for storing word-wide multiple-bit information very efficiently in a single memory cell using RTDs is proposed. An efficient and inexpensive periphery circuit to read from and write into the cell is also described. Simulation results on the design of a 3-bit memory cell scheme using one-peak RTDs are also presented. Finally, a binary transistor-less memory cell which is only composed of a pair of RTDs and an ordinary rectifier diode is presented and investigated. A simple means for reading and writing information from or into the memory cell is also discussed.

  12. Nanoscale CuO solid-electrolyte-based conductive-bridging, random-access memory cell with a TiN liner

    NASA Astrophysics Data System (ADS)

    Lee, Jong-Sun; Kim, Dong-Won; Kim, Hea-Jee; Jin, Soo-Min; Song, Myung-Jin; Kwon, Ki-Hyun; Park, Jea-Gun; Jalalah, Mohammed; Al-Hajry, Ali

    2018-01-01

    The Conductive-bridge random-access memory (CBRAM) cell is a promising candidate for a terabit-level non-volatile memory due to its remarkable advantages. We present for the first time TiN as a diffusion barrier in CBRAM cells for enhancing their reliability. CuO solid-electrolyte-based CBRAM cells implemented with a 0.1-nm TiN liner demonstrated better non-volatile memory characteristics such as 106 AC write/erase endurance cycles with 100-μs AC pulse width and a long retention time of 7.4-years at 85 °C. In addition, the analysis of Ag diffusion in the CBRAM cell suggests that the morphology of the Ag filaments in the electrolyte can be effectively controlled by tuning the thickness of the TiN liner. These promising results pave the way for faster commercialization of terabit-level non-volatile memories.

  13. How intention and monitoring your thoughts influence characteristics of autobiographical memories.

    PubMed

    Barzykowski, Krystian; Staugaard, Søren Risløv

    2018-05-01

    Involuntary autobiographical memories come to mind effortlessly and unintended, but the mechanisms of their retrieval are not fully understood. We hypothesize that involuntary retrieval depends on memories that are highly accessible (e.g., intense, unusual, recent, rehearsed), while the elaborate search that characterizes voluntary retrieval also produces memories that are mundane, repeated or distant - memories with low accessibility. Previous research provides some evidence for this 'threshold hypothesis'. However, in almost every prior study, participants have been instructed to report only memories while ignoring other thoughts. It is possible that such an instruction can modify the phenomenological characteristics of involuntary memories. This study aimed to investigate the effects of retrieval intentionality (i.e., wanting to retrieve a memory) and selective monitoring (i.e., instructions to report only memories) on the phenomenology of autobiographical memories. Participants were instructed to (1) intentionally retrieve autobiographical memories, (2) intentionally retrieve any type of thought (3) wait for an autobiographical memory to spontaneously appear, or (4) wait for any type of thought to spontaneously appear. They rated the mental content on a number of phenomenological characteristics both during retrieval and retrospectively following retrieval. The results support the prediction that highly accessible memories mostly enter awareness unintended and without selective monitoring, while memories with low accessibility rely on intention and selective monitoring. We discuss the implications of these effects. © 2017 The British Psychological Society.

  14. Allograft dendritic cell p40 homodimers activate donor-reactive memory CD8+ T cells

    PubMed Central

    Tsuda, Hidetoshi; Su, Charles A.; Tanaka, Toshiaki; Ayasoufi, Katayoun; Min, Booki; Valujskikh, Anna; Fairchild, Robert L.

    2018-01-01

    Recipient endogenous memory T cells with donor reactivity pose an important barrier to successful transplantation and costimulatory blockade–induced graft tolerance. Longer ischemic storage times prior to organ transplantation increase early posttransplant inflammation and negatively impact early graft function and long-term graft outcome. Little is known about the mechanisms enhancing endogenous memory T cell activation to mediate tissue injury within the increased inflammatory environment of allografts subjected to prolonged cold ischemic storage (CIS). Endogenous memory CD4+ and CD8+ T cell activation is markedly increased within complete MHC-mismatched cardiac allografts subjected to prolonged versus minimal CIS, and the memory CD8+ T cells directly mediate CTLA-4Ig–resistant allograft rejection. Memory CD8+ T cell activation within allografts subjected to prolonged CIS requires memory CD4+ T cell stimulation of graft DCs to produce p40 homodimers, but not IL-12 p40/p35 heterodimers. Targeting p40 abrogates memory CD8+ T cell proliferation within the allografts and their ability to mediate CTLA-4Ig–resistant allograft rejection. These findings indicate a critical role for memory CD4+ T cell–graft DC interactions to increase the intensity of endogenous memory CD8+ T cell activation needed to mediate rejection of higher-risk allografts subjected to increased CIS. PMID:29467328

  15. Engrampigenetics: Epigenetics of engram memory cells.

    PubMed

    Ripoli, Cristian

    2017-05-15

    For long time, the epidemiology of late-onset sporadic Alzheimer's disease (AD) risk factors has centered on adult life-style. Recent studies have, instead, focused on the role of early life experiences in progression of such disease especially in the context of prenatal and postnatal life. Although no single unfavorable environmental event has been shown to be neither necessary nor sufficient for AD development, it is possible that the sum of several environmentally induced effects, over time, contribute to its pathophysiology through epigenetic mechanisms. Indeed, epigenetic changes are influenced by environmental factors and have been proposed to play a role in multifactorial pathologies such as AD. At the same time, recent findings suggest that epigenetic mechanisms are one method that neurons use to translate transient stimuli into stable memories. Thus, the characteristics of epigenetics being a critical link between the environment and genes and playing a crucial role in memory formation make candidate epigenetic mechanisms a natural substrate for AD research. Indeed, independent groups have reported several epigenetically dysregulated genes in AD models; however, the role of epigenetic mechanisms in AD has remained elusive owing to contradictory results. Here, I propose that restricting the analysis of epigenetic changes specifically to subpopulations of neurons (namely, engram memory cells) might be helpful in understanding the role of the epigenetic process in the memory-related specific epigenetic code and might constitute a new template for therapeutic interventions against AD. Copyright © 2016. Published by Elsevier B.V.

  16. Cytokine activation induces human memory-like NK cells.

    PubMed

    Romee, Rizwan; Schneider, Stephanie E; Leong, Jeffrey W; Chase, Julie M; Keppel, Catherine R; Sullivan, Ryan P; Cooper, Megan A; Fehniger, Todd A

    2012-12-06

    Natural killer (NK) cells are lymphocytes that play an important role in the immune response to infection and malignancy. Recent studies in mice have shown that stimulation of NK cells with cytokines or in the context of a viral infection results in memory-like properties. We hypothesized that human NK cells exhibit such memory-like properties with an enhanced recall response after cytokine preactivation. In the present study, we show that human NK cells preactivated briefly with cytokine combinations including IL-12, IL-15, and IL-18 followed by a 7- to 21-day rest have enhanced IFN-γ production after restimulation with IL-12 + IL-15, IL-12 + IL-18, or K562 leukemia cells. This memory-like phenotype was retained in proliferating NK cells. In CD56(dim) NK cells, the memory-like IFN-γ response was correlated with the expression of CD94, NKG2A, NKG2C, and CD69 and a lack of CD57 and KIR. Therefore, human NK cells have functional memory-like properties after cytokine activation, which provides a novel rationale for integrating preactivation with combinations of IL-12, IL-15, and IL-18 into NK cell immunotherapy strategies.

  17. Genome-wide RNA profiling of long-lasting stem cell-like memory CD8 T cells induced by Yellow Fever vaccination in humans.

    PubMed

    Fuertes Marraco, Silvia A; Soneson, Charlotte; Delorenzi, Mauro; Speiser, Daniel E

    2015-09-01

    The live-attenuated Yellow Fever (YF) vaccine YF-17D induces a broad and polyfunctional CD8 T cell response in humans. Recently, we identified a population of stem cell-like memory CD8 T cells induced by YF-17D that persists at stable frequency for at least 25 years after vaccination. The YF-17D is thus a model system of human CD8 T cell biology that furthermore allows to track and study long-lasting and antigen-specific human memory CD8 T cells. Here, we describe in detail the sample characteristics and preparation of a microarray dataset acquired for genome-wide gene expression profiling of long-lasting YF-specific stem cell-like memory CD8 T cells, compared to the reference CD8 T cell differentiation subsets from total CD8 T cells. We also describe the quality controls, annotations and exploratory analyses of the dataset. The microarray data is available from the Gene Expression Omnibus (GEO) public repository with accession number GSE65804.

  18. Fluorescently labeled dengue viruses as probes to identify antigen-specific memory B cells by multiparametric flow cytometry.

    PubMed

    Woda, Marcia; Mathew, Anuja

    2015-01-01

    Low frequencies of memory B cells in the peripheral blood make it challenging to measure the functional and phenotypic characteristics of this antigen experienced subset of B cells without in vitro culture. To date, reagents are lacking to measure ex vivo frequencies of dengue virus (DENV)-specific memory B cells. We wanted to explore the possibility of using fluorescently labeled DENV as probes to detect antigen-specific memory B cells in the peripheral blood of DENV immune individuals. Alexa Fluor dye-labeled DENV yielded viable virus that could be stored at -80°C for long periods of time. Using a careful gating strategy and methods to decrease non-specific binding, we were able to identify a small frequency of B cells from dengue immune individuals that bound labeled DENV. Sorted DENV(+) B cells from immune, but not naïve donors secreted antibodies that bound DENV after in vitro stimulation. Overall, Alexa Fluor dye-labeled DENVs are useful reagents to enable the detection and characterization of memory B cells in DENV immune individuals. Copyright © 2014 Elsevier B.V. All rights reserved.

  19. Fluorescently labeled dengue viruses as probes to identify antigen-specific memory B cells by multiparametric flow cytometry

    PubMed Central

    Woda, Marcia; Mathew, Anuja

    2015-01-01

    Low frequencies of memory B cells in the peripheral blood make it challenging to measure the functional and phenotypic characteristics of this antigen experienced subset of B cells without in vitro culture. To date, reagents are lacking to measure ex vivo frequencies of dengue virus (DENV)-specific memory B cells. We wanted to explore the possibility of using fluorescently labeled DENV as probes to detect antigen-specific memory B cells in the peripheral blood of DENV immune individuals. Alexa Fluor dye-labeled DENV yielded viable virus that could be stored at −80°C for long periods of time. Using a careful gating strategy and methods to decrease non-specific binding, we were able to identify a small frequency of B cells from dengue immune individuals that bound labeled DENV. Sorted DENV+ B cells from immune, but not naïve donors secreted antibodies that bound intact virions after in vitro stimulation. Overall, Alexa Fluor dye labeled -DENV are useful reagents to enable the detection and characterization of memory B cells in DENV immune individuals. PMID:25497702

  20. Is There Natural Killer Cell Memory and Can It Be Harnessed by Vaccination? Vaccination Strategies Based on NK Cell and ILC Memory.

    PubMed

    Cooper, Megan A; Fehniger, Todd A; Colonna, Marco

    2017-12-18

    Studies over the last decade have decisively shown that innate immune natural killer (NK) cells exhibit enhanced long-lasting functional responses following a single activation event. With the increased recognition of memory and memory-like properties of NK cells, questions have arisen with regard to their ability to effectively mediate vaccination responses in humans. Moreover, recently discovered innate lymphoid cells (ILCs) could also potentially exhibit memory-like functions. Here, we review different forms of NK cell memory, and speculate about the ability of these cells and ILCs to meaningfully contribute to vaccination responses. Copyright © 2017 Cold Spring Harbor Laboratory Press; all rights reserved.

  1. Interconnected subsets of memory follicular helper T cells have different effector functions.

    PubMed

    Asrir, Assia; Aloulou, Meryem; Gador, Mylène; Pérals, Corine; Fazilleau, Nicolas

    2017-10-10

    Follicular helper T cells regulate high-affinity antibody production. Memory follicular helper T cells can be local in draining lymphoid organs and circulate in the blood, but the underlying mechanisms of this subdivision are unresolved. Here we show that both memory follicular helper T subsets sustain B-cell responses after reactivation. Local cells promote more plasma cell differentiation, whereas circulating cells promote more secondary germinal centers. In parallel, local memory B cells are homogeneous and programmed to become plasma cells, whereas circulating memory B cells are able to rediversify. Local memory follicular helper T cells have higher affinity T-cell receptors, which correlates with expression of peptide MHC-II at the surface of local memory B cells only. Blocking T-cell receptor-peptide MHC-II interactions induces the release of local memory follicular helper T cells in the circulating compartment. Our studies show that memory follicular helper T localization is highly intertwined with memory B cells, a finding that has important implications for vaccine design.Tfh cells can differentiate into memory cells. Here the authors describe distinct functional and phenotypic profiles of these memory Tfh cells dependent on their anatomical localization to the lymphoid organs or to the circulation.

  2. Loss of memory B cells impairs maintenance of long-term serologic memory during HIV-1 infection.

    PubMed

    Titanji, Kehmia; De Milito, Angelo; Cagigi, Alberto; Thorstensson, Rigmor; Grützmeier, Sven; Atlas, Ann; Hejdeman, Bo; Kroon, Frank P; Lopalco, Lucia; Nilsson, Anna; Chiodi, Francesca

    2006-09-01

    Circulating memory B cells are severely reduced in the peripheral blood of HIV-1-infected patients. We investigated whether dysfunctional serologic memory to non-HIV antigens is related to disease progression by evaluating the frequency of memory B cells, plasma IgG, plasma levels of antibodies to measles, and Streptococcus pneumoniae, and enumerating measles-specific antibody-secreting cells in patients with primary, chronic, and long-term nonprogressive HIV-1 infection. We also evaluated the in vitro production of IgM and IgG antibodies against measles and S pneumoniae antigens following polyclonal activation of peripheral blood mononuclear cells (PBMCs) from patients. The percentage of memory B cells correlated with CD4+ T-cell counts in patients, thus representing a marker of disease progression. While patients with primary and chronic infection had severe defects in serologic memory, long-term nonprogressors had memory B-cell frequency and levels of antigen-specific antibodies comparable with controls. We also evaluated the effect of antiretroviral therapy on these serologic memory defects and found that antiretroviral therapy did not restore serologic memory in primary or in chronic infection. We suggest that HIV infection impairs maintenance of long-term serologic immunity to HIV-1-unrelated antigens and this defect is initiated early in infection. This may have important consequences for the response of HIV-infected patients to immunizations.

  3. Diversity in T cell memory: An embarrassment of riches

    PubMed Central

    Jameson, Stephen C.; Masopust, David

    2010-01-01

    The adaptive immune response meets the needs of the organism to generate effector cells capable of controlling pathogens, but also leads to production of memory cells, which mediate more effective protection during rechallenge. In this review we focus on the generation, maintenance and function of memory T cells, with a special emphasis on the increasing evidence for great diversity among functional memory T cell subsets. PMID:20064446

  4. Interregional synaptic maps among engram cells underlie memory formation.

    PubMed

    Choi, Jun-Hyeok; Sim, Su-Eon; Kim, Ji-Il; Choi, Dong Il; Oh, Jihae; Ye, Sanghyun; Lee, Jaehyun; Kim, TaeHyun; Ko, Hyoung-Gon; Lim, Chae-Seok; Kaang, Bong-Kiun

    2018-04-27

    Memory resides in engram cells distributed across the brain. However, the site-specific substrate within these engram cells remains theoretical, even though it is generally accepted that synaptic plasticity encodes memories. We developed the dual-eGRASP (green fluorescent protein reconstitution across synaptic partners) technique to examine synapses between engram cells to identify the specific neuronal site for memory storage. We found an increased number and size of spines on CA1 engram cells receiving input from CA3 engram cells. In contextual fear conditioning, this enhanced connectivity between engram cells encoded memory strength. CA3 engram to CA1 engram projections strongly occluded long-term potentiation. These results indicate that enhanced structural and functional connectivity between engram cells across two directly connected brain regions forms the synaptic correlate for memory formation. Copyright © 2018 The Authors, some rights reserved; exclusive licensee American Association for the Advancement of Science. No claim to original U.S. Government Works.

  5. Vaccination Expands Antigen-Specific CD4+ Memory T Cells and Mobilizes Bystander Central Memory T Cells

    PubMed Central

    Li Causi, Eleonora; Parikh, Suraj C.; Chudley, Lindsey; Layfield, David M.; Ottensmeier, Christian H.; Stevenson, Freda K.; Di Genova, Gianfranco

    2015-01-01

    CD4+ T helper memory (Thmem) cells influence both natural and vaccine-boosted immunity, but mechanisms for their maintenance remain unclear. Pro-survival signals from the common gamma-chain cytokines, in particular IL-7, appear important. Previously we showed in healthy volunteers that a booster vaccination with tetanus toxoid (TT) expanded peripheral blood TT-specific Thmem cells as expected, but was accompanied by parallel increase of Thmem cells specific for two unrelated and non cross-reactive common recall antigens. Here, in a new cohort of healthy human subjects, we compare blood vaccine-specific and bystander Thmem cells in terms of differentiation stage, function, activation and proliferative status. Both responses peaked 1 week post-vaccination. Vaccine-specific cytokine-producing Thmem cells were predominantly effector memory, whereas bystander cells were mainly of central memory phenotype. Importantly, TT-specific Thmem cells were activated (CD38High HLA-DR+), cycling or recently divided (Ki-67+), and apparently vulnerable to death (IL-7RαLow and Bcl-2 Low). In contrast, bystander Thmem cells were resting (CD38Low HLA-DR- Ki-67-) with high expression of IL-7Rα and Bcl-2. These findings allow a clear distinction between vaccine-specific and bystander Thmem cells, suggesting the latter do not derive from recent proliferation but from cells mobilized from as yet undefined reservoirs. Furthermore, they reveal the interdependent dynamics of specific and bystander T-cell responses which will inform assessments of responses to vaccines. PMID:26332995

  6. Germinal-center development of memory B cells driven by IL-9 from follicular helper T cells.

    PubMed

    Wang, Yifeng; Shi, Jingwen; Yan, Jiacong; Xiao, Zhengtao; Hou, Xiaoxiao; Lu, Peiwen; Hou, Shiyue; Mao, Tianyang; Liu, Wanli; Ma, Yuanwu; Zhang, Lianfeng; Yang, Xuerui; Qi, Hai

    2017-08-01

    Germinal centers (GCs) support high-affinity, long-lived humoral immunity. How memory B cells develop in GCs is not clear. Through the use of a cell-cycle-reporting system, we identified GC-derived memory precursor cells (GC-MP cells) that had quit cycling and reached G0 phase while in the GC, exhibited memory-associated phenotypes with signs of affinity maturation and localized toward the GC border. After being transferred into adoptive hosts, GC-MP cells reconstituted a secondary response like genuine memory B cells. GC-MP cells expressed the interleukin 9 (IL-9) receptor and responded to IL-9. Acute treatment with IL-9 or antibody to IL-9 accelerated or retarded the positioning of GC-MP cells toward the GC edge and exit from the GC, and enhanced or inhibited the development of memory B cells, which required B cell-intrinsic responsiveness to IL-9. Follicular helper T cells (T FH cells) produced IL-9, and deletion of IL-9 from T cells or, more specifically, from GC T FH cells led to impaired memory formation of B cells. Therefore, the GC development of memory B cells is promoted by T FH cell-derived IL-9.

  7. Humans with chronic granulomatous disease maintain humoral immunologic memory despite low frequencies of circulating memory B cells.

    PubMed

    Moir, Susan; De Ravin, Suk See; Santich, Brian H; Kim, Jin Young; Posada, Jacqueline G; Ho, Jason; Buckner, Clarisa M; Wang, Wei; Kardava, Lela; Garofalo, Mary; Marciano, Beatriz E; Manischewitz, Jody; King, Lisa R; Khurana, Surender; Chun, Tae-Wook; Golding, Hana; Fauci, Anthony S; Malech, Harry L

    2012-12-06

    CD27(+) memory B cells are reduced in the blood of patients with chronic granulomatous disease (CGD) for reasons and consequences that remain unclear. Here we confirm not only decreased CD27(+) but also IgG(+) B cells in the blood of CGD patients compared with healthy donors (HDs). However, among IgG(+) B cells, the ratio of CD27(-) to CD27(+) was significantly higher in CGD patients compared with HDs. Similar to conventional memory B cells, CD27(-)IgG(+) B cells of CGD patients expressed activation markers and had undergone somatic hypermutation, albeit at levels lower than their CD27(+) counterparts. Functional analyses revealed slight reductions in frequencies of total IgG but not influenza-specific memory B-cell responses, as measured by Elispot in CGD patients compared with HDs. Serum IgG levels and influenza-specific antibodies were also normal in these CGD patients. Finally, we provide evidence that influenza-specific memory B cells can be present within the CD27(-)IgG(+) B-cell compartment. Together, these findings show that, despite reduced circulating CD27(+) memory B cells, CGD patients maintain an intact humoral immunologic memory, with potential contribution from CD27(-) B cells.

  8. Resistive switching characteristics and mechanisms in silicon oxide memory devices

    NASA Astrophysics Data System (ADS)

    Chang, Yao-Feng; Fowler, Burt; Chen, Ying-Chen; Zhou, Fei; Wu, Xiaohan; Chen, Yen-Ting; Wang, Yanzhen; Xue, Fei; Lee, Jack C.

    2016-05-01

    Intrinsic unipolar SiOx-based resistance random access memories (ReRAM) characterization, switching mechanisms, and applications have been investigated. Device structures, material compositions, and electrical characteristics are identified that enable ReRAM cells with high ON/OFF ratio, low static power consumption, low switching power, and high readout-margin using complementary metal-oxide semiconductor transistor (CMOS)-compatible SiOx-based materials. These ideas are combined with the use of horizontal and vertical device structure designs, composition optimization, electrical control, and external factors to help understand resistive switching (RS) mechanisms. Measured temperature effects, pulse response, and carrier transport behaviors lead to compact models of RS mechanisms and energy band diagrams in order to aid the development of computer-aided design for ultralarge-v scale integration. This chapter presents a comprehensive investigation of SiOx-based RS characteristics and mechanisms for the post-CMOS device era.

  9. Scarcity of autoreactive human blood IgA+ memory B cells

    PubMed Central

    Prigent, Julie; Lorin, Valérie; Kök, Ayrin; Hieu, Thierry; Bourgeau, Salomé

    2016-01-01

    Class‐switched memory B cells are key components of the “reactive” humoral immunity, which ensures a fast and massive secretion of high‐affinity antigen‐specific antibodies upon antigenic challenge. In humans, IgA class‐switched (IgA+) memory B cells and IgA antibodies are abundant in the blood. Although circulating IgA+ memory B cells and their corresponding secreted immunoglobulins likely possess major protective and/or regulatory immune roles, little is known about their specificity and function. Here, we show that IgA+ and IgG+ memory B‐cell antibodies cloned from the same healthy humans share common immunoglobulin gene features. IgA and IgG memory antibodies have comparable lack of reactivity to vaccines, common mucosa‐tropic viruses and commensal bacteria. However, the IgA+ memory B‐cell compartment contains fewer polyreactive clones and importantly, only rare self‐reactive clones compared to IgG+ memory B cells. Self‐reactivity of IgAs is acquired following B‐cell affinity maturation but not antibody class switching. Together, our data suggest the existence of different regulatory mechanisms for removing autoreactive clones from the IgG+ and IgA+ memory B‐cell repertoires, and/or different maturation pathways potentially reflecting the distinct nature and localization of the cognate antigens recognized by individual B‐cell populations. PMID:27469325

  10. Regulation of Memory T Cells by Interleukin-23.

    PubMed

    Li, Yanchun; Wang, Hongbo; Lu, Honghua; Hua, Shucheng

    2016-01-01

    Interleukin-23 (IL-23), a member of the IL-12 family of cytokines, is a heterodimeric cytokine. It is composed of subunits p40 (shared with IL-12) and p19 (an IL-12 p35-related subunit) and is secreted by several types of immune cells, such as natural killer cells and dendritic cells. The IL-23 receptor is composed of the subunit IL-12Rβ1 and the IL-23-specific subunit IL-23R. The binding of IL-23 to its specific cell surface receptor regulates a number of functions, including proliferation and differentiation of cells and secretion of cell factors. Memory T cells are a subset of T cells that secrete numerous important cell factors, and they function in the immune response to infection and diseases like cancer, autoimmune disease and bronchial asthma. IL-23R is expressed on the surface of memory T cells, which suggests that it can specifically regulate memory T cell function. IL-23 has been widely used as a clinical indicator in immune-related diseases and shows potential for use in disease treatment. Here we review the current progress in the study of the role of IL-23 in the regulation of memory T cells. © 2016 S. Karger AG, Basel.

  11. Characteristics of Positive Autobiographical Memories in Adulthood

    ERIC Educational Resources Information Center

    Bluck, Susan; Alea, Nicole

    2009-01-01

    The characteristics of positive autobiographical memory narratives were examined in younger and older adults. Narratives were content-coded for the extent to which they contained indicators of affect, sensory imagery, and cognition. Affect was additionally assessed through self-report. Young adults expressed more positive affect and less sensory…

  12. Modifying the Frequency and Characteristics of Involuntary Autobiographical Memories

    PubMed Central

    Vannucci, Manila; Batool, Iram; Pelagatti, Claudia; Mazzoni, Giuliana

    2014-01-01

    Recent studies have shown that involuntary autobiographical memories (IAMs) can be elicited in the laboratory. Here we assessed whether the specific instructions given to participants can change the nature of the IAMs reported, in terms of both their frequency and their characteristics. People were either made or not made aware that the aim of the study was to examine IAMs. They reported mental contents either whenever they became aware of them or following a predetermined schedule. Both making people aware of the aim of the study and following a fixed schedule of interruptions increased significantly the number of IAMs reported. When aware of the aim of the study, participants reported more specific memories that had been retrieved and rehearsed more often in the past. These findings demonstrate that the number and characteristics of memories depend on the procedure used. Explanations of these effects and their implications for research on IAMs are discussed. PMID:24717536

  13. Functional capacities of human IgM memory B cells in early inflammatory responses and secondary germinal center reactions.

    PubMed

    Seifert, Marc; Przekopowitz, Martina; Taudien, Sarah; Lollies, Anna; Ronge, Viola; Drees, Britta; Lindemann, Monika; Hillen, Uwe; Engler, Harald; Singer, Bernhard B; Küppers, Ralf

    2015-02-10

    The generation and functions of human peripheral blood (PB) IgM(+)IgD(+)CD27(+) B lymphocytes with somatically mutated IgV genes are controversially discussed. We determined their differential gene expression to naive B cells and to IgM-only and IgG(+) memory B cells. This analysis revealed a high similarity of IgM(+)(IgD(+))CD27(+) and IgG(+) memory B cells but also pointed at distinct functional capacities of both subsets. In vitro analyses revealed a tendency of activated IgM(+)IgD(+)CD27(+) B cells to migrate to B-cell follicles and undergo germinal center (GC) B-cell differentiation, whereas activated IgG(+) memory B cells preferentially showed a plasma cell (PC) fate. This observation was supported by reverse regulation of B-cell lymphoma 6 and PR domain containing 1 and differential BTB and CNC homology 1, basic leucine zipper transcription factor 2 expression. Moreover, IgM(+)IgD(+)CD27(+) B lymphocytes preferentially responded to neutrophil-derived cytokines. Costimulation with catecholamines, carcinoembryonic antigen cell adhesion molecule 8 (CEACAM8), and IFN-γ caused differentiation of IgM(+)IgD(+)CD27(+) B cells into PCs, induced class switching to IgG2, and was reproducible in cocultures with neutrophils. In conclusion, this study substantiates memory B-cell characteristics of human IgM(+)IgD(+)CD27(+) B cells in that they share typical memory B-cell transcription patterns with IgG(+) post-GC B cells and show a faster and more vigorous restimulation potential, a hallmark of immune memory. Moreover, this work reveals a functional plasticity of human IgM memory B cells by showing their propensity to undergo secondary GC reactions upon reactivation, but also by their special role in early inflammation via interaction with immunomodulatory neutrophils.

  14. Generation of effector CD8+ T cells and their conversion to memory T cells

    PubMed Central

    Cui, Weiguo; Kaech, Susan M.

    2015-01-01

    Summary Immunological memory is a cardinal feature of adaptive immunity. We are now beginning to elucidate the mechanisms that govern the formation of memory T cells and their ability to acquire longevity, survive the effector-to-memory transition, and mature into multipotent, functional memory T cells that self-renew. Here, we discuss the recent findings in this area and highlight extrinsic and intrinsic factors that regulate the cellular fate of activated CD8+ T cells. PMID:20636815

  15. Kinetic Inductance Memory Cell and Architecture for Superconducting Computers

    NASA Astrophysics Data System (ADS)

    Chen, George J.

    Josephson memory devices typically use a superconducting loop containing one or more Josephson junctions to store information. The magnetic inductance of the loop in conjunction with the Josephson junctions provides multiple states to store data. This thesis shows that replacing the magnetic inductor in a memory cell with a kinetic inductor can lead to a smaller cell size. However, magnetic control of the cells is lost. Thus, a current-injection based architecture for a memory array has been designed to work around this problem. The isolation between memory cells that magnetic control provides is provided through resistors in this new architecture. However, these resistors allow leakage current to flow which ultimately limits the size of the array due to power considerations. A kinetic inductance memory array will be limited to 4K bits with a read access time of 320 ps for a 1 um linewidth technology. If a power decoder could be developed, the memory architecture could serve as the blueprint for a fast (<1 ns), large scale (>1 Mbit) superconducting memory array.

  16. Humans with chronic granulomatous disease maintain humoral immunologic memory despite low frequencies of circulating memory B cells

    PubMed Central

    Santich, Brian H.; Kim, Jin Young; Posada, Jacqueline G.; Ho, Jason; Buckner, Clarisa M.; Wang, Wei; Kardava, Lela; Garofalo, Mary; Marciano, Beatriz E.; Manischewitz, Jody; King, Lisa R.; Khurana, Surender; Chun, Tae-Wook; Golding, Hana; Fauci, Anthony S.; Malech, Harry L.

    2012-01-01

    CD27+ memory B cells are reduced in the blood of patients with chronic granulomatous disease (CGD) for reasons and consequences that remain unclear. Here we confirm not only decreased CD27+ but also IgG+ B cells in the blood of CGD patients compared with healthy donors (HDs). However, among IgG+ B cells, the ratio of CD27− to CD27+ was significantly higher in CGD patients compared with HDs. Similar to conventional memory B cells, CD27−IgG+ B cells of CGD patients expressed activation markers and had undergone somatic hypermutation, albeit at levels lower than their CD27+ counterparts. Functional analyses revealed slight reductions in frequencies of total IgG but not influenza-specific memory B-cell responses, as measured by Elispot in CGD patients compared with HDs. Serum IgG levels and influenza-specific antibodies were also normal in these CGD patients. Finally, we provide evidence that influenza-specific memory B cells can be present within the CD27−IgG+ B-cell compartment. Together, these findings show that, despite reduced circulating CD27+ memory B cells, CGD patients maintain an intact humoral immunologic memory, with potential contribution from CD27− B cells. PMID:23074274

  17. Strategic priming with multiple antigens can yield memory cell phenotypes optimized for infection with Mycobacterium tuberculosis: A computational study

    DOE PAGES

    Ziraldo, Cordelia; Gong, Chang; Kirschner, Denise E.; ...

    2016-01-06

    Lack of an effective vaccine results in 9 million new cases of tuberculosis (TB) every year and 1.8 million deaths worldwide. While many infants are vaccinated at birth with BCG (an attenuated M. bovis), this does not prevent infection or development of TB after childhood. Immune responses necessary for prevention of infection or disease are still unknown, making development of effective vaccines against TB challenging. Several new vaccines are ready for human clinical trials, but these trials are difficult and expensive; especially challenging is determining the appropriate cellular response necessary for protection. The magnitude of an immune response is likelymore » key to generating a successful vaccine. Characteristics such as numbers of central memory (CM) and effector memory (EM) T cells responsive to a diverse set of epitopes are also correlated with protection. Promising vaccines against TB contain mycobacterial subunit antigens (Ag) present during both active and latent infection. We hypothesize that protection against different key immunodominant antigens could require a vaccine that produces different levels of EM and CM for each Ag-specific memory population. We created a computational model to explore EM and CM values, and their ratio, within what we term Memory Design Space. Our model captures events involved in T cell priming within lymph nodes and tracks their circulation through blood to peripheral tissues. We used the model to test whether multiple Ag-specific memory cell populations could be generated with distinct locations within Memory Design Space at a specific time point post vaccination. Boosting can further shift memory populations to memory cell ratios unreachable by initial priming events. By strategically varying antigen load, properties of cellular interactions within the LN, and delivery parameters (e.g., number of boosts) of multi-subunit vaccines, we can generate multiple Ag-specific memory populations that cover a wide range of

  18. Strategic priming with multiple antigens can yield memory cell phenotypes optimized for infection with Mycobacterium tuberculosis: A computational study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ziraldo, Cordelia; Gong, Chang; Kirschner, Denise E.

    Lack of an effective vaccine results in 9 million new cases of tuberculosis (TB) every year and 1.8 million deaths worldwide. While many infants are vaccinated at birth with BCG (an attenuated M. bovis), this does not prevent infection or development of TB after childhood. Immune responses necessary for prevention of infection or disease are still unknown, making development of effective vaccines against TB challenging. Several new vaccines are ready for human clinical trials, but these trials are difficult and expensive; especially challenging is determining the appropriate cellular response necessary for protection. The magnitude of an immune response is likelymore » key to generating a successful vaccine. Characteristics such as numbers of central memory (CM) and effector memory (EM) T cells responsive to a diverse set of epitopes are also correlated with protection. Promising vaccines against TB contain mycobacterial subunit antigens (Ag) present during both active and latent infection. We hypothesize that protection against different key immunodominant antigens could require a vaccine that produces different levels of EM and CM for each Ag-specific memory population. We created a computational model to explore EM and CM values, and their ratio, within what we term Memory Design Space. Our model captures events involved in T cell priming within lymph nodes and tracks their circulation through blood to peripheral tissues. We used the model to test whether multiple Ag-specific memory cell populations could be generated with distinct locations within Memory Design Space at a specific time point post vaccination. Boosting can further shift memory populations to memory cell ratios unreachable by initial priming events. By strategically varying antigen load, properties of cellular interactions within the LN, and delivery parameters (e.g., number of boosts) of multi-subunit vaccines, we can generate multiple Ag-specific memory populations that cover a wide range of

  19. Identification and Manipulation of Memory Engram Cells.

    PubMed

    Liu, Xu; Ramirez, Steve; Redondo, Roger L; Tonegawa, Susumu

    2014-01-01

    How memories are formed and stored in the brain remains a fascinating question in neuroscience. Here we discuss the memory engram theory, our recent attempt to identify and manipulate memory engram cells in the brain with optogenetics, and how these methods are used to address questions such as how false memory is formed and how the valence of a memory can be changed in the brain. Copyright © 2014 Cold Spring Harbor Laboratory Press; all rights reserved.

  20. Switched memory B cells maintain specific memory independently of serum antibodies: the hepatitis B example.

    PubMed

    Rosado, M Manuela; Scarsella, Marco; Pandolfi, Elisabetta; Cascioli, Simona; Giorda, Ezio; Chionne, Paola; Madonne, Elisabetta; Gesualdo, Francesco; Romano, Mariateresa; Ausiello, Clara M; Rapicetta, Maria; Zanetti, Alessandro R; Tozzi, Alberto; Carsetti, Rita

    2011-06-01

    The immunogenicity of a vaccine is conventionally measured through the level of serum Abs early after immunization, but to ensure protection specific Abs should be maintained long after primary vaccination. For hepatitis B, protective levels often decline over time, but breakthrough infections do not seem to occur. The aim of this study was to demonstrate whether, after hepatitis B vaccination, B-cell memory persists even when serum Abs decline. We compared the frequency of anti-hepatitis-specific memory B cells that remain in the blood of 99 children five years after priming with Infanrix -hexa (GlaxoSmithKline) (n=34) or with Hexavac (Sanofi Pasteur MSD) (n=65). These two vaccines differ in their ability to generate protective levels of IgG. Children with serum Abs under the protective level, <10 mIU/mL, received a booster dose of hepatitis B vaccine, and memory B cells and serum Abs were measured 2 wk later. We found that specific memory B cells had a similar frequency in all children independently of primary vaccine. Booster injection resulted in the increase of memory B cell frequencies (from 11.3 in 10(6) cells to 28.2 in 10(6) cells, p<0.01) and serum Abs (geometric mean concentration, GMC from 2.9 to 284 mIU/mL), demonstrating that circulating memory B cells effectively respond to Ag challenge even when specific Abs fall under the protective threshold. Copyright © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Shape-memory surfaces for cell mechanobiology

    PubMed Central

    Ebara, Mitsuhiro

    2015-01-01

    Shape-memory polymers (SMPs) are a new class of smart materials, which have the capability to change from a temporary shape ‘A’ to a memorized permanent shape ‘B’ upon application of an external stimulus. In recent years, SMPs have attracted much attention from basic and fundamental research to industrial and practical applications due to the cheap and efficient alternative to well-known metallic shape-memory alloys. Since the shape-memory effect in SMPs is not related to a specific material property of single polymers, the control of nanoarchitecture of polymer networks is particularly important for the smart functions of SMPs. Such nanoarchitectonic approaches have enabled us to further create shape-memory surfaces (SMSs) with tunable surface topography at nano scale. The present review aims to bring together the exciting design of SMSs and the ever-expanding range of their uses as tools to control cell functions. The goal for these endeavors is to mimic the surrounding mechanical cues of extracellular environments which have been considered as critical parameters in cell fate determination. The untapped potential of SMSs makes them one of the most exciting interfaces of materials science and cell mechanobiology. PMID:27877747

  2. Tunneling Electroresistance Effect with Diode Characteristic for Cross-Point Memory.

    PubMed

    Lee, Hong-Sub; Park, Hyung-Ho

    2016-06-22

    Cross-point memory architecture (CPMA) by using memristors has attracted considerable attention because of its high-density integration. However, a common and significant drawback of the CPMA is related to crosstalk issues between cells by sneak currents. This study demonstrated the sneak current free resistive switching characteristic of a ferroelectric tunnel diode (FTD) memristor for a CPMA by utilizing a novel concept of a ferroelectric quadrangle and triangle barrier switch. A FTD of Au/BaTiO3 (5 nm)/Nb-doped SrTiO3 (100) was used to obtain a desirable memristive effect for the CPMA. The FTD could reversibly change the shape of the ferroelectric potential from a quadrangle to a triangle. The effect included high nonlinearity and diode characteristics. It was derived from utilizing different sequences of carrier transport mechanisms such as the direct tunneling current, Fowler-Nordheim tunneling, and thermionic emission. The FTD memristor demonstrated the feasibility of sneak current-free high-density CPMA.

  3. Increased numbers of preexisting memory CD8 T cells and decreased T-bet expression can restrain terminal differentiation of secondary effector and memory CD8 T cells.

    PubMed

    Joshi, Nikhil S; Cui, Weiguo; Dominguez, Claudia X; Chen, Jonathan H; Hand, Timothy W; Kaech, Susan M

    2011-10-15

    Memory CD8 T cells acquire effector memory cell properties after reinfection and may reach terminally differentiated, senescent states ("Hayflick limit") after multiple infections. The signals controlling this process are not well understood, but we found that the degree of secondary effector and memory CD8 T cell differentiation was intimately linked to the amount of T-bet expressed upon reactivation and preexisting memory CD8 T cell number (i.e., primary memory CD8 T cell precursor frequency) present during secondary infection. Compared with naive cells, memory CD8 T cells were predisposed toward terminal effector (TE) cell differentiation because they could immediately respond to IL-12 and induce T-bet, even in the absence of Ag. TE cell formation after secondary (2°) or tertiary infections was dependent on increased T-bet expression because T-bet(+/-) cells were resistant to these phenotypic changes. Larger numbers of preexisting memory CD8 T cells limited the duration of 2° infection and the amount of IL-12 produced, and consequently, this reduced T-bet expression and the proportion of 2° TE CD8 T cells that formed. Together, these data show that over repeated infections, memory CD8 T cell quality and proliferative fitness is not strictly determined by the number of serial encounters with Ag or cell divisions, but is a function of the CD8 T cell differentiation state, which is genetically controlled in a T-bet-dependent manner. This differentiation state can be modulated by preexisting memory CD8 T cell number and the intensity of inflammation during reinfection. These results have important implications for vaccinations involving prime-boost strategies.

  4. Memory Engram Cells Have Come of Age.

    PubMed

    Tonegawa, Susumu; Liu, Xu; Ramirez, Steve; Redondo, Roger

    2015-09-02

    The idea that memory is stored in the brain as physical alterations goes back at least as far as Plato, but further conceptualization of this idea had to wait until the 20(th) century when two guiding theories were presented: the "engram theory" of Richard Semon and Donald Hebb's "synaptic plasticity theory." While a large number of studies have been conducted since, each supporting some aspect of each of these theories, until recently integrative evidence for the existence of engram cells and circuits as defined by the theories was lacking. In the past few years, the combination of transgenics, optogenetics, and other technologies has allowed neuroscientists to begin identifying memory engram cells by detecting specific populations of cells activated during specific learning epochs and by engineering them not only to evoke recall of the original memory, but also to alter the content of the memory. Copyright © 2015 Elsevier Inc. All rights reserved.

  5. Therapeutic limitations in tumor-specific CD8+ memory T cell engraftment

    PubMed Central

    Bathe, Oliver F; Dalyot-Herman, Nava; Malek, Thomas R

    2003-01-01

    Background Adoptive immunotherapy with cytotoxic T lymphocytes (CTL) represents an alternative approach to treating solid tumors. Ideally, this would confer long-term protection against tumor. We previously demonstrated that in vitro-generated tumor-specific CTL from the ovalbumin (OVA)-specific OT-I T cell receptor transgenic mouse persisted long after adoptive transfer as memory T cells. When recipient mice were challenged with the OVA-expressing E.G7 thymoma, tumor growth was delayed and sometimes prevented. The reasons for therapeutic failures were not clear. Methods OT-I CTL were adoptively transferred to C57BL/6 mice 21 – 28 days prior to tumor challenge. At this time, the donor cells had the phenotypical and functional characteristics of memory CD8+ T cells. Recipients which developed tumor despite adoptive immunotherapy were analyzed to evaluate the reason(s) for therapeutic failure. Results Dose-response studies demonstrated that the degree of tumor protection was directly proportional to the number of OT-I CTL adoptively transferred. At a low dose of OT-I CTL, therapeutic failure was attributed to insufficient numbers of OT-I T cells that persisted in vivo, rather than mechanisms that actively suppressed or anergized the OT-I T cells. In recipients of high numbers of OT-I CTL, the E.G7 tumor that developed was shown to be resistant to fresh OT-I CTL when examined ex vivo. Furthermore, these same tumor cells no longer secreted a detectable level of OVA. In this case, resistance to immunotherapy was secondary to selection of clones of E.G7 that expressed a lower level of tumor antigen. Conclusions Memory engraftment with tumor-specific CTL provides long-term protection against tumor. However, there are several limitations to this immunotherapeutic strategy, especially when targeting a single antigen. This study illustrates the importance of administering large numbers of effectors to engraft sufficiently efficacious immunologic memory. It also

  6. Scaling Trends and Tradeoffs between Short Channel Effect and Channel Boosting Characteristics in Sub-20 nm Bulk/Silicon-on-Insulator NAND Flash Memory

    NASA Astrophysics Data System (ADS)

    Miyaji, Kousuke; Hung, Chinglin; Takeuchi, Ken

    2012-04-01

    The scaling trends and limitation in sub-20 nm a bulk and silicon-on-insulator (SOI) NAND flash memory is studied by the three-dimensional (3D) device simulation focusing on short channel effects (SCE), channel boost leakage and channel voltage boosting characteristics during the program-inhibit operation. Although increasing punch-through stopper doping concentration is effective for suppressing SCE in bulk NAND cells, the generation of junction leakage becomes serious. On the other hand, SCE can be suppressed by thinning the buried oxide (BOX) in SOI NAND cells. However, the boosted channel voltage decreases by the higher BOX capacitance. It is concluded that the scaling limitation is dominated by the junction leakage and channel boosting capability for bulk and SOI NAND flash cells, respectively, and the scaling limit is decreased to 9 nm using SOI NAND flash memory cells from 13 nm in bulk NAND flash memory cells.

  7. Keeping STATs on memory CD8+ T cells.

    PubMed

    Olson, Janelle A; Jameson, Stephen C

    2011-11-23

    The CD8(+) T cell response is characterized by generation of a population of effector cells and establishment of a persistent memory pool. In this issue, Cui et al. (2011) and Siegel et al. (2011) show that cytokine receptor signaling through the transcription factor STAT3 establishes stable memory CD8(+) T cells. Copyright © 2011 Elsevier Inc. All rights reserved.

  8. Associative memory cells and their working principle in the brain

    PubMed Central

    Wang, Jin-Hui; Cui, Shan

    2018-01-01

    The acquisition, integration and storage of exogenous associated signals are termed as associative learning and memory. The consequences and processes of associative thinking and logical reasoning based on these stored exogenous signals can be memorized as endogenous signals, which are essential for decision making, intention, and planning. Associative memory cells recruited in these primary and secondary associative memories are presumably the foundation for the brain to fulfill cognition events and emotional reactions in life, though the plasticity of synaptic connectivity and neuronal activity has been believed to be involved in learning and memory. Current reports indicate that associative memory cells are recruited by their mutual synapse innervations among co-activated brain regions to fulfill the integration, storage and retrieval of associated signals. The activation of these associative memory cells initiates information recall in the mind, and the successful activation of their downstream neurons endorses memory presentations through behaviors and emotion reactions. In this review, we aim to draw a comprehensive diagram for associative memory cells, working principle and modulation, as well as propose their roles in cognition, emotion and behaviors. PMID:29487741

  9. Mutation in the Fas Pathway Impairs CD8+ T Cell Memory1

    PubMed Central

    Dudani, Renu; Russell, Marsha; van Faassen, Henk; Krishnan, Lakshmi; Sad, Subash

    2014-01-01

    Fas death pathway is important for lymphocyte homeostasis, but the role of Fas pathway in T cell memory development is not clear. We show that whereas the expansion and contraction of CD8+ T cell response against Listeria monocytogenes were similar for wild-type (WT) and Fas ligand (FasL) mutant mice, the majority of memory CD8+ T cells in FasL mutant mice displayed an effector memory phenotype in the long-term in comparison with the mainly central memory phenotype displayed by memory CD8+ T cells in WT mice. Memory CD8+ T cells in FasL mutant mice expressed reduced levels of IFN-γ and displayed poor homeostatic and Ag-induced proliferation. Impairment in CD8+ T cell memory in FasL mutant hosts was not due to defective programming or the expression of mutant FasL on CD8+ T cells, but was caused by perturbed cytokine environment in FasL mutant mice. Although adoptively transferred WT memory CD8+ T cells mediated protection against L. monocytogenes in either the WT or FasL mutant hosts, FasL mutant memory CD8+ T cells failed to mediate protection even in WT hosts. Thus, in individuals with mutation in Fas pathway, impairment in the function of the memory CD8+ T cells may increase their susceptibility to recurrent/latent infections. PMID:18292515

  10. Tolerance induction of IgG+ memory B cells by T cell-independent type II antigens.

    PubMed

    Haniuda, Kei; Nojima, Takuya; Ohyama, Kyosuke; Kitamura, Daisuke

    2011-05-15

    Memory B cells generated during a T cell-dependent immune response rapidly respond to a secondary immunization by producing abundant IgG Abs that bind cognate Ag with high affinity. It is currently unclear whether this heightened recall response by memory B cells is due to augmented IgG-BCR signaling, which has only been demonstrated in the context of naive transgenic B cells. To address this question, we examined whether memory B cells can respond in vivo to Ags that stimulate only through BCR, namely T cell-independent type II (TI-II) Ags. In this study, we show that the TI-II Ag (4-hydroxy-3-nitrophenyl) acetyl (NP)-Ficoll cannot elicit the recall response in mice first immunized with the T cell-dependent Ag NP-chicken γ-globulin. Moreover, the NP-Ficoll challenge in vivo as well as in vitro significantly inhibits a subsequent recall response to NP-chicken γ-globulin in a B cell-intrinsic manner. This NP-Ficoll-mediated tolerance is caused by the preferential elimination of IgG(+) memory B cells binding to NP with high affinity. These data indicate that BCR cross-linking with a TI-II Ag does not activate IgG(+) memory B cells, but rather tolerizes them, identifying a terminal checkpoint of memory B cell differentiation that may prevent autoimmunity.

  11. The role of cytokines in T-cell memory in health and disease.

    PubMed

    Raeber, Miro E; Zurbuchen, Yves; Impellizzieri, Daniela; Boyman, Onur

    2018-05-01

    Upon stimulation with their cognate antigen, naive T cells undergo proliferation and differentiation into effector cells, followed by apoptosis or survival as precursors of long-lived memory cells. These phases of a T-cell response and the ensuing maintenance of memory T cells are shaped by cytokines, most notably interleukin-2 (IL-2), IL-7, and IL-15 that share the common γ chain (γ c ) cytokine receptor. Steady-state production of IL-7 and IL-15 is necessary for background proliferation and homeostatic survival of CD4 + and CD8 + memory T cells. During immune responses, augmented levels of IL-2, IL-15, IL-21, IL-12, IL-18, and type-I interferons determine the memory potential of antigen-specific effector CD8 + cells, while increased IL-2 and IL-15 cause bystander proliferation of heterologous CD4 + and CD8 + memory T cells. Limiting availability of γ c cytokines, reduction in regulatory T cells or IL-10, and persistence of inflammation or cognate antigen can result in memory T cells, which fail to become cytokine-dependent long-lived cells. Conversely, increased IL-7 and IL-15 can expand memory T cells, including pathogenic tissue-resident memory T cells, as seen in lymphopenia and certain chronic-inflammatory disorders and malignancies. These abovementioned factors impact immunotherapy and vaccines directed at memory T cells in cancer and chronic infection. © 2018 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  12. Immune signatures of protective spleen memory CD8 T cells.

    PubMed

    Brinza, Lilia; Djebali, Sophia; Tomkowiak, Martine; Mafille, Julien; Loiseau, Céline; Jouve, Pierre-Emmanuel; de Bernard, Simon; Buffat, Laurent; Lina, Bruno; Ottmann, Michèle; Rosa-Calatrava, Manuel; Schicklin, Stéphane; Bonnefoy, Nathalie; Lauvau, Grégoire; Grau, Morgan; Wencker, Mélanie; Arpin, Christophe; Walzer, Thierry; Leverrier, Yann; Marvel, Jacqueline

    2016-11-24

    Memory CD8 T lymphocyte populations are remarkably heterogeneous and differ in their ability to protect the host. In order to identify the whole range of qualities uniquely associated with protective memory cells we compared the gene expression signatures of two qualities of memory CD8 T cells sharing the same antigenic-specificity: protective (Influenza-induced, Flu-TM) and non-protective (peptide-induced, TIM) spleen memory CD8 T cells. Although Flu-TM and TIM express classical phenotypic memory markers and are polyfunctional, only Flu-TM protects against a lethal viral challenge. Protective memory CD8 T cells express a unique set of genes involved in migration and survival that correlate with their unique capacity to rapidly migrate within the infected lung parenchyma in response to influenza infection. We also enlighten a new set of poised genes expressed by protective cells that is strongly enriched in cytokines and chemokines such as Ccl1, Ccl9 and Gm-csf. CCL1 and GM-CSF genes are also poised in human memory CD8 T cells. These immune signatures are also induced by two other pathogens (vaccinia virus and Listeria monocytogenes). The immune signatures associated with immune protection were identified on circulating cells, i.e. those that are easily accessible for immuno-monitoring and could help predict vaccines efficacy.

  13. White Adipose Tissue Is a Reservoir for Memory T Cells and Promotes Protective Memory Responses to Infection.

    PubMed

    Han, Seong-Ji; Glatman Zaretsky, Arielle; Andrade-Oliveira, Vinicius; Collins, Nicholas; Dzutsev, Amiran; Shaik, Jahangheer; Morais da Fonseca, Denise; Harrison, Oliver J; Tamoutounour, Samira; Byrd, Allyson L; Smelkinson, Margery; Bouladoux, Nicolas; Bliska, James B; Brenchley, Jason M; Brodsky, Igor E; Belkaid, Yasmine

    2017-12-19

    White adipose tissue bridges body organs and plays a fundamental role in host metabolism. To what extent adipose tissue also contributes to immune surveillance and long-term protective defense remains largely unknown. Here, we have shown that at steady state, white adipose tissue contained abundant memory lymphocyte populations. After infection, white adipose tissue accumulated large numbers of pathogen-specific memorycells, including tissue-resident cells. Memorycells in white adipose tissue expressed a distinct metabolic profile, and white adipose tissue from previously infected mice was sufficient to protect uninfected mice from lethal pathogen challenge. Induction of recall responses within white adipose tissue was associated with the collapse of lipid metabolism in favor of antimicrobial responses. Our results suggest that white adipose tissue represents a memorycell reservoir that provides potent and rapid effector memory responses, positioning this compartment as a potential major contributor to immunological memory. Published by Elsevier Inc.

  14. Inducible nitric oxide synthase in T cells regulates T cell death and immune memory

    PubMed Central

    Vig, Monika; Srivastava, Smita; Kandpal, Usha; Sade, Hadassah; Lewis, Virginia; Sarin, Apurva; George, Anna; Bal, Vineeta; Durdik, Jeannine M.; Rath, Satyajit

    2004-01-01

    The progeny of T lymphocytes responding to immunization mostly die rapidly, leaving a few long-lived survivors functioning as immune memory. Thus, control of this choice of death versus survival is critical for immune memory. There are indications that reactive radicals may be involved in this death pathway. We now show that, in mice lacking inducible nitric oxide synthase (iNOS), higher frequencies of both CD4 and CD8 memory T cells persist in response to immunization, even when iNOS+/+ APCs are used for immunization. Postactivation T cell death by neglect is reduced in iNOS–/– T cells, and levels of the antiapoptotic proteins Bcl-2 and Bcl-xL are increased. Inhibitors of the iNOS-peroxynitrite pathway also enhance memory responses and block postactivation death by neglect in both mouse and human T cells. However, early primary immune responses are not enhanced, which suggests that altered survival, rather than enhanced activation, is responsible for the persistent immunity observed. Thus, in primary immune responses, iNOS in activated T cells autocrinely controls their susceptibility to death by neglect to determine the level of persisting CD4 and CD8 T cell memory, and modulation of this pathway can enhance the persistence of immune memory in response to vaccination. PMID:15199408

  15. IL-7 promotes long-term in vitro survival of unique long-lived memory subset generated from mucosal effector memory CD4+ T cells in chronic colitis mice.

    PubMed

    Takahara, Masahiro; Nemoto, Yasuhiro; Oshima, Shigeru; Matsuzawa, Yu; Kanai, Takanori; Okamoto, Ryuichi; Tsuchiya, Kiichiro; Nakamura, Tetsuya; Yamamoto, Kazuhide; Watanabe, Mamoru

    2013-01-01

    Colitogenic memory CD4(+) T cells are important in the pathogenesis of inflammatory bowel disease (IBD). Although memory stem cells with high survival and self-renewal capacity were recently identified in both mice and humans, it is unclear whether a similar subset is present in chronic colitis mice. We sought to identify and purify a long-lived subset of colitogenic memory CD4(+) T cells, which may be targets for treatment of IBD. A long-lived subset of colitogenic memory CD4(+) T cells was purified using a long-term culture system. The characteristics of these cells were assessed. Interleukin (IL)-7 promoted the in vitro survival for >8 weeks of lamina propria (LP) CD4(+) T cells from colitic SCID mice previously injected with CD4(+)CD45RB(high) T cells. These cells were in a quiescent state and divided a maximum of 5 times in 4 weeks. LP CD4(+) T cells expressed higher levels of Bcl-2, integrin-α4β7, CXCR3 and CD25 after than before culture, as well as secreting high concentrations of IL-2 and low concentrations of IFN-γ and IL-17 in response to intestinal bacterial antigens. LP CD4(+) T cells from colitic mice cultured with IL-7 for 8 weeks induced more severe colitis than LP CD4(+) T cells cultured for 4 weeks. We developed a novel culture system to purify a long-lived, highly pathogenic memory subset from activated LP CD4(+) T cells. IL-7 promoted long-term in vitro survival of this subset in a quiescent state. This subset will be a novel, effective target for the treatment of IBD. Copyright © 2013 Elsevier B.V. All rights reserved.

  16. Endogenous Memory CD8 T Cells Directly Mediate Cardiac Allograft Rejection

    PubMed Central

    Su, C. A.; Iida, S.; Abe, T.; Fairchild, R. L.

    2014-01-01

    Differences in levels of environmentally induced memory T cells that cross-react with donor MHC molecules are postulated to account for the efficacy of allograft tolerance inducing strategies in rodents versus their failure in nonhuman primates and human transplant patients. Strategies to study the impact of donor-reactive memory T cells on allografts in rodents have relied on the pre-transplant induction of memory T cells cross-reactive with donor allogeneic MHC molecules through recipient viral infection, priming directly with donor antigen, or adoptive transfer of donor-antigen primed memory T cells. Each approach accelerates allograft rejection and confers resistance to tolerance induction, but also biases the T cell repertoire to strong donor-reactivity. The ability of endogenous memory T cells within unprimed mice to directly reject an allograft is unknown. Here we show a direct association between increased duration of cold ischemic allograft storage and numbers and enhanced functions of early graft infiltrating endogenous CD8 memory T cells. These T cells directly mediate rejection of allografts subjected to prolonged ischemia and this rejection is resistant to costimulatory blockade. These findings recapitulate the clinically significant impact of endogenous memory T cells with donor reactivity in a mouse transplant model in the absence of prior recipient priming. PMID:24502272

  17. Antiferromagnetic CuMnAs multi-level memory cell with microelectronic compatibility

    NASA Astrophysics Data System (ADS)

    Olejník, K.; Schuler, V.; Marti, X.; Novák, V.; Kašpar, Z.; Wadley, P.; Campion, R. P.; Edmonds, K. W.; Gallagher, B. L.; Garces, J.; Baumgartner, M.; Gambardella, P.; Jungwirth, T.

    2017-05-01

    Antiferromagnets offer a unique combination of properties including the radiation and magnetic field hardness, the absence of stray magnetic fields, and the spin-dynamics frequency scale in terahertz. Recent experiments have demonstrated that relativistic spin-orbit torques can provide the means for an efficient electric control of antiferromagnetic moments. Here we show that elementary-shape memory cells fabricated from a single-layer antiferromagnet CuMnAs deposited on a III-V or Si substrate have deterministic multi-level switching characteristics. They allow for counting and recording thousands of input pulses and responding to pulses of lengths downscaled to hundreds of picoseconds. To demonstrate the compatibility with common microelectronic circuitry, we implemented the antiferromagnetic bit cell in a standard printed circuit board managed and powered at ambient conditions by a computer via a USB interface. Our results open a path towards specialized embedded memory-logic applications and ultra-fast components based on antiferromagnets.

  18. Neem leaf glycoprotein generates superior tumor specific central memory CD8+ T cells than cyclophosphamide that averts post-surgery solid sarcoma recurrence.

    PubMed

    Ghosh, Sarbari; Sarkar, Madhurima; Ghosh, Tithi; Guha, Ipsita; Bhuniya, Avishek; Saha, Akata; Dasgupta, Shayani; Barik, Subhasis; Bose, Anamika; Baral, Rathindranath

    2017-08-03

    The success of cancer vaccines is limited as most of them induce corrupted CD8 + T cell memory populations. We reported earlier that a natural immunomodulator, neem leaf glycoprotein (NLGP), therapeutically restricts tumor growth in a CD8 + T cell-dependent manner. Here, our objective is to study whether memory CD8 + T cell population is generated in sarcoma hosts after therapeutic NLGP treatment and their role in prevention of post-surgery tumor recurrence, in comparison to the immunostimulatory metronomic cyclophosphamide (CTX) treatment. We found that therapeutic NLGP and CTX treatment generates central memory CD8 + T (TCM) cells with characteristic CD44 + CD62L high CCR7 high IL-2 high phenotypes. But these TCM cells are functionally impaired to prevent re-appearance of tumors along with compromised proliferative, IL-2 secretive and cytotoxic status. This might be due to the presence of tumor load, even a small one in the host, which serves as a persistent source of tumor antigens thereby corrupting the TCM cells so generated. Surgical removal of the persisting tumors from the host restored the functional characteristics of memory CD8 + T cells, preventing tumor recurrence after surgery till end of the experiment. Moreover, we observed that generation of superior TCM cells in NLGP treated surgically removed tumor hosts is related to the activation of Wnt signalling in memory CD8 + T cells with concomitant inhibition of GSK-3β and stabilisation of β-catenin, which ultimately activates transcription of Wnt target genes, like, eomesodermin, a signature molecule of CD8 + TCM cells. Copyright © 2017 Elsevier Ltd. All rights reserved.

  19. Cell-autonomous CCL5 transcription by memory CD8 T cells is regulated by IL-4.

    PubMed

    Marçais, Antoine; Coupet, Charles-Antoine; Walzer, Thierry; Tomkowiak, Martine; Ghittoni, Raffaella; Marvel, Jacqueline

    2006-10-01

    Immunological memory is associated with the display of improved effector functions. The maintenance by CD8 memory cells of high levels of untranslated CCL5 mRNA allows these cells to immediately secrete this chemokine upon Ag stimulation. Untranslated mRNA storage is a newly described process supporting the immediate display of an effector function by memory lymphocytes. We have tested the capacity of different cytokines to regulate the memorization of CCL5 by memory CD8 T cells. We found that IL-4 treatment of murine CD8 T cells impairs immediate CCL5 secretion capacity by inhibiting CCL5 mRNA transcription through a STAT6-dependent pathway. The inhibition by IL-4 is reversible, as memory CD8 T cells reconstitute their CCL5 mRNA stores and reacquire their immediate CCL5 secretion capacity when IL-4 is withdrawn. This recovery is cell autonomous because it proceeds in culture medium in the absence of exogenous growth factors, suggesting that CCL5 expression by memory CD8 T cells is a default process. Overall, these results indicate that the expression of CCL5 is an intrinsic property acquired by memory CD8 T cells that is regulated by environmental factors.

  20. Modeling of SONOS Memory Cell Erase Cycle

    NASA Technical Reports Server (NTRS)

    Phillips, Thomas A.; MacLeod, Todd C.; Ho, Fat H.

    2011-01-01

    Utilization of Silicon-Oxide-Nitride-Oxide-Silicon (SONOS) nonvolatile semiconductor memories as a flash memory has many advantages. These electrically erasable programmable read-only memories (EEPROMs) utilize low programming voltages, have a high erase/write cycle lifetime, are radiation hardened, and are compatible with high-density scaled CMOS for low power, portable electronics. In this paper, the SONOS memory cell erase cycle was investigated using a nonquasi-static (NQS) MOSFET model. Comparisons were made between the model predictions and experimental data.

  1. Single-Cell Memory Regulates a Neural Circuit for Sensory Behavior.

    PubMed

    Kobayashi, Kyogo; Nakano, Shunji; Amano, Mutsuki; Tsuboi, Daisuke; Nishioka, Tomoki; Ikeda, Shingo; Yokoyama, Genta; Kaibuchi, Kozo; Mori, Ikue

    2016-01-05

    Unveiling the molecular and cellular mechanisms underlying memory has been a challenge for the past few decades. Although synaptic plasticity is proven to be essential for memory formation, the significance of "single-cell memory" still remains elusive. Here, we exploited a primary culture system for the analysis of C. elegans neurons and show that a single thermosensory neuron has an ability to form, retain, and reset a temperature memory. Genetic and proteomic analyses found that the expression of the single-cell memory exhibits inter-individual variability, which is controlled by the evolutionarily conserved CaMKI/IV and Raf pathway. The variable responses of a sensory neuron influenced the neural activity of downstream interneurons, suggesting that modulation of the sensory neurons ultimately determines the behavioral output in C. elegans. Our results provide proof of single-cell memory and suggest that the individual differences in neural responses at the single-cell level can confer individuality. Copyright © 2016 The Authors. Published by Elsevier Inc. All rights reserved.

  2. Intravaginal infection with herpes simplex virus type-2 (HSV-2) generates a functional effector memory T cell population that persists in the murine genital tract.

    PubMed

    Tang, Vera A; Rosenthal, Kenneth L

    2010-12-01

    Although the female genital tract is the main portal of entry for sexually transmitted infections in women, we still have limited understanding of the generation, maintenance and characteristics of memory T cells in the local tissue. Here, we utilized a mouse model of intravaginal HSV-2 infection and tetramers against the immunodominant HSV glycoprotein B epitope recognized by CD8+ T cells to examine the generation, maintenance and characteristics of anti-HSV memory T cells in the genital tract following acute infection. Our results show that the highest percentage of HSVgB-specific CD8+ T cells was found in the genital tract compared to the spleen or iliac lymphnode. Indeed, although the actual number of CD8+ T cells contracted following viral clearance, approximately one quarter of the CD8+ population that remained in the genital tissue was HSVgB-specific. Memory gB-tetramer+CD8 T cells in the genital tract were positive for CD127 and KLRG1 and negative for CD62L and CCR7, thus confirming that HSV-specific CD8 cells were effector memory T cells that lack the capacity for homing to lymphoid tissues. Functionally, both memory CD8+ and CD4+ HSV-specific populations in the genital tract produced IFNγ when stimulated in vitro and CD4+ cells also produced TNFα. Genital HSVgB-specific memory T cells expressed tissue-homing integrins CD103 (αE integrin) and CD49a (VLA-1 or α1 integrin). Our findings suggest that HSV-specific memory T cells are retained in the genital tract, poised to act as an early line of defense against future virus encounter. Copyright © 2010 Elsevier Ireland Ltd. All rights reserved.

  3. Primary Sjögren's syndrome is characterized by distinct phenotypic and transcriptional profiles of IgD+ unswitched memory B cells.

    PubMed

    Roberts, Mustimbo E P; Kaminski, Denise; Jenks, Scott A; Maguire, Craig; Ching, Kathryn; Burbelo, Peter D; Iadarola, Michael J; Rosenberg, Alexander; Coca, Andreea; Anolik, Jennifer; Sanz, Iñaki

    2014-09-01

    The significance of distinct B cell abnormalities in primary Sjögren's syndrome (SS) remains to be established. We undertook this study to analyze the phenotype and messenger RNA (mRNA) transcript profiles of B cell subsets in patients with primary SS and to compare them with those in sicca syndrome patients and healthy controls. CD19+ B cells from 26 patients with primary SS, 27 sicca syndrome patients, and 22 healthy controls were analyzed by flow cytometry. Gene expression profiles of purified B cell subsets (from 3-5 subjects per group per test) were analyzed using Affymetrix gene arrays. Patients with primary SS had lower frequencies of CD27+IgD- switched memory B cells and CD27+IgD+ unswitched memory B cells compared with healthy controls. Unswitched memory B cell frequencies were also lower in sicca syndrome patients and correlated inversely with serologic hyperactivity in both disease states. Further, unswitched memory B cells in primary SS had lower expression of CD1c and CD21. Gene expression analysis of CD27+ memory B cells separated patients with primary SS from healthy controls and identified a subgroup of sicca syndrome patients with a primary SS-like transcript profile. Moreover, unswitched memory B cell gene expression analysis identified 187 genes differentially expressed between patients with primary SS and healthy controls. A decrease in unswitched memory B cells with serologic hyperactivity is characteristic of both established primary SS and a subgroup of sicca syndrome, which suggests the value of these B cells both as biomarkers of future disease progression and for understanding disease pathogenesis. Overall, the mRNA transcript analysis of unswitched memory B cells suggests that their activation in primary SS takes place through innate immune pathways in the context of attenuated antigen-mediated adaptive signaling. Thus, our findings provide important insight into the mechanisms and potential consequences of decreased unswitched memory B

  4. Fatty acid metabolism in CD8+ T cell memory: Challenging current concepts.

    PubMed

    Raud, Brenda; McGuire, Peter J; Jones, Russell G; Sparwasser, Tim; Berod, Luciana

    2018-05-01

    CD8 + T cells are key members of the adaptive immune response against infections and cancer. As we discuss in this review, these cells can present diverse metabolic requirements, which have been intensely studied during the past few years. Our current understanding suggests that aerobic glycolysis is a hallmark of activated CD8 + T cells, while naive and memory (T mem ) cells often rely on oxidative phosphorylation, and thus mitochondrial metabolism is a crucial determinant of CD8 + T mem cell development. Moreover, it has been proposed that CD8 + T mem cells have a specific requirement for the oxidation of long-chain fatty acids (LC-FAO), a process modulated in lymphocytes by the enzyme CPT1A. However, this notion relies heavily on the metabolic analysis of in vitro cultures and on chemical inhibition of CPT1A. Therefore, we introduce more recent studies using genetic models to demonstrate that CPT1A-mediated LC-FAO is dispensable for the development of CD8 + T cell memory and protective immunity, and question the use of chemical inhibitors to target this enzyme. We discuss insights obtained from those and other studies analyzing the metabolic characteristics of CD8 + T mem cells, and emphasize how T cells exhibit flexibility in their choice of metabolic fuel. © 2018 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  5. CD4+ virtual memory: Antigen-inexperienced T cells reside in the naïve, regulatory, and memory T cell compartments at similar frequencies, implications for autoimmunity.

    PubMed

    Marusina, Alina I; Ono, Yoko; Merleev, Alexander A; Shimoda, Michiko; Ogawa, Hiromi; Wang, Elizabeth A; Kondo, Kayo; Olney, Laura; Luxardi, Guillaume; Miyamura, Yoshinori; Yilma, Tilahun D; Villalobos, Itzel Bustos; Bergstrom, Jennifer W; Kronenberg, Daniel G; Soulika, Athena M; Adamopoulos, Iannis E; Maverakis, Emanual

    2017-02-01

    It is widely accepted that central and effector memory CD4 + T cells originate from naïve T cells after they have encountered their cognate antigen in the setting of appropriate co-stimulation. However, if this were true the diversity of T cell receptor (TCR) sequences within the naïve T cell compartment should be far greater than that of the memory T cell compartment, which is not supported by TCR sequencing data. Here we demonstrate that aged mice with far fewer naïve T cells, respond to the model antigen, hen eggwhite lysozyme (HEL), by utilizing the same TCR sequence as their younger counterparts. CD4 + T cell repertoire analysis of highly purified T cell populations from naive animals revealed that the HEL-specific clones displayed effector and central "memory" cell surface phenotypes even prior to having encountered their cognate antigen. Furthermore, HEL-inexperienced CD4 + T cells were found to reside within the naïve, regulatory, central memory, and effector memory T cell populations at similar frequencies and the majority of the CD4 + T cells within the regulatory and memory populations were unexpanded. These findings support a new paradigm for CD4 + T cell maturation in which a specific clone can undergo a differentiation process to exhibit a "memory" or regulatory phenotype without having undergone a clonal expansion event. It also demonstrates that a foreign-specific T cell is just as likely to reside within the regulatory T cell compartment as it would the naïve compartment, arguing against the specificity of the regulatory T cell compartment being skewed towards self-reactive T cell clones. Finally, we demonstrate that the same set of foreign and autoreactive CD4 + T cell clones are repetitively generated throughout adulthood. The latter observation argues against T cell-depleting strategies or autologous stem cell transplantation as therapies for autoimmunity-as the immune system has the ability to regenerate pathogenic clones. Published by

  6. Simplified ZrTiO x -based RRAM cell structure with rectifying characteristics by integrating Ni/n + -Si diode.

    PubMed

    Lin, Chia-Chun; Wu, Yung-Hsien; Chang, You-Tai; Sun, Cherng-En

    2014-01-01

    A simplified one-diode one-resistor (1D1R) resistive switching memory cell that uses only four layers of TaN/ZrTiO x /Ni/n(+)-Si was proposed to suppress sneak current where TaN/ZrTiO x /Ni can be regarded as a resistive-switching random access memory (RRAM) device while Ni/n(+)-Si acts as an Schottky diode. This is the first RRAM cell structure that employs metal/semiconductor Schottky diode for current rectifying. The 1D1R cell exhibits bipolar switching behavior with SET/RESET voltage close to 1 V without requiring a forming process. More importantly, the cell shows tight resistance distribution for different states, significantly rectifying characteristics with forward/reverse current ratio higher than 10(3) and a resistance ratio larger than 10(3) between two states. Furthermore, the cell also displays desirable reliability performance in terms of long data retention time of up to 10(4) s and robust endurance of 10(5) cycles. Based on the promising characteristics, the four-layer 1D1R structure holds the great potential for next-generation nonvolatile memory technology.

  7. Cell-assembly coding in several memory processes.

    PubMed

    Sakurai, Y

    1998-01-01

    The present paper discusses why the cell assembly, i.e., an ensemble population of neurons with flexible functional connections, is a tenable view of the basic code for information processes in the brain. The main properties indicating the reality of cell-assembly coding are neurons overlaps among different assemblies and connection dynamics within and among the assemblies. The former can be detected as multiple functions of individual neurons in processing different kinds of information. Individual neurons appear to be involved in multiple information processes. The latter can be detected as changes of functional synaptic connections in processing different kinds of information. Correlations of activity among some of the recorded neurons appear to change in multiple information processes. Recent experiments have compared several different memory processes (tasks) and detected these two main properties, indicating cell-assembly coding of memory in the working brain. The first experiment compared different types of processing of identical stimuli, i.e., working memory and reference memory of auditory stimuli. The second experiment compared identical processes of different types of stimuli, i.e., discriminations of simple auditory, simple visual, and configural auditory-visual stimuli. The third experiment compared identical processes of different types of stimuli with or without temporal processing of stimuli, i.e., discriminations of elemental auditory, configural auditory-visual, and sequential auditory-visual stimuli. Some possible features of the cell-assembly coding, especially "dual coding" by individual neurons and cell assemblies, are discussed for future experimental approaches. Copyright 1998 Academic Press.

  8. Requirement for CD4 T Cell Help in Generating Functional CD8 T Cell Memory

    NASA Astrophysics Data System (ADS)

    Shedlock, Devon J.; Shen, Hao

    2003-04-01

    Although primary CD8 responses to acute infections are independent of CD4 help, it is unknown whether a similar situation applies to secondary responses. We show that depletion of CD4 cells during the recall response has minimal effect, whereas depletion during the priming phase leads to reduced responses by memory CD8 cells to reinfection. Memory CD8 cells generated in CD4+/+ mice responded normally when transferred into CD4-/- hosts, whereas memory CD8 cells generated in CD4-/- mice mounted defective recall responses in CD4+/+ adoptive hosts. These results demonstrate a previously undescribed role for CD4 help in the development of functional CD8 memory.

  9. Intestinal double-positive CD4+CD8+ T cells of neonatal rhesus macaques are proliferating, activated memory cells and primary targets for SIVMAC251 infection

    PubMed Central

    Wang, Xiaolei; Das, Arpita; Lackner, Andrew A.; Veazey, Ronald S.

    2008-01-01

    Peripheral blood and thymic double-positive (DP) CD4+CD8+ T cells from neonates have been described earlier, but the function and immunophenotypic characteristics of other tissue-derived DP T cells are not clearly understood. Here, we demonstrate the functional and immunophenotypic characteristics of DP cells in 6 different tissues, including thymus from normal neonatal rhesus macaques (Macaca mulatta) between 0 and 21 days of age. In general, intestinal DP T cells of neonates have higher percentages of memory markers (CD28+CD95+CD45RAlowCD62Llow) and proliferation compared with single-positive (SP) CD4+ and CD8+ T cells. In addition, percentages of DP T cells increase and CD62L expression decreases as animals mature, suggesting that DP cells mature and proliferate with maturity and/or antigen exposure. Consistent with this, intestinal DP T cells in neonates express higher levels of CCR5 and are the primary targets in simian immunodeficiency virus (SIV) infection. Finally, DP T cells produce higher levels of cytokine in response to mitogen stimulation compared with SP CD4+ or CD8+ T cells. Collectively, these findings demonstrate that intestinal DP T cells of neonates are proliferating, activated memory cells and are likely involved in regulating immune responses, in contrast to immature DP T cells in the thymus. PMID:18820133

  10. Memory CD4 T cell subsets are kinetically heterogeneous and replenished from naive T cells at high levels.

    PubMed

    Gossel, Graeme; Hogan, Thea; Cownden, Daniel; Seddon, Benedict; Yates, Andrew J

    2017-03-10

    Characterising the longevity of immunological memory requires establishing the rules underlying the renewal and death of peripheral T cells. However, we lack knowledge of the population structure and how self-renewal and de novo influx contribute to the maintenance of memory compartments. Here, we characterise the kinetics and structure of murine CD4 T cell memory subsets by measuring the rates of influx of new cells and using detailed timecourses of DNA labelling that also distinguish the behaviour of recently divided and quiescent cells. We find that both effector and central memory CD4 T cells comprise subpopulations with highly divergent rates of turnover, and show that inflows of new cells sourced from the naive pool strongly impact estimates of memory cell lifetimes and division rates. We also demonstrate that the maintenance of CD4 T cell memory subsets in healthy mice is unexpectedly and strikingly reliant on this replenishment.

  11. CD4+CD62L+ Central Memory T Cells Can Be Converted to Foxp3+ T Cells

    PubMed Central

    Zhang, Xiaolong; Chang Li, Xian; Xiao, Xiang; Sun, Rui; Tian, Zhigang; Wei, Haiming

    2013-01-01

    The peripheral Foxp3+ Treg pool consists of naturally arising Treg (nTreg) and adaptive Treg cells (iTreg). It is well known that naive CD4+ T cells can be readily converted to Foxp3+ iTreg in vitro, and memory CD4+ T cells are resistant to conversion. In this study, we investigated the induction of Foxp3+ T cells from various CD4+ T-cell subsets in human peripheral blood. Though naive CD4+ T cells were readily converted to Foxp3+ T cells with TGF-β and IL-2 treatment in vitro, such Foxp3+ T cells did not express the memory marker CD45RO as do Foxp3+ T cells induced in the peripheral blood of Hepatitis B Virus (HBV) patients. Interestingly, a subset of human memory CD4+ T cells, defined as CD62L+ central memory T cells, could be induced by TGF-β to differentiate into Foxp3+ T cells. It is well known that Foxp3+ T cells derived from human CD4+CD25- T cells in vitro are lack suppressive functions. Our data about the suppressive functions of CD4+CD62L+ central memory T cell-derived Foxp3+ T cells support this conception, and an epigenetic analysis of these cells showed a similar methylation pattern in the FOXP3 Treg-specific demethylated region as the naive CD4+ T cell-derived Foxp3+ T cells. But further research showed that mouse CD4+ central memory T cells also could be induced to differentiate into Foxp3+ T cells, such Foxp3+ T cells could suppress the proliferation of effector T cells. Thus, our study identified CD4+CD62L+ central memory T cells as a novel potential source of iTreg. PMID:24155942

  12. Retention of Ag-specific memory CD4+ T cells in the draining lymph node indicates lymphoid tissue resident memory populations.

    PubMed

    Marriott, Clare L; Dutton, Emma E; Tomura, Michio; Withers, David R

    2017-05-01

    Several different memory T-cell populations have now been described based upon surface receptor expression and migratory capabilities. Here we have assessed murine endogenous memory CD4 + T cells generated within a draining lymph node and their subsequent migration to other secondary lymphoid tissues. Having established a model response targeting a specific peripheral lymph node, we temporally labelled all the cells within draining lymph node using photoconversion. Tracking of photoconverted and non-photoconverted Ag-specific CD4 + T cells revealed the rapid establishment of a circulating memory population in all lymph nodes within days of immunisation. Strikingly, a resident memory CD4 + T cell population became established in the draining lymph node and persisted for several months in the absence of detectable migration to other lymphoid tissue. These cells most closely resembled effector memory T cells, usually associated with circulation through non-lymphoid tissue, but here, these cells were retained in the draining lymph node. These data indicate that lymphoid tissue resident memory CD4 + T-cell populations are generated in peripheral lymph nodes following immunisation. © 2017 The Authors. European Journal of Immunology published by WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Human Stem Cell-like Memory T Cells Are Maintained in a State of Dynamic Flux.

    PubMed

    Ahmed, Raya; Roger, Laureline; Costa Del Amo, Pedro; Miners, Kelly L; Jones, Rhiannon E; Boelen, Lies; Fali, Tinhinane; Elemans, Marjet; Zhang, Yan; Appay, Victor; Baird, Duncan M; Asquith, Becca; Price, David A; Macallan, Derek C; Ladell, Kristin

    2016-12-13

    Adaptive immunity requires the generation of memorycells from naive precursors selected in the thymus. The key intermediaries in this process are stem cell-like memory T (T SCM ) cells, multipotent progenitors that can both self-renew and replenish more differentiated subsets of memorycells. In theory, antigen specificity within the T SCM pool may be imprinted statically as a function of largely dormant cells and/or retained dynamically by more transitory subpopulations. To explore the origins of immunological memory, we measured the turnover of T SCM cells in vivo using stable isotope labeling with heavy water. The data indicate that T SCM cells in both young and elderly subjects are maintained by ongoing proliferation. In line with this finding, T SCM cells displayed limited telomere length erosion coupled with high expression levels of active telomerase and Ki67. Collectively, these observations show that T SCM cells exist in a state of perpetual flux throughout the human lifespan. Copyright © 2016 The Authors. Published by Elsevier Inc. All rights reserved.

  14. Cell-adhesion molecules in memory formation.

    PubMed

    Schmidt, R

    1995-01-23

    After learning events the CNS of higher organisms selects, which acquired informations are permanently stored as a memory trace. This period of memory consolidation is susceptible to interference by biochemical inhibitors of transcription and translation. Ependymin is a specific CNS glycoprotein functionally involved in memory consolidation in goldfish: after active shock-avoidance conditioning ependymin mRNA is rapidly induced in meningeal fibroblasts followed by enhanced synthesis and secretion of several closely related forms of the protein. Intracranial injections of anti-ependymin antisera or antisense oligodeoxynucleotides interfere specifically with memory consolidation, indicating that only de novo synthesized ependymin molecules are involved. Ependymin is capable of directing the growth of central axons in vitro and participates in neuronal regeneration in situ, presumably by its HNK-1 cell-adhesion epitope. Experiments reviewed in this article suggest a model that involves two regulation mechanisms for the function of ependymin in behavioural plasticity: while hormones appear to determine, how much of this cell adhesion molecule is synthesized after learning, local changes of metal cation concentrations in the micro-environment of activated neurons may polymerize ependymin at those synapses, that have to be consolidated to improve their efficacy for future use.

  15. Memory CD4+ T cells: beyond “helper” functions

    PubMed Central

    Boonnak, Kobporn; Subbarao, Kanta

    2012-01-01

    In influenza virus infection, antibodies, memory CD8+ T cells, and CD4+ T cells have all been shown to mediate immune protection, but how they operate and interact with one another to mediate efficient immune responses against virus infection is not well understood. In this issue of the JCI, McKinstry et al. have identified unique functions of memory CD4+ T cells beyond providing “help” for B cell and CD8+ T cell responses during influenza virus infection. PMID:22820285

  16. Induction of CD4 T cell memory by local cellular collectivity.

    PubMed

    Polonsky, Michal; Rimer, Jacob; Kern-Perets, Amos; Zaretsky, Irina; Miller, Stav; Bornstein, Chamutal; David, Eyal; Kopelman, Naama Meira; Stelzer, Gil; Porat, Ziv; Chain, Benjamin; Friedman, Nir

    2018-06-15

    Cell differentiation is directed by signals driving progenitors into specialized cell types. This process can involve collective decision-making, when differentiating cells determine their lineage choice by interacting with each other. We used live-cell imaging in microwell arrays to study collective processes affecting differentiation of naïve CD4 + T cells into memory precursors. We found that differentiation of precursor memory T cells sharply increases above a threshold number of locally interacting cells. These homotypic interactions involve the cytokines interleukin-2 (IL-2) and IL-6, which affect memory differentiation orthogonal to their effect on proliferation and survival. Mathematical modeling suggests that the differentiation rate is continuously modulated by the instantaneous number of locally interacting cells. This cellular collectivity can prioritize allocation of immune memory to stronger responses. Copyright © 2018, American Association for the Advancement of Science.

  17. Improved memory characteristics by NH3-nitrided GdO as charge storage layer for nonvolatile memory applications

    NASA Astrophysics Data System (ADS)

    Liu, L.; Xu, J. P.; Ji, F.; Chen, J. X.; Lai, P. T.

    2012-07-01

    Charge-trapping memory capacitor with nitrided gadolinium oxide (GdO) as charge storage layer (CSL) is fabricated, and the influence of post-deposition annealing in NH3 on its memory characteristics is investigated. Transmission electron microscopy, x-ray photoelectron spectroscopy, and x-ray diffraction are used to analyze the cross-section and interface quality, composition, and crystallinity of the stack gate dielectric, respectively. It is found that nitrogen incorporation can improve the memory window and achieve a good trade-off among the memory properties due to NH3-annealing-induced reasonable distribution profile of a large quantity of deep-level bulk traps created in the nitrided GdO film and reduction of shallow traps near the CSL/SiO2 interface.

  18. Memory CD4 T cell subsets are kinetically heterogeneous and replenished from naive T cells at high levels

    PubMed Central

    Gossel, Graeme; Hogan, Thea; Cownden, Daniel

    2017-01-01

    Characterising the longevity of immunological memory requires establishing the rules underlying the renewal and death of peripheral T cells. However, we lack knowledge of the population structure and how self-renewal and de novo influx contribute to the maintenance of memory compartments. Here, we characterise the kinetics and structure of murine CD4 T cell memory subsets by measuring the rates of influx of new cells and using detailed timecourses of DNA labelling that also distinguish the behaviour of recently divided and quiescent cells. We find that both effector and central memory CD4 T cells comprise subpopulations with highly divergent rates of turnover, and show that inflows of new cells sourced from the naive pool strongly impact estimates of memory cell lifetimes and division rates. We also demonstrate that the maintenance of CD4 T cell memory subsets in healthy mice is unexpectedly and strikingly reliant on this replenishment. DOI: http://dx.doi.org/10.7554/eLife.23013.001 PMID:28282024

  19. Real-time tracking of cell cycle progression during CD8+ effector and memory T-cell differentiation

    PubMed Central

    Kinjyo, Ichiko; Qin, Jim; Tan, Sioh-Yang; Wellard, Cameron J.; Mrass, Paulus; Ritchie, William; Doi, Atsushi; Cavanagh, Lois L.; Tomura, Michio; Sakaue-Sawano, Asako; Kanagawa, Osami; Miyawaki, Atsushi; Hodgkin, Philip D.; Weninger, Wolfgang

    2015-01-01

    The precise pathways of memory T-cell differentiation are incompletely understood. Here we exploit transgenic mice expressing fluorescent cell cycle indicators to longitudinally track the division dynamics of individual CD8+ T cells. During influenza virus infection in vivo, naive T cells enter a CD62Lintermediate state of fast proliferation, which continues for at least nine generations. At the peak of the anti-viral immune response, a subpopulation of these cells markedly reduces their cycling speed and acquires a CD62Lhi central memory cell phenotype. Construction of T-cell family division trees in vitro reveals two patterns of proliferation dynamics. While cells initially divide rapidly with moderate stochastic variations of cycling times after each generation, a slow-cycling subpopulation displaying a CD62Lhi memory phenotype appears after eight divisions. Phenotype and cell cycle duration are inherited by the progeny of slow cyclers. We propose that memory precursors cell-intrinsically modulate their proliferative activity to diversify differentiation pathways. PMID:25709008

  20. Real-time tracking of cell cycle progression during CD8+ effector and memory T-cell differentiation.

    PubMed

    Kinjyo, Ichiko; Qin, Jim; Tan, Sioh-Yang; Wellard, Cameron J; Mrass, Paulus; Ritchie, William; Doi, Atsushi; Cavanagh, Lois L; Tomura, Michio; Sakaue-Sawano, Asako; Kanagawa, Osami; Miyawaki, Atsushi; Hodgkin, Philip D; Weninger, Wolfgang

    2015-02-24

    The precise pathways of memory T-cell differentiation are incompletely understood. Here we exploit transgenic mice expressing fluorescent cell cycle indicators to longitudinally track the division dynamics of individual CD8(+) T cells. During influenza virus infection in vivo, naive T cells enter a CD62L(intermediate) state of fast proliferation, which continues for at least nine generations. At the peak of the anti-viral immune response, a subpopulation of these cells markedly reduces their cycling speed and acquires a CD62L(hi) central memory cell phenotype. Construction of T-cell family division trees in vitro reveals two patterns of proliferation dynamics. While cells initially divide rapidly with moderate stochastic variations of cycling times after each generation, a slow-cycling subpopulation displaying a CD62L(hi) memory phenotype appears after eight divisions. Phenotype and cell cycle duration are inherited by the progeny of slow cyclers. We propose that memory precursors cell-intrinsically modulate their proliferative activity to diversify differentiation pathways.

  1. Distinct Effects of Saracatinib on Memory CD8+ T-cell Differentiation

    PubMed Central

    Takai, Shinji; Sabzevari, Helen; Farsaci, Benedetto; Schlom, Jeffrey; Greiner, John W.

    2012-01-01

    Immunologic memory involving CD8+ T-cells is a hallmark of an adaptive antigen-specific immune response and comprises a critical component of protective immunity. Designing approaches that enhance long-term T-cell memory would, for the most part, fortify vaccines and enhance host protection against infectious diseases and, perhaps, cancer immunotherapy. A better understanding of the cellular programs involved in the antigen-specific T-cell response has led to new approaches that target the magnitude and quality of the memory T-cell response. Here we show that T-cells from T-cell receptor transgenic mice for the nucleoprotein of influenza virus NP68 exhibit the distinct phases priming, expansion, contraction, memory - of an antigen-specific T-cell response when exposed in vitro to the cognate peptide. Saracatinib, a specific inhibitor of Src family kinases, administered at low doses during the expansion or contraction phases, increased CD62Lhigh/CD44high central memory CD8+ T-cells and IFN-γ production, while suppressing immunity when added during the priming phase. These effects by saracatinib were not accompanied by the expected decline of Src family kinases, but were accompanied by Akt-mTOR suppression and/or mediated via another pathway. Increased central memory cells by saracatinib were recapitulated in mice using a poxvirus-based influenza vaccine, thus underscoring the importance of dose and timing of the inhibitor in the context of memory T-cell differentiation. Finally, vaccine plus saracatinib treatment showed better protection against tumor challenge. The immune-potentiating effects on CD8+ T-cells by a low dose of saracatinib might afford better protection from pathogen or cancer when combined with vaccine. PMID:22450814

  2. The process and organizational characteristics of memory clinics in Israel in 2007.

    PubMed

    Werner, Perla; Goldstein, Dovrat; Heinik, Jeremia

    2009-01-01

    We previously described the characteristics and activities of 25 memory clinics in Israel in 1998 using a mail survey. Questionnaires assessing the administrative structure of the clinics, patient characteristics, processes and methods used, and outcomes of the assessment were mailed again in 2007 to 35 memory clinics. Overall, the general operating characteristics of the clinics in 2007 were found to be similar to those reported in the previous survey conducted in 1998. The assessment process in 2007 was shorter than in 1998 (mean time=1.92 and 3.12 h, respectively), although both surveys were based on an interdisciplinary team, including a physician, a nurse and a social worker. However, in 2007 the teams were more wide-ranging. A wider variety of instruments were reported in the more recent survey. Most of the clinics in both surveys reported that family members were involved at all stages of the assessment. Medication treatment was the main outcome reported by the clinics in both surveys. There has been a development in the process and organizational characteristics of memory clinics in Israel over the years, probably as a consequence of the development of knowledge in the area of cognitive deterioration.

  3. γδ T cells exhibit multifunctional and protective memory in intestinal tissues

    PubMed Central

    Sheridan, Brian S.; Romagnoli, Pablo A.; Pham, Quynh-Mai; Fu, Han-Hsuan; Alonzo, Francis; Schubert, Wolf-Dieter; Freitag, Nancy E.; Lefrançois, Leo

    2013-01-01

    Summary The study of T cell memory and the target of vaccine design has focused on memory subsumed by T cells bearing the αβ T cell receptor. Alternatively, γδ T cells are thought to provide rapid immunity particularly at mucosal borders. Here we have shown that a distinct subset of mucosal γδ T cells mounts an immune response to oral Listeria monocytogenes (Lm) infection leading to the development of multifunctional memory T cells in the murine intestinal mucosa that is capable of simultaneously producing interferon-γ and interleukin-17A. Challenge infection with oral Lm, but not oral Salmonella or intravenous Lm, induced rapid expansion of memory γδ T cells suggesting contextual specificity to the priming pathogen. Importantly, memory γδ T cells were able to provide enhanced protection against infection. These findings illustrate a previously unrecognized role for γδ T cells with hallmarks of adaptive immunity in the intestinal mucosa. PMID:23890071

  4. Identification of Nascent Memory CD8 T Cells and Modeling of Their Ontogeny.

    PubMed

    Crauste, Fabien; Mafille, Julien; Boucinha, Lilia; Djebali, Sophia; Gandrillon, Olivier; Marvel, Jacqueline; Arpin, Christophe

    2017-03-22

    Primary immune responses generate short-term effectors and long-term protective memory cells. The delineation of the genealogy linking naive, effector, and memory cells has been complicated by the lack of phenotypes discriminating effector from memory differentiation stages. Using transcriptomics and phenotypic analyses, we identify Bcl2 and Mki67 as a marker combination that enables the tracking of nascent memory cells within the effector phase. We then use a formal approach based on mathematical models describing the dynamics of population size evolution to test potential progeny links and demonstrate that most cells follow a linear naive→early effector→late effector→memory pathway. Moreover, our mathematical model allows long-term prediction of memory cell numbers from a few early experimental measurements. Our work thus provides a phenotypic means to identify effector and memory cells, as well as a mathematical framework to investigate their genealogy and to predict the outcome of immunization regimens in terms of memory cell numbers generated. Copyright © 2017 The Authors. Published by Elsevier Inc. All rights reserved.

  5. Modeling of Sonos Memory Cell Erase Cycle

    NASA Technical Reports Server (NTRS)

    Phillips, Thomas A.; MacLeond, Todd C.; Ho, Fat D.

    2010-01-01

    Silicon-oxide-nitride-oxide-silicon (SONOS) nonvolatile semiconductor memories (NVSMS) have many advantages. These memories are electrically erasable programmable read-only memories (EEPROMs). They utilize low programming voltages, endure extended erase/write cycles, are inherently resistant to radiation, and are compatible with high-density scaled CMOS for low power, portable electronics. The SONOS memory cell erase cycle was investigated using a nonquasi-static (NQS) MOSFET model. The SONOS floating gate charge and voltage, tunneling current, threshold voltage, and drain current were characterized during an erase cycle. Comparisons were made between the model predictions and experimental device data.

  6. Increased numbers of pre-existing memory CD8 T cells and decreased T-bet expression can restrain terminal differentiation of secondary effector and memory CD8 T cells1

    PubMed Central

    Joshi, Nikhil S.; Cui, Weiguo; Dominguez, Claudia; Chen, Jonathan H.; Hand, Timothy W.; Kaech, Susan M.

    2011-01-01

    Memory CD8 T cells acquire TEM properties following reinfection, and may reach terminally differentiated, senescent states (“Hayflick limit”) after multiple infections. The signals controlling this process are not well understood, but we found that the degree of 2o effector and memory CD8 T cell differentiation was intimately linked to the amount of T-bet expressed upon reactivation and pre-existing memory CD8 T cell number (i.e., 1o memory CD8 T cell precursor frequency) present during secondary infection. Compared to naïve cells, memory CD8 T cells were predisposed towards terminal effector (TE) cell differentiation because they could immediately respond to IL-12 and induce T-bet, even in the absence of antigen. TE cell formation following 2o or 3o infections was dependent on increased T-bet expression because T-bet+/− cells were resistant to these phenotypic changes. Larger numbers of pre-existing memory CD8 T cells limited the duration of 2o infection and the amount of IL-12 produced, and consequently, this reduced T-bet expression and the proportion of 2o TE CD8 T cells that formed. Together, these data show that, over repeated infections, memory CD8 T cell quality and proliferative fitness is not strictly determined by the number of serial encounters with antigen or cell divisions, but is a function of the CD8 T cell differentiation state, which is genetically controlled in a T-bet-dependent manner. This differentiation state can be modulated by pre-existing memory CD8 T cell number and the intensity of inflammation during reinfection. These results have important implications for vaccinations involving prime-boost strategies. PMID:21930973

  7. Trauma memory characteristics and the development of acute stress disorder and post-traumatic stress disorder in youth.

    PubMed

    McKinnon, A; Brewer, N; Meiser-Stedman, R; Nixon, R D V

    2017-03-01

    The present study addresses gaps in knowledge regarding the association between trauma memory processes and posttraumatic stress responses in youth. Our primary goal was to explore the relative contribution of perceptions of trauma memory quality versus narrative trauma memory characteristics to explain overall adjustment. Children (N = 67) were interviewed within four weeks (T1) of an injury leading to hospital treatment and then again eight weeks later (T2). In each interview, the child told a trauma narrative (which were later coded), and answered the Trauma Memory Quality Questionnaire (Meiser-Stedman, Smith, Yule, & Dalgleish, 2007a), a self-report measure indexing the sensory, fragmented, and disorganised characteristics of trauma memory. They then completed measures of Acute Stress Disorder (ASD) symptoms and associated psychopathology at T1 and measures of Posttraumatic Stress (PTS) symptoms and associated psychopathology at T2. Self-reported trauma memory characteristics predicted ASD symptoms cross-sectionally at T1 and PTS symptoms prospectively over time. At both time points, self-reported trauma memory characteristics accounted for all of the unique variance in symptoms initially explained by narrative characteristics. A reduction in self-report ratings, but not the hypothesised narrative features (e.g., disorganised or lexical elements of the narrative), significantly predicted a reduction in PTS symptoms over time. The small sample size and the absence of a within-subjects narrative control were the main limitations of the study. These findings underscore the importance of self-reported trauma memory characteristics to the aetiology of PTSD. Copyright © 2016 Elsevier Ltd. All rights reserved.

  8. Intestinal double-positive CD4+CD8+ T cells are highly activated memory cells with an increased capacity to produce cytokines.

    PubMed

    Pahar, Bapi; Lackner, Andrew A; Veazey, Ronald S

    2006-03-01

    Peripheral blood and intestinal CD4+CD8+ double-positive (DP) T cells have been described in several species including humans, but their function and immunophenotypic characteristics are still not clearly understood. Here we demonstrate that DP T cells are abundant in the intestinal lamina propria of normal rhesus macaques (Macaca mulatta). Moreover, DP T cells have a memory phenotype and are capable of producing different and/or higher levels of cytokines and chemokines in response to mitogen stimulation compared to CD4+ single-positive T cells. Intestinal DP T cells are also highly activated and have higher expression of CCR5, which makes them preferred targets for simian immunodeficiency virus/HIV infection. Increased levels of CD69, CD25 and HLA-DR, and lower CD62L expression were found on intestinal DP T cells populations compared to CD4+ single-positive T cells. Collectively, these findings demonstrate that intestinal and peripheral blood DP T cells are effector cells and may be important in regulating immune responses, which distinguishes them from the immature DP cells found in the thymus. Finally, these intestinal DP T cells may be important target cells for HIV infection and replication due to their activation, memory phenotype and high expression of CCR5.

  9. Memory characteristics of ring-shaped ceramic superconductors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Takeoka, A.; Hasunuma, M.; Sakaiya, S.

    1989-03-01

    For the practical application of ceramic superconductors, the authors investigated the residual magnetic field characteristics of ring-shaped ceramic superconductors in a Y-Ba-Cu-O system with high Tc. The residual magnetic field of a ring with asymmetric current paths, supplied by external currents, appeared when one of the branch currents was above the critical current. The residual magnetic field saturated when both brach currents exceeded the critical current of the ring and showed hysteresis-like characteristics. The saturated magnetic field is subject to the critical current of the ring. A superconducting ring with asymmetric current paths suggests a simple and quite new persistent-currentmore » type memory device.« less

  10. Immunologic considerations for generating memory CD8 T cells through vaccination.

    PubMed

    Butler, Noah S; Nolz, Jeffrey C; Harty, John T

    2011-07-01

    Following infection or vaccination, naïve CD8 T cells that receive the appropriate integration of antigenic, co-stimulatory and inflammatory signals undergo a programmed series of biological changes that ultimately results in the generation of memory cells. Memory CD8 T cells, in contrast to naïve cells, more effectively limit or prevent pathogen re-infection because of both qualitative and quantitative changes that occur following their induction. Unlike vaccination strategies aimed at generating antibody production, the ability to generate protective memory CD8 T cells has proven more complicated and problematic. However, recent experimental results have revealed important principles regarding the molecular and genetic basis for memory CD8 T cell formation, as well as identified ways to manipulate their development through vaccination, resulting in potential new avenues to enhance protective immunity. © 2011 Blackwell Publishing Ltd.

  11. Differences in Mouse and Human Non-Memory B Cell Pools1

    PubMed Central

    Benitez, Abigail; Weldon, Abby J.; Tatosyan, Lynnette; Velkuru, Vani; Lee, Steve; Milford, Terry-Ann; Francis, Olivia L.; Hsu, Sheri; Nazeri, Kavoos; Casiano, Carlos M.; Schneider, Rebekah; Gonzalez, Jennifer; Su, Rui-Jun; Baez, Ineavely; Colburn, Keith; Moldovan, Ioana; Payne, Kimberly J.

    2014-01-01

    Identifying cross-species similarities and differences in immune development and function is critical for maximizing the translational potential of animal models. Co-expression of CD21 and CD24 distinguishes transitional and mature B cell subsets in mice. Here, we validate these markers for identifying analogous subsets in humans and use them to compare the non-memory B cell pools in mice and humans, across tissues, during fetal/neonatal and adult life. Among human CD19+IgM+ B cells, the CD21/CD24 schema identifies distinct populations that correspond to T1 (transitional 1), T2 (transitional 2), FM (follicular mature), and MZ (marginal zone) subsets identified in mice. Markers specific to human B cell development validate the identity of MZ cells and the maturation status of human CD21/CD24 non-memory B cell subsets. A comparison of the non-memory B cell pools in bone marrow (BM), blood, and spleen in mice and humans shows that transitional B cells comprise a much smaller fraction in adult humans than mice. T1 cells are a major contributor to the non-memory B cell pool in mouse BM where their frequency is more than twice that in humans. Conversely, in spleen the T1:T2 ratio shows that T2 cells are proportionally ∼8 fold higher in humans than mouse. Despite the relatively small contribution of transitional B cells to the human non-memory pool, the number of naïve FM cells produced per transitional B cell is 3-6 fold higher across tissues than in mouse. These data suggest differing dynamics or mechanisms produce the non-memory B cell compartments in mice and humans. PMID:24719464

  12. Ferroelectric symmetry-protected multibit memory cell

    NASA Astrophysics Data System (ADS)

    Baudry, Laurent; Lukyanchuk, Igor; Vinokur, Valerii M.

    2017-02-01

    The tunability of electrical polarization in ferroelectrics is instrumental to their applications in information-storage devices. The existing ferroelectric memory cells are based on the two-level storage capacity with the standard binary logics. However, the latter have reached its fundamental limitations. Here we propose ferroelectric multibit cells (FMBC) utilizing the ability of multiaxial ferroelectric materials to pin the polarization at a sequence of the multistable states. Employing the catastrophe theory principles we show that these states are symmetry-protected against the information loss and thus realize novel topologically-controlled access memory (TAM). Our findings enable developing a platform for the emergent many-valued non-Boolean information technology and target challenges posed by needs of quantum and neuromorphic computing.

  13. Strong homeostatic TCR signals induce formation of self-tolerant virtual memory CD8 T cells.

    PubMed

    Drobek, Ales; Moudra, Alena; Mueller, Daniel; Huranova, Martina; Horkova, Veronika; Pribikova, Michaela; Ivanek, Robert; Oberle, Susanne; Zehn, Dietmar; McCoy, Kathy D; Draber, Peter; Stepanek, Ondrej

    2018-05-11

    Virtual memory T cells are foreign antigen-inexperienced T cells that have acquired memory-like phenotype and constitute 10-20% of all peripheral CD8 + T cells in mice. Their origin, biological roles, and relationship to naïve and foreign antigen-experienced memory T cells are incompletely understood. By analyzing T-cell receptor repertoires and using retrogenic monoclonal T-cell populations, we demonstrate that the virtual memory T-cell formation is a so far unappreciated cell fate decision checkpoint. We describe two molecular mechanisms driving the formation of virtual memory T cells. First, virtual memory T cells originate exclusively from strongly self-reactive T cells. Second, the stoichiometry of the CD8 interaction with Lck regulates the size of the virtual memory T-cell compartment via modulating the self-reactivity of individual T cells. Although virtual memory T cells descend from the highly self-reactive clones and acquire a partial memory program, they are not more potent in inducing experimental autoimmune diabetes than naïve T cells. These data underline the importance of the variable level of self-reactivity in polyclonal T cells for the generation of functional T-cell diversity. © 2018 The Authors. Published under the terms of the CC BY 4.0 license.

  14. IL-15 regulates memory CD8+ T cell O-glycan synthesis and affects trafficking

    PubMed Central

    Nolz, Jeffrey C.; Harty, John T.

    2014-01-01

    Memory and naive CD8+ T cells exhibit distinct trafficking patterns. Specifically, memory but not naive CD8+ T cells are recruited to inflamed tissues in an antigen-independent manner. However, the molecular mechanisms that regulate memory CD8+ T cell trafficking are largely unknown. Here, using murine models of infection and T cell transfer, we found that memory but not naive CD8+ T cells dynamically regulate expression of core 2 O-glycans, which interact with P- and E-selectins to modulate trafficking to inflamed tissues. Following infection, antigen-specific effector CD8+ T cells strongly expressed core 2 O-glycans, but this glycosylation pattern was lost by most memory CD8+ T cells. After unrelated infection or inflammatory challenge, memory CD8+ T cells synthesized core 2 O-glycans independently of antigen restimulation. The presence of core 2 O-glycans subsequently directed these cells to inflamed tissue. Memory and naive CD8+ T cells exhibited the opposite pattern of epigenetic modifications at the Gcnt1 locus, which encodes the enzyme that initiates core 2 O-glycan synthesis. The open chromatin configuration in memory CD8+ T cells permitted de novo generation of core 2 O-glycans in a TCR-independent, but IL-15–dependent, manner. Thus, IL-15 stimulation promotes antigen-experienced memory CD8+ T cells to generate core 2 O-glycans, which subsequently localize them to inflamed tissues. These findings suggest that CD8+ memory T cell trafficking potentially can be manipulated to improve host defense and immunotherapy. PMID:24509081

  15. Liver-primed memory T cells generated under noninflammatory conditions provide anti-infectious immunity.

    PubMed

    Böttcher, Jan P; Schanz, Oliver; Wohlleber, Dirk; Abdullah, Zeinab; Debey-Pascher, Svenja; Staratschek-Jox, Andrea; Höchst, Bastian; Hegenbarth, Silke; Grell, Jessica; Limmer, Andreas; Atreya, Imke; Neurath, Markus F; Busch, Dirk H; Schmitt, Edgar; van Endert, Peter; Kolanus, Waldemar; Kurts, Christian; Schultze, Joachim L; Diehl, Linda; Knolle, Percy A

    2013-03-28

    Development of CD8(+) T cell (CTL) immunity or tolerance is linked to the conditions during T cell priming. Dendritic cells (DCs) matured during inflammation generate effector/memory T cells, whereas immature DCs cause T cell deletion/anergy. We identify a third outcome of T cell priming in absence of inflammation enabled by cross-presenting liver sinusoidal endothelial cells. Such priming generated memory T cells that were spared from deletion by immature DCs. Similar to central memory T cells, liver-primed T cells differentiated into effector CTLs upon antigen re-encounter on matured DCs even after prolonged absence of antigen. Their reactivation required combinatorial signaling through the TCR, CD28, and IL-12R and controlled bacterial and viral infections. Gene expression profiling identified liver-primed T cells as a distinct Neuropilin-1(+) memory population. Generation of liver-primed memory T cells may prevent pathogens that avoid DC maturation by innate immune escape from also escaping adaptive immunity through attrition of the T cell repertoire. Copyright © 2013 The Authors. Published by Elsevier Inc. All rights reserved.

  16. Ferroelectric symmetry-protected multibit memory cell

    DOE PAGES

    Baudry, Laurent; Lukyanchuk, Igor; Vinokur, Valerii M.

    2017-02-08

    Here, the tunability of electrical polarization in ferroelectrics is instrumental to their applications in information-storage devices. The existing ferroelectric memory cells are based on the two-level storage capacity with the standard binary logics. However, the latter have reached its fundamental limitations. Here we propose ferroelectric multibit cells (FMBC) utilizing the ability of multiaxial ferroelectric materials to pin the polarization at a sequence of the multistable states. Employing the catastrophe theory principles we show that these states are symmetry-protected against the information loss and thus realize novel topologically-controlled access memory (TAM). Our findings enable developing a platform for the emergent many-valuedmore » non-Boolean information technology and target challenges posed by needs of quantum and neuromorphic computing.« less

  17. Transcriptional Profiling of Antigen-Dependent Murine B Cell Differentiation and Memory Formation1

    PubMed Central

    Bhattacharya, Deepta; Cheah, Ming T.; Franco, Christopher B.; Hosen, Naoki; Pin, Christopher L.; Sha, William C.; Weissman, Irving L.

    2015-01-01

    Humoral immunity is characterized by the generation of Ab-secreting plasma cells and memory B cells that can more rapidly generate specific Abs upon Ag exposure than their naive counterparts. To determine the intrinsic differences that distinguish naive and memory B cells and to identify pathways that allow germinal center B cells to differentiate into memory B cells, we compared the transcriptional profiles of highly purified populations of these three cell types along with plasma cells isolated from mice immunized with a T-dependent Ag. The transcriptional profile of memory B cells is similar to that of naive B cells, yet displays several important differences, including increased expression of activation-induced deaminase and several antiapoptotic genes, chemotactic receptors, and costimulatory molecules. Retroviral expression of either Klf2 or Ski, two transcriptional regulators specifically enriched in memory B cells relative to their germinal center precursors, imparted a competitive advantage to Ag receptor and CD40-engaged B cells in vitro. These data suggest that humoral recall responses are more rapid than primary responses due to the expression of a unique transcriptional program by memory B cells that allows them to both be maintained at high frequencies and to detect and rapidly respond to antigenic re-exposure. PMID:17982071

  18. Peripheral B cells latently infected with Epstein–Barr virus display molecular hallmarks of classical antigen-selected memory B cells

    PubMed Central

    Souza, Tatyana A.; Stollar, B. David; Sullivan, John L.; Luzuriaga, Katherine; Thorley-Lawson, David A.

    2005-01-01

    Epstein–Barr virus (EBV) establishes a lifelong persistent infection within peripheral blood B cells with the surface phenotype of memory cells. To date there is no proof that these cells have the genotype of true germinal-center-derived memory B cells. It is critical to understand the relative contribution of viral mimicry versus antigen signaling to the production of these cells because EBV encodes proteins that can affect the surface phenotype of infected cells and provide both T cell help and B cell receptor signals in the absence of cognate antigen. To address these questions we have developed a technique to identify single EBV-infected cells in the peripheral blood and examine their expressed Ig genes. The genes were all isotype-switched and somatically mutated. Furthermore, the mutations do not cause stop codons and display the pattern expected for antigen-selected memory cells based on their frequency, type, and location within the Ig gene. We conclude that latently infected peripheral blood B cells display the molecular hallmarks of classical antigen-selected memory B cells. Therefore, EBV does not disrupt the normal processing of latently infected cells into memory, and deviations from normal B cell biology are not tolerated in the infected cells. This article provides definitive evidence that EBV in the peripheral blood persists in true memory B cells. PMID:16330748

  19. HIV dynamics linked to memory CD4+ T cell homeostasis.

    PubMed

    Murray, John M; Zaunders, John; Emery, Sean; Cooper, David A; Hey-Nguyen, William J; Koelsch, Kersten K; Kelleher, Anthony D

    2017-01-01

    The dynamics of latent HIV is linked to infection and clearance of resting memory CD4+ T cells. Infection also resides within activated, non-dividing memory cells and can be impacted by antigen-driven and homeostatic proliferation despite suppressive antiretroviral therapy (ART). We investigated whether plasma viral level (pVL) and HIV DNA dynamics could be explained by HIV's impact on memory CD4+ T cell homeostasis. Median total, 2-LTR and integrated HIV DNA levels per μL of peripheral blood, for 8 primary (PHI) and 8 chronic HIV infected (CHI) individuals enrolled on a raltegravir (RAL) based regimen, exhibited greatest changes over the 1st year of ART. Dynamics slowed over the following 2 years so that total HIV DNA levels were equivalent to reported values for individuals after 10 years of ART. The mathematical model reproduced the multiphasic dynamics of pVL, and levels of total, 2-LTR and integrated HIV DNA in both PHI and CHI over 3 years of ART. Under these simulations, residual viremia originated from reactivated latently infected cells where most of these cells arose from clonal expansion within the resting phenotype. Since virion production from clonally expanded cells will not be affected by antiretroviral drugs, simulations of ART intensification had little impact on pVL. HIV DNA decay over the first year of ART followed the loss of activated memory cells (120 day half-life) while the 5.9 year half-life of total HIV DNA after this point mirrored the slower decay of resting memory cells. Simulations had difficulty reproducing the fast early HIV DNA dynamics, including 2-LTR levels peaking at week 12, and the later slow loss of total and 2-LTR HIV DNA, suggesting some ongoing infection. In summary, our modelling indicates that much of the dynamical behavior of HIV can be explained by its impact on memory CD4+ T cell homeostasis.

  20. miR-150 Regulates Memory CD8 T Cell Differentiation via c-Myb.

    PubMed

    Chen, Zeyu; Stelekati, Erietta; Kurachi, Makoto; Yu, Sixiang; Cai, Zhangying; Manne, Sasikanth; Khan, Omar; Yang, Xiaolu; Wherry, E John

    2017-09-12

    MicroRNAs play an important role in T cell responses. However, how microRNAs regulate CD8 T cell memory remains poorly defined. Here, we found that miR-150 negatively regulates CD8 T cell memory in vivo. Genetic deletion of miR-150 disrupted the balance between memory precursor and terminal effector CD8 T cells following acute viral infection. Moreover, miR-150-deficient memory CD8 T cells were more protective upon rechallenge. A key circuit whereby miR-150 repressed memory CD8 T cell development through the transcription factor c-Myb was identified. Without miR-150, c-Myb was upregulated and anti-apoptotic targets of c-Myb, such as Bcl-2 and Bcl-xL, were also increased, suggesting a miR-150-c-Myb survival circuit during memory CD8 T cell development. Indeed, overexpression of non-repressible c-Myb rescued the memory CD8 T cell defects caused by overexpression of miR-150. Overall, these results identify a key role for miR-150 in memory CD8 T cells through a c-Myb-controlled enhanced survival circuit. Copyright © 2017 The Author(s). Published by Elsevier Inc. All rights reserved.

  1. Preformed Frequencies of Cytomegalovirus (CMV)–Specific Memory T and B Cells Identify Protected CMV-Sensitized Individuals Among Seronegative Kidney Transplant Recipients

    PubMed Central

    Lúcia, Marc; Crespo, Elena; Melilli, Edoardo; Cruzado, Josep M.; Luque, Sergi; Llaudó, Inés; Niubó, Jordi; Torras, Joan; Fernandez, Núria; Grinyó, Josep M.; Bestard, Oriol

    2014-01-01

    Background. Cytomegalovirus (CMV) infection remains a major complication after kidney transplantation. Baseline CMV risk is typically determined by the serological presence of preformed CMV-specific immunoglobulin (Ig) G antibodies, even though T-cell responses to major viral antigens are crucial when controlling viral replication. Some IgG-seronegative patients who receive an IgG-seropositive allograft do not develop CMV infection despite not receiving prophylaxis. We hypothesized that a more precise evaluation of pretransplant CMV-specific immune-sensitization using the B and T-cell enzyme-linked immunospot assays may identify CMV-sensitized individuals more accurately, regardless of serological evidence of CMV-specific IgG titers. Methods. We compared the presence of preformed CMV-specific memory B and T cells in kidney transplant recipients between 43 CMV IgG–seronegative (sR−) and 86 CMV IgG–seropositive (sR+) patients. Clinical outcome was evaluated in both groups. Results. All sR+ patients showed a wide range of CMV-specific memory T- and B-cell responses. High memory T- and B-cell frequencies were also clearly detected in 30% of sR− patients, and those with high CMV-specific T-cell frequencies had a significantly lower incidence of late CMV infection after prophylactic therapy. Receiver operating characteristic curve analysis for predicting CMV viremia and disease showed a high area under the receiver operating characteristic curve (>0.8), which translated into a high sensitivity and negative predictive value of the test. Conclusions. Assessment of CMV-specific memory T- and B-cell responses before kidney transplantation among sR− recipients may help identify immunized individuals more precisely, being ultimately at lower risk for CMV infection. PMID:25048845

  2. Human Infant Memory B Cell and CD4+ T Cell Responses to HibMenCY-TT Glyco-Conjugate Vaccine

    PubMed Central

    Fuery, Angela; Richmond, Peter C.; Currie, Andrew J.

    2015-01-01

    Carrier-specific T cell and polysaccharide-specific B cell memory responses are not well characterised in infants following glyco-conjugate vaccination. We aimed to determine if the number of Meningococcal (Men) C- and Y- specific memory B cells and; number and quality of Tetanus Toxoid (TT) carrier-specific memory CD4+ T cells are associated with polysaccharide-specific IgG post HibMenCY-TT vaccination. Healthy infants received HibMenCY-TT vaccine at 2, 4 and 6 months with a booster at 12 months. Peripheral blood mononuclear cells were isolated and polysaccharide-specific memory B cells enumerated using ELISpot. TT-specific memory CD4+ T cells were detected and phenotyped based on CD154 expression and intracellular TNF-α, IL-2 and IFN-γ expression following stimulation. Functional polysaccharide-specific IgG titres were measured using the serum bactericidal activity (SBA) assay. Polysaccharide-specific Men C- but not Men Y- specific memory B cell frequencies pre-boost (12 months) were significantly associated with post-boost (13 months) SBA titres. Regression analysis showed no association between memory B cell frequencies post-priming (at 6 or 7 months) and SBA at 12 months or 13 months. TT-specific CD4+ T cells were detected at frequencies between 0.001 and 0.112 as a percentage of CD3+ T cells, but their numbers were not associated with SBA titres. There were significant negative associations between SBA titres at M13 and cytokine expression at M7 and M12. Conclusion: Induction of persistent polysaccharide-specific memory B cells prior to boosting is an important determinant of secondary IgG responses in infants. However, polysaccharide-specific functional IgG responses appear to be independent of the number and quality of circulating carrier-specific CD4+ T cells after priming. PMID:26191794

  3. B-cell activating factor detected on both naïve and memory B cells in bullous pemphigoid.

    PubMed

    Qian, Hua; Kusuhara, Masahiro; Li, Xiaoguang; Tsuruta, Daisuke; Tsuchisaka, Atsunari; Ishii, Norito; Koga, Hiroshi; Hayakawa, Taihei; Ohara, Koji; Karashima, Tadashi; Ohyama, Bungo; Ohata, Chika; Furumura, Minao; Hashimoto, Takashi

    2014-08-01

    B-cell activating factor (BAFF), an important immune regulatory cytokine, is involved in development of autoimmune diseases. Although BAFF is expressed in various cells, including dendritic cells (DCs) and monocytes, BAFF expression on B cells has not been well documented. In the present study, BAFF molecules on DCs and naïve and memory B cells in autoimmune bullous diseases, including pemphigus vulgaris, pemphigus foliaceus and bullous pemphigoid (BP), were analysed by flow cytometry. Compared with healthy controls (HC), BAFF expression on naïve and memory B cells increased significantly in BP. No difference in BAFF receptor expression in naïve and memory B cells was shown among all study groups. Furthermore, BAFF expression in both naïve and memory B cells of BP, but not HC, was detected by confocal microscopic analysis. These results implied that BAFF expressed by B cells may play a pathogenic role in autoimmune bullous diseases, particularly BP. © 2014 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  4. Memory retrieval by activating engram cells in mouse models of early Alzheimer's disease.

    PubMed

    Roy, Dheeraj S; Arons, Autumn; Mitchell, Teryn I; Pignatelli, Michele; Ryan, Tomás J; Tonegawa, Susumu

    2016-03-24

    Alzheimer's disease (AD) is a neurodegenerative disorder characterized by progressive memory decline and subsequent loss of broader cognitive functions. Memory decline in the early stages of AD is mostly limited to episodic memory, for which the hippocampus has a crucial role. However, it has been uncertain whether the observed amnesia in the early stages of AD is due to disrupted encoding and consolidation of episodic information, or an impairment in the retrieval of stored memory information. Here we show that in transgenic mouse models of early AD, direct optogenetic activation of hippocampal memory engram cells results in memory retrieval despite the fact that these mice are amnesic in long-term memory tests when natural recall cues are used, revealing a retrieval, rather than a storage impairment. Before amyloid plaque deposition, the amnesia in these mice is age-dependent, which correlates with a progressive reduction in spine density of hippocampal dentate gyrus engram cells. We show that optogenetic induction of long-term potentiation at perforant path synapses of dentate gyrus engram cells restores both spine density and long-term memory. We also demonstrate that an ablation of dentate gyrus engram cells containing restored spine density prevents the rescue of long-term memory. Thus, selective rescue of spine density in engram cells may lead to an effective strategy for treating memory loss in the early stages of AD.

  5. Generation of cellular immune memory and B-cell immunity is impaired by natural killer cells.

    PubMed

    Rydyznski, Carolyn; Daniels, Keith A; Karmele, Erik P; Brooks, Taylor R; Mahl, Sarah E; Moran, Michael T; Li, Caimei; Sutiwisesak, Rujapak; Welsh, Raymond M; Waggoner, Stephen N

    2015-02-27

    The goal of most vaccines is the induction of long-lived memory T and B cells capable of protecting the host from infection by cytotoxic mechanisms, cytokines and high-affinity antibodies. However, efforts to develop vaccines against major human pathogens such as HIV and HCV have not been successful, thereby highlighting the need for novel approaches to circumvent immunoregulatory mechanisms that limit the induction of protective immunity. Here, we show that mouse natural killer (NK) cells inhibit generation of long-lived virus-specific memory T- and B cells as well as virus-specific antibody production after acute infection. Mechanistically, NK cells suppressed CD4 T cells and follicular helper T cells (T(FH)) in a perforin-dependent manner during the first few days of infection, resulting in a weaker germinal centre (GC) response and diminished immune memory. We anticipate that innovative strategies to relieve NK cell-mediated suppression of immunity should facilitate development of efficacious new vaccines targeting difficult-to-prevent infections.

  6. 'Educated' dendritic cells act as messengers from memory to naive T helper cells.

    PubMed

    Alpan, Oral; Bachelder, Eric; Isil, Eda; Arnheiter, Heinz; Matzinger, Polly

    2004-06-01

    Ingested antigens lead to the generation of effector T cells that secrete interleukin 4 (IL-4) rather than interferon-gamma (IFN-gamma) and are capable of influencing naive T cells in their immediate environment to do the same. Using chimeric mice generated by aggregation of two genotypically different embryos, we found that the conversion of a naive T cell occurs only if it can interact with the same antigen-presenting cell, although not necessarily the same antigen, as the effector T cell. Using a two-step culture system in vitro, we found that antigen-presenting dendritic cells can act as 'temporal bridges' to relay information from orally immunized memory CD4 T cells to naive CD4 T cells. The orally immunized T cells use IL-4 and IL-10 (but not CD40 ligand) to 'educate' dendritic cells, which in turn induce naive T cells to produce the same cytokines as those produced by the orally immunized memory T cells.

  7. Human memory CD8 T cell effector potential is epigenetically preserved during in vivo homeostasis.

    PubMed

    Abdelsamed, Hossam A; Moustaki, Ardiana; Fan, Yiping; Dogra, Pranay; Ghoneim, Hazem E; Zebley, Caitlin C; Triplett, Brandon M; Sekaly, Rafick-Pierre; Youngblood, Ben

    2017-06-05

    Antigen-independent homeostasis of memory CD8 T cells is vital for sustaining long-lived T cell-mediated immunity. In this study, we report that maintenance of human memory CD8 T cell effector potential during in vitro and in vivo homeostatic proliferation is coupled to preservation of acquired DNA methylation programs. Whole-genome bisulfite sequencing of primary human naive, short-lived effector memory (T EM ), and longer-lived central memory (T CM ) and stem cell memory (T SCM ) CD8 T cells identified effector molecules with demethylated promoters and poised for expression. Effector-loci demethylation was heritably preserved during IL-7- and IL-15-mediated in vitro cell proliferation. Conversely, cytokine-driven proliferation of T CM and T SCM memory cells resulted in phenotypic conversion into T EM cells and was coupled to increased methylation of the CCR7 and Tcf7 loci. Furthermore, haploidentical donor memory CD8 T cells undergoing in vivo proliferation in lymphodepleted recipients also maintained their effector-associated demethylated status but acquired T EM -associated programs. These data demonstrate that effector-associated epigenetic programs are preserved during cytokine-driven subset interconversion of human memory CD8 T cells. © 2017 Abdelsamed et al.

  8. Fungal mediated innate immune memory, what have we learned?

    PubMed

    Quintin, Jessica

    2018-05-30

    The binary classification of mammalian immune memory is now obsolete. Innate immune cells carry memory characteristics. The overall capacity of innate immune cells to remember and alter their responses is referred as innate immune memory and the induction of a non-specific memory resulting in an enhanced immune status is termed "trained immunity". Historically, trained immunity was first described as triggered by the human fungal pathogen Candida albicans. Since, numerous studies have accumulated and deciphered the main characteristics of trained immunity mediated by fungi and fungal components. This review aims at presenting the newly described aspect of memory in innate immunity with an emphasis on the historically fungal mediated one, covering the known molecular mechanisms associated with training. In addition, the review uncovers the numerous non-specific effect that β-glucans trigger in the context of infectious diseases and septicaemia, inflammatory diseases and cancer. Copyright © 2018. Published by Elsevier Ltd.

  9. IMMUNOLOGIC MEMORY CELLS OF BONE MARROW ORIGIN

    PubMed Central

    Miller, Harold C.; Cudkowicz, Gustavo

    1972-01-01

    Individual immunocompetent precursor cells of (C57BL/10 x C3H)F1 mouse marrow generate, on transplantation, three to five times more antibody-forming cells localized in recipient spleens during secondary than during primary immune responses. The increased burst size is immunologically specific since antigens of horse and chicken erythrocytes and of Salmonella typhimurium do not cause this effect in marrow cells responsive to sheep red blood cells. Both sensitized and nonsensitized precursors require the helper function of thymus-derived cells and antigen for the final steps of differentiation and maturation. The burst size of primed precursor cells is the same after cooperative interactions with virgin or educated helper cells of thymic origin. The greater potential of these marrow precursors may be attributable to self-replication and migration before differentiation into antibody-forming descendants. In fact, the progeny cells of primed precursor units are distributed among a multiplicity of foci, whereas those of nonimmune precursors are clustered into one focus. The described properties of specifically primed marrow precursors are those underlying immunologic memory. It remains to be established whether memory cells are induced or selected by antigens and whether the thymus plays a role in this process. PMID:4553850

  10. Total Recall: Can We Reshape T Cell Memory by Lymphoablation?

    PubMed

    Nicosia, M; Valujskikh, A

    2017-07-01

    Despite recent advances in immunosuppression, donor-reactive memory T cells remain a serious threat to successful organ transplantation. To alleviate damaging effects of preexisting immunologic memory, lymphoablative induction therapies are used as part of standard care in sensitized recipients. However, accumulating evidence suggests that memory T cells have advantages over their naive counterparts in surviving depletion and expanding under lymphopenic conditions. This may at least partially explain the inability of existing lymphoablative strategies to improve long-term allograft outcome in sensitized recipients, despite the well-documented decrease in the frequency of early acute rejection episodes. This minireview summarizes the insights gained from both experimental and clinical transplantation as to the effects of existing lymphoablative strategies on memory T cells and discusses the latest research developments aimed at improving the efficacy and safety of lymphoablation. © 2016 The American Society of Transplantation and the American Society of Transplant Surgeons.

  11. Studies on B-cell memory. III. T-dependent aspect of B memory generation in mice immunized with T-independent type-2(TI-2) antigen.

    PubMed

    Hosokawa, T; Tanaka, Y; Aoike, A; Kawai, K; Muramatsu, S

    1984-09-01

    The time course of B-cell memory development to a dinitrophenyl (DNP) T-independent type-2 (TI-2) antigen was investigated by adoptive cell transfer. Strong IgM and IgG memory developed in BALB/c mice after immunization with DNP-dextran, to be recalled by challenge with either T-dependent (TD) antigen or TI-2 antigen. However, only weak IgM memory and very feeble IgG memory were detected in athymic nude mice receiving the same immunization as euthymic mice. Once memory was established under probable T cell influence, its recall by TI-2 antigen challenge seemed independent of T cell help and did not require sharing of carriers between priming and challenge antigens. The following may be concluded. (i) Long-term IgM and IgG memory is induced by TI-2 antigen priming in the presence of functional T cells. (ii) The class switch from IgM to IgG in the memory B cell pool is driven effectively by TI-2 antigen and is probably T cell-dependent.

  12. Pharmacologic Induction of CD8+ T Cell Memory: Better Living Through Chemistry

    PubMed Central

    Gattinoni, Luca; Klebanoff, Christopher A.; Restifo, Nicholas P.

    2011-01-01

    The generation of a robust population of memory T cells is critical for effective vaccine and cell-based therapies to prevent and treat infectious diseases and cancer. A series of recent papers have established a new, cell-intrinsic approach in which small molecules target key metabolic and developmental pathways to enhance the formation and maintenance of highly functional CD8+ memory T cells. These findings raise the exciting new possibility of using small molecules, many of which are already approved for human use, for the pharmacologic induction of immunologic memory. PMID:20371454

  13. Phenotypic and Functional Alterations in Circulating Memory CD8 T Cells with Time after Primary Infection.

    PubMed

    Martin, Matthew D; Kim, Marie T; Shan, Qiang; Sompallae, Ramakrishna; Xue, Hai-Hui; Harty, John T; Badovinac, Vladimir P

    2015-10-01

    Memory CD8 T cells confer increased protection to immune hosts upon secondary viral, bacterial, and parasitic infections. The level of protection provided depends on the numbers, quality (functional ability), and location of memory CD8 T cells present at the time of infection. While primary memory CD8 T cells can be maintained for the life of the host, the full extent of phenotypic and functional changes that occur over time after initial antigen encounter remains poorly characterized. Here we show that critical properties of circulating primary memory CD8 T cells, including location, phenotype, cytokine production, maintenance, secondary proliferation, secondary memory generation potential, and mitochondrial function change with time after infection. Interestingly, phenotypic and functional alterations in the memory population are not due solely to shifts in the ratio of effector (CD62Llo) and central memory (CD62Lhi) cells, but also occur within defined CD62Lhi memory CD8 T cell subsets. CD62Lhi memory cells retain the ability to efficiently produce cytokines with time after infection. However, while it is was not formally tested whether changes in CD62Lhi memory CD8 T cells over time occur in a cell intrinsic manner or are due to selective death and/or survival, the gene expression profiles of CD62Lhi memory CD8 T cells change, phenotypic heterogeneity decreases, and mitochondrial function and proliferative capacity in either a lymphopenic environment or in response to antigen re-encounter increase with time. Importantly, and in accordance with their enhanced proliferative and metabolic capabilities, protection provided against chronic LCMV clone-13 infection increases over time for both circulating memory CD8 T cell populations and for CD62Lhi memory cells. Taken together, the data in this study reveal that memory CD8 T cells continue to change with time after infection and suggest that the outcome of vaccination strategies designed to elicit protective memory

  14. CD4 memory T cells develop and acquire functional competence by sequential cognate interactions and stepwise gene regulation

    PubMed Central

    Kaji, Tomohiro; Hijikata, Atsushi; Ishige, Akiko; Kitami, Toshimori; Watanabe, Takashi; Ohara, Osamu; Yanaka, Noriyuki; Okada, Mariko; Shimoda, Michiko; Taniguchi, Masaru

    2016-01-01

    Memory CD4+ T cells promote protective humoral immunity; however, how memory T cells acquire this activity remains unclear. This study demonstrates that CD4+ T cells develop into antigen-specific memory T cells that can promote the terminal differentiation of memory B cells far more effectively than their naive T-cell counterparts. Memory T cell development requires the transcription factor B-cell lymphoma 6 (Bcl6), which is known to direct T-follicular helper (Tfh) cell differentiation. However, unlike Tfh cells, memory T cell development did not require germinal center B cells. Curiously, memory T cells that develop in the absence of cognate B cells cannot promote memory B-cell recall responses and this defect was accompanied by down-regulation of genes associated with homeostasis and activation and up-regulation of genes inhibitory for T-cell responses. Although memory T cells display phenotypic and genetic signatures distinct from Tfh cells, both had in common the expression of a group of genes associated with metabolic pathways. This gene expression profile was not shared to any great extent with naive T cells and was not influenced by the absence of cognate B cells during memory T cell development. These results suggest that memory T cell development is programmed by stepwise expression of gatekeeper genes through serial interactions with different types of antigen-presenting cells, first licensing the memory lineage pathway and subsequently facilitating the functional development of memory T cells. Finally, we identified Gdpd3 as a candidate genetic marker for memory T cells. PMID:26714588

  15. [Memory characteristic in boys with attention deficit/hyperactivity disorder comorbid learning disability].

    PubMed

    Wu, Zhaomin; Wang, Na; Qian, Qiujin; Yang, Li; Qian, Ying; Liu, Lu; Liu, Yuxin; Cheng, Jia; Sun, Li; Cao, Qingjiu; Wang, Yufeng

    2014-06-10

    To explore the memory characteristic in boys with attention-deficit/hyperactivity disorder (ADHD) plus learning disability (LD). A total of 97 ADHD boys with comorbid LD (ADHD+LD), 97 ADHD boys without comorbid LD (ADHD-LD) and 97 healthy controls (based on the criteria of DSM-IV) were recruited from the outpatient clinic of Peking University Sixth Hospital from December 2003 to September 2012. Individuals across three groups were matched by ages, intelligence quotient (IQ) and ADHD subtypes. The Wechsler Memory Scale (WMS) was used to access the characteristics of several memory domains. ADHD +LD group performed the worst and control group the best in memory quotient (MQ) (90 ± 15 vs 98 ± 14 & 104 ± 14) and long-term memory domain ((36.0 ± 10.2) vs (42.1 ± 7.8) & (45.6 ± 6.7) score, all P < 0.05) . ADHD+LD group scored significantly lower than the control group in short-term memory ( (53.0 ± 9.2) vs (58.0 ± 9.7) score, P < 0.05) and immediate memory domains ((10.0 ± 3.3) vs (11.3 ± 3.5) score, P < 0.05). However, ADHD+LD group scored slightly but not significantly lower than the ADHD-LD group ((54.9 ± 10.7),(10.8 ± 3.2) score, P > 0.05). In most subscales of WMS, ADHD+LD group scored significantly lower than both ADHD-LD and control group in current information and orientation, mental control (1→100) , mental control (100→1) and associate learning subscales ( (8.8 ± 3.1) vs (10.0 ± 3.0) & (9.9 ± 2.3) score, (8.7 ± 4.1) vs (10.0 ± 3.9) & (11.1 ± 3.6) score, (10.7 ± 3.9) vs (12.9 ± 2.8) & (13.7 ± 2.2) score, (9.8 ± 3.1) vs (10.8 ± 2.6) & (11.1 ± 2.1) score, all P < 0.05) . In mental control (accumulation) subscale, all pairwise comparisons were statistically significant (all P < 0.05) . In subscales of figure memory, visual reproduction and digit span, ADHD+LD scored significantly lower than the control group (all P < 0.05), but not the ADHD-LD group (all P > 0.05). Boys with ADHD comorbid LD show deficits in overall memory function and

  16. Low interleukin-2 concentration favors generation of early memory T cells over effector phenotypes during chimeric antigen receptor T-cell expansion.

    PubMed

    Kaartinen, Tanja; Luostarinen, Annu; Maliniemi, Pilvi; Keto, Joni; Arvas, Mikko; Belt, Heini; Koponen, Jonna; Loskog, Angelica; Mustjoki, Satu; Porkka, Kimmo; Ylä-Herttuala, Seppo; Korhonen, Matti

    2017-06-01

    Adoptive T-cell therapy offers new options for cancer treatment. Clinical results suggest that T-cell persistence, depending on T-cell memory, improves efficacy. The use of interleukin (IL)-2 for in vitro T-cell expansion is not straightforward because it drives effector T-cell differentiation but does not promote the formation of T-cell memory. We have developed a cost-effective expansion protocol for chimeric antigen receptor (CAR) T cells with an early memory phenotype. Lymphocytes were transduced with third-generation lentiviral vectors and expanded using CD3/CD28 microbeads. The effects of altering the IL-2 supplementation (0-300 IU/mL) and length of expansion (10-20 days) on the phenotype of the T-cell products were analyzed. High IL-2 levels led to a decrease in overall generation of early memory T cells by both decreasing central memory T cells and augmenting effectors. T memory stem cells (T SCM , CD95 + CD45RO - CD45RA + CD27 + ) were present variably during T-cell expansion. However, their presence was not IL-2 dependent but was linked to expansion kinetics. CD19-CAR T cells generated in these conditions displayed in vitro antileukemic activity. In summary, production of CAR T cells without any cytokine supplementation yielded the highest proportion of early memory T cells, provided a 10-fold cell expansion and the cells were functionally potent. The number of early memory T cells in a T-cell preparation can be increased by simply reducing the amount of IL-2 and limiting the length of T-cell expansion, providing cells with potentially higher in vivo performance. These findings are significant for robust and cost-effective T-cell manufacturing. Copyright © 2017 International Society for Cellular Therapy. Published by Elsevier Inc. All rights reserved.

  17. Mechanical memory

    DOEpatents

    Gilkey, Jeffrey C [Albuquerque, NM; Duesterhaus, Michelle A [Albuquerque, NM; Peter, Frank J [Albuquerque, NM; Renn, Rosemarie A [Alburquerque, NM; Baker, Michael S [Albuquerque, NM

    2006-08-15

    A first-in-first-out (FIFO) microelectromechanical memory apparatus (also termed a mechanical memory) is disclosed. The mechanical memory utilizes a plurality of memory cells, with each memory cell having a beam which can be bowed in either of two directions of curvature to indicate two different logic states for that memory cell. The memory cells can be arranged around a wheel which operates as a clocking actuator to serially shift data from one memory cell to the next. The mechanical memory can be formed using conventional surface micromachining, and can be formed as either a nonvolatile memory or as a volatile memory.

  18. Mechanical memory

    DOEpatents

    Gilkey, Jeffrey C [Albuquerque, NM; Duesterhaus, Michelle A [Albuquerque, NM; Peter, Frank J [Albuquerque, NM; Renn, Rosemarie A [Albuquerque, NM; Baker, Michael S [Albuquerque, NM

    2006-05-16

    A first-in-first-out (FIFO) microelectromechanical memory apparatus (also termed a mechanical memory) is disclosed. The mechanical memory utilizes a plurality of memory cells, with each memory cell having a beam which can be bowed in either of two directions of curvature to indicate two different logic states for that memory cell. The memory cells can be arranged around a wheel which operates as a clocking actuator to serially shift data from one memory cell to the next. The mechanical memory can be formed using conventional surface micromachining, and can be formed as either a nonvolatile memory or as a volatile memory.

  19. Design of a Multi-Level/Analog Ferroelectric Memory Device

    NASA Technical Reports Server (NTRS)

    MacLeod, Todd C.; Phillips, Thomas A.; Ho, Fat D.

    2006-01-01

    Increasing the memory density and utilizing the dove1 characteristics of ferroelectric devices is important in making ferroelectric memory devices more desirable to the consumer. This paper describes a design that allows multiple levels to be stored in a ferroelectric based memory cell. It can be used to store multiple bits or analog values in a high speed nonvolatile memory. The design utilizes the hysteresis characteristic of ferroelectric transistors to store an analog value in the memory cell. The design also compensates for the decay of the polarization of the ferroelectric material over time. This is done by utilizing a pair of ferroelectric transistors to store the data. One transistor is used as a reference to determine the amount of decay that has occurred since the pair was programmed. The second transistor stores the analog value as a polarization value between zero and saturated. The design allows digital data to be stored as multiple bits in each memory cell. The number of bits per cell that can be stored will vary with the decay rate of the ferroelectric transistors and the repeatability of polarization between transistors. It is predicted that each memory cell may be able to store 8 bits or more. The design is based on data taken from actual ferroelectric transistors. Although the circuit has not been fabricated, a prototype circuit is now under construction. The design of this circuit is different than multi-level FLASH or silicon transistor circuits. The differences between these types of circuits are described in this paper. This memory design will be useful because it allows higher memory density, compensates for the environmental and ferroelectric aging processes, allows analog values to be directly stored in memory, compensates for the thermal and radiation environments associated with space operations, and relies only on existing technologies.

  20. The Respiratory Environment Diverts the Development of Antiviral Memory CD8 T Cells.

    PubMed

    Shane, Hillary L; Reagin, Katie L; Klonowski, Kimberly D

    2018-06-01

    Our understanding of memory CD8 + T cells has been largely derived from acute, systemic infection models. However, memory CD8 + T cells generated from mucosal infection exhibit unique properties and, following respiratory infection, are not maintained in the lung long term. To better understand how infection route modifies memory differentiation, we compared murine CD8 + T cell responses to a vesicular stomatitis virus (VSV) challenge generated intranasally (i.n.) or i.v. The i.n. infection resulted in greater peak expansion of VSV-specific CD8 + T cells. However, this numerical advantage was rapidly lost during the contraction phase of the immune response, resulting in memory CD8 + T cell numerical deficiencies when compared with i.v. infection. Interestingly, the antiviral CD8 + T cells generated in response to i.n. VSV exhibited a biased and sustained proportion of early effector cells (CD127 lo KLRG1 lo ) akin to the developmental program favored after i.n. influenza infection, suggesting that respiratory infection broadly favors an incomplete memory differentiation program. Correspondingly, i.n. VSV infection resulted in lower CD122 expression and eomesodermin levels by VSV-specific CD8 + T cells, further indicative of an inferior transition to bona fide memory. These results may be due to distinct (CD103 + CD11b + ) dendritic cell subsets in the i.n. versus i.v. T cell priming environments, which express molecules that regulate T cell signaling and the balance between tolerance and immunity. Therefore, we propose that distinct immunization routes modulate both the quality and quantity of antiviral effector and memory CD8 + T cells in response to an identical pathogen and should be considered in CD8 + T cell-based vaccine design. Copyright © 2018 by The American Association of Immunologists, Inc.

  1. A transcriptome-based model of central memory CD4 T cell death in HIV infection.

    PubMed

    Olvera-García, Gustavo; Aguilar-García, Tania; Gutiérrez-Jasso, Fany; Imaz-Rosshandler, Iván; Rangel-Escareño, Claudia; Orozco, Lorena; Aguilar-Delfín, Irma; Vázquez-Pérez, Joel A; Zúñiga, Joaquín; Pérez-Patrigeon, Santiago; Espinosa, Enrique

    2016-11-22

    Human central memory CD4 T cells are characterized by their capacity of proliferation and differentiation into effector memory CD4 T cells. Homeostasis of central memory CD4 T cells is considered a key factor sustaining the asymptomatic stage of Human Immunodeficiency Virus type 1 (HIV-1) infection, while progression to acquired immunodeficiency syndrome is imputed to central memory CD4 T cells homeostatic failure. We investigated if central memory CD4 T cells from patients with HIV-1 infection have a gene expression profile impeding proliferation and survival, despite their activated state. Using gene expression microarrays, we analyzed mRNA expression patterns in naive, central memory, and effector memory CD4 T cells from healthy controls, and naive and central memory CD4 T cells from patients with HIV-1 infection. Differentially expressed genes, defined by Log 2 Fold Change (FC) ≥ |0.5| and Log (odds) > 0, were used in pathway enrichment analyses. Central memory CD4 T cells from patients and controls showed comparable expression of differentiation-related genes, ruling out an effector-like differentiation of central memory CD4 T cells in HIV infection. However, 210 genes were differentially expressed in central memory CD4 T cells from patients compared with those from controls. Expression of 75 of these genes was validated by semi quantitative RT-PCR, and independently reproduced enrichment results from this gene expression signature. The results of functional enrichment analysis indicated movement to cell cycle phases G1 and S (increased CCNE1, MKI67, IL12RB2, ADAM9, decreased FGF9, etc.), but also arrest in G2/M (increased CHK1, RBBP8, KIF11, etc.). Unexpectedly, the results also suggested decreased apoptosis (increased CSTA, NFKBIA, decreased RNASEL, etc.). Results also suggested increased IL-1β, IFN-γ, TNF, and RANTES (CCR5) activity upstream of the central memory CD4 T cells signature, consistent with the demonstrated milieu in HIV infection

  2. Impacts of Co doping on ZnO transparent switching memory device characteristics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Simanjuntak, Firman Mangasa; Wei, Kung-Hwa; Prasad, Om Kumar

    2016-05-02

    The resistive switching characteristics of indium tin oxide (ITO)/Zn{sub 1−x}Co{sub x}O/ITO transparent resistive memory devices were investigated. An appropriate amount of cobalt dopant in ZnO resistive layer demonstrated sufficient memory window and switching stability. In contrast, pure ZnO devices demonstrated a poor memory window, and using an excessive dopant concentration led to switching instability. To achieve suitable memory performance, relying only on controlling defect concentrations is insufficient; the grain growth orientation of the resistive layer must also be considered. Stable endurance with an ON/OFF ratio of more than one order of magnitude during 5000 cycles confirmed that the Co-doped ZnOmore » device is a suitable candidate for resistive random access memory application. Additionally, fully transparent devices with a high transmittance of up to 90% at wavelength of 550 nm have been fabricated.« less

  3. Mucosal BCG Vaccination Induces Protective Lung-Resident Memory T Cell Populations against Tuberculosis

    PubMed Central

    Perdomo, Carolina; Zedler, Ulrike; Kühl, Anja A.; Lozza, Laura; Saikali, Philippe; Sander, Leif E.; Vogelzang, Alexis; Kupz, Andreas

    2016-01-01

    ABSTRACT Mycobacterium bovis Bacille Calmette-Guérin (BCG) is the only licensed vaccine against tuberculosis (TB), yet its moderate efficacy against pulmonary TB calls for improved vaccination strategies. Mucosal BCG vaccination generates superior protection against TB in animal models; however, the mechanisms of protection remain elusive. Tissue-resident memory T (TRM) cells have been implicated in protective immune responses against viral infections, but the role of TRM cells following mycobacterial infection is unknown. Using a mouse model of TB, we compared protection and lung cellular infiltrates of parenteral and mucosal BCG vaccination. Adoptive transfer and gene expression analyses of lung airway cells were performed to determine the protective capacities and phenotypes of different memory T cell subsets. In comparison to subcutaneous vaccination, intratracheal and intranasal BCG vaccination generated T effector memory and TRM cells in the lung, as defined by surface marker phenotype. Adoptive mucosal transfer of these airway-resident memory T cells into naive mice mediated protection against TB. Whereas airway-resident memory CD4+ T cells displayed a mixture of effector and regulatory phenotype, airway-resident memory CD8+ T cells displayed prototypical TRM features. Our data demonstrate a key role for mucosal vaccination-induced airway-resident T cells in the host defense against pulmonary TB. These results have direct implications for the design of refined vaccination strategies. PMID:27879332

  4. Functional classification of memory CD8(+) T cells by CX3CR1 expression.

    PubMed

    Böttcher, Jan P; Beyer, Marc; Meissner, Felix; Abdullah, Zeinab; Sander, Jil; Höchst, Bastian; Eickhoff, Sarah; Rieckmann, Jan C; Russo, Caroline; Bauer, Tanja; Flecken, Tobias; Giesen, Dominik; Engel, Daniel; Jung, Steffen; Busch, Dirk H; Protzer, Ulrike; Thimme, Robert; Mann, Matthias; Kurts, Christian; Schultze, Joachim L; Kastenmüller, Wolfgang; Knolle, Percy A

    2015-09-25

    Localization of memory CD8(+) T cells to lymphoid or peripheral tissues is believed to correlate with proliferative capacity or effector function. Here we demonstrate that the fractalkine-receptor/CX3CR1 distinguishes memory CD8(+) T cells with cytotoxic effector function from those with proliferative capacity, independent of tissue-homing properties. CX3CR1-based transcriptome and proteome-profiling defines a core signature of memory CD8(+) T cells with effector function. We find CD62L(hi)CX3CR1(+) memory T cells that reside within lymph nodes. This population shows distinct migration patterns and positioning in proximity to pathogen entry sites. Virus-specific CX3CR1(+) memory CD8(+) T cells are scarce during chronic infection in humans and mice but increase when infection is controlled spontaneously or by therapeutic intervention. This CX3CR1-based functional classification will help to resolve the principles of protective CD8(+) T-cell memory.

  5. Figuring fact from fiction: unbiased polling of memory T cells.

    PubMed

    Gerlach, Carmen; Loughhead, Scott M; von Andrian, Ulrich H

    2015-05-07

    Immunization generates several memory T cell subsets that differ in their migratory properties, anatomic distribution, and, hence, accessibility to investigation. In this issue, Steinert et al. demonstrate that what was believed to be a minor memory cell subset in peripheral tissues has been dramatically underestimated. Thus, current models of protective immunity require revision. Copyright © 2015 Elsevier Inc. All rights reserved.

  6. On the shape memory of red blood cells

    NASA Astrophysics Data System (ADS)

    Cordasco, Daniel; Bagchi, Prosenjit

    2017-04-01

    Red blood cells (RBCs) undergo remarkably large deformations when subjected to external forces but return to their biconcave discoid resting shape as the forces are withdrawn. In many experiments, such as when RBCs are subjected to a shear flow and undergo the tank-treading motion, the membrane elements are also displaced from their original (resting) locations along the cell surface with respect to the cell axis, in addition to the cell being deformed. A shape memory is said to exist if after the flow is stopped the RBC regains its biconcave shape and the membrane elements also return to their original locations. The shape memory of RBCs was demonstrated by Fischer ["Shape memory of human red blood cells," Biophys. J. 86, 3304-3313 (2004)] using shear flow go-and-stop experiments. Optical tweezer and micropipette based stretch-relaxation experiments do not reveal the complete shape memory because while the RBC may be deformed, the membrane elements are not significantly displaced from their original locations with respect to the cell axis. Here we present the first three-dimensional computational study predicting the complete shape memory of RBCs using shear flow go-and-stop simulations. The influence of different parameters, namely, membrane shear elasticity and bending rigidity, membrane viscosity, cytoplasmic and suspending fluid viscosity, as well as different stress-free states of the RBC is studied. For all cases, the RBCs always exhibit shape memory. The complete recovery of the RBC in shear flow go-and-stop simulations occurs over a time that is orders of magnitude longer than that for optical tweezer and micropipette based relaxations. The response is also observed to be more complex and composed of widely disparate time scales as opposed to only one time scale that characterizes the optical tweezer and micropipette based relaxations. We observe that the recovery occurs in three phases: a rapid compression of the RBC immediately after the flow is stopped

  7. Dopaminergic neurons write and update memories with cell-type-specific rules

    PubMed Central

    Aso, Yoshinori; Rubin, Gerald M

    2016-01-01

    Associative learning is thought to involve parallel and distributed mechanisms of memory formation and storage. In Drosophila, the mushroom body (MB) is the major site of associative odor memory formation. Previously we described the anatomy of the adult MB and defined 20 types of dopaminergic neurons (DANs) that each innervate distinct MB compartments (Aso et al., 2014a, 2014b). Here we compare the properties of memories formed by optogenetic activation of individual DAN cell types. We found extensive differences in training requirements for memory formation, decay dynamics, storage capacity and flexibility to learn new associations. Even a single DAN cell type can either write or reduce an aversive memory, or write an appetitive memory, depending on when it is activated relative to odor delivery. Our results show that different learning rules are executed in seemingly parallel memory systems, providing multiple distinct circuit-based strategies to predict future events from past experiences. DOI: http://dx.doi.org/10.7554/eLife.16135.001 PMID:27441388

  8. Increased memory T cell populations in Pb-exposed children from an e-waste-recycling area.

    PubMed

    Cao, Junjun; Xu, Xijin; Zhang, Yu; Zeng, Zhijun; Hylkema, Machteld N; Huo, Xia

    2018-03-01

    Chronic exposure to heavy metals could affect cell-mediated immunity. The aim of this study was to explore the status of memory T cell development in preschool children from an e-waste recycling area. Blood lead (Pb) levels, peripheral T cell subpopulations, and serum levels of cytokines (IL-2/IL-7/IL-15), relevant to generation and homeostasis of memory T cells were evaluated in preschool children from Guiyu (e-waste-exposed group) and Haojiang (reference group). The correlations between blood Pb levels and percentages of memory T cell subpopulations were also evaluated. Guiyu children had higher blood Pb levels and increased percentages of CD4 + central memory T cells and CD8 + central memory T cells than in the Haojiang group. Moreover, blood Pb levels were positively associated with the percentages of CD4 + central memory T cells. In contrast, Pb exposure contributed marginally in the change of percentages of CD8 + central memory T cells in children. There was no significant difference in the serum cytokine levels between the e-waste-exposed and reference children. Taken together, preschool children from an e-waste recycling area suffer from relatively higher levels of Pb exposure, which might facilitate the development of CD4 + central memory T cells in these children. Copyright © 2017. Published by Elsevier B.V.

  9. Origin and differentiation of human memory CD8 T cells after vaccination.

    PubMed

    Akondy, Rama S; Fitch, Mark; Edupuganti, Srilatha; Yang, Shu; Kissick, Haydn T; Li, Kelvin W; Youngblood, Ben A; Abdelsamed, Hossam A; McGuire, Donald J; Cohen, Kristen W; Alexe, Gabriela; Nagar, Shashi; McCausland, Megan M; Gupta, Satish; Tata, Pramila; Haining, W Nicholas; McElrath, M Juliana; Zhang, David; Hu, Bin; Greenleaf, William J; Goronzy, Jorg J; Mulligan, Mark J; Hellerstein, Marc; Ahmed, Rafi

    2017-12-21

    The differentiation of human memory CD8 T cells is not well understood. Here we address this issue using the live yellow fever virus (YFV) vaccine, which induces long-term immunity in humans. We used in vivo deuterium labelling to mark CD8 T cells that proliferated in response to the virus and then assessed cellular turnover and longevity by quantifying deuterium dilution kinetics in YFV-specific CD8 T cells using mass spectrometry. This longitudinal analysis showed that the memory pool originates from CD8 T cells that divided extensively during the first two weeks after infection and is maintained by quiescent cells that divide less than once every year (doubling time of over 450 days). Although these long-lived YFV-specific memory CD8 T cells did not express effector molecules, their epigenetic landscape resembled that of effector CD8 T cells. This open chromatin profile at effector genes was maintained in memory CD8 T cells isolated even a decade after vaccination, indicating that these cells retain an epigenetic fingerprint of their effector history and remain poised to respond rapidly upon re-exposure to the pathogen.

  10. Cladribine treatment of multiple sclerosis is associated with depletion of memory B cells.

    PubMed

    Ceronie, Bryan; Jacobs, Benjamin M; Baker, David; Dubuisson, Nicolas; Mao, Zhifeng; Ammoscato, Francesca; Lock, Helen; Longhurst, Hilary J; Giovannoni, Gavin; Schmierer, Klaus

    2018-05-01

    The mechanism of action of oral cladribine, recently licensed for relapsing multiple sclerosis, is unknown. To determine whether cladribine depletes memory B cells consistent with our recent hypothesis that effective, disease-modifying treatments act by physical/functional depletion of memory B cells. A cross-sectional study examined 40 people with multiple sclerosis at the end of the first cycle of alemtuzumab or injectable cladribine. The relative proportions and absolute numbers of peripheral blood B lymphocyte subsets were measured using flow cytometry. Cell-subtype expression of genes involved in cladribine metabolism was examined from data in public repositories. Cladribine markedly depleted class-switched and unswitched memory B cells to levels comparable with alemtuzumab, but without the associated initial lymphopenia. CD3 + T cell depletion was modest. The mRNA expression of metabolism genes varied between lymphocyte subsets. A high ratio of deoxycytidine kinase to group I cytosolic 5' nucleotidase expression was present in B cells and was particularly high in mature, memory and notably germinal centre B cells, but not plasma cells. Selective B cell cytotoxicity coupled with slow repopulation kinetics results in long-term, memory B cell depletion by cladribine. These may offer a new target, possibly with potential biomarker activity, for future drug development.

  11. Vaccine-elicited memory CD4+ T cell expansion is impaired in the lungs during tuberculosis.

    PubMed

    Carpenter, Stephen M; Yang, Jason D; Lee, Jinhee; Barreira-Silva, Palmira; Behar, Samuel M

    2017-11-01

    Immunological memory is the key biological process that makes vaccines possible. Although tuberculosis vaccines elicit protective immunity in animals, few provide durable protection. To understand why protection is transient, we evaluated the ability of memory CD4+ T cells to expand, differentiate, and control Mycobacterium tuberculosis. Both naïve and memory CD4+ T cells initially proliferated exponentially, and the accumulation of memory T cells in the lung correlated with early bacterial control. However, later during infection, memory CD4+ T cell proliferation was curtailed and no protection was observed. We show that memory CD4+ T cells are first activated in the LN and their recruitment to the lung attenuates bacterial growth. However, their interaction with Mtb-infected macrophages does not promote continued proliferation. We conclude that a lack of sustained expansion by memory-derived T cells in the lung limits the durability of their protection, linking their slower expansion with transient protection in vaccinated mice.

  12. Characteristics of Reduced Graphene Oxide Quantum Dots for a Flexible Memory Thin Film Transistor.

    PubMed

    Kim, Yo-Han; Lee, Eun Yeol; Lee, Hyun Ho; Seo, Tae Seok

    2017-05-17

    Reduced graphene oxide quantum dot (rGOQD) devices in formats of capacitor and thin film transistor (TFT) were demonstrated and examined as the first trial to achieve nonambipolar channel property. In addition, through a gold nanoparticle (Au NP) layer embedded between the rGOQD active channel and dielectric layer, memory capacitor and TFT performances were realized by capacitance-voltage (C-V) hysteresis and gate program, erase, and reprogram biases. First, capacitor structure of the rGOQD memory device was constructed to examine memory charging effect featured in hysteretic C-V behavior with a 30 nm dielectric layer of cross-linked poly(vinyl alcohol). For the intervening Au NP charging layer, self-assembled monolayer (SAM) formation of the Au NP was executed to utilize electrostatic interaction by a dip-coating process under ambient environments with a conformal fabrication uniformity. Second, the rGOQD memory TFT device was also constructed in the same format of the Au NPs SAMs on a flexible substrate. Characteristics of the rGOQD TFT output showed novel saturation curves unlike typical graphene-based TFTs. However, The rGOQD TFT device reveals relatively low on/off ratio of 10 1 and mobility of 5.005 cm 2 /V·s. For the memory capacitor, the flat-band voltage shift (ΔV FB ) was measured as 3.74 V for ±10 V sweep, and for the memory TFT, the threshold voltage shift (ΔV th ) by the Au NP charging was detected as 7.84 V. In summary, it was concluded that the rGOQD memory device could accomplish an ideal graphene-based memory performance, which could have provided a wide memory window and saturated output characteristics.

  13. Prolonged evolution of virus-specific memory T cell immunity post severe avian influenza A (H7N9) virus infection.

    PubMed

    Zhao, Min; Chen, Junbo; Tan, Shuguang; Dong, Tao; Jiang, Hui; Zheng, Jiandong; Quan, Chuansong; Liao, Qiaohong; Zhang, Hangjie; Wang, Xiling; Wang, Qianli; Bi, Yuhai; Liu, Fengfeng; Feng, Luzhao; Horby, Peter W; Klenerman, Paul; Gao, George F; Liu, William J; Yu, Hongjie

    2018-06-20

    Since 2013, influenza A/H7N9 has emerged as the commonest avian influenza subtype causing human infection, and is associated with a high fatality risk. However, the characteristics of immune memory in patients who have recovered from H7N9 infection are not well understood. We assembled a cohort of forty-five H7N9 survivors followed for up to 15 months after infection. Humoral and cellular immune responses were analyzed in sequential samples obtained at 1.5-4 months, 6-8 months and 12-15 months post-infection. H7N9-specific antibody concentrations declined over time, and protective antibodies persisted longer in severely ill patients admitted to ICU and patients presenting with ARDS than that in patients with mild disease. Frequencies of virus-specific IFN-γ secreting T cells were lower in critically ill patients requiring ventilation than those in patients without ventilation within four months after infection. The percentages of H7N9-specific IFN-γ secreting T cells tended to increase over time in patients ≥60 years or critically ill patients requiring ventilation. Elevated levels of antigen-specific CD8 + T cells expressing lung-homing marker CD49a were observed at 6-8 months after H7N9 infection compared to samples obtained at 1.5-4 months. Our findings indicate the prolonged reconstruction and evolution of virus-specific T cell immunity in older or critically ill patients, and provide implications for T-cell directed immunization strategies. IMPORTANCE Avian influenza A H7N9 remains a major threat to public health. However, no previous studies have determined the characteristics and dynamics of virus specific T cell immune memory in patients who have recovered from H7N9 infection. Our findings showed that establishment of H7N9-specific T cell memory after H7N9 infection was prolonged in older and severely affected patients. Severely ill patients mounted lower T cell responses in the first 4 months after infection, while T cell responses tended to increase

  14. Abnormal B cell memory subsets dominate HIV-specific responses in infected individuals

    PubMed Central

    Kardava, Lela; Moir, Susan; Shah, Naisha; Wang, Wei; Wilson, Richard; Buckner, Clarisa M.; Santich, Brian H.; Kim, Leo J.Y.; Spurlin, Emily E.; Nelson, Amy K.; Wheatley, Adam K.; Harvey, Christopher J.; McDermott, Adrian B.; Wucherpfennig, Kai W.; Chun, Tae-Wook; Tsang, John S.; Li, Yuxing; Fauci, Anthony S.

    2014-01-01

    Recently, several neutralizing anti-HIV antibodies have been isolated from memory B cells of HIV-infected individuals. Despite extensive evidence of B cell dysfunction in HIV disease, little is known about the cells from which these rare HIV-specific antibodies originate. Accordingly, we used HIV envelope gp140 and CD4 or coreceptor (CoR) binding site (bs) mutant probes to evaluate HIV-specific responses in peripheral blood B cells of HIV-infected individuals at various stages of infection. In contrast to non-HIV responses, HIV-specific responses against gp140 were enriched within abnormal B cells, namely activated and exhausted memory subsets, which are largely absent in the blood of uninfected individuals. Responses against the CoRbs, which is a poorly neutralizing epitope, arose early, whereas those against the well-characterized neutralizing epitope CD4bs were delayed and infrequent. Enrichment of the HIV-specific response within resting memory B cells, the predominant subset in uninfected individuals, did occur in certain infected individuals who maintained low levels of plasma viremia and immune activation with or without antiretroviral therapy. The distribution of HIV-specific responses among memory B cell subsets was corroborated by transcriptional analyses. Taken together, our findings provide valuable insight into virus-specific B cell responses in HIV infection and demonstrate that memory B cell abnormalities may contribute to the ineffectiveness of the antibody response in infected individuals. PMID:24892810

  15. Deep sequencing and flow cytometric characterization of expanded effector memory CD8+CD57+ T cells frequently reveals T-cell receptor Vβ oligoclonality and CDR3 homology in acquired aplastic anemia.

    PubMed

    Giudice, Valentina; Feng, Xingmin; Lin, Zenghua; Hu, Wei; Zhang, Fanmao; Qiao, Wangmin; Ibanez, Maria Del Pilar Fernandez; Rios, Olga; Young, Neal S

    2018-05-01

    Oligoclonal expansion of CD8 + CD28 - lymphocytes has been considered indirect evidence for a pathogenic immune response in acquired aplastic anemia. A subset of CD8 + CD28 - cells with CD57 expression, termed effector memory cells, is expanded in several immune-mediated diseases and may have a role in immune surveillance. We hypothesized that effector memory CD8 + CD28 - CD57 + cells may drive aberrant oligoclonal expansion in aplastic anemia. We found CD8 + CD57 + cells frequently expanded in the blood of aplastic anemia patients, with oligoclonal characteristics by flow cytometric Vβ usage analysis: skewing in 1-5 Vβ families and frequencies of immunodominant clones ranging from 1.98% to 66.5%. Oligoclonal characteristics were also observed in total CD8 + cells from aplastic anemia patients with CD8 + CD57 + cell expansion by T-cell receptor deep sequencing, as well as the presence of 1-3 immunodominant clones. Oligoclonality was confirmed by T-cell receptor repertoire deep sequencing of enriched CD8 + CD57 + cells, which also showed decreased diversity compared to total CD4 + and CD8 + cell pools. From analysis of complementarity-determining region 3 sequences in the CD8 + cell pool, a total of 29 sequences were shared between patients and controls, but these sequences were highly expressed in aplastic anemia subjects and also present in their immunodominant clones. In summary, expansion of effector memory CD8 + T cells is frequent in aplastic anemia and mirrors Vβ oligoclonal expansion. Flow cytometric Vβ usage analysis combined with deep sequencing technologies allows high resolution characterization of the T-cell receptor repertoire, and might represent a useful tool in the diagnosis and periodic evaluation of aplastic anemia patients. (Registered at clinicaltrials.gov identifiers: 00001620, 01623167, 00001397, 00071045, 00081523, 00961064 ). Copyright © 2018 Ferrata Storti Foundation.

  16. Circulating TFH cells, serological memory, and tissue compartmentalization shape human influenza-specific B cell immunity.

    PubMed

    Koutsakos, Marios; Wheatley, Adam K; Loh, Liyen; Clemens, E Bridie; Sant, Sneha; Nüssing, Simone; Fox, Annette; Chung, Amy W; Laurie, Karen L; Hurt, Aeron C; Rockman, Steve; Lappas, Martha; Loudovaris, Thomas; Mannering, Stuart I; Westall, Glen P; Elliot, Michael; Tangye, Stuart G; Wakim, Linda M; Kent, Stephen J; Nguyen, Thi H O; Kedzierska, Katherine

    2018-02-14

    Immunization with the inactivated influenza vaccine (IIV) remains the most effective strategy to combat seasonal influenza infections. IIV activates B cells and T follicular helper (T FH ) cells and thus engenders antibody-secreting cells and serum antibody titers. However, the cellular events preceding generation of protective immunity in humans are inadequately understood. We undertook an in-depth analysis of B cell and T cell immune responses to IIV in 35 healthy adults. Using recombinant hemagglutinin (rHA) probes to dissect the quantity, phenotype, and isotype of influenza-specific B cells against A/California09-H1N1, A/Switzerland-H3N2, and B/Phuket, we showed that vaccination induced a three-pronged B cell response comprising a transient CXCR5 - CXCR3 + antibody-secreting B cell population, CD21 hi CD27 + memory B cells, and CD21 lo CD27 + B cells. Activation of circulating T FH cells correlated with the development of both CD21 lo and CD21 hi memory B cells. However, preexisting antibodies could limit increases in serum antibody titers. IIV had no marked effect on CD8 + , mucosal-associated invariant T, γδ T, and natural killer cell activation. In addition, vaccine-induced B cells were not maintained in peripheral blood at 1 year after vaccination. We provide a dissection of rHA-specific B cells across seven human tissue compartments, showing that influenza-specific memory (CD21 hi CD27 + ) B cells primarily reside within secondary lymphoid tissues and the lungs. Our study suggests that a rational design of universal vaccines needs to consider circulating T FH cells, preexisting serological memory, and tissue compartmentalization for effective B cell immunity, as well as to improve targeting cellular T cell immunity. Copyright © 2018 The Authors, some rights reserved; exclusive licensee American Association for the Advancement of Science. No claim to original U.S. Government Works.

  17. A Reassessment of IgM Memory Subsets in Humans.

    PubMed

    Bagnara, Davide; Squillario, Margherita; Kipling, David; Mora, Thierry; Walczak, Aleksandra M; Da Silva, Lucie; Weller, Sandra; Dunn-Walters, Deborah K; Weill, Jean-Claude; Reynaud, Claude-Agnès

    2015-10-15

    From paired blood and spleen samples from three adult donors, we performed high-throughput VH sequencing of human B cell subsets defined by IgD and CD27 expression: IgD(+)CD27(+) ("marginal zone [MZ]"), IgD(-)CD27(+) ("memory," including IgM ["IgM-only"], IgG and IgA) and IgD(-)CD27(-) cells ("double-negative," including IgM, IgG, and IgA). A total of 91,294 unique sequences clustered in 42,670 clones, revealing major clonal expansions in each of these subsets. Among these clones, we further analyzed those shared sequences from different subsets or tissues for VH gene mutation, H-CDR3-length, and VH/JH usage, comparing these different characteristics with all sequences from their subset of origin for which these parameters constitute a distinct signature. The IgM-only repertoire profile differed notably from that of MZ B cells by a higher mutation frequency and lower VH4 and higher JH6 gene usage. Strikingly, IgM sequences from clones shared between the MZ and the memory IgG/IgA compartments showed a mutation and repertoire profile of IgM-only and not of MZ B cells. Similarly, all IgM clonal relationships (among MZ, IgM-only, and double-negative compartments) involved sequences with the characteristics of IgM-only B cells. Finally, clonal relationships between tissues suggested distinct recirculation characteristics between MZ and switched B cells. The "IgM-only" subset (including cells with its repertoire signature but higher IgD or lower CD27 expression levels) thus appear as the only subset showing precursor-product relationships with CD27(+) switched memory B cells, indicating that they represent germinal center-derived IgM memory B cells and that IgM memory and MZ B cells constitute two distinct entities. Copyright © 2015 by The American Association of Immunologists, Inc.

  18. Programmed Death 1 Regulates Memory Phenotype CD4 T Cell Accumulation, Inhibits Expansion of the Effector Memory Phenotype Subset and Modulates Production of Effector Cytokines

    PubMed Central

    Charlton, Joanna J.; Tsoukatou, Debbie; Mamalaki, Clio; Chatzidakis, Ioannis

    2015-01-01

    Memory phenotype CD4 T cells are found in normal mice and arise through response to environmental antigens or homeostatic mechanisms. The factors that regulate the homeostasis of memory phenotype CD4 cells are not clear. In the present study we demonstrate that there is a marked accumulation of memory phenotype CD4 cells, specifically of the effector memory (TEM) phenotype, in lymphoid organs and tissues of mice deficient for the negative co-stimulatory receptor programmed death 1 (PD-1). This can be correlated with decreased apoptosis but not with enhanced homeostatic turnover potential of these cells. PD-1 ablation increased the frequency of memory phenotype CD4 IFN-γ producers but decreased the respective frequency of IL-17A-producing cells. In particular, IFN-γ producers were more abundant but IL-17A producing cells were more scarce among PD-1 KO TEM-phenotype cells relative to WT. Transfer of peripheral naïve CD4 T cells suggested that accumulated PD-1 KO TEM-phenotype cells are of peripheral and not of thymic origin. This accumulation effect was mediated by CD4 cell-intrinsic mechanisms as shown by mixed bone marrow chimera experiments. Naïve PD-1 KO CD4 T cells gave rise to higher numbers of TEM-phenotype lymphopenia-induced proliferation memory cells. In conclusion, we provide evidence that PD-1 has an important role in determining the composition and functional aspects of memory phenotype CD4 T cell pool. PMID:25803808

  19. Tethered IL-15 augments antitumor activity and promotes a stem-cell memory subset in tumor-specific T cells.

    PubMed

    Hurton, Lenka V; Singh, Harjeet; Najjar, Amer M; Switzer, Kirsten C; Mi, Tiejuan; Maiti, Sourindra; Olivares, Simon; Rabinovich, Brian; Huls, Helen; Forget, Marie-Andrée; Datar, Vrushali; Kebriaei, Partow; Lee, Dean A; Champlin, Richard E; Cooper, Laurence J N

    2016-11-29

    Adoptive immunotherapy retargeting T cells to CD19 via a chimeric antigen receptor (CAR) is an investigational treatment capable of inducing complete tumor regression of B-cell malignancies when there is sustained survival of infused cells. T-memory stem cells (T SCM ) retain superior potential for long-lived persistence, but challenges exist in manufacturing this T-cell subset because they are rare among circulating lymphocytes. We report a clinically relevant approach to generating CAR + T cells with preserved T SCM potential using the Sleeping Beauty platform. Because IL-15 is fundamental to T-cell memory, we incorporated its costimulatory properties by coexpressing CAR with a membrane-bound chimeric IL-15 (mbIL15). The mbIL15-CAR T cells signaled through signal transducer and activator of transcription 5 to yield improved T-cell persistence independent of CAR signaling, without apparent autonomous growth or transformation, and achieved potent rejection of CD19 + leukemia. Long-lived T cells were CD45RO neg CCR7 + CD95 + , phenotypically most similar to T SCM , and possessed a memory-like transcriptional profile. Overall, these results demonstrate that CAR + T cells can develop long-term persistence with a memory stem-cell phenotype sustained by signaling through mbIL15. This observation warrants evaluation in clinical trials.

  20. Tethered IL-15 augments antitumor activity and promotes a stem-cell memory subset in tumor-specific T cells

    PubMed Central

    Hurton, Lenka V.; Singh, Harjeet; Najjar, Amer M.; Switzer, Kirsten C.; Mi, Tiejuan; Maiti, Sourindra; Olivares, Simon; Rabinovich, Brian; Huls, Helen; Forget, Marie-Andrée; Datar, Vrushali; Kebriaei, Partow; Lee, Dean A.; Champlin, Richard E.; Cooper, Laurence J. N.

    2016-01-01

    Adoptive immunotherapy retargeting T cells to CD19 via a chimeric antigen receptor (CAR) is an investigational treatment capable of inducing complete tumor regression of B-cell malignancies when there is sustained survival of infused cells. T-memory stem cells (TSCM) retain superior potential for long-lived persistence, but challenges exist in manufacturing this T-cell subset because they are rare among circulating lymphocytes. We report a clinically relevant approach to generating CAR+ T cells with preserved TSCM potential using the Sleeping Beauty platform. Because IL-15 is fundamental to T-cell memory, we incorporated its costimulatory properties by coexpressing CAR with a membrane-bound chimeric IL-15 (mbIL15). The mbIL15-CAR T cells signaled through signal transducer and activator of transcription 5 to yield improved T-cell persistence independent of CAR signaling, without apparent autonomous growth or transformation, and achieved potent rejection of CD19+ leukemia. Long-lived T cells were CD45ROnegCCR7+CD95+, phenotypically most similar to TSCM, and possessed a memory-like transcriptional profile. Overall, these results demonstrate that CAR+ T cells can develop long-term persistence with a memory stem-cell phenotype sustained by signaling through mbIL15. This observation warrants evaluation in clinical trials. PMID:27849617

  1. Epigenomic analysis of primary human T cells reveals enhancers associated with TH2 memory cell differentiation and asthma susceptibility

    PubMed Central

    Seumois, Grégory; Chavez, Lukas; Gerasimova, Anna; Lienhard, Matthias; Omran, Nada; Kalinke, Lukas; Vedanayagam, Maria; Ganesan, Asha Purnima V; Chawla, Ashu; Djukanović, Ratko; Ansel, K Mark; Peters, Bjoern; Rao, Anjana; Vijayanand, Pandurangan

    2014-01-01

    A characteristic feature of asthma is the aberrant accumulation, differentiation or function of memory CD4+ T cells that produce type 2 cytokines (TH2 cells). By mapping genome-wide histone modification profiles for subsets of T cells isolated from peripheral blood of healthy and asthmatic individuals, we identified enhancers with known and potential roles in the normal differentiation of human TH1 cells and TH2 cells. We discovered disease-specific enhancers in T cells that differ between healthy and asthmatic individuals. Enhancers that gained the histone H3 Lys4 dimethyl (H3K4me2) mark during TH2 cell development showed the highest enrichment for asthma-associated single nucleotide polymorphisms (SNPs), which supported a pathogenic role for TH2 cells in asthma. In silico analysis of cell-specific enhancers revealed transcription factors, microRNAs and genes potentially linked to human TH2 cell differentiation. Our results establish the feasibility and utility of enhancer profiling in well-defined populations of specialized cell types involved in disease pathogenesis. PMID:24997565

  2. Epigenomic analysis of primary human T cells reveals enhancers associated with TH2 memory cell differentiation and asthma susceptibility.

    PubMed

    Seumois, Grégory; Chavez, Lukas; Gerasimova, Anna; Lienhard, Matthias; Omran, Nada; Kalinke, Lukas; Vedanayagam, Maria; Ganesan, Asha Purnima V; Chawla, Ashu; Djukanović, Ratko; Ansel, K Mark; Peters, Bjoern; Rao, Anjana; Vijayanand, Pandurangan

    2014-08-01

    A characteristic feature of asthma is the aberrant accumulation, differentiation or function of memory CD4(+) T cells that produce type 2 cytokines (TH2 cells). By mapping genome-wide histone modification profiles for subsets of T cells isolated from peripheral blood of healthy and asthmatic individuals, we identified enhancers with known and potential roles in the normal differentiation of human TH1 cells and TH2 cells. We discovered disease-specific enhancers in T cells that differ between healthy and asthmatic individuals. Enhancers that gained the histone H3 Lys4 dimethyl (H3K4me2) mark during TH2 cell development showed the highest enrichment for asthma-associated single nucleotide polymorphisms (SNPs), which supported a pathogenic role for TH2 cells in asthma. In silico analysis of cell-specific enhancers revealed transcription factors, microRNAs and genes potentially linked to human TH2 cell differentiation. Our results establish the feasibility and utility of enhancer profiling in well-defined populations of specialized cell types involved in disease pathogenesis.

  3. A reassessment of IgM memory subsets in humans

    PubMed Central

    Bagnara, Davide; Squillario, Margherita; Kipling, David; Mora, Thierry; Walczak, Aleksandra M.; Da Silva, Lucie; Weller, Sandra; Dunn-Walters, Deborah K.; Weill, Jean-Claude; Reynaud, Claude-Agnès

    2015-01-01

    From paired blood and spleen samples from three adult donors we performed high-throughput V-h sequencing of human B-cell subsets defined by IgD and CD27 expression: IgD+CD27+ (“MZ”), IgD−CD27+(“memory”, including IgM (“IgM-only”), IgG and IgA) and IgD−CD27− cells (“double-negative”, including IgM, IgG and IgA). 91,294 unique sequences clustered in 42,670 clones, revealing major clonal expansions in each of these subsets. Among these clones, we further analyzed those shared sequences from different subsets or tissues for Vh-gene mutation, H-CDR3-length, and Vh/Jh usage, comparing these different characteristics with all sequences from their subset of origin, for which these parameters constitute a distinct signature. The IgM-only repertoire profile differed notably from that of MZ B cells by a higher mutation frequency, and lower Vh4 and higher Jh6 gene usage. Strikingly, IgM sequences from clones shared between the MZ and the memory IgG/IgA compartments showed a mutation and repertoire profile of IgM-only and not of MZ B cells. Similarly, all IgM clonal relationships (between MZ, IgM-only, and double-negative compartments) involved sequences with the characteristics of IgM-only B cells. Finally, clonal relationships between tissues suggested distinct recirculation characteristics between MZ and switched B cells. The “IgM-only” subset (including cells with its repertoire signature but higher IgD or lower CD27 expression levels) thus appear as the only subset showing precursor-product relationships with CD27+ switched memory B cells, indicating that they represent germinal center-derived IgM memory B cells, and that IgM memory and MZ B cells constitute two distinct entities. PMID:26355154

  4. Memory retrieval by activating engram cells in mouse models of early Alzheimer’s disease

    PubMed Central

    Roy, Dheeraj S.; Arons, Autumn; Mitchell, Teryn I.; Pignatelli, Michele; Ryan, Tomás J.; Tonegawa, Susumu

    2016-01-01

    Summary Alzheimer’s disease (AD) is a neurodegenerative disorder characterized by progressive memory decline and subsequent loss of broader cognitive functions1. Memory decline in early stages of Alzheimer’s is mostly limited to episodic memory, for which the hippocampus (HPC) plays a crucial role2. However, it has been uncertain whether the observed amnesia in early stages of Alzheimer’s is due to disrupted encoding and consolidation of episodic information, or an impairment in the retrieval of stored memory information. Here we show that in transgenic mouse models of early Alzheimer’s, direct optogenetic activation of hippocampal memory engram cells results in memory retrieval despite the fact that these mice are amnesic in long-term memory tests when natural recall cues are utilized, revealing a retrieval, rather than a storage impairment. Prior to amyloid plaque deposition, the amnesia in these mice is age-dependent3–5, which correlates with a progressive reduction of spine density of hippocampal dentate gyrus (DG) engram cells. We show that optogenetic induction of long-term potentiation (LTP) at perforant path (PP) synapses of DG engram cells restores both spine density and long-term memory. We also demonstrate that an ablation of DG engram cells containing restored spine density prevents the rescue of long-term memory. Thus, selective rescue of spine density in engram cells may lead to an effective strategy for treating memory loss in early stages of Alzheimer’s disease. PMID:26982728

  5. In Vitro-Generated Tc17 Cells Present a Memory Phenotype and Serve As a Reservoir of Tc1 Cells In Vivo

    PubMed Central

    Flores-Santibáñez, Felipe; Cuadra, Bárbara; Fernández, Dominique; Rosemblatt, Mariana V.; Núñez, Sarah; Cruz, Pablo; Gálvez-Cancino, Felipe; Cárdenas, J. César; Lladser, Alvaro; Rosemblatt, Mario; Bono, María Rosa; Sauma, Daniela

    2018-01-01

    Memory CD8+ T cells are ideal candidates for cancer immunotherapy because they can mediate long-term protection against tumors. However, the therapeutic potential of different in vitro-generated CD8+ T cell effector subsets to persist and become memory cells has not been fully characterized. Type 1 CD8+ T (Tc1) cells produce interferon-γ and are endowed with high cytotoxic capacity, whereas IL-17-producing CD8+ T (Tc17) cells are less cytotoxic but display enhanced self-renewal capacity. We sought to evaluate the functional properties of in vitro-generated Tc17 cells and elucidate their potential to become long lasting memory cells. Our results show that in vitro-generated Tc17 cells display a greater in vivo persistence and expansion in response to secondary antigen stimulation compared to Tc1 cells. When transferred into recipient mice, Tc17 cells persist in secondary lymphoid organs, present a recirculation behavior consistent with central memory T cells, and can shift to a Tc1 phenotype. Accordingly, Tc17 cells are endowed with a higher mitochondrial spare respiratory capacity than Tc1 cells and express higher levels of memory-related molecules than Tc1 cells. Together, these results demonstrate that in vitro-generated Tc17 cells acquire a central memory program and provide a lasting reservoir of Tc1 cells in vivo, thus supporting the use of Tc17 lymphocytes in the design of novel and more effective therapies. PMID:29472932

  6. Pediatric common variable immunodeficiency: immunologic and phenotypic associations with switched memory B cells.

    PubMed

    Yong, Pierre L; Orange, Jordan S; Sullivan, Kathleen E

    2010-08-01

    Recent studies suggest that patients with common variable immunodeficiency (CVID) and low numbers of switched memory B cells have lower IgG levels and higher rates of autoimmune disease, splenomegaly, and granulomatous disease; however, no prior literature has focused exclusively on pediatric cases. We examined the relationship between switched memory B cells and clinical and immunologic manifestations of CVID in a pediatric population. Forty-five patients were evaluated. Patients were categorized as Group I (<5 switched memory B cells/ml, n = 24) or Group II (> or =5 switched memory B cells/mL, n = 21). CD3(+) T-cell counts and CD19(+) B-cell levels were lower among Group I patients. Only those in Group I had meningitis, sepsis, bronchiectasis, granulomatous lung disease, autoimmune cytopenias, or hematologic malignancies. Segregation of pediatric patients into high risk (Group I) and average risk (Group II) may assist in targeting surveillance appropriately.

  7. Recollection is a continuous process: Evidence from plurality memory receiver operating characteristics.

    PubMed

    Slotnick, Scott D; Jeye, Brittany M; Dodson, Chad S

    2016-01-01

    Is recollection a continuous/graded process or a threshold/all-or-none process? Receiver operating characteristic (ROC) analysis can answer this question as the continuous model and the threshold model predict curved and linear recollection ROCs, respectively. As memory for plurality, an item's previous singular or plural form, is assumed to rely on recollection, the nature of recollection can be investigated by evaluating plurality memory ROCs. The present study consisted of four experiments. During encoding, words (singular or plural) or objects (single/singular or duplicate/plural) were presented. During retrieval, old items with the same plurality or different plurality were presented. For each item, participants made a confidence rating ranging from "very sure old", which was correct for same plurality items, to "very sure new", which was correct for different plurality items. Each plurality memory ROC was the proportion of same versus different plurality items classified as "old" (i.e., hits versus false alarms). Chi-squared analysis revealed that all of the plurality memory ROCs were adequately fit by the continuous unequal variance model, whereas none of the ROCs were adequately fit by the two-high threshold model. These plurality memory ROC results indicate recollection is a continuous process, which complements previous source memory and associative memory ROC findings.

  8. Attrition of memory CD8 T cells during sepsis requires LFA-1.

    PubMed

    Serbanescu, Mara A; Ramonell, Kimberly M; Hadley, Annette; Margoles, Lindsay M; Mittal, Rohit; Lyons, John D; Liang, Zhe; Coopersmith, Craig M; Ford, Mandy L; McConnell, Kevin W

    2016-11-01

    CD8 T cell loss and dysfunction have been implicated in the increased susceptibility to opportunistic infections during the later immunosuppressive phase of sepsis, but CD8 T cell activation and attrition in early sepsis remain incompletely understood. With the use of a CLP model, we assessed CD8 T cell activation at 5 consecutive time points and found that activation after sepsis results in a distinct phenotype (CD69 + CD25 int CD62L HI ) independent of cognate antigen recognition and TCR engagement and likely through bystander-mediated cytokine effects. Additionally, we observed that sepsis concurrently results in the preferential depletion of a subset of memory-phenotype CD8 T cells that remain "unactivated" (i.e., fail to up-regulate activation markers) by apoptosis. Unactivated CD44 HI OT-I cells were spared from sepsis-induced attrition, as were memory-phenotype CD8 T cells of mice treated with anti-LFA-1 mAb, 1 h after CLP. Perhaps most importantly, we demonstrate that attrition of memory phenotype cells may have a pathologic significance, as elevated IL-6 levels were associated with decreased numbers of memory-phenotype CD8 T cells in septic mice, and preservation of this subset after administration of anti-LFA-1 mAb conferred improved survival at 7 d. Taken together, these data identify potentially modifiable responses of memory-phenotype CD8 T cells in early sepsis and may be particularly important in the application of immunomodulatory therapies in sepsis. © Society for Leukocyte Biology.

  9. Attrition of memory CD8 T cells during sepsis requires LFA-1

    PubMed Central

    Serbanescu, Mara A.; Ramonell, Kimberly M.; Hadley, Annette; Margoles, Lindsay M.; Mittal, Rohit; Lyons, John D.; Liang, Zhe; Coopersmith, Craig M.; Ford, Mandy L.; McConnell, Kevin W.

    2016-01-01

    CD8 T cell loss and dysfunction have been implicated in the increased susceptibility to opportunistic infections during the later immunosuppressive phase of sepsis, but CD8 T cell activation and attrition in early sepsis remain incompletely understood. With the use of a CLP model, we assessed CD8 T cell activation at 5 consecutive time points and found that activation after sepsis results in a distinct phenotype (CD69+CD25intCD62LHI) independent of cognate antigen recognition and TCR engagement and likely through bystander-mediated cytokine effects. Additionally, we observed that sepsis concurrently results in the preferential depletion of a subset of memory-phenotype CD8 T cells that remain “unactivated” (i.e., fail to up-regulate activation markers) by apoptosis. Unactivated CD44HI OT-I cells were spared from sepsis-induced attrition, as were memory-phenotype CD8 T cells of mice treated with anti-LFA-1 mAb, 1 h after CLP. Perhaps most importantly, we demonstrate that attrition of memory phenotype cells may have a pathologic significance, as elevated IL-6 levels were associated with decreased numbers of memory-phenotype CD8 T cells in septic mice, and preservation of this subset after administration of anti-LFA-1 mAb conferred improved survival at 7 d. Taken together, these data identify potentially modifiable responses of memory-phenotype CD8 T cells in early sepsis and may be particularly important in the application of immunomodulatory therapies in sepsis. PMID:27286793

  10. Memory B lymphocytes determine repertoire oligoclonality early after haematopoietic stem cell transplantation

    PubMed Central

    OMAZIC, B; LUNDKVIST, I; MATTSSON, J; PERMERT, J; NÄSMAN-BJÖRK, I

    2003-01-01

    The objective of this study was to investigate if oligoclonality of the Ig repertoire post-haematopoietic stem cell transplantation (HSCT) is restricted to memory B lymphocytes or if it is a general property among B lymphocytes. As a measure of B lymphocyte repertoire diversity, we have analysed size distribution of polymerase chain reaction (PCR) amplified Ig H complementarity determining region 3 (CDR3) in naive and memory B lymphocytes isolated from patients before HSCT and at 3, 6 and 12 months after HSCT as well as from healthy controls. We demonstrate a limited variation of the IgH CDR3 repertoire in the memory B lymphocyte population compared to the naive B cell population. This difference was significant at 3 and 6 months post-HSCT. Compared to healthy controls there is a significant restriction of the memory B lymphocyte repertoire at 3 months after HSCT, but not of the naive B lymphocyte repertoire. Twelve months after HSCT, the IgH CDR3 repertoire in both memory and naive B lymphocytes are as diverse as in healthy controls. Thus, our findings suggest a role for memory B cells in the restriction of the oligoclonal B cell repertoire observed early after HSCT, which may be of importance when considering reimmunization of transplanted patients. PMID:12974769

  11. Evaluation of profile and functionality of memory T cells in pulmonary tuberculosis.

    PubMed

    Tonaco, Marcela M; Moreira, Jôsimar D; Nunes, Fernanda F C; Loures, Cristina M G; Souza, Larissa R; Martins, Janaina M; Silva, Henrique R; Porto, Arthur Henrique R; Toledo, Vicente Paulo C P; Miranda, Silvana S; Guimarães, Tânia Mara P D

    2017-12-01

    The cells T CD4+ T and CD8+ can be subdivided into phenotypes naïve, T of central memory, T of effector memory and effector, according to the expression of surface molecules CD45RO and CD27. The T lymphocytes are cells of long life with capacity of rapid expansion and function, after a new antigenic exposure. In tuberculosis, it was found that specific memory T cells are present, however, gaps remain about the role of such cells in the disease immunology. In this study, the phenotypic profile was analyzed and characterized the functionality of CD4+ T lymphocytes and CD8+ T cells of memory and effector, in response to specific stimuli in vitro, in patients with active pulmonary TB, compared to individuals with latent infection with Mycobacterium tuberculosis the ones treated with pulmonary TB. It was observed that the group of patients with active pulmonary tuberculosis was the one which presented the highest proportion of cells T CD4+ of central memory IFN-ɣ+ e TNF-α+, suggesting that in TB, these T of central memory cells would have a profile of protective response, being an important target of study for the development of more effective vaccines; this group also developed lower proportion of CD8+ T effector lymphocytes than the others, a probable cause of specific and less effective response against the bacillus in these individuals; the ones treated for pulmonary tuberculosis were those who developed higher proportion of T CD4+ of memory central IL-17+ cells, indicating that the stimulation of long duration, with high antigenic load, followed by elimination of the pathogen, contribute to more significant generation of such cells; individuals with latent infection by M. tuberculosis and treated for pulmonary tuberculosis, showed greater response of CD8+ T effector lymphocytes IFN-ɣ+ than the controls, suggesting that these cells, as well as CD4+ T lymphocytes, have crucial role of protection against M. tuberculosis. These findings have contributed to a better

  12. Mucosal BCG Vaccination Induces Protective Lung-Resident Memory T Cell Populations against Tuberculosis.

    PubMed

    Perdomo, Carolina; Zedler, Ulrike; Kühl, Anja A; Lozza, Laura; Saikali, Philippe; Sander, Leif E; Vogelzang, Alexis; Kaufmann, Stefan H E; Kupz, Andreas

    2016-11-22

    Mycobacterium bovis Bacille Calmette-Guérin (BCG) is the only licensed vaccine against tuberculosis (TB), yet its moderate efficacy against pulmonary TB calls for improved vaccination strategies. Mucosal BCG vaccination generates superior protection against TB in animal models; however, the mechanisms of protection remain elusive. Tissue-resident memory T (T RM ) cells have been implicated in protective immune responses against viral infections, but the role of T RM cells following mycobacterial infection is unknown. Using a mouse model of TB, we compared protection and lung cellular infiltrates of parenteral and mucosal BCG vaccination. Adoptive transfer and gene expression analyses of lung airway cells were performed to determine the protective capacities and phenotypes of different memory T cell subsets. In comparison to subcutaneous vaccination, intratracheal and intranasal BCG vaccination generated T effector memory and T RM cells in the lung, as defined by surface marker phenotype. Adoptive mucosal transfer of these airway-resident memory T cells into naive mice mediated protection against TB. Whereas airway-resident memory CD4 + T cells displayed a mixture of effector and regulatory phenotype, airway-resident memory CD8 + T cells displayed prototypical T RM features. Our data demonstrate a key role for mucosal vaccination-induced airway-resident T cells in the host defense against pulmonary TB. These results have direct implications for the design of refined vaccination strategies. BCG remains the only licensed vaccine against TB. Parenterally administered BCG has variable efficacy against pulmonary TB, and thus, improved prevention strategies and a more refined understanding of correlates of vaccine protection are required. Induction of memory T cells has been shown to be essential for protective TB vaccines. Mimicking the natural infection route by mucosal vaccination has been known to generate superior protection against TB in animal models; however, the

  13. [Short-term memory characteristics of vibration intensity tactile perception on human wrist].

    PubMed

    Hao, Fei; Chen, Li-Juan; Lu, Wei; Song, Ai-Guo

    2014-12-25

    In this study, a recall experiment and a recognition experiment were designed to assess the human wrist's short-term memory characteristics of tactile perception on vibration intensity, by using a novel homemade vibrotactile display device based on the spatiotemporal combination vibration of multiple micro vibration motors as a test device. Based on the obtained experimental data, the short-term memory span, recognition accuracy and reaction time of vibration intensity were analyzed. From the experimental results, some important conclusions can be made: (1) The average short-term memory span of tactile perception on vibration intensity is 3 ± 1 items; (2) The greater difference between two adjacent discrete intensities of vibrotactile stimulation is defined, the better average short-term memory span human wrist gets; (3) There is an obvious difference of the average short-term memory span on vibration intensity between the male and female; (4) The mechanism of information extraction in short-term memory of vibrotactile display is to traverse the scanning process by comparison; (5) The recognition accuracy and reaction time performance of vibrotactile display compares unfavourably with that of visual and auditory. The results from this study are important for designing vibrotactile display coding scheme.

  14. Rescue of CD8+ T cell vaccine memory following sublethal γ irradiation.

    PubMed

    McFarland, Hugh I; Berkson, Julia D; Lee, Jay P; Elkahloun, Abdel G; Mason, Karen P; Rosenberg, Amy S

    2015-07-31

    Sublethal γ irradiation eliminates CD8+ T cell mediated memory responses. In this work, we explored how these memory responses could be rescued in the aftermath of such exposure. We utilized two models of CD8+ T cell mediated immunity: a mouse model of Listeria monocytogenes (LM) infection in which CD8+ T cells specific for LM expressed antigens (Listeriolysin O, LLO) can be tracked, and a murine skin graft model in which CD8+ T cells mediate rejection across a MHC class I (D(d)) disparity. In the LM immunized mice, LL0 specific CD8+ T memory cells were lost on irradiation, preserved with rapid revaccination with an attenuated strain 1-3 days post-irradiation (PI), and these mice survived a subsequent wild type LM challenge. A genetic "signature of rescue" identified a group of immune-associated mRNA maintained or upregulated following irradiation and rescue. A number of these factors, including IL-36γ, dectin-2 (Clec4n), and mir101c are upregulated rapidly after exposure of mice to sublethal γ radiation alone and are sustained by early, but not later rescue. Such factors will be evaluated as potential therapeutics to replace individual vaccines for global rescue of CD8+ T memory cell responses following sublethal γ irradiation. The skin allograft model mirrored that of the LM model in that the accelerated D(d) skin allograft rejection response was lost in mice exposed to sublethal γ radiation, but infusion of allogeneic D(d) expressing bone marrow cells 1-4 days PI preserved the CD8+ T memory mediated accelerated rejection response, further suggesting that innate immune responses may not always be essential to rescue of CD8+ memory T cells following γ irradiation. Published by Elsevier Ltd.

  15. Identification of Pertussis-Specific Effector Memory T Cells in Preschool Children

    PubMed Central

    Schure, Rose-Minke; Öztürk, Kemal; Berbers, Guy; Sanders, Elisabeth; van Twillert, Inonge; Carollo, Maria; Mascart, Françoise; Ausiello, Clara M.; van Els, Cecile A. C. M.; Smits, Kaat; Buisman, Anne-Marie

    2015-01-01

    Whooping cough remains a problem despite vaccination, and worldwide resurgence of pertussis is evident. Since cellular immunity plays a role in long-term protection against pertussis, we studied pertussis-specific T-cell responses. Around the time of the preschool acellular pertussis (aP) booster dose at 4 years of age, T-cell memory responses were compared in children who were primed during infancy with either a whole-cell pertussis (wP) or an aP vaccine. Peripheral blood mononuclear cells (PBMCs) were isolated and stimulated with pertussis vaccine antigens for 5 days. T cells were characterized by flow-based analysis of carboxyfluorescein succinimidyl ester (CFSE) dilution and CD4, CD3, CD45RA, CCR7, gamma interferon (IFN-γ), and tumor necrosis factor alpha (TNF-α) expression. Before the aP preschool booster vaccination, both the proliferated pertussis toxin (PT)-specific CD4+ and CD8+ T-cell fractions (CFSEdim) were higher in aP- than in wP-primed children. Post-booster vaccination, more pertussis-specific CD4+ effector memory cells (CD45RA− CCR7−) were induced in aP-primed children than in those primed with wP. The booster vaccination did not appear to significantly affect the T-cell memory subsets and functionality in aP-primed or wP-primed children. Although the percentages of Th1 cytokine-producing cells were alike in aP- and wP-primed children pre-booster vaccination, aP-primed children produced more Th1 cytokines due to higher numbers of proliferated pertussis-specific effector memory cells. At present, infant vaccinations with four aP vaccines in the first year of life result in pertussis-specific CD4+ and CD8+ effector memory T-cell responses that persist in children until 4 years of age and are higher than those in wP-primed children. The booster at 4 years of age is therefore questionable; this may be postponed to 6 years of age. PMID:25787136

  16. Virus-specific CD4+ memory phenotype T cells are abundant in unexposed adults

    PubMed Central

    Su, Laura F.; Kidd, Brian A.; Han, Arnold; Kotzin, Jonathan J.; Davis, Mark M.

    2013-01-01

    While T cell memory is generally thought to require direct antigen exposure, we find an abundance of memory phenotype cells (20–90%, averaging over 50%) of CD4+ T cells specific for viral antigens in adults that have never been infected. These cells express the appropriate memory markers and genes, rapidly produce cytokines, and have clonally expanded. This contrasts with newborns where the same T cell receptor (TCR) specificities are almost entirely naïve, which may explain the vulnerability of young children to infections. One mechanism for this phenomenon is TCR cross-reactivity to environmental antigens and in support of this we find extensive cross-recognition by HIV-1 and influenza-reactive T lymphocytes to other microbial peptides and the expansion of one of these following influenza vaccination. Thus the presence of these memory phenotype T cells has significant implications for immunity to novel pathogens, child and adult health, and the influence of pathogen-rich versus hygienic environments. PMID:23395677

  17. Nickel-hydrogen cell reversal characteristics

    NASA Technical Reports Server (NTRS)

    Lurie, Charles

    1994-01-01

    Nickel-hydrogen cell reversal characteristics are being studied as part of a TRW program directed towards development of a high current battery cell bypass switch. The following are discussed: cell bypass switch; nickel-hydrogen cell reversal characteristics; and nickel-hydrogen cell chemistry: discharge/reversal and overdischarge (reversal) with nickel and hydrogen precharge.

  18. Niches for the Long-Term Maintenance of Tissue-Resident Memory T Cells

    PubMed Central

    Takamura, Shiki

    2018-01-01

    Tissue-resident memory T cells (TRM cells) are a population of immune cells that reside in the lymphoid and non-lymphoid organs without recirculation through the blood. These important cells occupy and utilize unique anatomical and physiological niches that are distinct from those for other memory T cell populations, such as central memory T cells in the secondary lymphoid organs and effector memory T cells that circulate through the tissues. CD8+ TRM cells typically localize in the epithelial layers of barrier tissues where they are optimally positioned to act as sentinels to trigger antigen-specific protection against reinfection. CD4+ TRM cells typically localize below the epithelial layers, such as below the basement membrane, and cluster in lymphoid structures designed to optimize interactions with antigen-presenting cells upon reinfection. A key feature of TRM populations is their ability to be maintained in barrier tissues for prolonged periods of time. For example, skin CD8+ TRM cells displace epidermal niches originally occupied by γδ T cells, thereby enabling their stable persistence for years. It is also clear that the long-term maintenance of TRM cells in different microenvironments is dependent on multiple tissue-specific survival cues, although the specific details are poorly understood. However, not all TRM persist over the long term. Recently, we identified a new spatial niche for the maintenance of CD8+ TRM cells in the lung, which is created at the site of tissue regeneration after injury [termed repair-associated memory depots (RAMD)]. The short-lived nature of RAMD potentially explains the short lifespans of CD8+ TRM cells in this particular tissue. Clearly, a better understanding of the niche-dependent maintenance of TRM cells will be important for the development of vaccines designed to promote barrier immunity. In this review, we discuss recent advances in our understanding of the properties and nature of tissue-specific niches that

  19. CD4+CD25+ regulatory T cells suppress allograft rejection mediated by memory CD8+ T cells via a CD30-dependent mechanism.

    PubMed

    Dai, Zhenhua; Li, Qi; Wang, Yinong; Gao, Ge; Diggs, Lonnette S; Tellides, George; Lakkis, Fadi G

    2004-01-01

    CD4(+)CD25(+) regulatory T (Treg) cells suppress naive T cell responses, prevent autoimmunity, and delay allograft rejection. It is not known, however, whether Treg cells suppress allograft rejection mediated by memory T cells, as the latter mount faster and stronger immune responses than their naive counterparts. Here we show that antigen-induced, but not naive, Treg cells suppress allograft rejection mediated by memory CD8(+) T cells. Suppression was allospecific, as Treg cells induced by third-party antigens did not delay allograft rejection. In vivo and in vitro analyses revealed that the apoptosis of allospecific memory CD8(+) T cells is significantly increased in the presence of antigen-induced Treg cells, while their proliferation remains unaffected. Importantly, neither suppression of allograft rejection nor enhanced apoptosis of memory CD8(+) T cells was observed when Treg cells lacked CD30 or when CD30 ligand-CD30 interaction was blocked with anti-CD30 ligand Ab. This study therefore provides direct evidence that pathogenic memory T cells are amenable to suppression in an antigen-specific manner and identifies CD30 as a molecule that is critical for the regulation of memory T cell responses.

  20. CD4+CD25+ regulatory T cells suppress allograft rejection mediated by memory CD8+ T cells via a CD30-dependent mechanism

    PubMed Central

    Dai, Zhenhua; Li, Qi; Wang, Yinong; Gao, Ge; Diggs, Lonnette S.; Tellides, George; Lakkis, Fadi G.

    2004-01-01

    CD4+CD25+ regulatory T (Treg) cells suppress naive T cell responses, prevent autoimmunity, and delay allograft rejection. It is not known, however, whether Treg cells suppress allograft rejection mediated by memory T cells, as the latter mount faster and stronger immune responses than their naive counterparts. Here we show that antigen-induced, but not naive, Treg cells suppress allograft rejection mediated by memory CD8+ T cells. Suppression was allospecific, as Treg cells induced by third-party antigens did not delay allograft rejection. In vivo and in vitro analyses revealed that the apoptosis of allospecific memory CD8+ T cells is significantly increased in the presence of antigen-induced Treg cells, while their proliferation remains unaffected. Importantly, neither suppression of allograft rejection nor enhanced apoptosis of memory CD8+ T cells was observed when Treg cells lacked CD30 or when CD30 ligand–CD30 interaction was blocked with anti–CD30 ligand Ab. This study therefore provides direct evidence that pathogenic memory T cells are amenable to suppression in an antigen-specific manner and identifies CD30 as a molecule that is critical for the regulation of memory T cell responses. PMID:14722622

  1. Synergy of brief activation of CD8 T-cells in the presence of IL-12 and adoptive transfer into lymphopenic hosts promotes tumor clearance and anti-tumor memory

    PubMed Central

    Díaz-Montero, C Marcela; Naga, Osama; Zidan, Abdel-Aziz A; Salem, Mohamed L; Pallin, Maria; Parmigiani, Anita; Walker, Gail; Wieder, Eric; Komanduri, Krishna; Cole, David J; Montero, Alberto J; Lichtenheld, Mathias G

    2011-01-01

    Adoptive T-cell therapy holds great promise for the treatment of metastatic melanoma. However, prohibitive costs associated with current technology required for culture and expansion of tumor-reactive T-cells, the need for intense preconditioning regimens to induce lymphopenia, and the unpredictable anti-tumor effect of adoptively transferred T-cells remain significant impediments for its clinical implementation. Here we report a simplified combinatorial approach that involves short activation of CD8+ T cells in the presence of IL-12 followed by adoptive transfer into tumor bearing animals after a single injection of cyclophosphamide. This approach resulted in complete eradication of B16 melanoma, and the establishment of long term immunological memory capable of fully protecting mice after a second B16 melanoma challenge. The activated donor cells were unique because they simultaneously exhibited traits for cytotoxic effector function, central memory-like, homing, and senescence. After tumor eradication and within three months after transfer, CD8+ cells exhibited a conventional memory CTL phenotype. Moreover, these memory CTLs acquired functional attributes characteristic of memory stem cells, including the ability to resist chemotherapy-induced toxicity. Our results suggest that short-term T-cell receptor signaling in the presence of IL-12 promotes promiscuous qualities in naïve CTL which - upon transfer into lymphopenic hosts- are sufficient to eradicate tumors and generate life-long tumor-specific memory. PMID:21915391

  2. Specific memory B cell response and participation of CD4+ central and effector memory T cells in mice immunized with liposome encapsulated recombinant NE protein based Hepatitis E vaccine candidate.

    PubMed

    Kulkarni, Shruti P; Thanapati, Subrat; Arankalle, Vidya A; Tripathy, Anuradha S

    2016-11-21

    Liposome encapsulated neutralizing epitope protein of Hepatitis E virus (HEV), rNEp, our Hepatitis E vaccine candidate, was shown to be immunogenic and safe in pregnant and non-pregnant mice and yielded sterilizing immunity in rhesus monkeys. The current study in Balb/c mice assessed the levels and persistence of anti-HEV IgG antibodies by ELISA, frequencies of B, memory B, T and memory T cells by flow cytometry and HEV-specific IgG secreting memory B cells by ELISPOT till 420days post immunization (PI) with 5?g rNEp encapsulated in liposome based adjuvant (2 doses, 4weeks apart). Mice immunized with a lower dose (1?g) were assessed only for anamnestic response post booster dose. Vaccine candidate immunized mice (5?g dose) elicited strong anti-HEV IgG response that was estimated to persist for lifetime. At day 120 PI, frequency of memory B cells was higher in immunized mice than those receiving adjuvant alone. Anti-HEV IgG titers were lower in mice immunized with 1?g dose. A booster dose yielded a heightened antibody response in mice with both high (>800GMT, 5?g) and low (?100GMT, 1?g) anti-HEV IgG titers. At day 6th post booster dose, HEV-specific antibody secreting plasma cells (ASCs) were detected in 100% and 50% of mice with high and low anti-HEV IgG titers, respectively, whereas the frequencies of CD4 + central and effector memory T cells were high in mice with high anti-HEV IgG titers only. Taken together, the vaccine candidate effectively generates persistent and anamnestic antibody response, elicits participation of CD4 + memory T cells and triggers memory B cells to differentiate into ASCs upon boosting. This approach of assessing the immunogenicity of vaccine candidate could be useful to explore the longevity of HEV-specific memory response in future HEV vaccine trials in human. Copyright © 2016. Published by Elsevier Ltd.

  3. Autoreactive T effector memory differentiation mirrors β-cell function in type 1 diabetes.

    PubMed

    Yeo, Lorraine; Woodwyk, Alyssa; Sood, Sanjana; Lorenc, Anna; Eichmann, Martin; Pujol-Autonell, Irma; Melchiotti, Rossella; Skowera, Ania; Fidanis, Efthymios; Dolton, Garry M; Tungatt, Katie; Sewell, Andrew K; Heck, Susanne; Saxena, Alka; Beam, Craig A; Peakman, Mark

    2018-05-31

    In type 1 diabetes, cytotoxic CD8 T cells with specificity for β-cell autoantigens are found in the pancreatic islets where they are implicated in the destruction of insulin-secreting β cells. In contrast, the disease relevance of β-cell-reactive CD8 T cells that are detectable in the circulation, and their relationship to β-cell function, are not known. Here, we tracked multiple, circulating β-cell-reactive CD8 T cell subsets and measured β-cell function longitudinally for two years, starting immediately after diagnosis of type 1 diabetes. We found that change in β-cell-specific effector memory CD8 T cells expressing CD57 was positively correlated with C-peptide change in subjects below 12 years of age. Autoreactive CD57+ effector memory CD8 T cells bore the signature of enhanced effector function (higher expression of granzyme B, killer specific protein 37 and CD16, and reduced expression of CD28) compared with their CD57-negative counterparts, and network association modelling indicated that the dynamics of β-cell-reactive CD57+ effector memory CD8 T cell subsets were strongly linked. Thus, coordinated changes in circulating β-cell-specific CD8 T cells within the CD57+ effector memory subset calibrate to functional insulin reserve in type 1 diabetes, providing a tool for immune monitoring and a mechanism-based target for immunotherapy.

  4. Performance Measurement of a Multi-Level/Analog Ferroelectric Memory Device Design

    NASA Technical Reports Server (NTRS)

    MacLeod, Todd C.; Phillips, Thomas A.; Ho, Fat D.

    2007-01-01

    Increasing the memory density and utilizing the unique characteristics of ferroelectric devices is important in making ferroelectric memory devices more desirable to the consumer. This paper describes the characterization of a design that allows multiple levels to be stored in a ferroelectric based memory cell. It can be used to store multiple bits or analog values in a high speed nonvolatile memory. The design utilizes the hysteresis characteristic of ferroelectric transistors to store an analog value in the memory cell. The design also compensates for the decay of the polarization of the ferroelectric material over time. This is done by utilizing a pair of ferroelectric transistors to store the data. One transistor is used a reference to determinethe amount of decay that has occurred since the pair was programmed. The second transistor stores the analog value as a polarization value between zero and saturated. The design allows digital data to be stored as multiple bits in each memory cell. The number of bits per cell that can be stored will vary with the decay rate of the ferroelectric transistors and the repeatability of polarization between transistors. This paper presents measurements of an actual prototype memory cell. This prototype is not a complete implementation of a device, but instead, a prototype of the storage and retrieval portion of an actual device. The performance of this prototype is presented with the projected performance of the overall device. This memory design will be useful because it allows higher memory density, compensates for the environmental and ferroelectric aging processes, allows analog values to be directly stored in memory, compensates for the thermal and radiation environments associated with space operations, and relies only on existing technologies.

  5. Bioelectric memory: modeling resting potential bistability in amphibian embryos and mammalian cells.

    PubMed

    Law, Robert; Levin, Michael

    2015-10-15

    Bioelectric gradients among all cells, not just within excitable nerve and muscle, play instructive roles in developmental and regenerative pattern formation. Plasma membrane resting potential gradients regulate cell behaviors by regulating downstream transcriptional and epigenetic events. Unlike neurons, which fire rapidly and typically return to the same polarized state, developmental bioelectric signaling involves many cell types stably maintaining various levels of resting potential during morphogenetic events. It is important to begin to quantitatively model the stability of bioelectric states in cells, to understand computation and pattern maintenance during regeneration and remodeling. To facilitate the analysis of endogenous bioelectric signaling and the exploitation of voltage-based cellular controls in synthetic bioengineering applications, we sought to understand the conditions under which somatic cells can stably maintain distinct resting potential values (a type of state memory). Using the Channelpedia ion channel database, we generated an array of amphibian oocyte and mammalian membrane models for voltage evolution. These models were analyzed and searched, by simulation, for a simple dynamical property, multistability, which forms a type of voltage memory. We find that typical mammalian models and amphibian oocyte models exhibit bistability when expressing different ion channel subsets, with either persistent sodium or inward-rectifying potassium, respectively, playing a facilitative role in bistable memory formation. We illustrate this difference using fast sodium channel dynamics for which a comprehensive theory exists, where the same model exhibits bistability under mammalian conditions but not amphibian conditions. In amphibians, potassium channels from the Kv1.x and Kv2.x families tend to disrupt this bistable memory formation. We also identify some common principles under which physiological memory emerges, which suggest specific strategies for

  6. Gut memories do not fade: epigenetic regulation of lasting gut homing receptor expression in CD4+ memory T cells.

    PubMed

    Szilagyi, B A; Triebus, J; Kressler, C; de Almeida, M; Tierling, S; Durek, P; Mardahl, M; Szilagyi, A; Floess, S; Huehn, J; Syrbe, U; Walter, J; Polansky, J K; Hamann, A

    2017-11-01

    The concept of a "topographical memory" in lymphocytes implies a stable expression of homing receptors mediating trafficking of lymphocytes back to the tissue of initial activation. However, a significant plasticity of the gut-homing receptor α 4 β 7 was found in CD8 + T cells, questioning the concept. We now demonstrate that α 4 β 7 expression in murine CD4 + memory T cells is, in contrast, imprinted and remains stable in the absence of the inducing factor retinoic acid (RA) or other stimuli from mucosal environments. Repetitive rounds of RA treatment enhanced the stability of de novo induced α 4 β 7 . A novel enhancer element in the murine Itga4 locus was identified that showed, correlating to stability, selective DNA demethylation in mucosa-seeking memory cells and methylation-dependent transcriptional activity in a reporter gene assay. This implies that epigenetic mechanisms contribute to the stabilization of α 4 β 7 expression. Analogous DNA methylation patterns could be observed in the human ITGA4 locus, suggesting that its epigenetic regulation is conserved between mice and men. These data prove that mucosa-specific homing mediated by α 4 β 7 is imprinted in CD4 + memory T cells, reinstating the validity of the concept of "topographical memory" for mucosal tissues, and imply a critical role of epigenetic mechanisms.

  7. Enhanced anti-tumour immunity requires the interplay between resident and circulating memory CD8+ T cells

    PubMed Central

    Enamorado, Michel; Iborra, Salvador; Priego, Elena; Cueto, Francisco J.; Quintana, Juan A.; Martínez-Cano, Sarai; Mejías-Pérez, Ernesto; Esteban, Mariano; Melero, Ignacio; Hidalgo, Andrés; Sancho, David

    2017-01-01

    The goal of successful anti-tumoural immunity is the development of long-term protective immunity to prevent relapse. Infiltration of tumours with CD8+ T cells with a resident memory (Trm) phenotype correlates with improved survival. However, the interplay of circulating CD8+ T cells and Trm cells remains poorly explored in tumour immunity. Using different vaccination strategies that fine-tune the generation of Trm cells or circulating memory T cells, here we show that, while both subsets are sufficient for anti-tumour immunity, the presence of Trm cells improves anti-tumour efficacy. Transferred central memory T cells (Tcm) generate Trm cells following viral infection or tumour challenge. Anti-PD-1 treatment promotes infiltration of transferred Tcm cells within tumours, improving anti-tumour immunity. Moreover, Batf3-dependent dendritic cells are essential for reactivation of circulating memory anti-tumour response. Our findings show the plasticity, collaboration and requirements for reactivation of memory CD8+ T cells subsets needed for optimal tumour vaccination and immunotherapy. PMID:28714465

  8. Long-term antibody memory induced by synthetic peptide vaccination is protective against Streptococcus pyogenes infection and is independent of memory T-cell help

    PubMed Central

    Pandey, Manisha; Wykes, Michelle N; Hartas, Jon; Good, Michael F; Batzloff, Michael R

    2013-01-01

    Streptococcus pyogenes (group A streptococcus; GAS) is a leading human pathogen associated with a diverse array of mucosal and systemic infections. Vaccination with J8, a conserved region synthetic peptide derived from the M-protein of GAS and containing only 12 amino acids from GAS, when conjugated to DT, has been shown to protect mice against a lethal GAS challenge. Protection has been previously shown to be antibody-mediated. J8 does not contain a dominant GAS-specific T-cell epitope. The current study examined long-term antibody memory and dissected the role of B and T-cells. Our results demonstrated that vaccination generates specific memory B-cells and long-lasting antibody responses. The memory B-cell response can be activated following boost with antigen or limiting numbers of whole bacteria. We further show that these memory responses protect against systemic infection with GAS. T-cell help is required for activation of memory B-cells but can be provided by naïve T-cells responding directly to GAS at the time of infection. Thus, individuals whose T-cells do not recognize the short synthetic peptide in the vaccine will be able to generate a protective and rapid memory antibody response at the time of infection. These studies significantly strengthen previous findings, which showed that protection by the J8-DT vaccine is antibody-mediated and suggest that in vaccine design for other organisms the source of T-cell help for antibody responses need not be limited to sequences from the organism itself. PMID:23401589

  9. Acute Infection with Epstein-Barr Virus Targets and Overwhelms the Peripheral Memory B-Cell Compartment with Resting, Latently Infected Cells

    PubMed Central

    Hochberg, Donna; Souza, Tatyana; Catalina, Michelle; Sullivan, John L.; Luzuriaga, Katherine; Thorley-Lawson, David A.

    2004-01-01

    In this paper we demonstrate that during acute infection with Epstein-Barr virus (EBV), the peripheral blood fills up with latently infected, resting memory B cells to the point where up to 50% of all the memory cells may carry EBV. Despite this massive invasion of the memory compartment, the virus remains tightly restricted to memory cells, such that, in one donor, fewer than 1 in 104 infected cells were found in the naive compartment. We conclude that, even during acute infection, EBV persistence is tightly regulated. This result confirms the prediction that during the early phase of infection, before cellular immunity is effective, there is nothing to prevent amplification of the viral cycle of infection, differentiation, and reactivation, causing the peripheral memory compartment to fill up with latently infected cells. Subsequently, there is a rapid decline in infected cells for the first few weeks that approximates the decay in the cytotoxic-T-cell responses to viral replicative antigens. This phase is followed by a slower decline that, even by 1 year, had not reached a steady state. Therefore, EBV may approach but never reach a stable equilibrium. PMID:15113901

  10. Abacavir-Reactive Memory T Cells Are Present in Drug Naïve Individuals

    PubMed Central

    Lucas, Andrew; Lucas, Michaela; Strhyn, Anette; Keane, Niamh M.; McKinnon, Elizabeth; Pavlos, Rebecca; Moran, Ellen M.; Meyer-Pannwitt, Viola; Gaudieri, Silvana; D’Orsogna, Lloyd; Kalams, Spyros; Ostrov, David A.; Buus, Søren; Peters, Bjoern; Mallal, Simon; Phillips, Elizabeth

    2015-01-01

    Background Fifty-five percent of individuals with HLA-B*57:01 exposed to the antiretroviral drug abacavir develop a hypersensitivity reaction (HSR) that has been attributed to naïve T-cell responses to neo-antigen generated by the drug. Immunologically confirmed abacavir HSR can manifest clinically in less than 48 hours following first exposure suggesting that, at least in some cases, abacavir HSR is due to re-stimulation of a pre-existing memory T-cell population rather than priming of a high frequency naïve T-cell population. Methods To determine whether a pre-existing abacavir reactive memory T-cell population contributes to early abacavir HSR symptoms, we studied the abacavir specific naïve or memory T-cell response using HLA-B*57:01 positive HSR patients or healthy controls using ELISpot assay, intra-cellular cytokine staining and tetramer labelling. Results Abacavir reactive CD8+ T-cell responses were detected in vitro in one hundred percent of abacavir unexposed HLA-B*57:01 positive healthy donors. Abacavir-specific CD8+ T cells from such donors can be expanded from sorted memory, and sorted naïve, CD8+ T cells without need for autologous CD4+ T cells. Conclusions We propose that these pre-existing abacavir-reactive memory CD8+ T-cell responses must have been primed by earlier exposure to another foreign antigen and that these T cells cross-react with an abacavir-HLA-B*57:01-endogenous peptide ligand complex, in keeping with the model of heterologous immunity proposed in transplant rejection. PMID:25674793

  11. Abacavir-reactive memory T cells are present in drug naïve individuals.

    PubMed

    Lucas, Andrew; Lucas, Michaela; Strhyn, Anette; Keane, Niamh M; McKinnon, Elizabeth; Pavlos, Rebecca; Moran, Ellen M; Meyer-Pannwitt, Viola; Gaudieri, Silvana; D'Orsogna, Lloyd; Kalams, Spyros; Ostrov, David A; Buus, Søren; Peters, Bjoern; Mallal, Simon; Phillips, Elizabeth

    2015-01-01

    Fifty-five percent of individuals with HLA-B*57:01 exposed to the antiretroviral drug abacavir develop a hypersensitivity reaction (HSR) that has been attributed to naïve T-cell responses to neo-antigen generated by the drug. Immunologically confirmed abacavir HSR can manifest clinically in less than 48 hours following first exposure suggesting that, at least in some cases, abacavir HSR is due to re-stimulation of a pre-existing memory T-cell population rather than priming of a high frequency naïve T-cell population. To determine whether a pre-existing abacavir reactive memory T-cell population contributes to early abacavir HSR symptoms, we studied the abacavir specific naïve or memory T-cell response using HLA-B*57:01 positive HSR patients or healthy controls using ELISpot assay, intra-cellular cytokine staining and tetramer labelling. Abacavir reactive CD8+ T-cell responses were detected in vitro in one hundred percent of abacavir unexposed HLA-B*57:01 positive healthy donors. Abacavir-specific CD8+ T cells from such donors can be expanded from sorted memory, and sorted naïve, CD8+ T cells without need for autologous CD4+ T cells. We propose that these pre-existing abacavir-reactive memory CD8+ T-cell responses must have been primed by earlier exposure to another foreign antigen and that these T cells cross-react with an abacavir-HLA-B*57:01-endogenous peptide ligand complex, in keeping with the model of heterologous immunity proposed in transplant rejection.

  12. Persistence of Epstein-Barr virus in self-reactive memory B cells.

    PubMed

    Tracy, Sean I; Kakalacheva, Kristina; Lünemann, Jan D; Luzuriaga, Katherine; Middeldorp, Jaap; Thorley-Lawson, David A

    2012-11-01

    Epstein-Barr virus infection has been epidemiologically associated with the development of multiple autoimmune diseases, particularly systemic lupus erythematosus and multiple sclerosis. Currently, there is no known mechanism that can account for these associations. The germinal-center (GC) model of EBV infection and persistence proposes that EBV gains access to the memory B cell compartment via GC reactions by driving infected cells to differentiate using the virus-encoded LMP1 and LMP2a proteins, which act as functional homologues of CD40 and the B cell receptor, respectively. The ability of LMP2a, when expressed in mice, to allow escape of autoreactive B cells suggests that it could perform a similar role in infected GC B cells, permitting the survival of potentially pathogenic autoreactive B cells. To test this hypothesis, we cloned and expressed antibodies from EBV(+) and EBV(-) memory B cells present during acute infection and profiled their self- and polyreactivity. We find that EBV does persist within self- and polyreactive B cells but find no evidence that it favors the survival of pathogenic autoreactive B cells. On the contrary, EBV(+) memory B cells express lower levels of self-reactive and especially polyreactive antibodies than their uninfected counterparts do. Our work suggests that EBV has only a modest effect on the GC process, which allows it to access and persist within a subtly unique niche of the memory compartment characterized by relatively low levels of self- and polyreactivity. We suggest that this might reflect an active process where EBV and its human host have coevolved so as to minimize the virus's potential to contribute to autoimmune disease.

  13. TLR4 ligands LPS and MPLA differentially regulate effector and memory CD8+ T cell differentiation

    PubMed Central

    Cui, Weiguo; Joshi, Nikhil S.; Liu, Ying; Meng, Hailong; Kleinstein, Steven H; Kaech, Susan M.

    2014-01-01

    Vaccines formulated with non-replicating pathogens require adjuvants to help bolster immunogenicity. The role of adjuvants in antibody production has been well studied, but how they influence memory CD8+ T cell differentiation remains poorly defined. Here we implemented dendritic cell (DC)-mediated immunization to study the effects of commonly used adjuvants, TLR ligands, on effector and memory CD8+ T cell differentiation in mice. Intriguingly, we found that the TLR4 ligand LPS was far more superior to other TLR ligands in generating memory CD8+ T cells upon immunization. LPS boosted clonal expansion similar to the other adjuvants, but fewer of the activated CD8+ T cells died during contraction, generating a larger pool of memory cells. Surprisingly, monophosphoryl lipid A (MPLA), another TLR4 ligand, enhanced clonal expansion of effector CD8+ T cells, but also promoted their terminal differentiation and contraction; thus, fewer memory CD8+ T cells formed and MPLA-primed animals were less protected against secondary infection compared to those primed with LPS. Furthermore, gene expression profiling revealed that LPS-primed effector cells displayed a stronger pro-memory gene expression signature, whereas the gene expression profile of MPLA-primed effector cells aligned closer with terminal effector CD8+ T cells. Lastly, we demonstrated that the LPS-TLR4-derived “pro-memory” signals were MyD88, but not Trif, dependent. This study reveals the influential power of adjuvants on the quantity and quality of CD8+ T cell memory, and that attention to adjuvant selection is crucial because boosting effector cell expansion may not always equate with more memory T cells or greater protection. PMID:24659688

  14. Ablation of SLP-76 signaling after T cell priming generates memory CD4 T cells impaired in steady-state and cytokine-driven homeostasis.

    PubMed

    Bushar, Nicholas D; Corbo, Evann; Schmidt, Michelle; Maltzman, Jonathan S; Farber, Donna L

    2010-01-12

    The intracellular signaling mechanisms regulating the generation and long-term persistence of memory T cells in vivo remain unclear. In this study, we used mouse models with conditional deletion of the key T cell receptor (TCR)-coupled adaptor molecule SH2-domain-containing phosphoprotein of 76 kDa (SLP-76), to analyze signaling mechanisms for memory CD4 T cell generation, maintenance, and homeostasis. We found that ablation of SLP-76 expression after T cell priming did not inhibit generation of phenotypic effector or memory CD4 T cells; however, the resultant SLP-76-deficient memory CD4 T cells could not produce recall cytokines in response to TCR-mediated stimulation and showed decreased persistence in vivo. In addition, SLP-76-deficient memory CD4 T cells exhibited reduced steady-state homeostasis and were impaired in their ability to homeostatically expand in vivo in response to the gamma(c) cytokine IL-7, despite intact proximal signaling through the IL-7R-coupled JAK3/STAT5 pathway. Direct in vivo deletion of SLP-76 in polyclonal memory CD4 T cells likewise led to impaired steady-state homeostasis as well as impaired homeostatic responses to IL-7. Our findings demonstrate a dominant role for SLP-76-dependent TCR signals in regulating turnover and perpetuation of memory CD4 T cells and their responses to homeostatic cytokines, with implications for the selective survival of memory CD4 T cells following pathogen exposure, vaccination, and aging.

  15. Effect of memory CD4+ T cells' signal transducer and activator of transcription (STATs) functional shift on cytokine-releasing properties in asthma.

    PubMed

    Chen, Zhihong; Pan, Jue; Jia, Yi; Li, Dandan; Min, Zhihui; Su, Xiaoqiong; Yuan, Honglei; Shen, Geng; Cao, Shengxuan; Zhu, Lei; Wang, Xiangdong

    2017-02-01

    Recent data have demonstrated that long-lived memory T cells are present in the human lung and can play significant roles in the pathogenesis of specific allergic and autoimmune diseases. However, most evidence has been obtained from mouse studies, and the potential roles of memory T cells in human allergic diseases, such as asthma, remain largely unknown. Thirty-three asthmatics, 26 chronic obstructive pulmonary disease (COPD) patients, and 22 healthy volunteers were enrolled in this study. Peripheral blood mononuclear cells (PBMCs) were isolated from the peripheral blood, and cell surface staining (CD4, CD45RO, CRTH2, CD62L, and CCR7) was performed for the detection of memory CD4 + T cells in blood. After stimulation with interleukin-27 (IL-27) or IL-4 for 15 min, the STAT1/STAT6 phosphorylation of memory CD4 + T cells was measured separately by flow cytometric techniques. The cytokine-releasing profiles after 6 days of culture under neutralization, T H 2, T H 2 + lipopolysaccharide (LPS), and T H 2 + house dust mite (HDM) conditions were detected by intracellular protein (IL-5, IL-17, and interferon (IFN)-γ) staining. Correlation analyses between the profile of memory CD4 + T cells and clinical characteristics of asthma were performed. The number of circulating memory CD4 + T (CD4 + Tm) cells in asthmatics was increased compared with that in the healthy subjects (48 ± 5.7 % vs. 32 ± 4.1 %, p < 0.05). Compared with COPD and healthy subjects, the phosphorylation of signal transducer and activator of transcription 1 (STAT1-py) was impaired in asthmatics, whereas the phosphorylation of signal transducer and activator of transcription 6 (STAT6-py) was slightly enhanced. This imbalance of STAT1-py/STAT6-py was attributed to T H 2 memory cells but not non-T H 2 memory cells in blood. The cytokine-releasing profiles of asthmatics was unique, specifically IL-5 high , IL-17 high , and IFN-r low , compared with those of COPD patients and healthy subjects

  16. Autophagy is essential for effector CD8 T cell survival and memory formation

    PubMed Central

    Xu, Xiaojin; Araki, Koichi; Li, Shuzhao; Han, Jin-Hwan; Ye, Lilin; Tan, Wendy G.; Konieczny, Bogumila T.; Bruinsma, Monique W.; Martinez, Jennifer; Pearce, Erika L; Green, Douglas R.; Jones, Dean P.; Virgin, Herbert W.; Ahmed, Rafi

    2014-01-01

    The importance of autophagy in memory CD8 T cell differentiation in vivo is not well defined. We show here that autophagy is dynamically regulated in virus-specific CD8 T cells during acute lymphocytic choriomeningitis virus infection. Autophagy decreased in activated proliferating T cells, and was then upregulated at the peak of the effector T cell response. Consistent with this model, deletion of the key autophagy genes Atg7 or Atg5 in virus-specific CD8 T cells had minimal effect on generating effector cells but greatly enhanced their death during the contraction phase resulting in compromised memory formation. These findings provide insight into when autophagy is needed during effector and memory T cell differentiation in vivo and also warrant a re-examination of our current concepts about the relationship between T cell activation and autophagy. PMID:25362489

  17. The correlational research among serum CXCL13 levels, circulating plasmablasts and memory B cells in patients with systemic lupus erythematosus: A STROBE-compliant article.

    PubMed

    Fang, Chenglong; Luo, Tingting; Lin, Ling

    2017-12-01

    We investigated whether serum CXC ligand 13 protein (CXCL13) levels correlate with the circulating plasmablasts and memory B-cells alteration in systemic lupus erythematosus (SLE) patients. The diagnostic use of CXCL13 concentrations in active lupus was also analyzed.A total of 36 SLE patients and 18 healthy controls were included. Serum CXCL13 levels were examined by enzyme-linked immunosorbent assay. The frequency and absolute count of circulating plasmablasts and memory B cells were analyzed by flow cytometry. Receiver operating characteristic curves (ROC curves) were generated to analyze the utility of serum CXCL13 level and plasmablasts frequency as tools for the recognition of active SLE.Elevation of serum CXCL13 levels, higher plasmablasts frequency, and reduction of memory B-cells count were observed in SLE patients, compared with healthy controls. Interestingly, correlational analyses showed not only significantly positive association between CXCL13 levels and SLE Disease Activity Index (SLEDAI) or plasmablasts frequency, but an inverse correlation between CXCL13 concentration and memory B-cell count. ROC curves showed that serum CXCL13 level and plasmablasts frequency were practical in identifying active disease from overall SLE patients, with considerable accuracy.Serum CXCL13 levels correlate with the alteration of plasmablasts and memory B cells in SLE. CXCL13 may be used as a practical tool in judgment of active SLE.

  18. CD62L− memory T cells enhance T-cell regeneration after allogeneic stem cell transplantation by eliminating host resistance in mice

    PubMed Central

    Zhang, Jifeng; Barefoot, Brice E.; Mo, Wenjian; Deoliveira, Divino; Son, Jessica; Cui, Xiuyu; Ramsburg, Elizabeth

    2012-01-01

    A major challenge in allogeneic hematopoietic cell transplantation is how to transfer T-cell immunity without causing graft-versus-host disease (GVHD). Effector memory T cells (CD62L−) are a cell subset that can potentially address this challenge because they do not induce GVHD. Here, we investigated how CD62L− T cells contributed to phenotypic and functional T-cell reconstitution after transplantation. On transfer into allogeneic recipients, CD62L− T cells were activated and expressed multiple cytokines and cytotoxic molecules. CD62L− T cells were able to deplete host radioresistant T cells and facilitate hematopoietic engraftment, resulting in enhanced de novo T-cell regeneration. Enhanced functional immune reconstitution was demonstrated in CD62L− T-cell recipients using a tumor and an influenza virus challenge model. Even though CD62L− T cells are able to respond to alloantigens and deplete host radioresistant immune cells in GVHD recipients, alloreactive CD62L− T cells lost the reactivity over time and were eventually tolerant to alloantigens as a result of prolonged antigen exposure, suggesting a mechanism by which CD62L− T cells were able to eliminate host resistance without causing GVHD. These data further highlight the unique characteristics of CD62L− T cells and their potential applications in clinical hematopoietic cell transplantation. PMID:22596261

  19. A novel ternary content addressable memory design based on resistive random access memory with high intensity and low search energy

    NASA Astrophysics Data System (ADS)

    Han, Runze; Shen, Wensheng; Huang, Peng; Zhou, Zheng; Liu, Lifeng; Liu, Xiaoyan; Kang, Jinfeng

    2018-04-01

    A novel ternary content addressable memory (TCAM) design based on resistive random access memory (RRAM) is presented. Each TCAM cell consists of two parallel RRAM to both store and search for ternary data. The cell size of the proposed design is 8F2, enable a ∼60× cell area reduction compared with the conventional static random access memory (SRAM) based implementation. Simulation results also show that the search delay and energy consumption of the proposed design at the 64-bit word search are 2 ps and 0.18 fJ/bit/search respectively at 22 nm technology node, where significant improvements are achieved compared to previous works. The desired characteristics of RRAM for implementation of the high performance TCAM search chip are also discussed.

  20. Chronic Dry Eye Disease is Principally Mediated by Effector Memory Th17 Cells

    PubMed Central

    Chen, Yihe; Chauhan, Sunil K.; Lee, Hyun Soo; Saban, Daniel R.; Dana, Reza

    2013-01-01

    Recent experimental and clinical data suggest that there is a link between dry eye disease (DED) and T cell-mediated immunity. However, whether these immune responses are a consequence or cause of ocular surface inflammation remains to be determined. Thus far, only models of acute DED have been used to derive experimental data. This is in contrast to clinical DED which usually presents as a chronic disease. In the present study, using a murine model of chronic DED, it was established that the chronic phase of the disease is accompanied by Th17 responses at the ocular surface, and that a significant memory T cell population can be recovered from chronic DED. This memory response is predominantly mediated by Th17 cells. Moreover, adoptive transfer of this memory T cell population was shown to induce more severe and rapidly progressing DED than did the adoptive transfer of its effector or naïve counterparts. Not only do these results clearly demonstrate that effector memory Th17 cells are primarily responsible for maintaining the chronic and relapsing course of DED, but they also highlight a potentially novel therapeutic strategy for targeting memory immune responses in patients with DED. PMID:23571503

  1. Entorhinal Cortical Ocean Cells Encode Specific Contexts and Drive Context-Specific Fear Memory

    PubMed Central

    Kitamura, Takashi; Sun, Chen; Martin, Jared; Kitch, Lacey J; Schnitzer, Mark J; Tonegawa, Susumu

    2016-01-01

    Summary Forming distinct representations and memories of multiple contexts and episodes is thought to be a crucial function of the hippocampal-entorhinal cortical network. The hippocampal dentate gyrus (DG) and CA3 are known to contribute to these functions but the role of the entorhinal cortex (EC) is poorly understood. Here, we show that Ocean cells, excitatory stellate neurons in the medial EC layer II projecting into DG and CA3, rapidly form a distinct representation of a novel context and drive context-specific activation of downstream CA3 cells as well as context-specific fear memory. In contrast, Island cells, excitatory pyramidal neurons in the medial EC layer II projecting into CA1, are indifferent to context-specific encoding or memory. On the other hand, Ocean cells are dispensable for temporal association learning, for which Island cells are crucial. Together, the two excitatory medial EC layer II inputs to the hippocampus have complementary roles in episodic memory. PMID:26402611

  2. CD73 expression identifies a subset of IgM+ antigen-experienced cells with memory attributes that is T cell and CD40 signalling dependent.

    PubMed

    D'Souza, Lucas; Gupta, Sneh Lata; Bal, Vineeta; Rath, Satyajit; George, Anna

    2017-12-01

    B-cell memory was long characterized as isotype-switched, somatically mutated and germinal centre (GC)-derived. However, it is now clear that the memory pool is a complex mixture that includes unswitched and unmutated cells. Further, expression of CD73, CD80 and CD273 has allowed the categorization of B-cell memory into multiple subsets, with combinatorial expression of the markers increasing with GC progression, isotype-switching and acquisition of somatic mutations. We have extended these findings to determine whether these markers can be used to identify IgM memory phenotypically as arising from T-dependent versus T-independent responses. We report that CD73 expression identifies a subset of antigen-experienced IgM + cells that share attributes of functional B-cell memory. This subset is reduced in the spleens of T-cell-deficient and CD40-deficient mice and in mixed marrow chimeras made with mutant and wild-type marrow, the proportion of CD73 + IgM memory is restored in the T-cell-deficient donor compartment but not in the CD40-deficient donor compartment, indicating that CD40 ligation is involved in its generation. We also report that CD40 signalling supports optimal expression of CD73 on splenic T cells and age-associated B cells (ABCs), but not on other immune cells such as neutrophils, marginal zone B cells, peritoneal cavity B-1 B cells and regulatory T and B cells. Our data indicate that in addition to promoting GC-associated memory generation during B-cell differentiation, CD40-signalling can influence the composition of the unswitched memory B-cell pool. They also raise the possibility that a fraction of ABCs may represent T-cell-dependent IgM memory. © 2017 John Wiley & Sons Ltd.

  3. Patients with cystic fibrosis have inducible IL-17+IL-22+ memory cells in lung draining lymph nodes.

    PubMed

    Chan, Yvonne R; Chen, Kong; Duncan, Steven R; Lathrop, Kira L; Latoche, Joseph D; Logar, Alison J; Pociask, Derek A; Wahlberg, Brendon J; Ray, Prabir; Ray, Anuradha; Pilewski, Joseph M; Kolls, Jay K

    2013-04-01

    IL-17 is an important cytokine signature of the TH differentiation pathway TH17. This T-cell subset is crucial in mediating autoimmune disease or antimicrobial immunity in animal models, but its presence and role in human disease remain to be completely characterized. We set out to determine the frequency of TH17 cells in patients with cystic fibrosis (CF), a disease in which there is recurrent infection with known pathogens. Explanted lungs from patients undergoing transplantation or organ donors (CF samples=18; non-CF, nonbronchiectatic samples=10) were collected. Hilar nodes and parenchymal lung tissue were processed and examined for TH17 signature by using immunofluorescence and quantitative real-time PCR. T cells were isolated and stimulated with antigens from Pseudomonas aeruginosa and Aspergillus species. Cytokine profiles and staining with flow cytometry were used to assess the reactivity of these cells to antigen stimulation. We found a strong IL-17 phenotype in patients with CF compared with that seen in control subjects without CF. Within this tissue, we found pathogenic antigen-responsive CD4+IL-17+ cells. There were double-positive IL-17+IL-22+ cells [TH17(22)], and the IL-22+ population had a higher proportion of memory characteristics. Antigen-specific TH17 responses were stronger in the draining lymph nodes compared with those seen in matched parenchymal lungs. Inducible proliferation of TH17(22) with memory cell characteristics is seen in the lungs of patients with CF. The function of these individual subpopulations will require further study regarding their development. T cells are likely not the exclusive producers of IL-17 and IL-22, and this will require further characterization. Copyright © 2012 American Academy of Allergy, Asthma & Immunology. Published by Mosby, Inc. All rights reserved.

  4. A Genome-wide Regulatory Network Identifies Key Transcription Factors for Memory CD8+ T Cell Development

    PubMed Central

    Hu, Guangan; Chen, Jianzhu

    2014-01-01

    Memory CD8+ T cell development is defined by the expression of a specific set of memory signature genes (MSGs). Despite recent progress, many components of the transcriptional control of memory CD8+ T cell development are still unknown. To identify transcription factors (TFs) and their interactions in memory CD8+ T cell development, we construct a genome-wide regulatory network and apply it to identify key TFs that regulate MSGs. Most of the known TFs in memory CD8+ T cell development are rediscovered and about a dozen new TFs are also identified. Sox4, Bhlhe40, Bach2 and Runx2 are experimentally verified and Bach2 is further shown to promote both development and recall proliferation of memory CD8+ T cells through Prdm1 and Id3. Gene perturbation study identifies the mode of interactions among the TFs with Sox4 as a hub. The identified TFs and insights into their interactions should facilitate further dissection of molecular mechanisms underlying memory CD8+ T cell development. PMID:24335726

  5. Adaptive mesh refinement for characteristic grids

    NASA Astrophysics Data System (ADS)

    Thornburg, Jonathan

    2011-05-01

    I consider techniques for Berger-Oliger adaptive mesh refinement (AMR) when numerically solving partial differential equations with wave-like solutions, using characteristic (double-null) grids. Such AMR algorithms are naturally recursive, and the best-known past Berger-Oliger characteristic AMR algorithm, that of Pretorius and Lehner (J Comp Phys 198:10, 2004), recurses on individual "diamond" characteristic grid cells. This leads to the use of fine-grained memory management, with individual grid cells kept in two-dimensional linked lists at each refinement level. This complicates the implementation and adds overhead in both space and time. Here I describe a Berger-Oliger characteristic AMR algorithm which instead recurses on null slices. This algorithm is very similar to the usual Cauchy Berger-Oliger algorithm, and uses relatively coarse-grained memory management, allowing entire null slices to be stored in contiguous arrays in memory. The algorithm is very efficient in both space and time. I describe discretizations yielding both second and fourth order global accuracy. My code implementing the algorithm described here is included in the electronic supplementary materials accompanying this paper, and is freely available to other researchers under the terms of the GNU general public license.

  6. Nonvolatile memory characteristics of organic thin film transistors using poly(2-hydroxyethyl methacrylate)-based polymer multilayer dielectric

    NASA Astrophysics Data System (ADS)

    Chen, Ying-Chih; Su, Yan-Kuin; Yu, Hsin-Chieh; Huang, Chun-Yuan; Huang, Tsung-Syun

    2011-10-01

    A wide hysteresis width characteristic (memory window) was observed in the organic thin film transistors (OTFTs) using poly(2-hydroxyethyl methacrylate) (PHEMA)-based polymer multilayers. In this study, a strong memory effect was also found in the pentacene-based OTFTs and the electric characteristics were improved by introducing PHEMA/poly(methyl methacrylate) (PMMA)/PHEMA trilayer to replace the conventional PHEMA monolayer or PMMA/PHEMA and PHEMA/PMMA bilayer as the dielectric layers of OTFTs. The memory effect was originated from the electron trapping and slow polarization of the dielectrics. The hydroxyl (-OH) groups inside the polymer dielectric were the main charge storage sites of the electrons. This charge-storage phenomenon could lead to a wide flat-band voltage shift (memory window, △VFB = 22 V) which is essential for the OTFTs' memory-related applications. Moreover, the fabricated transistors also exhibited significant switchable channel current due to the charge-storage and slow charge relaxation.

  7. Dual operation characteristics of resistance random access memory in indium-gallium-zinc-oxide thin film transistors

    NASA Astrophysics Data System (ADS)

    Yang, Jyun-Bao; Chang, Ting-Chang; Huang, Jheng-Jie; Chen, Yu-Chun; Chen, Yu-Ting; Tseng, Hsueh-Chih; Chu, Ann-Kuo; Sze, Simon M.

    2014-04-01

    In this study, indium-gallium-zinc-oxide thin film transistors can be operated either as transistors or resistance random access memory devices. Before the forming process, current-voltage curve transfer characteristics are observed, and resistance switching characteristics are measured after a forming process. These resistance switching characteristics exhibit two behaviors, and are dominated by different mechanisms. The mode 1 resistance switching behavior is due to oxygen vacancies, while mode 2 is dominated by the formation of an oxygen-rich layer. Furthermore, an easy approach is proposed to reduce power consumption when using these resistance random access memory devices with the amorphous indium-gallium-zinc-oxide thin film transistor.

  8. Modulation of Autoimmune T-Cell Memory by Stem Cell Educator Therapy: Phase 1/2 Clinical Trial.

    PubMed

    Delgado, Elias; Perez-Basterrechea, Marcos; Suarez-Alvarez, Beatriz; Zhou, Huimin; Revuelta, Eva Martinez; Garcia-Gala, Jose Maria; Perez, Silvia; Alvarez-Viejo, Maria; Menendez, Edelmiro; Lopez-Larrea, Carlos; Tang, Ruifeng; Zhu, Zhenlong; Hu, Wei; Moss, Thomas; Guindi, Edward; Otero, Jesus; Zhao, Yong

    2015-12-01

    Type 1 diabetes (T1D) is a T cell-mediated autoimmune disease that causes a deficit of pancreatic islet β cells. The complexities of overcoming autoimmunity in T1D have contributed to the challenges the research community faces when devising successful treatments with conventional immune therapies. Overcoming autoimmune T cell memory represents one of the key hurdles. In this open-label, phase 1/phase 2 study, Caucasian T1D patients (N = 15) received two treatments with the Stem Cell Educator (SCE) therapy, an approach that uses human multipotent cord blood-derived multipotent stem cells (CB-SCs). SCE therapy involves a closed-loop system that briefly treats the patient's lymphocytes with CB-SCs in vitro and returns the "educated" lymphocytes (but not the CB-SCs) into the patient's blood circulation. This study is registered with ClinicalTrials.gov, NCT01350219. Clinical data demonstrated that SCE therapy was well tolerated in all subjects. The percentage of naïve CD4(+) T cells was significantly increased at 26 weeks and maintained through the final follow-up at 56 weeks. The percentage of CD4(+) central memory T cells (TCM) was markedly and constantly increased at 18 weeks. Both CD4(+) effector memory T cells (TEM) and CD8(+) TEM cells were considerably decreased at 18 weeks and 26 weeks respectively. Additional clinical data demonstrated the modulation of C-C chemokine receptor 7 (CCR7) expressions on naïve T, TCM, and TEM cells. Following two treatments with SCE therapy, islet β-cell function was improved and maintained in individuals with residual β-cell function, but not in those without residual β-cell function. Current clinical data demonstrated the safety and efficacy of SCE therapy in immune modulation. SCE therapy provides lasting reversal of autoimmune memory that could improve islet β-cell function in Caucasian subjects. Obra Social "La Caixa", Instituto de Salud Carlos III, Red de Investigación Renal, European Union FEDER Funds, Principado de

  9. Apoptosis Susceptibility Prolongs the Lack of Memory B Cells in Acute Leukemic Patients After Allogeneic Hematopoietic Stem Cell Transplantation.

    PubMed

    Mensen, Angela; Oh, Youngseong; Becker, Sonya C; Hemmati, Philipp G; Jehn, Christian; Westermann, Jörg; Szyska, Martin; Göldner, Henning; Dörken, Bernd; Scheibenbogen, Carmen; Arnold, Renate; Na, Il-Kang

    2015-11-01

    Long-term survival after allogeneic hematopoietic stem cell transplantation requires intact immunosurveillance, which is hampered by lymphoid organ damage associated with conditioning therapy, graft-versus-host disease, and immunosuppression. Our study aimed to identify the mechanisms contributing to sustained low memorycell numbers after transplantation. Peripheral B and T cell subset recovery and functional marker expression were investigated in 35 acute leukemic patients up to 1 year after transplantation. Apoptosis of B cells after CD40/TLR-9, CD40/BCR, and CD40/BCR/TLR-9-dependent stimulation and drug efflux capacity were analyzed. One half of the patients suffered from infections after day 180. All patients had strongly diminished CD27(+) memorycells despite already normalized total B cell numbers and fully recovered CD27(-)IgD(-) memorycells, putatively of extra-follicular origin. Circulating memory follicular helper T cells were reduced in the majority of patients as well. Naïve B cells exhibited a decreased expression of CXCR5, which mediates follicular B cell entry. Additionally, a lower HLA-DR expression was found on naïve B cells, impairing antigen presentation. Upon CD40/TLR-9-dependent activation, B cells underwent significantly increased apoptosis paralleled by an aberrant up-regulation of Fas-L on activated T cells and Fas on resting B cells. Significantly increased B cell apoptosis was also observed after CD40/BCR and CD40/BCR/TLR-9-dependent activation. Drug efflux capacity of naïve B cells was diminished in cyclosporin A-treated patients, additionally contributing to an apoptosis-prone phenotype. We conclude that B cell survival and migration and T cell communication defects are contributing candidates for an impaired germinal center formation of memorycells after allogeneic hematopoietic stem cell transplantation. Follow-up studies should evaluate effectiveness of revaccinations on the cellular level and should

  10. Temporal dynamics of the primary human T cell response to yellow fever virus 17D as it matures from an effector- to a memory-type response.

    PubMed

    Blom, Kim; Braun, Monika; Ivarsson, Martin A; Gonzalez, Veronica D; Falconer, Karolin; Moll, Markus; Ljunggren, Hans-Gustaf; Michaëlsson, Jakob; Sandberg, Johan K

    2013-03-01

    The live attenuated yellow fever virus (YFV) 17D vaccine provides a good model to study immune responses to an acute viral infection in humans. We studied the temporal dynamics, composition, and character of the primary human T cell response to YFV. The acute YFV-specific effector CD8 T cell response was broad and complex; it was composed of dominant responses that persisted into the memory population, as well as of transient subdominant responses that were not detected at the memory stage. Furthermore, HLA-A2- and HLA-B7-restricted YFV epitope-specific effector cells predominantly displayed a CD45RA(-)CCR7(-)PD-1(+)CD27(high) phenotype, which transitioned into a CD45RA(+)CCR7(-)PD-1(-)CD27(low) memory population phenotype. The functional profile of the YFV-specific CD8 T cell response changed in composition as it matured from an effector- to a memory-type response, and it tended to become less polyfunctional during the course of this transition. Interestingly, activation of CD4 T cells, as well as FOXP3(+) T regulatory cells, in response to YFV vaccination preceded the kinetics of the CD8 T cell response. The present results contribute to our understanding of how immunodominance patterns develop, as well as the phenotypic and functional characteristics of the primary human T cell response to a viral infection as it evolves and matures into memory.

  11. Maintenance of memory-type pathogenic Th2 cells in the pathophysiology of chronic airway inflammation.

    PubMed

    Hirahara, Kiyoshi; Shinoda, Kenta; Endo, Yusuke; Ichikawa, Tomomi; Nakayama, Toshinori

    2018-01-01

    Immunological memory is critical for long-standing protection against microorganisms; however, certain antigen-specific memory CD4 + T helper (Th) cells drive immune-related pathology, including chronic allergic inflammation such as asthma. The IL-5-producing memory-type Tpath2 subset is important for the pathogenesis of chronic allergic inflammation. This memory-type pathogenic Th2 cell population (Tpath2) can be detected in various allergic inflammatory lesions. However, how these pathogenic populations are maintained at the local inflammatory site has remained unclear. We performed a series of experiments using mice model for chronic airway inflammation. We also investigated the human samples from patients with eosinophilic chronic rhinosinusitis. We recently reported that inducible bronchus-associated lymphoid tissue (iBALT) was shaped during chronic inflammation in the lung. We also found that memory-type Tpath2 cells are maintained within iBALT. The maintenance of the Tpath2 cells within iBALT is supported by specific cell subpopulations within the lung. Furthermore, ectopic lymphoid structures consisting of memory CD4 + T cells were found in nasal polyps of eosinophilic chronic rhinosinusitis patients, indicating that the persistence of inflammation is controlled by these structures. Thus, the cell components that organize iBALT formation may be therapeutic targets for chronic allergic airway inflammation.

  12. ZBTB32 restricts the duration of memory B cell recall responses1

    PubMed Central

    Jash, Arijita; Wang, Yinan; Weisel, Florian J.; Scharer, Christopher D.; Boss, Jeremy M.; Shlomchik, Mark J.; Bhattacharya, Deepta

    2016-01-01

    Memory B cell responses are more rapid and of greater magnitude than are primary antibody responses. The mechanisms by which these secondary responses are eventually attenuated remain unknown. We demonstrate that the transcription factor ZBTB32 limits the rapidity and duration of antibody recall responses. ZBTB32 is highly expressed by mouse and human memory B cells, but not by their naïve counterparts. Zbtb32−/− mice mount normal primary antibody responses to T-dependent antigens. However, Zbtb32−/− memory B cell-mediated recall responses occur more rapidly and persist longer than do control responses. Microarray analyses demonstrate that Zbtb32−/− secondary bone marrow plasma cells display elevated expression of genes that promote cell cycle progression and mitochondrial function relative to wild-type controls. BrdU labeling and adoptive transfer experiments confirm more rapid production and a cell-intrinsic survival advantage of Zbtb32−/− secondary plasma cells relative to wild-type counterparts. ZBTB32 is therefore a novel negative regulator of antibody recall responses. PMID:27357154

  13. Age-related cognitive task effects on gait characteristics: do different working memory components make a difference?

    PubMed

    Qu, Xingda

    2014-10-27

    Though it is well recognized that gait characteristics are affected by concurrent cognitive tasks, how different working memory components contribute to dual task effects on gait is still unknown. The objective of the present study was to investigate dual-task effects on gait characteristics, specifically the application of cognitive tasks involving different working memory components. In addition, we also examined age-related differences in such dual-task effects. Three cognitive tasks (i.e. 'Random Digit Generation', 'Brooks' Spatial Memory', and 'Counting Backward') involving different working memory components were examined. Twelve young (6 males and 6 females, 20 ~ 25 years old) and 12 older participants (6 males and 6 females, 60 ~ 72 years old) took part in two phases of experiments. In the first phase, each cognitive task was defined at three difficulty levels, and perceived difficulty was compared across tasks. The cognitive tasks perceived to be equally difficult were selected for the second phase. In the second phase, four testing conditions were defined, corresponding to a baseline and the three equally difficult cognitive tasks. Participants walked on a treadmill at their self-selected comfortable speed in each testing condition. Body kinematics were collected during treadmill walking, and gait characteristics were assessed using spatial-temporal gait parameters. Application of the concurrent Brooks' Spatial Memory task led to longer step times compared to the baseline condition. Larger step width variability was observed in both the Brooks' Spatial Memory and Counting Backward dual-task conditions than in the baseline condition. In addition, cognitive task effects on step width variability differed between two age groups. In particular, the Brooks' Spatial Memory task led to significantly larger step width variability only among older adults. These findings revealed that cognitive tasks involving the visuo-spatial sketchpad interfered with

  14. Three Types of Memory for Childhood Sexual Abuse: Relationships to Characteristics of Abuse and Psychological Symptoms

    ERIC Educational Resources Information Center

    Crowley, M. Sue

    2008-01-01

    Data from a clinical sample (N = 88) reporting childhood sexual abuse was compared by types of memory, abuse characteristics, and psychological symptoms. Three types of memory were identified from a questionnaire ("Always" n = 27 [31%], "Recovered" n = 41 [46%], and "Both" n = 20 [23%]). When compared with narrative…

  15. Generation and application of human induced-stem cell memory T (iTSCM ) cells for adoptive immunotherapy.

    PubMed

    Kondo, Taisuke; Imura, Yuuki; Chikuma, Shunsuke; Hibino, Sana; Omata-Mise, Setsuko; Ando, Makoto; Akanuma, Takashi; Iizuka, Mana; Sakai, Ryota; Morita, Rimpei; Yoshimura, Akihiko

    2018-05-23

    Adoptive T cell therapy is an effective strategy for cancer immunotherapy. However, infused T cells frequently become functionally exhausted, and consequently offer a poor prognosis after transplantation into patients. Adoptive transfer of tumor antigen-specific stem cell memory T (T SCM ) cells is expected to overcome this shortcoming since T SCM cells are close to naïve T cells, but are also highly proliferative, long-lived, and produce a large number of effector T cells in response to antigen stimulation. We previously reported that activated effector T cells can be converted into T SCM -like cells (iT SCM ) by co-culturing with OP9 cells expressing Notch ligand, Delta-like 1 (OP9-hDLL1). Here we show the methodological parameters of human CD8 + iT SCM cell generation and their application to adoptive cancer immunotherapy. Regardless of the stimulation by anti-CD3/CD28 antibodies or by antigen-presenting cells, human iT SCM cells were more efficiently induced from central memory type T cells than from effector memory T cells. During the induction phase by co-culture with OP9-hDLL1 cells, IL-7 and IL-15 (but not IL-2 or IL-21) could efficiently generate iT SCM cells. Epstein Barr (EB) virus-specific iT SCM cells showed much stronger antitumor potentials than conventionally activated T cells did in humanized EB virus transformed-tumor model mice. Thus, adoptive T cell therapy with iT SCM offers a promising therapeutic strategy for cancer immunotherapy. This article is protected by copyright. All rights reserved. This article is protected by copyright. All rights reserved.

  16. Curtailed T-cell activation curbs effector differentiation and generates CD8+ T cells with a naturally-occurring memory stem cell phenotype.

    PubMed

    Zanon, Veronica; Pilipow, Karolina; Scamardella, Eloise; De Paoli, Federica; De Simone, Gabriele; Price, David A; Martinez Usatorre, Amaia; Romero, Pedro; Mavilio, Domenico; Roberto, Alessandra; Lugli, Enrico

    2017-09-01

    Human T memory stem (T SCM ) cells with superior persistence capacity and effector functions are emerging as important players in the maintenance of long-lived T-cell memory and are thus considered an attractive population to be used in adoptive transfer-based immunotherapy of cancer. However, the molecular signals regulating their generation remain poorly defined. Here we show that curtailed T-cell receptor stimulation curbs human effector CD8 + T-cell differentiation and allows the generation of CD45RO - CD45RA + CCR7 + CD27 + CD95 + -phenotype cells from highly purified naïve T-cell precursors, resembling naturally-occurring human T SCM . These cells proliferate extensively in vitro and in vivo, express low amounts of effector-associated genes and transcription factors and undergo considerable self-renewal in response to IL-15 while retaining effector differentiation potential. Such a phenotype is associated with a lower number of mitochondria compared to highly-activated effector T cells committed to terminal differentiation. These results shed light on the molecular signals that are required to generate long-lived memory T cells with potential application in adoptive cell transfer immunotherapy. © 2017 The Authors. European Journal of Immunology published by WILEY-VCH Verlag GmbH & Co.KGaA, Weinheim.

  17. Bcl-2 Allows Effector and Memory CD8+ T Cells To Tolerate Higher Expression of Bim

    PubMed Central

    Kurtulus, Sema; Tripathi, Pulak; Moreno-Fernandez, Maria E.; Sholl, Allyson; Katz, Jonathan D.; Grimes, H. Leighton; Hildeman, David A.

    2014-01-01

    As acute infections resolve, most effector CD8+ T cells die, whereas some persist and become memory T cells. Recent work showed that subsets of effector CD8+ T cells, identified by reciprocal expression of killer cell lectin-like receptor G1 (KLRG1) and CD127, have different lifespans. Similar to previous reports, we found that effector CD8+ T cells reported to have a longer lifespan (i.e., KLRG1lowCD127high) have increased levels of Bcl-2 compared with their shorter-lived KLRG1highCD127low counterparts. Surprisingly, we found that these effector KLRG1lowCD127high CD8+ T cells also had increased levels of Bim compared with KLRG1highCD127low cells. Similar effects were observed in memory cells, in which CD8+ central memory T cells expressed higher levels of Bim and Bcl-2 than did CD8+ effector memory T cells. Using both pharmacologic and genetic approaches, we found that survival of both subsets of effector and memory CD8+ T cells required Bcl-2 to combat the proapoptotic activity of Bim. Interestingly, inhibition or absence of Bcl-2 led to significantly decreased expression of Bim in surviving effector and memory T cells. In addition, manipulation of Bcl-2 levels by IL-7 or IL-15 also affected expression of Bim in effector CD8+ T cells. Finally, we found that Bim levels were significantly increased in effector CD8+ T cells lacking Bax and Bak. Together, these data indicate that cells having the highest levels of Bim are selected against during contraction of the response and that Bcl-2 determines the level of Bim that effector and memory T cells can tolerate. PMID:21451108

  18. Simian immunodeficiency virus infection induces severe loss of intestinal central memory T cells which impairs CD4+ T-cell restoration during antiretroviral therapy.

    PubMed

    Verhoeven, D; Sankaran, S; Dandekar, S

    2007-08-01

    Simian immunodeficiency virus (SIV) infection leads to severe loss of intestinal CD4(+) T cells and, as compared to peripheral blood, restoration of these cells is slow during antiretroviral therapy (ART). Mechanisms for this delay have not been examined in context of which specific CD4(+) memory subsets or lost and fail to regenerate during ART. Fifteen rhesus macaques were infected with SIV, five of which received ART (FTC/PMPA) for 30 weeks. Viral loads were measured by real-time PCR. Flow cytometric analysis determined changes in T-cell subsets and their proliferative state. Changes in proliferative CD4(+) memory subsets during infection accelerated their depletion. This reduced the central memory CD4(+) T-cell pool and contributed to slow CD4(+) T-cell restoration during ART. There was a lack of restoration of the CD4(+) central memory and effector memory T-cell subsets in gut-associated lymphoid tissue during ART, which may contribute to the altered intestinal T-cell homeostasis in SIV infection.

  19. MicroRNA-21 preserves the fibrotic mechanical memory of mesenchymal stem cells

    NASA Astrophysics Data System (ADS)

    Li, Chen Xi; Talele, Nilesh P.; Boo, Stellar; Koehler, Anne; Knee-Walden, Ericka; Balestrini, Jenna L.; Speight, Pam; Kapus, Andras; Hinz, Boris

    2017-03-01

    Expansion on stiff culture substrates activates pro-fibrotic cell programs that are retained by mechanical memory. Here, we show that priming on physiologically soft silicone substrates suppresses fibrogenesis and desensitizes mesenchymal stem cells (MSCs) against subsequent mechanical activation in vitro and in vivo, and identify the microRNA miR-21 as a long-term memory keeper of the fibrogenic program in MSCs. During stiff priming, miR-21 levels were gradually increased by continued regulation through the acutely mechanosensitive myocardin-related transcription factor-A (MRTF-A/MLK-1) and remained high over 2 weeks after removal of the mechanical stimulus. Knocking down miR-21 once by the end of the stiff-priming period was sufficient to erase the mechanical memory and sensitize MSCs to subsequent exposure to soft substrates. Soft priming and erasing mechanical memory following cell culture expansion protects MSCs from fibrogenesis in the host wound environment and increases the chances for success of MSC therapy in tissue-repair applications.

  20. NFκB–Pim-1–Eomesodermin axis is critical for maintaining CD8 T-cell memory quality

    PubMed Central

    Knudson, Karin M.; Saxena, Vikas; Altman, Amnon; Daniels, Mark A.; Teixeiro, Emma

    2017-01-01

    T-cell memory is critical for long-term immunity. However, the factors involved in maintaining the persistence, function, and phenotype of the memory pool are undefined. Eomesodermin (Eomes) is required for the establishment of the memory pool. Here, we show that in T cells transitioning to memory, the expression of high levels of Eomes is not constitutive but rather requires a continuum of cell-intrinsic NFκB signaling. Failure to maintain NFκB signals after the peak of the response led to impaired Eomes expression and a defect in the maintenance of CD8 T-cell memory. Strikingly, we found that antigen receptor [T-cell receptor (TCR)] signaling regulates this process through expression of the NFκB-dependent kinase proviral integration site for Moloney murine leukemia virus-1 (PIM-1), which in turn regulates NFκB and Eomes. T cells defective in TCR-dependent NFκB signaling were impaired in late expression of Pim-1, Eomes, and CD8 memory. These defects were rescued when TCR-dependent NFκB signaling was restored. We also found that NFκB–Pim-1 signals were required at memory to maintain memory CD8 T-cell longevity, effector function, and Eomes expression. Hence, an NFκB–Pim-1–Eomes axis regulates Eomes levels to maintain memory fitness. PMID:28193872

  1. Dendritic Cell Immaturity during Infancy Restricts the Capacity To Express Vaccine-Specific T-Cell Memory

    PubMed Central

    Upham, John W.; Rate, Angela; Rowe, Julie; Kusel, Merci; Sly, Peter D.; Holt, Patrick G.

    2006-01-01

    The capacity of the immune system in infants to develop stable T-cell memory in response to vaccination is attenuated, and the mechanism(s) underlying this developmental deficiency in humans is poorly understood. The present study focuses on the capacity for expression of in vitro recall responses to tetanus and diphtheria antigens in lymphocytes from 12-month-old infants vaccinated during the first 6 months of life. We demonstrate that supplementation of infant lymphocytes with “matured” dendritic cells (DC) cultured from autologous CD14+ precursors unmasks previously covert cellular immunity in the form of Th2-skewed cytokine production. Supplementation of adult lymphocytes with comparable prematured autologous DC also boosted vaccine-specific T-cell memory expression, but in contrast to the case for the infants, these cytokine responses were heavily Th1 skewed. Compared to adults, infants had significantly fewer circulating myeloid DC (P < 0.0001) and plasmacytoid DC (P < 0.0001) as a proportion of peripheral blood mononuclear cells. These findings suggest that deficiencies in the numbers of antigen-presenting cells and their functional competence at 12 months of age limit the capacity to express effector memory responses and are potentially a key factor in reduced vaccine responsiveness in infants. PMID:16428758

  2. Ventromedial prefrontal cortex pyramidal cells have a temporal dynamic role in recall and extinction of cocaine-associated memory.

    PubMed

    Van den Oever, Michel C; Rotaru, Diana C; Heinsbroek, Jasper A; Gouwenberg, Yvonne; Deisseroth, Karl; Stuber, Garret D; Mansvelder, Huibert D; Smit, August B

    2013-11-13

    In addicts, associative memories related to the rewarding effects of drugs of abuse can evoke powerful craving and drug seeking urges, but effective treatment to suppress these memories is not available. Detailed insight into the neural circuitry that mediates expression of drug-associated memory is therefore of crucial importance. Substantial evidence from rodent models of addictive behavior points to the involvement of the ventromedial prefrontal cortex (vmPFC) in conditioned drug seeking, but specific knowledge of the temporal role of vmPFC pyramidal cells is lacking. To this end, we used an optogenetics approach to probe the involvement of vmPFC pyramidal cells in expression of a recent and remote conditioned cocaine memory. In mice, we expressed Channelrhodopsin-2 (ChR2) or Halorhodopsin (eNpHR3.0) in pyramidal cells of the vmPFC and studied the effect of activation or inhibition of these cells during expression of a cocaine-contextual memory on days 1-2 (recent) and ∼3 weeks (remote) after conditioning. Whereas optical activation of pyramidal cells facilitated extinction of remote memory, without affecting recent memory, inhibition of pyramidal cells acutely impaired recall of recent cocaine memory, without affecting recall of remote memory. In addition, we found that silencing pyramidal cells blocked extinction learning at the remote memory time-point. We provide causal evidence of a critical time-dependent switch in the contribution of vmPFC pyramidal cells to recall and extinction of cocaine-associated memory, indicating that the circuitry that controls expression of cocaine memories reorganizes over time.

  3. Telomere length dynamics in human memory T cells specific for viruses causing acute or latent infections

    PubMed Central

    2013-01-01

    Background Declining telomere length (TL) is associated with T cell senescence. While TL in naïve and memory T cells declines with increasing age, there is limited data on TL dynamics in virus-specific memory CD4+ T cells in healthy adults. We combined BrdU-labeling of virus-stimulated T cells followed with flow cytometry-fluorescent in situ hybridization for TL determination. We analyzed TL in T cells specific for several virus infections: non-recurring acute (vaccinia virus, VACV), recurring-acute (influenza A virus, IAV), and reactivating viruses (varicella-zoster virus, VZV, and cytomegalovirus, CMV) in 10 healthy subjects. Additionally, five subjects provided multiple blood samples separated by up to 10 years. Results VACV- and CMV-specific T cells had longer average TL than IAV-specific CD4+ T cells. Although most virus-specific cells were CD45RA-, we observed a minor population of BrdU+ CD45RA+ T cells characterized by long telomeres. Longitudinal analysis demonstrated a slow decline in average TL in virus-specific T cells. However, in one subject, VZV reactivation led to an increase in average TL in VZV-specific memory T cells, suggesting a conversion of longer TL cells from the naïve T cell repertoire. Conclusions TLs in memory CD4+ T cells in otherwise healthy adults are heterogeneous and follow distinct virus-specific kinetics. These findings suggests that the distribution of TL and the creation and maintenance of long TL memory T cells could be important for the persistence of long-lived T cell memory. PMID:23971624

  4. Telomere length dynamics in human memory T cells specific for viruses causing acute or latent infections.

    PubMed

    O'Bryan, Joel M; Woda, Marcia; Co, Mary; Mathew, Anuja; Rothman, Alan L

    2013-08-26

    Declining telomere length (TL) is associated with T cell senescence. While TL in naïve and memory T cells declines with increasing age, there is limited data on TL dynamics in virus-specific memory CD4+ T cells in healthy adults. We combined BrdU-labeling of virus-stimulated T cells followed with flow cytometry-fluorescent in situ hybridization for TL determination. We analyzed TL in T cells specific for several virus infections: non-recurring acute (vaccinia virus, VACV), recurring-acute (influenza A virus, IAV), and reactivating viruses (varicella-zoster virus, VZV, and cytomegalovirus, CMV) in 10 healthy subjects. Additionally, five subjects provided multiple blood samples separated by up to 10 years. VACV- and CMV-specific T cells had longer average TL than IAV-specific CD4+ T cells. Although most virus-specific cells were CD45RA-, we observed a minor population of BrdU+ CD45RA+ T cells characterized by long telomeres. Longitudinal analysis demonstrated a slow decline in average TL in virus-specific T cells. However, in one subject, VZV reactivation led to an increase in average TL in VZV-specific memory T cells, suggesting a conversion of longer TL cells from the naïve T cell repertoire. TLs in memory CD4+ T cells in otherwise healthy adults are heterogeneous and follow distinct virus-specific kinetics. These findings suggests that the distribution of TL and the creation and maintenance of long TL memory T cells could be important for the persistence of long-lived T cell memory.

  5. Calculation of optical parameters for covalent binary alloys used in optical memories/solar cells: a modified approach

    NASA Astrophysics Data System (ADS)

    Bhatnagar, Promod K.; Gupta, Poonam; Singh, Laxman

    2001-06-01

    Chalcogenide based alloys find applications in a number of devices like optical memories, IR detectors, optical switches, photovoltaics, compound semiconductor heterosrtuctures etc. We have modified the Gurman's statistical thermodynamic model (STM) of binary covalent alloys. In the Gurman's model, entropy calculations are based on the number of structural units present. The need to modify this model arose due to the fact that it gives equal probability for all the tetrahedra present in the alloy. We have modified the Gurman's model by introducing the concept that the entropy is based on the bond arrangement rather than that on the structural units present. In the present work calculation based on this modification have been presented for optical properties, which find application in optical switching/memories, solar cells and other optical devices. It has been shown that the calculated optical parameters (for a typical case of GaxSe1-x) based on modified model are closer to the available experimental results. These parameters include refractive index, extinction coefficient, dielectric functions, optical band gap etc. GaxSe1-x has been found to be suitable for reversible optical memories also, where phase change (a yields c and vice versa) takes place at specified physical conditions. DTA/DSC studies also suggest the suitability of this material for optical switching/memory applications. We have also suggested possible use of GaxSe1-x (x = 0.4) in place of oxide layer in a Metal - Oxide - Semiconductor type solar cells. The new structure is Metal - Ga2Se3 - GaAs. The I-V characteristics and other parameters calculated for this structure are found to be much better than that for Si based solar cells. Maximum output power is obtained at the intermediate layer thickness approximately 40 angstroms for this typical solar cell.

  6. Thy1+IL-7+ lymphatic endothelial cells in iBALT provide a survival niche for memory T-helper cells in allergic airway inflammation

    PubMed Central

    Shinoda, Kenta; Hirahara, Kiyoshi; Iinuma, Tomohisa; Ichikawa, Tomomi; Suzuki, Akane S.; Sugaya, Kaoru; Tumes, Damon J.; Yamamoto, Heizaburo; Hara, Takahiro; Tani-ichi, Shizue; Ikuta, Koichi; Okamoto, Yoshitaka; Nakayama, Toshinori

    2016-01-01

    Memory CD4+ T helper (Th) cells are central to long-term protection against pathogens, but they can also be pathogenic and drive chronic inflammatory disorders. How these pathogenic memory Th cells are maintained, particularly at sites of local inflammation, remains unclear. We found that ectopic lymphoid-like structures called inducible bronchus-associated lymphoid tissue (iBALT) are formed during chronic allergic inflammation in the lung, and that memory-type pathogenic Th2 (Tpath2) cells capable of driving allergic inflammation are maintained within the iBALT structures. The maintenance of memory Th2 cells within iBALT is supported by Thy1+IL-7–producing lymphatic endothelial cells (LECs). The Thy1+IL-7–producing LECs express IL-33 and T-cell–attracting chemokines CCL21 and CCL19. Moreover, ectopic lymphoid structures consisting of memory CD4+ T cells and IL-7+IL-33+ LECs were found in nasal polyps of patients with eosinophilic chronic rhinosinusitis. Thus, Thy1+IL-7–producing LECs control chronic allergic airway inflammation by providing a survival niche for memory-type Tpath2 cells. PMID:27140620

  7. Skin-resident memory CD4+ T cells enhance protection against Leishmania major infection.

    PubMed

    Glennie, Nelson D; Yeramilli, Venkata A; Beiting, Daniel P; Volk, Susan W; Weaver, Casey T; Scott, Phillip

    2015-08-24

    Leishmaniasis causes a significant disease burden worldwide. Although Leishmania-infected patients become refractory to reinfection after disease resolution, effective immune protection has not yet been achieved by human vaccines. Although circulating Leishmania-specific T cells are known to play a critical role in immunity, the role of memory T cells present in peripheral tissues has not been explored. Here, we identify a population of skin-resident Leishmania-specific memory CD4+ T cells. These cells produce IFN-γ and remain resident in the skin when transplanted by skin graft onto naive mice. They function to recruit circulating T cells to the skin in a CXCR3-dependent manner, resulting in better control of the parasites. Our findings are the first to demonstrate that CD4+ TRM cells form in response to a parasitic infection, and indicate that optimal protective immunity to Leishmania, and thus the success of a vaccine, may depend on generating both circulating and skin-resident memory T cells. © 2015 Glennie et al.

  8. Skin-resident memory CD4+ T cells enhance protection against Leishmania major infection

    PubMed Central

    Glennie, Nelson D.; Yeramilli, Venkata A.; Beiting, Daniel P.; Volk, Susan W.; Weaver, Casey T.

    2015-01-01

    Leishmaniasis causes a significant disease burden worldwide. Although Leishmania-infected patients become refractory to reinfection after disease resolution, effective immune protection has not yet been achieved by human vaccines. Although circulating Leishmania-specific T cells are known to play a critical role in immunity, the role of memory T cells present in peripheral tissues has not been explored. Here, we identify a population of skin-resident Leishmania-specific memory CD4+ T cells. These cells produce IFN-γ and remain resident in the skin when transplanted by skin graft onto naive mice. They function to recruit circulating T cells to the skin in a CXCR3-dependent manner, resulting in better control of the parasites. Our findings are the first to demonstrate that CD4+ TRM cells form in response to a parasitic infection, and indicate that optimal protective immunity to Leishmania, and thus the success of a vaccine, may depend on generating both circulating and skin-resident memory T cells. PMID:26216123

  9. Simulation study on heat conduction of a nanoscale phase-change random access memory cell.

    PubMed

    Kim, Junho; Song, Ki-Bong

    2006-11-01

    We have investigated heat transfer characteristics of a nano-scale phase-change random access memory (PRAM) cell using finite element method (FEM) simulation. Our PRAM cell is based on ternary chalcogenide alloy, Ge2Sb2Te5 (GST), which is used as a recording layer. For contact area of 100 x 100 nm2, simulations of crystallization and amorphization processes were carried out. Physical quantities such as electric conductivity, thermal conductivity, and specific heat were treated as temperature-dependent parameters. Through many simulations, it is concluded that one can reduce set current by decreasing both electric conductivities of amorphous GST and crystalline GST, and in addition to these conditions by decreasing electric conductivity of molten GST one can also reduce reset current significantly.

  10. FOXO1 opposition of CD8+ T cell effector programming confers early memory properties and phenotypic diversity.

    PubMed

    Delpoux, Arnaud; Lai, Chen-Yen; Hedrick, Stephen M; Doedens, Andrew L

    2017-10-17

    The factors and steps controlling postinfection CD8 + T cell terminal effector versus memory differentiation are incompletely understood. Whereas we found that naive TCF7 (alias "Tcf-1") expression is FOXO1 independent, early postinfection we report bimodal, FOXO1-dependent expression of the memory-essential transcription factor TCF7 in pathogen-specific CD8 + T cells. We determined the early postinfection TCF7 high population is marked by low TIM3 expression and bears memory signature hallmarks before the appearance of established memory precursor marker CD127 (IL-7R). These cells exhibit diminished TBET, GZMB, mTOR signaling, and cell cycle progression. Day 5 postinfection, TCF7 high cells express higher memory-associated BCL2 and EOMES, as well as increased accumulation potential and capacity to differentiate into memory phenotype cells. TCF7 retroviral transduction opposes GZMB expression and the formation of KLRG1 pos phenotype cells, demonstrating an active role for TCF7 in extinguishing the effector program and forestalling terminal differentiation. Past the peak of the cellular immune response, we report a gradient of FOXO1 and TCF7 expression, which functions to oppose TBET and orchestrate a continuum of effector-to-memory phenotypes.

  11. De novo alloreactive memory CD8+ T cells develop following allogeneic challenge when CNI immunosuppression is delayed.

    PubMed

    Hart-Matyas, M; Gareau, A J; Hirsch, G M; Lee, T D G

    2015-01-01

    Allospecific memory T cells are a recognized threat to the maintenance of solid-organ transplants. Limited information exists regarding the development of alloreactive memory T cells when post-transplant immunosuppression is present. The clinical practice of delaying calcineurin inhibitor (CNI) initiation post-transplant may permit the development of a de novo allospecific memory population. We investigated the development of de novo allospecific memory CD8+ T cells following the introduction of CNI immunosuppression in a murine model using allogeneic cell priming. Recipient mice alloprimed with splenocytes from fully mismatched donors received cyclosporine (CyA), initiated at 0, 2, 6, or 10days post-prime. Splenocytes from recipients were analyzed by flow cytometry or enzyme-linked immunosorbent assay for evidence of memory cell formation. Memory and effector CD8+ T cell development was prevented when CyA was initiated at 0day or 2days post-prime (p<0.001), but not 6days post-prime. Following a boost challenge, these memory CD8+ T cells were capable of producing a similarly sized population of secondary effectors as recipients not treated with CyA (p>0.05). Delaying CyA up to 6days or later post-prime permits the development of functional de novo allospecific memory CD8+ T cells. The development of this potentially detrimental T cell population in patients could be prevented by starting CNI immunosuppression early post-transplant. Copyright © 2014 Elsevier B.V. All rights reserved.

  12. Resistive switching characteristics of HfO2-based memory devices on flexible plastics.

    PubMed

    Han, Yong; Cho, Kyoungah; Park, Sukhyung; Kim, Sangsig

    2014-11-01

    In this study, we examine the characteristics of HfO2-based resistive switching random access memory (ReRAM) devices on flexible plastics. The Pt/HfO2/Au ReRAM devices exhibit the unipolar resistive switching behaviors caused by the conducting filaments. From the Auger depth profiles of the HfO2 thin film, it is confirmed that the relatively lower oxygen content in the interface of the bottom electrode is responsible for the resistive switching by oxygen vacancies. And the unipolar resistive switching behaviors are analyzed from the C-V characteristics in which negative and positive capacitances are measured in the low-resistance state and the high-resistance state, respectively. The devices have a high on/off ratio of 10(4) and the excellent retention properties even after a continuous bending test of two thousand cycles. The correlation between the device size and the memory characteristics is investigated as well. A relatively smaller-sized device having a higher on/off ratio operates at a higher voltage than a relatively larger-sized device.

  13. Protective Capacity of Memory CD8+ T Cells is Dictated by Antigen Exposure History and Nature of the Infection

    PubMed Central

    Nolz, Jeffrey C.; Harty, John T.

    2011-01-01

    SUMMARY Infection or vaccination confers heightened resistance to pathogen re-challenge due to quantitative and qualitative differences between naïve and primary memory T cells. Herein, we show that secondary (boosted) memory CD8+ T cells were better than primary memory CD8+ T cells in controlling some, but not all acute infections with diverse pathogens. However, secondary memory CD8+ T cells were less efficient than an equal number of primary memory cells at preventing chronic LCMV infection and are more susceptible to functional exhaustion. Importantly, localization of memory CD8+ T cells within lymph nodes, which is reduced by antigen re-stimulation, was critical for both viral control in lymph nodes and for the sustained CD8+ T cell response required to prevent chronic LCMV infection. Thus, repeated antigen-stimulation shapes memory CD8+ T cell populations to either enhance or decrease per cell protective immunity in a pathogen-specific manner, a concept of importance in vaccine design against specific diseases. PMID:21549619

  14. Altered Memory Circulating T Follicular Helper-B Cell Interaction in Early Acute HIV Infection

    PubMed Central

    Muir, Roshell; Metcalf, Talibah; Tardif, Virginie; Takata, Hiroshi; Phanuphak, Nittaya; Kroon, Eugene; Colby, Donn J.; Trichavaroj, Rapee; Valcour, Victor; Robb, Merlin L.; Michael, Nelson L.; Ananworanich, Jintanat; Trautmann, Lydie; Haddad, Elias K.

    2016-01-01

    The RV254 cohort of HIV-infected very early acute (4thG stage 1 and 2) (stage 1/2) and late acute (4thG stage 3) (stage 3) individuals was used to study T helper- B cell responses in acute HIV infection and the impact of early antiretroviral treatment (ART) on T and B cell function. To investigate this, the function of circulating T follicular helper cells (cTfh) from this cohort was examined, and cTfh and memory B cell populations were phenotyped. Impaired cTfh cell function was observed in individuals treated in stage 3 when compared to stage 1/2. The cTfh/B cell cocultures showed lower B cell survival and IgG secretion at stage 3 compared to stage 1/2. This coincided with lower IL-10 and increased RANTES and TNF-α suggesting a role for inflammation in altering cTfh and B cell responses. Elevated plasma viral load in stage 3 was found to correlate with decreased cTfh-mediated B cell IgG production indicating a role for increased viremia in cTfh impairment and dysfunctional humoral response. Phenotypic perturbations were also evident in the mature B cell compartment, most notably a decrease in resting memory B cells in stage 3 compared to stage 1/2, coinciding with higher viremia. Our coculture assay also suggested that intrinsic memory B cell defects could contribute to the impaired response despite at a lower level. Overall, cTfh-mediated B cell responses are significantly altered in stage 3 compared to stage 1/2, coinciding with increased inflammation and a reduction in memory B cells. These data suggest that early ART for acutely HIV infected individuals could prevent immune dysregulation while preserving cTfh function and B cell memory. PMID:27463374

  15. IL-15 signaling promotes adoptive effector T-cell survival and memory formation in irradiation-induced lymphopenia.

    PubMed

    Xu, Aizhang; Bhanumathy, Kalpana Kalyanasundaram; Wu, Jie; Ye, Zhenmin; Freywald, Andrew; Leary, Scot C; Li, Rongxiu; Xiang, Jim

    2016-01-01

    Lymphopenia promotes naïve T-cell homeostatic proliferation and adoptive effector T-cell survival and memory formation. IL-7 plays a critical role in homeostatic proliferation, survival and memory formation of naïve T-cells in lymphopenia, and its underlying molecular mechanism has also been well studied. However, the mechanism for adoptively transferred effector T-cell survival and memory formation is not fully understood. Here, we transferred in vitro-activated transgenic OT-I CD8(+) effector T-cells into irradiation (600 rads)-induced lymphopenic C57BL/6, IL-7 knockout (KO) and IL-15 KO mice, and investigated the survival and memory formation of transferred T-cells in lymphopenia. We demonstrate that transferred T-cells prolong their survival and enhance their memory in lymphopenic mice, in a manner that depends on IL-15 signaling, but not IL-7. We determine that in vitro stimulation of naïve or effector T-cells with IL-7 and IL-15 reduces IL-7Rα, and increases and/or maintains IL-15Rβ expression, respectively. Consistent with these findings, the expression of IL-7Rα and IL-15Rβ is down- and up-regulated, respectively, in vivo on transferred T-cells in an early phase post T-cell transfer in lymphopenia. We further show that in vitro IL-15 restimulation-induced memory T-cells (compared to IL-2 restimulation-induced effector T-cells) and in vivo transferred T-cells in irradiated IL-15-sufficient C57BL/6 mice (compared to IL-15-deficient IL-15 KO mice) have increased mitochondrial content, but less NADH and lower mitochondrial potential (ΔΨm), and demonstrate greater phosphorylation of signal transducers and activators of transcription-5 (STAT5) and Unc-51-like kinase-1 (ULK1), and higher expression of B-cell leukemia/lymphoma-2 (Bcl2) and memory-, autophagy- and mitochondrial biogenesis-related molecules. Irradiation-induced lymphopenia promotes effector T-cell survival via IL-15 signaling the STAT5/Bcl2 pathway, enhances T-cell memory formation via IL

  16. Effect of electrode material on characteristics of non-volatile resistive memory consisting of Ag{sub 2}S nanoparticles

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jang, Jaewon, E-mail: j1jang@knu.ac.kr

    2016-07-15

    In this study, Ag{sub 2}S nanoparticles are synthesized and used as the active material for two-terminal resistance switching memory devices. Sintered Ag{sub 2}S films are successfully crystallized on plastic substrates with synthesized Ag{sub 2}S nanoparticles, after a relatively low-temperature sintering process (200 °C). After the sintering process, the crystallite size is increased from 6.8 nm to 80.3 nm. The high ratio of surface atoms to inner atoms of nanoparticles reduces the melting point temperature, deciding the sintering process temperature. In order to investigate the resistance switching characteristics, metal/Ag{sub 2}S/metal structures are fabricated and tested. The effect of the electrode materialmore » on the non-volatile resistive memory characteristics is studied. The bottom electrochemically inert materials, such as Au and Pt, were critical for maintaining stable memory characteristics. By using Au and Pt inert bottom electrodes, we are able to significantly improve the memory endurance and retention to more than 10{sup 3} cycles and 10{sup 4} sec, respectively.« less

  17. Modulation of Autoimmune T-Cell Memory by Stem Cell Educator Therapy: Phase 1/2 Clinical Trial

    PubMed Central

    Delgado, Elias; Perez-Basterrechea, Marcos; Suarez-Alvarez, Beatriz; Zhou, Huimin; Revuelta, Eva Martinez; Garcia-Gala, Jose Maria; Perez, Silvia; Alvarez-Viejo, Maria; Menendez, Edelmiro; Lopez-Larrea, Carlos; Tang, Ruifeng; Zhu, Zhenlong; Hu, Wei; Moss, Thomas; Guindi, Edward; Otero, Jesus; Zhao, Yong

    2015-01-01

    Background Type 1 diabetes (T1D) is a T cell-mediated autoimmune disease that causes a deficit of pancreatic islet β cells. The complexities of overcoming autoimmunity in T1D have contributed to the challenges the research community faces when devising successful treatments with conventional immune therapies. Overcoming autoimmune T cell memory represents one of the key hurdles. Methods In this open-label, phase 1/phase 2 study, Caucasian T1D patients (N = 15) received two treatments with the Stem Cell Educator (SCE) therapy, an approach that uses human multipotent cord blood-derived multipotent stem cells (CB-SCs). SCE therapy involves a closed-loop system that briefly treats the patient's lymphocytes with CB-SCs in vitro and returns the “educated” lymphocytes (but not the CB-SCs) into the patient's blood circulation. This study is registered with ClinicalTrials.gov, NCT01350219. Findings Clinical data demonstrated that SCE therapy was well tolerated in all subjects. The percentage of naïve CD4+ T cells was significantly increased at 26 weeks and maintained through the final follow-up at 56 weeks. The percentage of CD4+ central memory T cells (TCM) was markedly and constantly increased at 18 weeks. Both CD4+ effector memory T cells (TEM) and CD8+ TEM cells were considerably decreased at 18 weeks and 26 weeks respectively. Additional clinical data demonstrated the modulation of C–C chemokine receptor 7 (CCR7) expressions on naïve T, TCM, and TEM cells. Following two treatments with SCE therapy, islet β-cell function was improved and maintained in individuals with residual β-cell function, but not in those without residual β-cell function. Interpretation Current clinical data demonstrated the safety and efficacy of SCE therapy in immune modulation. SCE therapy provides lasting reversal of autoimmune memory that could improve islet β-cell function in Caucasian subjects. Funding Obra Social “La Caixa”, Instituto de Salud Carlos III, Red de

  18. Cytokine-Induced Memory-Like Differentiation Enhances Unlicensed Natural Killer Cell Antileukemia and FcγRIIIa-Triggered Responses.

    PubMed

    Wagner, Julia A; Berrien-Elliott, Melissa M; Rosario, Maximillian; Leong, Jeffrey W; Jewell, Brea A; Schappe, Timothy; Abdel-Latif, Sara; Fehniger, Todd A

    2017-03-01

    Cytokine-induced memory-like natural killer (NK) cells differentiate after short-term preactivation with IL-12, IL-15, and IL-18 and display enhanced effector function in response to cytokines or tumor targets for weeks after the initial preactivation. Conventional NK cell function depends on a licensing signal, classically delivered by an inhibitory receptor engaging its cognate MHC class I ligand. How licensing status integrates with cytokine-induced memory-like NK cell responses is unknown. We investigated this interaction using killer cell immunoglobulin-like receptor- and HLA-genotyped primary human NK cells. Memory-like differentiation resulted in enhanced IFN-γ production triggered by leukemia targets or FcγRIIIa ligation within licensed NK cells, which exhibited the highest functionality of the NK cell subsets interrogated. IFN-γ production by unlicensed memory-like NK cells was also enhanced to a level comparable with that of licensed control NK cells. Mechanistically, differences in responses to FcγRIIIa-based triggering were not explained by alterations in key signaling intermediates, indicating that the underlying biology of memory-like NK cells is distinct from that of adaptive NK cells in human cytomegalovirus-positive individuals. Additionally, memory-like NK cells responded robustly to cytokine receptor restimulation with no impact of licensing status. These results demonstrate that both licensed and unlicensed memory-like NK cell populations have enhanced functionality, which may be translated to improve leukemia immunotherapy. Copyright © 2017 The American Society for Blood and Marrow Transplantation. Published by Elsevier Inc. All rights reserved.

  19. Tracing Donor-MHC Class II Reactive B cells in Mouse Cardiac Transplantation: Delayed CTLA4-Ig Treatment Prevents Memory Alloreactive B-Cell Generation.

    PubMed

    Yang, Jinghui; Chen, Jianjun; Young, James S; Wang, Qiang; Yin, Dengping; Sciammas, Roger; Chong, Anita S

    2016-08-01

    The dual role of B cells as drivers and suppressors of the immune responses have underscored the need to trace the fate of B cells recognizing donor major histocompatibility complex class I and class II after allograft transplantation. In this study, we used donor class II tetramers to trace the fate of I-E-specific B cells after immunization with BALB/c spleen cells or cardiac transplantation, in naive or sensitized C57BL/6 recipients. We combined this approach with genetic lineage tracing of memory B cells in activation-induced cytidine deaminase regulated Cre transgenic mice crossed to the ROSA26-enhanced yellow fluorescent protein reporter mice to track endogenous I-E-specific memory B cell generation. Immunization with BALB/c splenocytes or heart transplantation induced an expansion and differentiation of I-E-specific B cells into germinal center B cells, whereas BALB/c heart transplantation into sensitized recipients induced the preferential differentiation into antibody-secreting cells. A 10.8-fold increase in the frequency of I-E-specific memory B cells was observed by day 42 postimmunization. Treatment with CTLA4-Ig starting on day 0 or day 7 postimmunization abrogated I-E-specific memory B cell generation and sensitized humoral responses, but not if treatment commenced on day 14. The majority of donor-specific memory B cells are generated between days 7 and 14 postimmunization, thus revealing a flexible timeframe whereby delayed CTLA4-Ig administration can inhibit sensitization and the generation of memory graft-reactive B cells.

  20. SPATIAL MEMORY IMPAIRMENT AND HIPPOCAMPAL CELL LOSS INDUCED BY OKADAIC ACID (EXPERIMENTAL STUDY).

    PubMed

    Chighladze, M; Dashniani, M; Beselia, G; Kruashvili, L; Naneishvili, T

    2016-01-01

    In the present study, we evaluated and compared effect of intracerebroventricular (ICV) and intrahippocampal bilateral microinjection of okadaic acid (OA) on spatial memory function assessed in one day water maze paradigm and hippocampal structure in rats. Rats were divided in following groups: Control(icv) - rats injected with ICV and aCSF; Control(hipp) - rats injected intrahippocampally with aCSF; OAicv - rats injected with ICV and OA; OAhipp - rats injected intrahippocampally with OA. Nissl staining of hippocampal sections showed that the pyramidal cell loss in OAhipp group is significantly higher than that in the OAicv. The results of behavioral experiments showed that ICV or intrahippocampal bilateral microinjection of OA did not affect learning process and short-term spatial memory but induced impairment in spatial long-term memory assessed in probe test performance 24 h after training. OA-induced spatial memory impairment may be attributed to the hippocampal cell death. Based on these results OA induced memory deficit and hippocampal cell loss in rat may be considered as a potential animal model for preclinical evaluation of antidementic drug activity.

  1. Protecting and rescuing the effectors: roles of differentiation and survival in the control of memory T cell development

    PubMed Central

    Kurtulus, Sema; Tripathi, Pulak; Hildeman, David A.

    2013-01-01

    Vaccines, arguably the single most important intervention in improving human health, have exploited the phenomenon of immunological memory. The elicitation of memory T cells is often an essential part of successful long-lived protective immunity. Our understanding of T cell memory has been greatly aided by the development of TCR Tg mice and MHC tetrameric staining reagents that have allowed the precise tracking of antigen-specific T cell responses. Indeed, following acute infection or immunization, naïve T cells undergo a massive expansion culminating in the generation of a robust effector T cell population. This peak effector response is relatively short-lived and, while most effector T cells die by apoptosis, some remain and develop into memory cells. Although the molecular mechanisms underlying this cell fate decision remain incompletely defined, substantial progress has been made, particularly with regards to CD8+ T cells. For example, the effector CD8+ T cells generated during a response are heterogeneous, consisting of cells with more or less potential to develop into full-fledged memory cells. Development of CD8+ T cell memory is regulated by the transcriptional programs that control the differentiation and survival of effector T cells. While the type of antigenic stimulation and level of inflammation control effector CD8+ T cell differentiation, availability of cytokines and their ability to control expression and function of Bcl-2 family members governs their survival. These distinct differentiation and survival programs may allow for finer therapeutic intervention to control both the quality and quantity of CD8+ T cell memory. Effector to memory transition of CD4+ T cells is less well characterized than CD8+ T cells, emerging details will be discussed. This review will focus on the recent progress made in our understanding of the mechanisms underlying the development of T cell memory with an emphasis on factors controlling survival of effector T cells

  2. Resistive switching characteristics of polymer non-volatile memory devices in a scalable via-hole structure.

    PubMed

    Kim, Tae-Wook; Choi, Hyejung; Oh, Seung-Hwan; Jo, Minseok; Wang, Gunuk; Cho, Byungjin; Kim, Dong-Yu; Hwang, Hyunsang; Lee, Takhee

    2009-01-14

    The resistive switching characteristics of polyfluorene-derivative polymer material in a sub-micron scale via-hole device structure were investigated. The scalable via-hole sub-microstructure was fabricated using an e-beam lithographic technique. The polymer non-volatile memory devices varied in size from 40 x 40 microm(2) to 200 x 200 nm(2). From the scaling of junction size, the memory mechanism can be attributed to the space-charge-limited current with filamentary conduction. Sub-micron scale polymer memory devices showed excellent resistive switching behaviours such as a large ON/OFF ratio (I(ON)/I(OFF) approximately 10(4)), excellent device-to-device switching uniformity, good sweep endurance, and good retention times (more than 10,000 s). The successful operation of sub-micron scale memory devices of our polyfluorene-derivative polymer shows promise to fabricate high-density polymer memory devices.

  3. gp49B-mediated negative regulation of antibody production by memory and marginal zone B cells.

    PubMed

    Fukao, Saori; Haniuda, Kei; Nojima, Takuya; Takai, Toshiyuki; Kitamura, Daisuke

    2014-07-15

    The rapid Ab responses observed after primary and secondary immunizations are mainly derived from marginal zone (MZ) and memory B cells, respectively, but it is largely unknown how these responses are negatively regulated. Several inhibitory receptors have been identified and their roles have been studied, but mainly on follicular B cells and much less so on MZ B, and never on memory B cells. gp49B is an Ig superfamily member that contains two ITIMs in its cytoplasmic tail, and it has been shown to negatively regulate mast cell, macrophage, and NK cell responses. In this study, we demonstrate that gp49B is preferentially expressed on memory and MZ B cells. We show that gp49B(-/-) mice produce more IgM after a primary immunization and more IgM and IgG1 after a secondary immunization than gp49B(+/+) mice in T cell-dependent immune responses. Memory and MZ B cells from gp49B(-/-) mice also produce more Abs upon in vitro stimulation with CD40 than those from gp49B(+/+) mice. The in vitro IgM production by MZ B cells from gp49B(+/+), but not gp49B(-/-), mice is suppressed by interaction with a putative gp49B ligand, the integrin αvβ3 heterodimer. In addition, gp49B(-/-) mice exhibited exaggerated IgE production in the memory recall response. These results suggest that plasma cell development from memory and MZ B cells, as well as subsequent Ab production, are suppressed via gp49B. In memory B cells, this suppression also prevents excessive IgE production, thus curtailing allergic diseases. Copyright © 2014 by The American Association of Immunologists, Inc.

  4. Diet-induced obesity does not impact the generation and maintenance of primary memory CD8 T cells.

    PubMed

    Khan, Shaniya H; Hemann, Emily A; Legge, Kevin L; Norian, Lyse A; Badovinac, Vladimir P

    2014-12-15

    The extent to which obesity compromises the differentiation and maintenance of protective memory CD8 T cell responses and renders obese individuals susceptible to infection remains unknown. In this study, we show that diet-induced obesity did not impact the maintenance of pre-existing memory CD8 T cells, including acquisition of a long-term memory phenotype (i.e., CD27(hi), CD62L(hi), KLRG1(lo)) and function (i.e., cytokine production, secondary expansion, and memory CD8 T cell-mediated protection). Additionally, obesity did not influence the differentiation and maintenance of newly evoked memory CD8 T cell responses in inbred and outbred hosts generated in response to different types of systemic (LCMV, L. monocytogenes) and/or localized (influenza virus) infections. Interestingly, the rate of naive-to-memory CD8 T cell differentiation after a peptide-coated dendritic cell immunization was similar in lean and obese hosts, suggesting that obesity-associated inflammation, unlike pathogen- or adjuvant-induced inflammation, did not influence the development of endogenous memory CD8 T cell responses. Therefore, our studies reveal that the obese environment does not influence the development or maintenance of memory CD8 T cell responses that are either primed before or after obesity is established, a surprising notion with important implications for future studies aiming to elucidate the role obesity plays in host susceptibility to infections. Copyright © 2014 by The American Association of Immunologists, Inc.

  5. TLR4 ligands lipopolysaccharide and monophosphoryl lipid a differentially regulate effector and memory CD8+ T Cell differentiation.

    PubMed

    Cui, Weiguo; Joshi, Nikhil S; Liu, Ying; Meng, Hailong; Kleinstein, Steven H; Kaech, Susan M

    2014-05-01

    Vaccines formulated with nonreplicating pathogens require adjuvants to help bolster immunogenicity. The role of adjuvants in Ab production has been well studied, but how they influence memory CD8(+) T cell differentiation remains poorly defined. In this study we implemented dendritic cell-mediated immunization to study the effects of commonly used adjuvants, TLR ligands, on effector and memory CD8(+) T cell differentiation in mice. Intriguingly, we found that the TLR4 ligand LPS was far more superior to other TLR ligands in generating memory CD8(+) T cells upon immunization. LPS boosted clonal expansion similar to the other adjuvants, but fewer of the activated CD8(+) T cells died during contraction, generating a larger pool of memory cells. Surprisingly, monophosphoryl lipid A (MPLA), another TLR4 ligand, enhanced clonal expansion of effector CD8(+) T cells, but it also promoted their terminal differentiation and contraction; thus, fewer memory CD8(+) T cells formed, and MPLA-primed animals were less protected against secondary infection compared with those primed with LPS. Furthermore, gene expression profiling revealed that LPS-primed effector cells displayed a stronger pro-memory gene expression signature, whereas the gene expression profile of MPLA-primed effector cells aligned closer with terminal effector CD8(+) T cells. Lastly, we demonstrated that the LPS-TLR4-derived "pro-memory" signals were MyD88, but not Toll/IL-1R domain-containing adapter inducing IFN-β, dependent. This study reveals the influential power of adjuvants on the quantity and quality of CD8(+) T cell memory, and that attention to adjuvant selection is crucial because boosting effector cell expansion may not always equate with more memory T cells or greater protection.

  6. Scaling dependence of memory windows and different carrier charging behaviors in Si nanocrystal nonvolatile memory devices

    NASA Astrophysics Data System (ADS)

    Yu, Jie; Chen, Kun-ji; Ma, Zhong-yuan; Zhang, Xin-xin; Jiang, Xiao-fan; Wu, Yang-qing; Huang, Xin-fan; Oda, Shunri

    2016-09-01

    Based on the charge storage mode, it is important to investigate the scaling dependence of memory performance in silicon nanocrystal (Si-NC) nonvolatile memory (NVM) devices for its scaling down limit. In this work, we made eight kinds of test key cells with different gate widths and lengths by 0.13-μm node complementary metal oxide semiconductor (CMOS) technology. It is found that the memory windows of eight kinds of test key cells are almost the same of about 1.64 V @ ± 7 V/1 ms, which are independent of the gate area, but mainly determined by the average size (12 nm) and areal density (1.8 × 1011/cm2) of Si-NCs. The program/erase (P/E) speed characteristics are almost independent of gate widths and lengths. However, the erase speed is faster than the program speed of test key cells, which is due to the different charging behaviors between electrons and holes during the operation processes. Furthermore, the data retention characteristic is also independent of the gate area. Our findings are useful for further scaling down of Si-NC NVM devices to improve the performance and on-chip integration. Project supported by the State Key Development Program for Basic Research of China (Grant No. 2010CB934402) and the National Natural Science Foundation of China (Grant Nos. 11374153, 61571221, and 61071008).

  7. Oxide Structure Dependence of SiO2/SiOx/3C-SiC/n-Type Si Nonvolatile Resistive Memory on Memory Operation Characteristics

    NASA Astrophysics Data System (ADS)

    Yamaguchi, Yuichiro; Shouji, Masatsugu; Suda, Yoshiyuki

    2012-11-01

    We have investigated the dependence of the oxide layer structure of our previously proposed metal/SiO2/SiOx/3C-SiC/n-Si/metal metal-insulator-semiconductor (MIS) resistive memory device on the memory operation characteristics. The current-voltage (I-V) measurement and X-ray photoemission spectroscopy results suggest that SiOx defect states mainly caused by the oxidation of 3C-SiC at temperatures below 1000 °C are related to the hysteresis memory behavior in the I-V curve. By restricting the SiOx interface region, the number of switching cycles and the on/off current ratio are more enhanced. Compared with a memory device formed by one-step or two-step oxidation of 3C-SiC, a memory device formed by one-step oxidation of Si/3C-SiC exhibits a more restrictive SiOx interface with a more definitive SiO2 layer and higher memory performances for both the endurance switching cycle and on/off current ratio.

  8. The contribution of epigenetic memory to immunologic memory.

    PubMed

    Zediak, Valerie P; Wherry, E John; Berger, Shelley L

    2011-04-01

    Memory T lymphocytes are distinct from antigen-inexperienced naïve T cells in that memory T cells can respond more rapidly when they re-encounter a pathogen. Work over the past decade has begun to define the epigenetic underpinnings of the transcriptional component of the memory T cell response. An emerging theme is the persistence of an active chromatin signature at relevant gene loci in resting memory T cells, even when those genes are transcriptionally inactive. This gives strength to the concept of gene poising, and has shown that memory T lymphocytes are an ideal model in which to further define various mechanisms of epigenetic poising. Copyright © 2011 Elsevier Ltd. All rights reserved.

  9. Thermally efficient and highly scalable In2Se3 nanowire phase change memory

    NASA Astrophysics Data System (ADS)

    Jin, Bo; Kang, Daegun; Kim, Jungsik; Meyyappan, M.; Lee, Jeong-Soo

    2013-04-01

    The electrical characteristics of nonvolatile In2Se3 nanowire phase change memory are reported. Size-dependent memory switching behavior was observed in nanowires of varying diameters and the reduction in set/reset threshold voltage was as low as 3.45 V/6.25 V for a 60 nm nanowire, which is promising for highly scalable nanowire memory applications. Also, size-dependent thermal resistance of In2Se3 nanowire memory cells was estimated with values as high as 5.86×1013 and 1.04×106 K/W for a 60 nm nanowire memory cell in amorphous and crystalline phases, respectively. Such high thermal resistances are beneficial for improvement of thermal efficiency and thus reduction in programming power consumption based on Fourier's law. The evaluation of thermal resistance provides an avenue to develop thermally efficient memory cell architecture.

  10. Diet-induced obesity in mice reduces the maintenance of influenza-specific CD8+ memory T cells.

    PubMed

    Karlsson, Erik A; Sheridan, Patricia A; Beck, Melinda A

    2010-09-01

    Obesity has been associated with increasing the risk for type 2 diabetes and heart disease, but its influence on the immune response to viral infection is understudied. Memory T cells generated during a primary influenza infection are important for protection against subsequent influenza exposures. Previously, we have demonstrated that diet-induced obese (DIO) mice have increased morbidity and mortality following secondary influenza infection compared with lean mice. To determine whether the problem resided in a failure to maintain functional, influenza-specific CD8(+) memory T cells, male DIO and lean mice were infected with influenza X-31. At 84 d postinfection, DIO mice had a 10% reduction in memory T cell numbers. This reduction may have resulted from significantly reduced memory T cell expression of interleukin 2 receptor beta (IL-2R beta, CD122), but not IL-7 receptor alpha (CD127), which are both required for memory cell maintenance. Peripheral leptin resistance in the DIO mice may be a contributing factor to the impairment. Indeed, leptin receptor mRNA expression was significantly reduced in the lungs of obese mice, whereas suppressor of cytokine signaling (Socs)1 and Socs3 mRNA expression were increased. It is imperative to understand how the obese state alters memory T cells, because impairment in maintenance of functional memory responses has important implications for vaccine efficacy in an obese population.

  11. Peripheral blood-derived virus-specific memory stem T cells mature to functional effector memory subsets with self-renewal potency.

    PubMed

    Schmueck-Henneresse, Michael; Sharaf, Radwa; Vogt, Katrin; Weist, Benjamin J D; Landwehr-Kenzel, Sybille; Fuehrer, Henrike; Jurisch, Anke; Babel, Nina; Rooney, Cliona M; Reinke, Petra; Volk, Hans-Dieter

    2015-06-01

    Memory T cells expressing stem cell-like properties have been described recently. The capacity of self-renewal and differentiation into various memory/effector subsets make them attractive for adoptive T cell therapy to combat severe virus infections and tumors. The very few reports on human memory stem T cells (T(SCM)) are restricted to analyses on polyclonal T cells, but extensive data on Ag-specific T(SCM )are missing. This might be due to their very low frequency limiting their enrichment and characterization. In this article, we provide functional and phenotypic data on human viral-specific T(SCM), defined as CD8(+)CD45RA(+)CCR7(+)CD127(+)CD95(+). Whereas <1% of total T cells express the T(SCM) phenotype, human CMV-specific T(SCM) can be detected at frequencies similar to those seen in other subsets, resulting in ∼ 1 /10,000 human CMV-specific T(SCM). A new virus-specific expansion protocol of sort-purified T(SCM) reveals both upregulation of various T cell subset markers and preservation of their stem cell phenotype in a significant proportion, indicating both self-renewal and differentiation potency of virus-specific T cells sharing their TCR repertoire. Furthermore, we describe a simplified culture protocol that allows fast expansion of virus-specific T(SCM) starting from a mixed naive T/T(SCM) pool of PBLs. Due to the clinical-grade compatibility, this might be the basis for novel cell therapeutic options in life-threatening courses of viral and tumor disease. Copyright © 2015 by The American Association of Immunologists, Inc.

  12. CD22 is required for formation of memory B cell precursors within germinal centers.

    PubMed

    Chappell, Craig P; Draves, Kevin E; Clark, Edward A

    2017-01-01

    CD22 is a BCR co-receptor that regulates B cell signaling, proliferation and survival and is required for T cell-independent Ab responses. To investigate the role of CD22 during T cell-dependent (TD) Ab responses and memory B cell formation, we analyzed Ag-specific B cell responses generated by wild-type (WT) or CD22-/- B cells following immunization with a TD Ag. CD22-/- B cells mounted normal early Ab responses yet failed to generate either memory B cells or long-lived plasma cells, whereas WT B cells formed both populations. Surprisingly, B cell expansion and germinal center (GC) differentiation were comparable between WT and CD22-/- B cells. CD22-/- B cells, however, were significantly less capable of generating a population of CXCR4hiCD38hi GC B cells, which we propose represent memory B cell precursors within GCs. These results demonstrate a novel role for CD22 during TD humoral responses evident during primary GC formation and underscore that CD22 functions not only during B cell maturation but also during responses to both TD and T cell-independent antigens.

  13. CD22 is required for formation of memory B cell precursors within germinal centers

    PubMed Central

    Chappell, Craig P.; Draves, Kevin E.

    2017-01-01

    CD22 is a BCR co-receptor that regulates B cell signaling, proliferation and survival and is required for T cell-independent Ab responses. To investigate the role of CD22 during T cell-dependent (TD) Ab responses and memory B cell formation, we analyzed Ag-specific B cell responses generated by wild-type (WT) or CD22-/- B cells following immunization with a TD Ag. CD22-/- B cells mounted normal early Ab responses yet failed to generate either memory B cells or long-lived plasma cells, whereas WT B cells formed both populations. Surprisingly, B cell expansion and germinal center (GC) differentiation were comparable between WT and CD22-/- B cells. CD22-/- B cells, however, were significantly less capable of generating a population of CXCR4hiCD38hi GC B cells, which we propose represent memory B cell precursors within GCs. These results demonstrate a novel role for CD22 during TD humoral responses evident during primary GC formation and underscore that CD22 functions not only during B cell maturation but also during responses to both TD and T cell-independent antigens. PMID:28346517

  14. Adoptive transfer of CD8+ T cells generated from induced pluripotent stem cells triggers regressions of large tumors along with immunological memory

    PubMed Central

    Saito, Hidehito; Okita, Keisuke; Chang, Alfred E.; Ito, Fumito

    2016-01-01

    Current approaches to adoptive T cell therapy are limited by the difficulty of obtaining sufficient numbers of T cells against targeted antigens with useful in vivo characteristics. Theoretically, this limitation could be overcome by using induced pluripotent stem cells (iPSCs) that could provide an unlimited source of autologous T cells. However, the therapeutic efficacy of iPSC-derived regenerated T cells remains to be demonstrated. Here we report the first successful reprogramming of T-cell receptor (TCR) transgenic CD8+ T cells into pluripotency. As part of the work, we established a syngeneic mouse model for evaluating in vitro and in vivo antitumor reactivity of regenerated T cells from iPSCs bearing a rearranged TCR of known antigen specificity. Stably TCR retained T cell-derived iPSCs differentiated into CD4+CD8+ T cells that expressed CD3 and the desired TCR in vitro. Stimulation of iPSC-derived CD4+CD8+ T cells with the cognate antigen in the presence of IL-7 and IL-15 followed by expansion with IL-2, IL-7 and IL-15 generated large numbers of less-differentiated CD8+ T cells with antigen-specific potent cytokine production and cytolytic capacity. Furthermore, adoptively transferred iPSC-derived CD8+ T cells escaped immune rejection, mediated effective regression of large tumors, improved survival, and established antigen-specific immunological memory. Our findings illustrate the translational potential of iPSCs to provide an unlimited number of phenotypically defined, functional, and expandable autologous antigen-specific T cells with the characteristics needed to enable in vivo effectiveness. PMID:27197199

  15. Development of memory CD8+ T cells and their recall responses during blood-stage infection with Plasmodium berghei ANKA.

    PubMed

    Miyakoda, Mana; Kimura, Daisuke; Honma, Kiri; Kimura, Kazumi; Yuda, Masao; Yui, Katsuyuki

    2012-11-01

    Conditions required for establishing protective immune memory vary depending on the infecting microbe. Although the memory immune response against malaria infection is generally thought to be relatively slow to develop and can be lost rapidly, experimental evidence is insufficient. In this report, we investigated the generation, maintenance, and recall responses of Ag-specific memory CD8(+) T cells using Plasmodium berghei ANKA expressing OVA (PbA-OVA) as a model system. Mice were transferred with OVA-specific CD8(+) T (OT-I) cells and infected with PbA-OVA or control Listeria monocytogenes expressing OVA (LM-OVA). Central memory type OT-I cells were maintained for >2 mo postinfection and recovery from PbA-OVA. Memory OT-I cells produced IFN-γ as well as TNF-α upon activation and were protective against challenge with a tumor expressing OVA, indicating that functional memory CD8(+) T cells can be generated and maintained postinfection with P. berghei ANKA. Cotransfer of memory OT-I cells with naive OT-I cells to mice followed by infection with PbA-OVA or LM-OVA revealed that clonal expansion of memory OT-I cells was limited during PbA-OVA infection compared with expansion of naive OT-I cells, whereas it was more rapid during LM-OVA infection. The expression of inhibitory receptors programmed cell death-1 and LAG-3 was higher in memory-derived OT-I cells than naive-derived OT-I cells during infection with PbA-OVA. These results suggest that memory CD8(+) T cells can be established postinfection with P. berghei ANKA, but their recall responses during reinfection are more profoundly inhibited than responses of naive CD8(+) T cells.

  16. Cobalt germanide nanostructure formation and memory characteristic enhancement in silicon oxide films

    NASA Astrophysics Data System (ADS)

    Joo, Beom Soo; Kim, Hyunseung; Jang, Seunghun; Han, Dongwoo; Han, Moonsup

    2018-08-01

    We investigated nano-floating gate memory having a charge trap layer (CTL) composed of cobalt germanide nanostructure (ns-CoGe). A tunneling oxide layer; a CTL containing Co, Ge, and Si; and a blocking oxide layer were sequentially deposited on a p-type silicon substrate by RF magnetron sputtering and low-pressure chemical vapor deposition. We optimized the CTL formation conditions by rapid thermal annealing at a somewhat low temperature (about 830 °C) by considering the differences in Gibbs free energy and chemical enthalpy among the components. To characterize the charge storage properties, capacitance-voltage (C-V) measurements were performed. Further, we used X-ray photoelectron spectroscopy for chemical analysis of the CTL. In this work, we not only report that the C-V measurement shows a remarkable opening of the memory window for the ns-CoGe compared with those of nanostructures composed of Co or Ge alone, but also clarify that the improvement in the memory characteristics originates in the nanostructure formation, which consists mainly of Co-Ge bonds. We expect ns-CoGe to be a strong candidate for fabrication of next-generation memory devices.

  17. Methyltransferases mediate cell memory of a genotoxic insult.

    PubMed

    Rugo, R E; Mutamba, J T; Mohan, K N; Yee, T; Chaillet, J R; Greenberger, J S; Engelward, B P

    2011-02-10

    Characterization of the direct effects of DNA-damaging agents shows how DNA lesions lead to specific mutations. Yet, serum from Hiroshima survivors, Chernobyl liquidators and radiotherapy patients can induce a clastogenic effect on naive cells, showing indirect induction of genomic instability that persists years after exposure. Such indirect effects are not restricted to ionizing radiation, as chemical genotoxins also induce heritable and transmissible genomic instability phenotypes. Although such indirect induction of genomic instability is well described, the underlying mechanism has remained enigmatic. Here, we show that mouse embryonic stem cells exposed to γ-radiation bear the effects of the insult for weeks. Specifically, conditioned media from the progeny of exposed cells can induce DNA damage and homologous recombination in naive cells. Notably, cells exposed to conditioned media also elicit a genome-destabilizing effect on their neighbouring cells, thus demonstrating transmission of genomic instability. Moreover, we show that the underlying basis for the memory of an insult is completely dependent on two of the major DNA cytosine methyltransferases, Dnmt1 and Dnmt3a. Targeted disruption of these genes in exposed cells completely eliminates transmission of genomic instability. Furthermore, transient inactivation of Dnmt1, using a tet-suppressible allele, clears the memory of the insult, thus protecting neighbouring cells from indirect induction of genomic instability. We have thus demonstrated that a single exposure can lead to long-term, genome-destabilizing effects that spread from cell to cell, and we provide a specific molecular mechanism for these persistent bystander effects. Collectively, our results impact the current understanding of risks from toxin exposures and suggest modes of intervention for suppressing genomic instability in people exposed to carcinogenic genotoxins.

  18. Quantum memory in warm rubidium vapor with buffer gas.

    PubMed

    Bashkansky, Mark; Fatemi, Fredrik K; Vurgaftman, Igor

    2012-01-15

    The realization of quantum memory using warm atomic vapor cells is appealing because of their commercial availability and the perceived reduction in experimental complexity. In spite of the ambiguous results reported in the literature, we demonstrate that quantum memory can be implemented in a single cell with buffer gas using the geometry where the write and read beams are nearly copropagating. The emitted Stokes and anti-Stokes photons display cross-correlation values greater than 2, characteristic of quantum states, for delay times up to 4 μs.

  19. Production of IL-10 by CD4+ regulatory T cells during the resolution of infection promotes the maturation of memory CD8+ T cells

    PubMed Central

    Laidlaw, Brian J; Cui, Weiguo; Amezquita, Robert A; Gray, Simon M; Guan, Tianxia; Lu, Yisi; Kobayashi, Yasushi; Flavell, Richard A; Kleinstein, Steven H; Craft, Joe; Kaech, Susan M

    2016-01-01

    Memory CD8+ T cells are critical for host defense upon reexposure to intracellular pathogens. We found that interleukin 10 (IL-10) derived from CD4+ regulatory T cells (Treg cells) was necessary for the maturation of memory CD8+ T cells following acute infection with lymphocytic choriomeningitis virus (LCMV). Treg cell–derived IL-10 was most important during the resolution phase, calming inflammation and the activation state of dendritic cells. Adoptive transfer of IL-10-sufficient Treg cells during the resolution phase ‘restored’ the maturation of memory CD8+ T cells in IL-10-deficient mice. Our data indicate that Treg cell–derived IL-10 is needed to insulate CD8+ T cells from inflammatory signals, and reveal that the resolution phase of infection is a critical period that influences the quality and function of developing memory CD8+ T cells. PMID:26147684

  20. Oct1 and OCA-B are selectively required for CD4 memory T cell function

    PubMed Central

    Shakya, Arvind; Goren, Alon; Shalek, Alex; German, Cody N.; Snook, Jeremy; Kuchroo, Vijay K.; Yosef, Nir; Chan, Raymond C.; Regev, Aviv

    2015-01-01

    Epigenetic changes are crucial for the generation of immunological memory. Failure to generate or maintain these changes will result in poor memory responses. Similarly, augmenting or stabilizing the correct epigenetic states offers a potential method of enhancing memory. Yet the transcription factors that regulate these processes are poorly defined. We find that the transcription factor Oct1 and its cofactor OCA-B are selectively required for the in vivo generation of CD4+ memory T cells. More importantly, the memory cells that are formed do not respond properly to antigen reencounter. In vitro, both proteins are required to maintain a poised state at the Il2 target locus in resting but previously stimulated CD4+ T cells. OCA-B is also required for the robust reexpression of multiple other genes including Ifng. ChIPseq identifies ∼50 differentially expressed direct Oct1 and OCA-B targets. We identify an underlying mechanism involving OCA-B recruitment of the histone lysine demethylase Jmjd1a to targets such as Il2, Ifng, and Zbtb32. The findings pinpoint Oct1 and OCA-B as central mediators of CD4+ T cell memory. PMID:26481684

  1. Oct1 and OCA-B are selectively required for CD4 memory T cell function.

    PubMed

    Shakya, Arvind; Goren, Alon; Shalek, Alex; German, Cody N; Snook, Jeremy; Kuchroo, Vijay K; Yosef, Nir; Chan, Raymond C; Regev, Aviv; Williams, Matthew A; Tantin, Dean

    2015-11-16

    Epigenetic changes are crucial for the generation of immunological memory. Failure to generate or maintain these changes will result in poor memory responses. Similarly, augmenting or stabilizing the correct epigenetic states offers a potential method of enhancing memory. Yet the transcription factors that regulate these processes are poorly defined. We find that the transcription factor Oct1 and its cofactor OCA-B are selectively required for the in vivo generation of CD4(+) memory T cells. More importantly, the memory cells that are formed do not respond properly to antigen reencounter. In vitro, both proteins are required to maintain a poised state at the Il2 target locus in resting but previously stimulated CD4(+) T cells. OCA-B is also required for the robust reexpression of multiple other genes including Ifng. ChIPseq identifies ∼50 differentially expressed direct Oct1 and OCA-B targets. We identify an underlying mechanism involving OCA-B recruitment of the histone lysine demethylase Jmjd1a to targets such as Il2, Ifng, and Zbtb32. The findings pinpoint Oct1 and OCA-B as central mediators of CD4(+) T cell memory. © 2015 Shakya et al.

  2. Manipulating memory CD8 T cell numbers by timed enhancement of IL-2 signals1

    PubMed Central

    Kim, Marie T.; Kurup, Samarchith P.; Starbeck-Miller, Gabriel R.; Harty, John T.

    2016-01-01

    Due to the growing burden of tumors and chronic infections, manipulating CD8 T cell responses for clinical use has become an important goal for immunologists. Here, we show that dendritic cell (DC) immunization coupled with relatively early (days 1–3) or late (days 4–6) administration of enhanced IL-2-signals both increase peak effector CD8 T cell numbers, but only early IL-2 signals enhance memory numbers. IL-2 signals delivered at relatively late time points drive terminal differentiation, marked Bim mediated contraction and do not increase memory T cell numbers. In contrast, early IL-2 signals induce effector cell metabolic profiles more conducive to memory formation. Of note, down-regulation of CD80 and CD86 was observed on DCs in vivo following early IL-2 treatment. Mechanistically, early IL-2 treatment enhanced CTLA-4 expression on regulatory T (Treg) cells, and CTLA-4 blockade alongside IL-2 treatment in vivo prevented the decrease in CD80 and CD86, supporting a cell-extrinsic role of CTLA-4 in down-regulating B7-ligand expression on DCs. Finally, DC immunization followed by early IL-2 treatment and αCTLA-4 blockade resulted in lower memory CD8 T cell numbers compared to the DC + early IL-2 treatment group. These data suggest that curtailed signaling through the B7-CD28 co-stimulatory axis during CD8 T cell activation limits terminal differentiation and preserves memory CD8 T cell formation and thus, should be considered in future T cell vaccination strategies. PMID:27439516

  3. Antibodies Encoded by FCRL4-Bearing Memory B Cells Preferentially Recognize Commensal Microbial Antigens.

    PubMed

    Liu, Yanling; McDaniel, Jonathan R; Khan, Srijit; Campisi, Paolo; Propst, Evan J; Holler, Theresa; Grunebaum, Eyal; Georgiou, George; Ippolito, Gregory C; Ehrhardt, Götz R A

    2018-06-15

    FCRL4, a low-affinity IgA Ab receptor with strong immunoregulatory potential, is an identifying feature of a tissue-based population of memory B cells (Bmem). We used two independent approaches to perform a comparative analysis of the Ag receptor repertoires of FCRL4 + and FCRL4 - Bmem in human tonsils. We determined that FCRL4 + Bmem displayed lower levels of somatic mutations in their Ag receptors compared with FCRL4 - Bmem but had similar frequencies of variable gene family usage. Importantly, Abs with reactivity to commensal microbiota were enriched in FCRL4 + cells, a phenotype not due to polyreactive binding characteristics. Our study links expression of the immunoregulatory FCRL4 molecule with increased recognition of commensal microbial Ags. Copyright © 2018 by The American Association of Immunologists, Inc.

  4. A new model for CD8+ T cell memory inflation based upon a recombinant adenoviral vector1

    PubMed Central

    Bolinger, Beatrice; Sims, Stuart; O’Hara, Geraldine; de Lara, Catherine; Tchilian, Elma; Firner, Sonja; Engeler, Daniel; Ludewig, Burkhard; Klenerman, Paul

    2013-01-01

    CD8+ T cell memory inflation, first described in murine cytomegalovirus (MCMV) infection, is characterized by the accumulation of high-frequency, functional antigen-specific CD8+ T cell pools with an effector-memory phenotype and enrichment in peripheral organs. Although persistence of antigen is considered essential, the rules underpinning memory inflation are still unclear. The MCMV model is, however, complicated by the virus’s low-level persistence, and stochastic reactivation. We developed a new model of memory inflation based upon a βgal-recombinant adenovirus vector (Ad-LacZ). After i.v. administration in C57BL/6 mice we observe marked memory inflation in the βgal96 epitope, while a second epitope, βgal497, undergoes classical memory formation. The inflationary T cell responses show kinetics, distribution, phenotype and functions similar to those seen in MCMV and are reproduced using alternative routes of administration. Memory inflation in this model is dependent on MHC Class II. As in MCMV, only the inflating epitope showed immunoproteasome-independence. These data define a new model for memory inflation, which is fully replication-independent, internally controlled and reproduces the key immunologic features of the CD8+ T cell response. This model provides insight into the mechanisms responsible for memory inflation, and since it is based on a vaccine vector, also is relevant to novel T cell-inducing vaccines in humans. PMID:23509359

  5. Investigations on the effects of electrode materials on the device characteristics of ferroelectric memory thin film transistors fabricated on flexible substrates

    NASA Astrophysics Data System (ADS)

    Yang, Ji-Hee; Yun, Da-Jeong; Seo, Gi-Ho; Kim, Seong-Min; Yoon, Myung-Han; Yoon, Sung-Min

    2018-03-01

    For flexible memory device applications, we propose memory thin-film transistors using an organic ferroelectric poly(vinylidene fluoride-trifluoroethylene) [P(VDF-TrFE)] gate insulator and an amorphous In-Ga-Zn-O (a-IGZO) active channel. The effects of electrode materials and their deposition methods on the characteristics of memory devices exploiting the ferroelectric field effect were investigated for the proposed ferroelectric memory thin-film transistors (Fe-MTFTs) at flat and bending states. It was found that the plasma-induced sputtering deposition and mechanical brittleness of the indium-tin oxide (ITO) markedly degraded the ferroelectric-field-effect-driven memory window and bending characteristics of the Fe-MTFTs. The replacement of ITO electrodes with metal aluminum (Al) electrodes prepared by plasma-free thermal evaporation greatly enhanced the memory device characteristics even under bending conditions owing to their mechanical ductility. Furthermore, poly(3,4-ethylenedioxythiophene)-poly(styrene sulfonate) (PEDOT:PSS) was introduced to achieve robust bending performance under extreme mechanical stress. The Fe-MTFTs using PEDOT:PSS source/drain electrodes were successfully fabricated and showed the potential for use as flexible memory devices. The suitable choice of electrode materials employed for the Fe-MTFTs is concluded to be one of the most important control parameters for highly functional flexible Fe-MTFTs.

  6. Temperature dependent characteristics of the random telegraph noise on contact resistive random access memory

    NASA Astrophysics Data System (ADS)

    Chang, Liang-Shun; Lin, Chrong Jung; King, Ya-Chin

    2014-01-01

    The temperature dependent characteristics of the random telegraphic noise (RTN) on contact resistive random access memory (CRRAM) are studied in this work. In addition to the bi-level switching, the occurrences of the middle states in the RTN signal are investigated. Based on the unique its temperature dependent characteristics, a new temperature sensing scheme is proposed for applications in ultra-low power sensor modules.

  7. Effector and central memory T helper 2 cells respond differently to peptide immunotherapy

    PubMed Central

    Mackenzie, Karen J.; Nowakowska, Dominika J.; Leech, Melanie D.; McFarlane, Amanda J.; Wilson, Claire; Fitch, Paul M.; O’Connor, Richard A.; Howie, Sarah E. M.; Schwarze, Jürgen; Anderton, Stephen M.

    2014-01-01

    Peptide immunotherapy (PIT) offers realistic prospects for the treatment of allergic diseases, including allergic asthma. Much is understood of the behavior of naive T cells in response to PIT. However, treatment of patients with ongoing allergic disease requires detailed understanding of the responses of allergen-experienced T cells. CD62L expression by allergen-experienced T cells corresponds to effector/effector memory (CD62Llo) and central memory (CD62Lhi) subsets, which vary with allergen exposure (e.g., during, or out with, pollen season). The efficacy of PIT on different T helper 2 (Th2) cell memory populations is unknown. We developed a murine model of PIT in allergic airway inflammation (AAI) driven by adoptively transferred, traceable ovalbumin-experienced Th2 cells. PIT effectively suppressed AAI driven by unfractionated Th2 cells. Selective transfer of CD62Lhi and CD62Llo Th2 cells revealed that these two populations behaved differently from one another and from previously characterized (early deletional) responses of naive CD4+ T cells to PIT. Most notably, allergen-reactive CD62Llo Th2 cells were long-lived within the lung after PIT, before allergen challenge, in contrast to CD62Lhi Th2 cells. Despite this, PIT was most potent against CD62Llo Th2 cells in protecting from AAI, impairing their ability to produce Th2 cytokines, whereas this capacity was heightened in PIT-treated CD62Lhi Th2 cells. We conclude that Th2 cells do not undergo an early deletional form of tolerance after PIT. Moreover, memory Th2 subsets respond differently to PIT. These findings have implications for the clinical translation of PIT in different allergic scenarios. PMID:24516158

  8. Skin vaccination with live virus vectored microneedle arrays induce long lived CD8(+) T cell memory.

    PubMed

    Becker, Pablo D; Hervouet, Catherine; Mason, Gavin M; Kwon, Sung-Yun; Klavinskis, Linda S

    2015-09-08

    A simple dissolvable microneedle array (MA) platform has emerged as a promising technology for vaccine delivery, due to needle-free injection with a formulation that preserves the immunogenicity of live viral vectored vaccines dried in the MA matrix. While recent studies have focused largely on design parameters optimized to induce primary CD8(+) T cell responses, the hallmark of a vaccine is synonymous with engendering long-lasting memory. Here, we address the capacity of dried MA vaccination to programme phenotypic markers indicative of effector/memory CD8(+) T cell subsets and also responsiveness to recall antigen benchmarked against conventional intradermal (ID) injection. We show that despite a slightly lower frequency of dividing T cell receptor transgenic CD8(+) T cells in secondary lymphoid tissue at an early time point, the absolute number of CD8(+) T cells expressing an effector memory (CD62L(-)CD127(+)) and central memory (CD62L(+)CD127(+)) phenotype during peak expansion were comparable after MA and ID vaccination with a recombinant human adenovirus type 5 vector (AdHu5) encoding HIV-1 gag. Similarly, both vaccination routes generated CD8(+) memory T cell subsets detected in draining LNs for at least two years post-vaccination capable of responding to secondary antigen. These data suggest that CD8(+) T cell effector/memory generation and long-term memory is largely unaffected by physical differences in vaccine delivery to the skin via dried MA or ID suspension. Copyright © 2015 Elsevier Ltd. All rights reserved.

  9. Regionally compartmentalized resident memory T cells mediate naturally acquired protection against pneumococcal pneumonia.

    PubMed

    Smith, N Ms; Wasserman, G A; Coleman, F T; Hilliard, K L; Yamamoto, K; Lipsitz, E; Malley, R; Dooms, H; Jones, M R; Quinton, L J; Mizgerd, J P

    2018-01-01

    As children age, they become less susceptible to the diverse microbes causing pneumonia. These microbes are pathobionts that infect the respiratory tract multiple times during childhood, generating immunological memory. To elucidate mechanisms of such naturally acquired immune protection against pneumonia, we modeled a relevant immunological history in mice by infecting their airways with mismatched serotypes of Streptococcus pneumoniae (pneumococcus). Previous pneumococcal infections provided protection against a heterotypic, highly virulent pneumococcus, as evidenced by reduced bacterial burdens and long-term sterilizing immunity. This protection was diminished by depletion of CD4 + cells prior to the final infection. The resolution of previous pneumococcal infections seeded the lungs with CD4 + resident memory T (T RM ) cells, which responded to heterotypic pneumococcus stimulation by producing multiple effector cytokines, particularly interleukin (IL)-17A. Following lobar pneumonias, IL-17-producing CD4 + T RM cells were confined to the previously infected lobe, rather than dispersed throughout the lower respiratory tract. Importantly, pneumonia protection also was confined to that immunologically experienced lobe. Thus regionally localized memory cells provide superior local tissue protection to that mediated by systemic or central memory immune defenses. We conclude that respiratory bacterial infections elicit CD4 + T RM cells that fill a local niche to optimize heterotypic protection of the affected tissue, preventing pneumonia.

  10. Characterization of naïve, memory and effector T cells in progressive multiple sclerosis.

    PubMed

    Nielsen, Birgitte Romme; Ratzer, Rikke; Börnsen, Lars; von Essen, Marina Rode; Christensen, Jeppe Romme; Sellebjerg, Finn

    2017-09-15

    We characterized naïve, central memory (CM), effector memory (EM) and terminally differentiated effector memory (TEMRA) CD4 + and CD8 + T cells and their expression of CD49d and CD26 in peripheral blood in patients with multiple sclerosis (MS) and healthy controls. CD26 + CD28 + CD4 + TEMRA T cells were increased in all subtypes of MS, and CD26 + CD28 + CD8 + TEMRA T cells were increased in relapsing-remitting and secondary progressive MS. Conversely, in progressive MS, CD49d + CM T cells were decreased and natalizumab increased the circulating number of all six subsets but reduced the frequency of most subsets expressing CD49d and CD26. Copyright © 2017 Elsevier B.V. All rights reserved.

  11. Foxp3+ T cells inhibit antitumor immune memory modulated by mTOR inhibition.

    PubMed

    Wang, Yanping; Sparwasser, Tim; Figlin, Robert; Kim, Hyung L

    2014-04-15

    Inhibition of mTOR signaling enhances antitumor memory lymphocytes. However, pharmacologic mTOR inhibition also enhances regulatory T-cell (Treg) activity. To counter this effect, Treg control was added to mTOR inhibition in preclinical models. Tregs were controlled with CD4-depleting antibodies because CD4 depletion has high translational potential and already has a well-established safety profile in patients. The antitumor activity of the combination therapy was CD8 dependent and controlled growth of syngeneic tumors even when an adoptive immunotherapy was not used. Lymphocytes resulting from the combination therapy could be transferred into naïve mice to inhibit aggressive growth of lung metastases. The combination therapy enhanced CD8 memory formation as determined by memory markers and functional studies of immune recall. Removal of FoxP3-expressing T lymphocytes was the mechanism underlying immunologic memory formation following CD4 depletion. This was confirmed using transgenic DEREG (depletion of regulatory T cells) mice to specifically remove Foxp3(+) T cells. It was further confirmed with reciprocal studies where stimulation of immunologic memory because of CD4 depletion was completely neutralized by adoptively transferring tumor-specific Foxp3(+) T cells. Also contributing to tumor control, Tregs that eventually recovered following CD4 depletion were less immunosuppressive. These results provide a rationale for further study of mTOR inhibition and CD4 depletion in patients. ©2014 AACR.

  12. Shape memory alloys: Properties and biomedical applications

    NASA Astrophysics Data System (ADS)

    Mantovani, Diego

    2000-10-01

    Shape memory alloys provide new insights for the design of biomaterials in bioengineering for the design of artificial organs and advanced surgical instruments, since they have specific characteristics and unusual properties. This article will examine (a) the four properties of shape memory alloys, (b) medical applications with high potential for improving the present and future quality of life, and (c) concerns regarding the biocom-patibility properties of nickel-titanium alloys. In particular, the long-term challenges of using shape memory alloys will be discussed, regarding corrosion and potential leakage of elements and ions that could be toxic to cells, tissues and organs.

  13. Negative regulation of NKG2D expression by IL-4 in memory CD8 T cells.

    PubMed

    Ventre, Erwan; Brinza, Lilia; Schicklin, Stephane; Mafille, Julien; Coupet, Charles-Antoine; Marçais, Antoine; Djebali, Sophia; Jubin, Virginie; Walzer, Thierry; Marvel, Jacqueline

    2012-10-01

    IL-4 is one of the main cytokines produced during Th2-inducing pathologies. This cytokine has been shown to affect a number of immune processes such as Th differentiation and innate immune responses. However, the impact of IL-4 on CD8 T cell responses remains unclear. In this study, we analyzed the effects of IL-4 on global gene expression profiles of Ag-induced memory CD8 T cells in the mouse. Gene ontology analysis of this signature revealed that IL-4 regulated most importantly genes associated with immune responses. Moreover, this IL-4 signature overlapped with the set of genes preferentially expressed by memory CD8 T cells over naive CD8 T cells. In particular, IL-4 downregulated in vitro and in vivo in a STAT6-dependent manner the memory-specific expression of NKG2D, thereby increasing the activation threshold of memory CD8 T cells. Furthermore, IL-4 impaired activation of memory cells as well as their differentiation into effector cells. This phenomenon could have an important clinical relevance as patients affected by Th2 pathologies such as parasitic infections or atopic dermatitis often suffer from viral-induced complications possibly linked to inefficient CD8 T cell responses.

  14. IFN-γ Induces the Erosion of Preexisting CD8 T Cell Memory during Infection with a Heterologous Intracellular Bacterium1

    PubMed Central

    Dudani, Renu; Murali-Krishna, Kaja; Krishnan, Lakshmi; Sad, Subash

    2014-01-01

    Memory T cells are critical for the control of intracellular pathogens and require few signals for maintenance; however, erosion of established preexisting memory CD8+ T cells has been shown to occur during infection with heterologous viral infections. We evaluated whether this also occurs during infection with various intracellular bacteria and what mechanisms may be involved. We demonstrate that erosion of established memory is also induced during infection of mice with various intracellular bacteria, such as Listeria monocytogenes, Salmonella typhimurium, and Mycobacterium bovis (bacillus Calmette-Guérin). The extent of erosion of established CD8+ T cell memory was dependent on the virulence of the heterologous pathogen, not persistence. Furthermore, when antibiotics were used to comprehensively eliminate the heterologous pathogen, the numbers of memory CD8+ T cells were not restored, indicating that erosion of preexisting memory CD8+ T cells was irreversible. Irrespective of the initial numbers of memory CD8+ T cells, challenge with the heterologous pathogen resulted in a similar extent of erosion of memory CD8+ T cells, suggesting that cellular competition was not responsible for erosion. After challenge with the heterologous pathogen, effector memory CD8+ T cells were rapidly eliminated. More importantly, erosion of preexisting memory CD8+ T cells was abrogated in the absence of IFN-γ. These studies help reveal the paradoxical role of IFN-γ. Although IFN-γ promotes the control of intracellular bacterial replication during primary infection, this comes at the expense of erosion of preexisting memory CD8+ T cells in the wake of infection with heterologous pathogens. PMID:18641306

  15. Telomerase Is Involved in IL-7-Mediated Differential Survival of Naive and Memory CD4+ T Cells1

    PubMed Central

    Yang, Yinhua; An, Jie; Weng, Nan-ping

    2008-01-01

    IL-7 plays an essential role in T cell maintenance and survival. The survival effect of IL-7 is thought to be mediated through regulation of Bcl2 family proteins. After a comparative analysis of IL-7-induced growth and cell death of human naive and memory CD4+ T cells, we observed that more memory CD4+ T cells underwent cell division and proceeded to apoptosis than naive cells in response to IL-7. However, IL-7-induced expressions of Bcl2 family members (Bcl2, Bcl-xL, Bax, and Bad) were similar between naive and memory cells. Instead, we found that IL-7 induced higher levels of telomerase activity in naive cells than in memory cells, and the levels of IL-7-induced telomerase activity had a significant inverse correlation with cell death in CD4+ T cells. Furthermore, we showed that reducing expression of telomerase reverse transcriptase and telomerase activity significantly increased cell death of IL-7-cultured CD4+ T cells. Together, these findings demonstrate that telomerase is involved in IL-7-mediated differential survival of naive and memory CD4+ T cells. PMID:18322183

  16. Influence of ultraviolet irradiation on data retention characteristics in resistive random access memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kimura, K.; Ohmi, K.; Tottori University Electronic Display Research Center, 101 Minami4-chome, Koyama-cho, Tottori-shi, Tottori 680-8551

    With increasing density of memory devices, the issue of generating soft errors by cosmic rays is becoming more and more serious. Therefore, the irradiation resistance of resistance random access memory (ReRAM) to cosmic radiation has to be elucidated for practical use. In this paper, we investigated the data retention characteristics of ReRAM against ultraviolet irradiation with a Pt/NiO/ITO structure. Soft errors were confirmed to be caused by ultraviolet irradiation in both low- and high-resistance states. An analysis of the wavelength dependence of light irradiation on data retention characteristics suggested that electronic excitation from the valence to the conduction band andmore » to the energy level generated due to the introduction of oxygen vacancies caused the errors. Based on a statistically estimated soft error rates, the errors were suggested to be caused by the cohesion and dispersion of oxygen vacancies owing to the generation of electron-hole pairs and valence changes by the ultraviolet irradiation.« less

  17. Impact of gate work-function on memory characteristics in Al2O3/HfOx/Al2O3/graphene charge-trap memory devices

    NASA Astrophysics Data System (ADS)

    Lee, Sejoon; Song, Emil B.; Kim, Sungmin; Seo, David H.; Seo, Sunae; Won Kang, Tae; Wang, Kang L.

    2012-01-01

    Graphene-based non-volatile memory devices composed of a single-layer graphene channel and an Al2O3/HfOx/Al2O3 charge-storage layer exhibit memory functionality. The impact of the gate material's work-function (Φ) on the memory characteristics is investigated using different types of metals [Ti (ΦTi = 4.3 eV) and Ni (ΦNi = 5.2 eV)]. The ambipolar carrier conduction of graphene results in an enlargement of memory window (ΔVM), which is ˜4.5 V for the Ti-gate device and ˜9.1 V for the Ni-gate device. The increase in ΔVM is attributed to the change in the flat-band condition and the suppression of electron back-injection within the gate stack.

  18. Multibit Polycristalline Silicon-Oxide-Silicon Nitride-Oxide-Silicon Memory Cells with High Density Designed Utilizing a Separated Control Gate

    NASA Astrophysics Data System (ADS)

    Rok Kim, Kyeong; You, Joo Hyung; Dal Kwack, Kae; Kim, Tae Whan

    2010-10-01

    Unique multibit NAND polycrystalline silicon-oxide-silicon nitride-oxide-silicon (SONOS) memory cells utilizing a separated control gate (SCG) were designed to increase memory density. The proposed NAND SONOS memory device based on a SCG structure was operated as two bits, resulting in an increase in the storage density of the NVM devices in comparison with conventional single-bit memories. The electrical properties of the SONOS memory cells with a SCG were investigated to clarify the charging effects in the SONOS memory cells. When the program voltage was supplied to each gate of the NAND SONOS flash memory cells, the electrons were trapped in the nitride region of the oxide-nitride-oxide layer under the gate to supply the program voltage. The electrons were accumulated without affecting the other gate during the programming operation, indicating the absence of cross-talk between two trap charge regions. It is expected that the inference effect will be suppressed by the lower program voltage than the program voltage of the conventional NAND flash memory. The simulation results indicate that the proposed unique NAND SONOS memory cells with a SCG can be used to increase memory density.

  19. Improvement of multi-level resistive switching characteristics in solution-processed AlO x -based non-volatile resistive memory using microwave irradiation

    NASA Astrophysics Data System (ADS)

    Kim, Seung-Tae; Cho, Won-Ju

    2018-01-01

    We fabricated a resistive random access memory (ReRAM) device on a Ti/AlO x /Pt structure with solution-processed AlO x switching layer using microwave irradiation (MWI), and demonstrated multi-level cell (MLC) operation. To investigate the effect of MWI power on the MLC characteristics, post-deposition annealing was performed at 600-3000 W after AlO x switching layer deposition, and the MLC operation was compared with as-deposited (as-dep) and conventional thermally annealing (CTA) treated devices. All solution-processed AlO x -based ReRAM devices exhibited bipolar resistive switching (BRS) behavior. We found that these devices have four-resistance states (2 bits) of MLC operation according to the modulation of the high-resistance state (HRSs) through reset voltage control. Particularly, compared to the as-dep and CTA ReRAM devices, the MWI-treated ReRAM devices showed a significant increase in the memory window and stable endurance for multi-level operation. Moreover, as the MWI power increased, excellent MLC characteristics were exhibited because the resistance ratio between each resistance state was increased. In addition, it exhibited reliable retention characteristics without deterioration at 25 °C and 85 °C for 10 000 s. Finally, the relationship between the chemical characteristics of the solution-processed AlO x switching layer and BRS-based multi-level operation according to the annealing method and MWI power was investigated using x-ray photoelectron spectroscopy.

  20. Aiolos Overexpression in Systemic Lupus Erythematosus B Cell Subtypes and BAFF-Induced Memory B Cell Differentiation Are Reduced by CC-220 Modulation of Cereblon Activity

    PubMed Central

    Nakayama, Yumi; Kosek, Jolanta; Capone, Lori; Schafer, Peter H.

    2017-01-01

    BAFF is a B cell survival and maturation factor implicated in the pathogenesis of systemic lupus erythematosus (SLE). In this in vitro study, we describe that soluble BAFF in combination with IL-2 and IL-21 is a T cell contact-independent inducer of human B cell proliferation, plasmablast differentiation, and IgG secretion from circulating CD27+ memory and memory-like CD27−IgD− double-negative (DN) B cells, but not CD27−IgD+ naive B cells. In contrast, soluble CD40L in combination with IL-2 and IL-21 induces these activities in both memory and naive B cells. Blood from healthy donors and SLE patients have similar circulating levels of IL-2, whereas SLE patients exhibit elevated BAFF and DN B cells and reduced IL-21. B cell differentiation transcription factors in memory, DN, and naive B cells in SLE show elevated levels of Aiolos, whereas Ikaros levels are unchanged. Treatment with CC-220, a modulator of the cullin ring ligase 4-cereblon E3 ubiquitin ligase complex, reduces Aiolos and Ikaros protein levels and BAFF- and CD40L-induced proliferation, plasmablast differentiation, and IgG secretion. The observation that the soluble factors BAFF, IL-2, and IL-21 induce memory and DN B cell activation and differentiation has implications for extrafollicular plasmablast development within inflamed tissue. Inhibition of B cell plasmablast differentiation by reduction of Aiolos and Ikaros may have utility in the treatment of SLE, where elevated levels of BAFF and Aiolos may prime CD27+ memory and DN memory-like B cells to become Ab-producing plasmablasts in the presence of BAFF and proinflammatory cytokines. PMID:28848067

  1. CLONAL MEMORY

    PubMed Central

    McMichael, A. J.; Williamson, A. R.

    1974-01-01

    A single clone of B cells producing anti-DNP antibody recognizable by the isoelectric-focusing spectrum has been used, in a double transfer system, to study clonal memory. Trasnsferable B memory develops between 4 and 7 days after the first transfer with antigen. B-memory cells thus proliferate before or concomitantly with antibody-forming cells. PMID:4545165

  2. Evaluation of Data Retention Characteristics for Ferroelectric Random Access Memories (FRAMs)

    NASA Technical Reports Server (NTRS)

    Sharma, Ashok K.; Teverovsky, Alexander

    2001-01-01

    Data retention and fatigue characteristics of 64 Kb lead zirconate titanate (PZT)-based Ferroelectric Random Access Memories (FRAMs) microcircuits manufactured by Ramtron were examined over temperature range from -85 C to +310 C for ceramic packaged parts and from -85 C to +175 C for plastic parts, during retention periods up to several thousand hours. Intrinsic failures, which were caused by a thermal degradation of the ferroelectric cells, occurred in ceramic parts after tens or hundreds hours of aging at temperatures above 200 C. The activation energy of the retention test failures was 1.05 eV and the extrapolated mean-time-to-failure (MTTF) at room temperature was estimated to be more than 280 years. Multiple write-read cycling (up to 3x10(exp 7)) during the fatigue testing of plastic and ceramic parts did not result in any parametric or functional failures. However, operational currents linearly decreased with the logarithm of number of cycles thus indicating fatigue process in PZT films. Plastic parts, that had more recent date code as compared to ceramic parts, appeared to be using die with improved process technology and showed significantly smaller changes in operational currents and data access times.

  3. Transition of late-stage effector T cells to CD27+ CD28+ tumor-reactive effector memory T cells in humans after adoptive cell transfer therapy

    PubMed Central

    Powell, Daniel J.; Dudley, Mark E.; Robbins, Paul F.; Rosenberg, Steven A.

    2007-01-01

    In humans, the pathways of memory T-cell differentiation remain poorly defined. Recently, adoptive cell transfer (ACT) of tumor-reactive T lymphocytes to metastatic melanoma patients after nonmyeloablative chemotherapy has resulted in persistence of functional, tumor-reactive lymphocytes, regression of disease, and induction of melanocyte-directed autoimmunity in some responding patients. In the current study, longitudinal phenotypic analysis was performed on melanoma antigen–specific CD8+ T cells during their transition from in vitro cultured effector cells to long-term persistent memory cells following ACT to 6 responding patients. Tumor-reactive T cells used for therapy were generally late-stage effector cells with a CD27Lo CD28Lo CD45RA− CD62 ligand− (CD62L−) CC chemokine receptor 7− (CCR7−) interleukin-7 receptor αLo (IL-7RαLo) phenotype. After transfer, rapid up-regulation and continued expression of IL-7Rα in vivo suggested an important role for IL-7R in immediate and long-term T-cell survival. Although the tumor antigen–specific T-cell population contracted between 1 and 4 weeks after transfer, stable numbers of CD27+ CD28+ tumor-reactive T cells were maintained, demonstrating their contribution to the development of long-term, melanoma-reactive memory CD8+ T cells in vivo. At 2 months after transfer, melanoma-reactive T cells persisted at high levels and displayed an effector memory phenotype, including a CD27+ CD28+ CD62L− CCR7− profile, which may explain in part their ability to mediate tumor destruction. PMID:15345595

  4. Circulating CXCR5+CD4+ T Follicular-Like Helper Cell and Memory B Cell Responses to Human Papillomavirus Vaccines

    PubMed Central

    Matsui, Ken; Adelsberger, Joseph W.; Kemp, Troy J.; Baseler, Michael W.; Ledgerwood, Julie E.; Pinto, Ligia A.

    2015-01-01

    Through the interaction of T follicular helper (Tfh) cells and B cells, efficacious vaccines can generate high-affinity, pathogen-neutralizing antibodies, and memory B cells. Using CXCR5, CXCR3, CCR6, CCR7, PD1, and ICOS as markers, Tfh-like cells can be identified in the circulation and be classified into three functionally distinct subsets that are PD1+ICOS+, PD1+ ICOS-, or PD1-ICOS-. We used these markers to identify different subsets of CXCR5+CD4+ Tfh-like cells in response to highly immunogenic and efficacious vaccines for human papillomaviruses (HPV): Cervarix and Gardasil. In this small study, we used PBMC samples from 11 Gardasil recipients, and 8 Cervarix recipients from the Vaccine Research Center 902 Study to examine the induction of circulating Tfh-like cells and IgD-CD38HiCD27+ memory B cells by flow cytometry. PD1+ICOS+ CXCR3+CCR6-CXCR5+CD4+ (Tfh1-like) cells were induced and peaked on Day (D) 7 post-first vaccination, but not as much on D7 post-third vaccination. We also observed a trend toward increase in PD1+ICOS+ CXCR3-CCR6-CXCR5+CD4+ (Tfh2-like) cells for both vaccines, and PD1+ICOS+ CXCR3-CCR6+CXCR5+CD4+ (Tfh17-like) subset was induced by Cervarix post-first vaccination. There were also minimal changes in the other cellular subsets. In addition, Cervarix recipients had more memory B cells post-first vaccination than did Gardasil recipients at D14 and D30. We found frequencies of memory B cells at D30 correlated with anti-HPV16 and 18 antibody titers from D30, and the induction levels of memory B cells at D30 and PD1+ICOS+Tfh1-like cells at D7 post-first vaccination correlated for Cervarix. Our study showed that induction of circulating CXCR5+CD4+ Tfh-like subsets can be detected following immunization with HPV vaccines, and potentially be useful as a marker of immunogenicity of vaccines. However, further investigations should be extended to different cohorts with larger sample size to better understand the functions of these T cells, as well as

  5. Oseltamivir Prophylaxis Reduces Inflammation and Facilitates Establishment of Cross-Strain Protective T Cell Memory to Influenza Viruses

    PubMed Central

    Hurt, Aeron C.; Oshansky, Christine M.; Oh, Ding Yuan; Reading, Patrick C.; Chua, Brendon Y.; Sun, Yilun; Tang, Li; Handel, Andreas; Jackson, David C.; Turner, Stephen J.; Thomas, Paul G.; Kedzierska, Katherine

    2015-01-01

    CD8+ T cells directed against conserved viral regions elicit broad immunity against distinct influenza viruses, promote rapid virus elimination and enhanced host recovery. The influenza neuraminidase inhibitor, oseltamivir, is prescribed for therapy and prophylaxis, although it remains unclear how the drug impacts disease severity and establishment of effector and memory CD8+ T cell immunity. We dissected the effects of oseltamivir on viral replication, inflammation, acute CD8+ T cell responses and the establishment of immunological CD8+ T cell memory. In mice, ferrets and humans, the effect of osteltamivir on viral titre was relatively modest. However, prophylactic oseltamivir treatment in mice markedly reduced morbidity, innate responses, inflammation and, ultimately, the magnitude of effector CD8+ T cell responses. Importantly, functional memory CD8+ T cells established during the drug-reduced effector phase were capable of mounting robust recall responses. Moreover, influenza-specific memory CD4+ T cells could be also recalled after the secondary challenge, while the antibody levels were unaffected. This provides evidence that long-term memory T cells can be generated during an oseltamivir-interrupted infection. The anti-inflammatory effect of oseltamivir was verified in H1N1-infected patients. Thus, in the case of an unpredicted influenza pandemic, while prophylactic oseltamivir treatment can reduce disease severity, the capacity to generate memory CD8+ T cells specific for the newly emerged virus is uncompromised. This could prove especially important for any new influenza pandemic which often occurs in separate waves. PMID:26086392

  6. Epigenetic Networks Regulate the Transcriptional Program in Memory and Terminally Differentiated CD8+ T Cells.

    PubMed

    Rodriguez, Ramon M; Suarez-Alvarez, Beatriz; Lavín, José L; Mosén-Ansorena, David; Baragaño Raneros, Aroa; Márquez-Kisinousky, Leonardo; Aransay, Ana M; Lopez-Larrea, Carlos

    2017-01-15

    Epigenetic mechanisms play a critical role during differentiation of T cells by contributing to the formation of stable and heritable transcriptional patterns. To better understand the mechanisms of memory maintenance in CD8 + T cells, we performed genome-wide analysis of DNA methylation, histone marking (acetylated lysine 9 in histone H3 and trimethylated lysine 9 in histone), and gene-expression profiles in naive, effector memory (EM), and terminally differentiated EM (TEMRA) cells. Our results indicate that DNA demethylation and histone acetylation are coordinated to generate the transcriptional program associated with memory cells. Conversely, EM and TEMRA cells share a very similar epigenetic landscape. Nonetheless, the TEMRA transcriptional program predicts an innate immunity phenotype associated with genes never reported in these cells, including several mediators of NK cell activation (VAV3 and LYN) and a large array of NK receptors (e.g., KIR2DL3, KIR2DL4, KIR2DL1, KIR3DL1, KIR2DS5). In addition, we identified up to 161 genes that encode transcriptional regulators, some of unknown function in CD8 + T cells, and that were differentially expressed in the course of differentiation. Overall, these results provide new insights into the regulatory networks involved in memory CD8 + T cell maintenance and T cell terminal differentiation. Copyright © 2017 by The American Association of Immunologists, Inc.

  7. Aiolos Overexpression in Systemic Lupus Erythematosus B Cell Subtypes and BAFF-Induced Memory B Cell Differentiation Are Reduced by CC-220 Modulation of Cereblon Activity.

    PubMed

    Nakayama, Yumi; Kosek, Jolanta; Capone, Lori; Hur, Eun Mi; Schafer, Peter H; Ringheim, Garth E

    2017-10-01

    BAFF is a B cell survival and maturation factor implicated in the pathogenesis of systemic lupus erythematosus (SLE). In this in vitro study, we describe that soluble BAFF in combination with IL-2 and IL-21 is a T cell contact-independent inducer of human B cell proliferation, plasmablast differentiation, and IgG secretion from circulating CD27 + memory and memory-like CD27 - IgD - double-negative (DN) B cells, but not CD27 - IgD + naive B cells. In contrast, soluble CD40L in combination with IL-2 and IL-21 induces these activities in both memory and naive B cells. Blood from healthy donors and SLE patients have similar circulating levels of IL-2, whereas SLE patients exhibit elevated BAFF and DN B cells and reduced IL-21. B cell differentiation transcription factors in memory, DN, and naive B cells in SLE show elevated levels of Aiolos, whereas Ikaros levels are unchanged. Treatment with CC-220, a modulator of the cullin ring ligase 4-cereblon E3 ubiquitin ligase complex, reduces Aiolos and Ikaros protein levels and BAFF- and CD40L-induced proliferation, plasmablast differentiation, and IgG secretion. The observation that the soluble factors BAFF, IL-2, and IL-21 induce memory and DN B cell activation and differentiation has implications for extrafollicular plasmablast development within inflamed tissue. Inhibition of B cell plasmablast differentiation by reduction of Aiolos and Ikaros may have utility in the treatment of SLE, where elevated levels of BAFF and Aiolos may prime CD27 + memory and DN memory-like B cells to become Ab-producing plasmablasts in the presence of BAFF and proinflammatory cytokines. Copyright © 2017 by The American Association of Immunologists, Inc.

  8. Characterization of a CD44/CD122int memory CD8 T cell subset generated under sterile inflammatory conditions.

    PubMed

    Mbitikon-Kobo, Florentin-Martial; Vocanson, Marc; Michallet, Marie-Cécile; Tomkowiak, Martine; Cottalorda, Anne; Angelov, Georgi S; Coupet, Charles-Antoine; Djebali, Sophia; Marçais, Antoine; Dubois, Bertrand; Bonnefoy-Bérard, Nathalie; Nicolas, Jean-François; Arpin, Christophe; Marvel, Jacqueline

    2009-03-15

    Most memory CD8 T cell subsets that have been hitherto defined are generated in response to infectious pathogens. In this study, we have characterized the CD8 T cells that survive priming conditions, devoid of pathogen-derived danger signals. In both a TCR-transgenic model and a model of contact hypersensitivity, we show that the priming of naive CD8 T cells under sterile inflammatory conditions generates memory. The corresponding memory CD8 T cells can be identified by their intermediate expression levels of CD44 and CD122. We also show that CD44/122(int) memory CD8 T cells spontaneously develop in wild type mice and that they display intermediate levels of several other memory traits including functional (IFN-gamma secretion capacity, CCL5 messenger stores), phenotypic, and molecular (T-bet and eomesodermin expression levels) features. We finally show that they correspond to an early differentiation stage and can further differentiate in CD44/122(high) memory T cells. Altogether, our results identify a new memory CD8 T cell subset that is generated under sterile inflammatory conditions and involved in the recall contact hypersensitivity reactions that are responsible for allergic contact dermatitis.

  9. Role of nanorods insertion layer in ZnO-based electrochemical metallization memory cell

    NASA Astrophysics Data System (ADS)

    Mangasa Simanjuntak, Firman; Singh, Pragya; Chandrasekaran, Sridhar; Juanda Lumbantoruan, Franky; Yang, Chih-Chieh; Huang, Chu-Jie; Lin, Chun-Chieh; Tseng, Tseung-Yuen

    2017-12-01

    An engineering nanorod array in a ZnO-based electrochemical metallization device for nonvolatile memory applications was investigated. A hydrothermally synthesized nanorod layer was inserted into a Cu/ZnO/ITO device structure. Another device was fabricated without nanorods for comparison, and this device demonstrated a diode-like behavior with no switching behavior at a low current compliance (CC). The switching became clear only when the CC was increased to 75 mA. The insertion of a nanorods layer induced switching characteristics at a low operation current and improve the endurance and retention performances. The morphology of the nanorods may control the switching characteristics. A forming-free electrochemical metallization memory device having long switching cycles (>104 cycles) with a sufficient memory window (103 times) for data storage application, good switching stability and sufficient retention was successfully fabricated by adjusting the morphology and defect concentration of the inserted nanorod layer. The nanorod layer not only contributed to inducing resistive switching characteristics but also acted as both a switching layer and a cation diffusion control layer.

  10. Reversible Reprogramming of Circulating Memory T Follicular Helper Cell Function during Chronic HIV Infection

    PubMed Central

    Cubas, Rafael; van Grevenynghe, Julien; Wills, Saintedym; Kardava, Lela; Santich, Brian H.; Buckner, Clarisa M.; Muir, Roshell; Tardif, Virginie; Nichols, Carmen; Procopio, Francesco; He, Zhong; Metcalf, Talibah; Ghneim, Khader; Locci, Michela; Ancuta, Petronella; Routy, Jean-Pierre; Trautmann, Lydie; Li, Yuxing; McDermott, Adrian B.; Koup, Rick A.; Petrovas, Constantinos; Migueles, Steven A.; Connors, Mark; Tomaras, Georgia D.; Moir, Susan; Crotty, Shane

    2015-01-01

    Despite the overwhelming benefits of antiretroviral therapy (ART) in curtailing viral load in HIV-infected individuals, ART does not fully restore cellular and humoral immunity. HIV-infected individuals under ART show reduced responses to vaccination and infections and are unable to mount an effective antiviral immune response upon ART cessation. Many factors contribute to these defects, including persistent inflammation, especially in lymphoid tissues, where T follicular helper (Tfh) cells instruct and help B cells launch an effective humoral immune response. In this study we investigated the phenotype and function of circulating memory Tfh cells as a surrogate of Tfh cells in lymph nodes and found significant impairment of this cell population in chronically HIV-infected individuals, leading to reduced B cell responses. We further show that these aberrant memory Tfh cells exhibit an IL-2–responsive gene signature and are more polarized toward a Th1 phenotype. Treatment of functional memory Tfh cells with IL-2 was able to recapitulate the detrimental reprogramming. Importantly, this defect was reversible, as interfering with the IL-2 signaling pathway helped reverse the abnormal differentiation and improved Ab responses. Thus, reversible reprogramming of memory Tfh cells in HIV-infected individuals could be used to enhance Ab responses. Altered microenvironmental conditions in lymphoid tissues leading to altered Tfh cell differentiation could provide one explanation for the poor responsiveness of HIV-infected individuals to new Ags. This explanation has important implications for the development of therapeutic interventions to enhance HIV- and vaccine-mediated Ab responses in patients under ART. PMID:26546609

  11. Progressive CD4+ central–memory T cell decline results in CD4+ effector–memory insufficiency and overt disease in chronic SIV infection

    PubMed Central

    Okoye, Afam; Meier-Schellersheim, Martin; Brenchley, Jason M.; Hagen, Shoko I.; Walker, Joshua M.; Rohankhedkar, Mukta; Lum, Richard; Edgar, John B.; Planer, Shannon L.; Legasse, Alfred; Sylwester, Andrew W.; Piatak, Michael; Lifson, Jeffrey D.; Maino, Vernon C.; Sodora, Donald L.; Douek, Daniel C.; Axthelm, Michael K.; Grossman, Zvi; Picker, Louis J.

    2007-01-01

    Primary simian immunodeficiency virus (SIV) infections of rhesus macaques result in the dramatic depletion of CD4+ CCR5+ effector–memory T (TEM) cells from extra-lymphoid effector sites, but in most infections, an increased rate of CD4+ memory T cell proliferation appears to prevent collapse of effector site CD4+ TEM cell populations and acute-phase AIDS. Eventually, persistent SIV replication results in chronic-phase AIDS, but the responsible mechanisms remain controversial. Here, we demonstrate that in the chronic phase of progressive SIV infection, effector site CD4+ TEM cell populations manifest a slow, continuous decline, and that the degree of this depletion remains a highly significant correlate of late-onset AIDS. We further show that due to persistent immune activation, effector site CD4+ TEM cells are predominantly short-lived, and that their homeostasis is strikingly dependent on the production of new CD4+ TEM cells from central–memory T (TCM) cell precursors. The instability of effector site CD4+ TEM cell populations over time was not explained by increasing destruction of these cells, but rather was attributable to progressive reduction in their production, secondary to decreasing numbers of CCR5− CD4+ TCM cells. These data suggest that although CD4+ TEM cell depletion is a proximate mechanism of immunodeficiency, the tempo of this depletion and the timing of disease onset are largely determined by destruction, failing production, and gradual decline of CD4+ TCM cells. PMID:17724130

  12. Fucosyltransferase Induction during Influenza Virus Infection Is Required for the Generation of Functional Memory CD4+ T Cells

    PubMed Central

    Carrette, Florent; Henriquez, Monique L.; Fujita, Yu

    2018-01-01

    T cells mediating influenza viral control are instructed in lymphoid and nonlymphoid tissues to differentiate into memory T cells that confer protective immunity. The mechanisms by which influenza virus–specific memory CD4+ T cells arise have been attributed to changes in transcription factors, cytokines and cytokine receptors, and metabolic programming. The molecules involved in these biosynthetic pathways, including proteins and lipids, are modified to varying degrees of glycosylation, fucosylation, sialation, and sulfation, which can alter their function. It is currently unknown how the glycome enzymatic machinery regulates CD4+ T cell effector and memory differentiation. In a murine model of influenza virus infection, we found that fucosyltransferase enzymatic activity was induced in effector and memory CD4+ T cells. Using CD4+ T cells deficient in the Fut4/7 enzymes that are expressed only in hematopoietic cells, we found decreased frequencies of effector cells with reduced expression of T-bet and NKG2A/C/E in the lungs during primary infection. Furthermore, Fut4/7−/− effector CD4+ T cells had reduced survival with no difference in proliferation or capacity for effector function. Although Fut4/7−/− CD4+ T cells seeded the memory pool after primary infection, they failed to form tissue-resident cells, were dysfunctional, and were unable to re-expand after secondary infection. Our findings highlight an important regulatory axis mediated by cell-intrinsic fucosyltransferase activity in CD4+ T cell effectors that ensure the development of functional memory CD4+ T cells. PMID:29491007

  13. High affinity IgM(+) memory B cells are generated through a germinal center-dependent pathway.

    PubMed

    Hara, Yasushi; Tashiro, Yasuyuki; Murakami, Akikazu; Nishimura, Miyuki; Shimizu, Takeyuki; Kubo, Masato; Burrows, Peter D; Azuma, Takachika

    2015-12-01

    During a T cell-dependent immune response, B cells undergo clonal expansion and selection and the induction of isotype switching and somatic hypermutation (SHM). Although somatically mutated IgM(+) memory B cells have been reported, it has not been established whether they are really high affinity B cells. We tracked (4-hydroxy-3-nitrophenyl) acetyl hapten-specific GC B cells from normal immunized mice based on affinity of their B cell receptor (BCR) and performed BCR sequence analysis. SHM was evident by day 7 postimmunization and increased with time, such that high affinity IgM(+) as well as IgG(+) memory B cells continued to be generated up to day 42. In contrast, class-switch recombination (CSR) was almost completed by day 7 and then the ratio of IgG1(+)/IgM(+) GC B cells remained unchanged. Together these findings suggest that IgM(+) B cells undergo SHM in the GC to generate high affinity IgM(+) memory cells and that this process continues even after CSR is accomplished. Copyright © 2015 Elsevier Ltd. All rights reserved.

  14. Electrical characteristics of paraelectric lead lanthanum zirconium titanate thin films for dynamic random access memory applications

    NASA Astrophysics Data System (ADS)

    Jones, R. E., Jr.; Maniar, P. D.; Olowolafe, J. O.; Campbell, A. C.; Mogab, C. J.

    1992-02-01

    Paraelectric lead lanthanum zirconium titanate (PLZT) films, 150 nm thick, were deposited using a spin-coat, sol-gel process followed by a 650 °C oxygen anneal. X-ray diffraction indicated complete conversion to the perovskite phase. Sputter-deposited platinum electrodes were employed with the PLZT films to form thin-film capacitors with the best combination of high charge storage density (26.1 μC/cm2 at 3 V and 36.4 μC/cm2 at 5 V) and leakage current density (0.2 μA/cm2 at 3 V and 0.5 μA/cm2 at 5 V ) reported to date. The electrical characteristics of these thin-film capacitors meet the requirements for a planar bit cell capacitor for 64-Mbit dynamic random access memories.

  15. Memory strategy training in children with cerebral infarcts related to sickle cell disease.

    PubMed

    Yerys, Benjamin E; White, Desirée A; Salorio, Cynthia F; McKinstry, Robert; Moinuddin, Asif; DeBaun, Michael

    2003-06-01

    Cerebral infarcts occur in approximately 30% of children with sickle cell disease (SCD), but little information exists regarding remediation of associated cognitive deficits. The authors examined the benefits of training children with infarcts to use memory strategies. Six children with SCD-related infarcts received academic tutoring; three of these children received additional training in memory strategies (silent rehearsal to facilitate short-term memory and semantic organization to facilitate long-term memory). The performance of children receiving strategy training appeared to improve more than that of children receiving only tutoring. Memory in children with SCD-related infarcts may be enhanced through strategy training.

  16. Humoral Immune Reconstitution Kinetics after Allogeneic Hematopoietic Stem Cell Transplantation in Children: A Maturation Block of IgM Memory B Cells May Lead to Impaired Antibody Immune Reconstitution.

    PubMed

    Abdel-Azim, Hisham; Elshoury, Amro; Mahadeo, Kris M; Parkman, Robertson; Kapoor, Neena

    2017-09-01

    Although T cell immune reconstitution after allogeneic hematopoietic stem cell transplantation (allo-HSCT) has been well studied, long-term B cell immune reconstitution remains less characterized. We evaluated humoral immune reconstitution among 71 pediatric allo-HSCT recipients. Although tetanus toxoid antibody levels were normal at 1 year after allo-HSCT, antipolysaccharide carbohydrate antibodies remained persistently low for up to 5 years. While naive B cell counts normalized by 6 months, IgM memory B cell deficiency persisted for up to 2 years (P = .01); switched memory B cell deficiency normalized by 1 year after allo-HSCT. CD4 + T cell immune reconstitution correlated with that of switched memory B cells as early as 6 months after allo-HSCT (r = .55, P = .002) but did not correlate with IgM memory B cells at any time point after allo-HSCT. Taken together, this suggests that allo-HSCT recipients have impaired antibody immune reconstitution, mainly due to IgM memory B cell maturation block, compared with more prompt T cell-dependent switched memory cell immune reconstitution. We further explored other factors that might affect humoral immune reconstitution. The use of total body irradiation was associated with lower naive B cells counts at 6 months after HSCT (P = .04) and lower IgM (P = .008) and switched (P = .003) memory B cells up to 2 years. Allo-HSCT recipients with extensive chronic graft-versus-host disease had lower IgM memory B cell counts (P = .03) up to 2 years after allo-HSCT. The use of cord blood was associated with better naive (P = .01), IgM (P = .0005), and switched memory (P = .006) B cells immune reconstitution. These findings may inform future prophylaxis and treatment strategies regarding risk of overwhelming infection, graft-versus-host disease, and post-allogeneic HSCT revaccination. Copyright © 2017 The American Society for Blood and Marrow Transplantation. Published by Elsevier Inc. All rights

  17. Phenotypes and distribution of mucosal memory B-cell populations in the SIV/SHIV Rhesus macaque model

    PubMed Central

    Demberg, Thorsten; Mohanram, Venkatramanan; Venzon, David; Robert-Guroff, Marjorie

    2014-01-01

    As vaccine-elicited antibodies have now been associated with HIV protective efficacy, a thorough understanding of mucosal and systemic B-cell development and maturation is needed. We phenotyped mucosal memory B-cells, investigated isotype expression and homing patterns, and defined plasmablasts and plasma cells at three mucosal sites (duodenum, jejunum and rectum) in rhesus macaques, the commonly used animal model for pre-clinical vaccine studies. Unlike humans, macaque mucosal memory B-cells lacked CD27 expression; only two sub-populations were present: naïve (CD21+CD27−) and tissue-like (CD21−CD27−) memory. Similar to humans, IgA was the dominant isotype expressed. The homing markers CXCR4, CCR6, CCR9 and α4β7 were differentially expressed between naïve and tissue-like memory B-cells. Mucosal plasmablasts were identified as CD19+CD20+/−HLA-DR+Ki-67+IRF4+CD138+/− and mucosal plasma cells as CD19+CD20−HLA-DR−Ki-67−IRF4+CD138+. Both populations were CD39+/−CD27−. Plasma cell phenotype was confirmed by spontaneous IgA secretion by ELISpot of positively-selected cells and J-chain expression by real-time PCR. Duodenal, jejunal and rectal samples were similar in B-cell memory phenotype, isotype expression, homing receptors and plasmablast/plasma cell distribution among the three tissues. Thus rectal biopsies adequately monitor B-cell dynamics in the gut mucosa, and provide a critical view of mucosal B-cell events associated with development of vaccine-elicited protective immune responses and SIV/SHIV pathogenesis and disease control. PMID:24814239

  18. The basis of distinctive IL-2- and IL-15-dependent signaling: weak CD122-dependent signaling favors CD8+ T central-memory cell survival but not T effector-memory cell development.

    PubMed

    Castro, Iris; Yu, Aixin; Dee, Michael J; Malek, Thomas R

    2011-11-15

    Recent work suggests that IL-2 and IL-15 induce distinctive levels of signaling through common receptor subunits and that such varied signaling directs the fate of Ag-activated CD8(+) T cells. In this study, we directly examined proximal signaling by IL-2 and IL-15 and CD8(+) T cell primary and memory responses as a consequence of varied CD122-dependent signaling. Initially, IL-2 and IL-15 induced similar p-STAT5 and p-S6 activation, but these activities were only sustained by IL-2. Transient IL-15-dependent signaling is due to limited expression of IL-15Rα. To investigate the outcome of varied CD122 signaling for CD8(+) T cell responses in vivo, OT-I T cells were used from mouse models where CD122 signals were attenuated by mutations within the cytoplasmic tail of CD122 or intrinsic survival function was provided in the absence of CD122 expression by transgenic Bcl-2. In the absence of CD122 signaling, generally normal primary response occurred, but the primed CD8(+) T cells were not maintained. In marked contrast, weak CD122 signaling supported development and survival of T central-memory (T(CM)) but not T effector-memory (T(EM)) cells. Transgenic expression of Bcl-2 in CD122(-/-) CD8(+) T cells also supported the survival and persistence of T(CM) cells but did not rescue T(EM) development. These data indicate that weak CD122 signals readily support T(CM) development largely through providing survival signals. However, stronger signals, independent of Bcl-2, are required for T(EM) development. Our findings are consistent with a model whereby low, intermediate, and high CD122 signaling support T(CM) memory survival, T(EM) programming, and terminal T effector cell differentiation, respectively.

  19. Early programming and late-acting checkpoints governing the development of CD4 T cell memory.

    PubMed

    Dhume, Kunal; McKinstry, K Kai

    2018-04-27

    CD4 T cells contribute to protection against pathogens through numerous mechanisms. Incorporating the goal of memory CD4 T cell generation into vaccine strategies thus offers a powerful approach to improve their efficacy, especially in situations where humoral responses alone cannot confer long-term immunity. These threats include viruses such as influenza that mutate coat proteins to avoid neutralizing antibodies, but that are targeted by T cells that recognize more conserved protein epitopes shared by different strains. A major barrier in the design of such vaccines is that the mechanisms controlling the efficiency with which memory cells form remain incompletely understood. Here, we discuss recent insights into fate decisions controlling memory generation. We focus on the importance of three general cues: interleukin-2, antigen, and costimulatory interactions. It is increasingly clear that these signals have a powerful influence on the capacity of CD4 T cells to form memory during two distinct phases of the immune response. First, through 'programming' that occurs during initial priming, and second, through 'checkpoints' that operate later during the effector stage. These findings indicate that novel vaccine strategies must seek to optimize cognate interactions, during which interleukin-2-, antigen, and costimulation-dependent signals are tightly linked, well beyond initial antigen encounter to induce robust memory CD4 T cells. This article is protected by copyright. All rights reserved. This article is protected by copyright. All rights reserved.

  20. Memory of childhood sexual abuse among clinicians: characteristics, outcomes, and current therapy attitudes.

    PubMed

    Little, L; Hamby, S L

    2001-10-01

    This paper reports preliminary data on a sample of therapists with memory of childhood sexual abuse. Therapists who reported experiencing childhood sexual abuse (CSA, n = 131) were compared with therapists who suspected sexual abuse but had no memories (n = 24) on variables related to abuse characteristics, outcomes, and perceived difficulties working with clients with a CSA history. Therapists who suspected abuse, in contrast to those who made definite reports, were more likely to report that the perpetrator was a family member, that their CSA did not involve physical contact, that there was alcoholism in their families of origin, and that the CSA had negative effects on their relationships with their own children, ability to trust others, sexual satisfaction, and work life. Therapists who suspected abuse also reported more difficulty treating CSA clients because of interpersonal pulls during sessions, arousal without memories of abuse, and some countertransferential behaviors. These findings indicate that issues related to personal trauma should be addressed during training and practice.

  1. CXCR4 is critical for CD8+ memory T cell homeostatic self-renewal but not rechallenge self-renewal1

    PubMed Central

    Chaix, Julie; Nish, Simone A.; Lin, Wen-Hsuan W.; Rothman, Nyanza J.; Ding, Lei; Wherry, E. John; Reiner, Steven L.

    2014-01-01

    Central memory (CM) CD8+ T cells “remember” prior encounters because they maintain themselves through cell division in the absence of ongoing challenge (homeostatic self-renewal) as well as reproduce the central memory fate while manufacturing effector cells during secondary antigen encounters (rechallenge self-renewal). We tested the consequence of conditional deletion of the bone marrow (BM) homing receptor CXCR4 on antiviral T cell responses. CXCR4-deficient CD8+ T cells have impaired memory cell maintenance due to defective homeostatic proliferation. Upon rechallenge, however, CXCR4-deficient T cells can re-expand and renew the central memory pool while producing secondary effector cells. The critical BM-derived signals essential for CD8+ T cell homeostatic self-renewal appear to be dispensable to yield self-renewing, functionally asymmetric cell fates during rechallenge. PMID:24973450

  2. Intrinsic role of FoxO3a in the development of CD8+ T cell memory

    PubMed Central

    Tzelepis, Fanny; Joseph, Julie; Haddad, Elias K.; MacLean, Susanne; Dudani, Renu; Agenes, Fabien; Peng, Stanford L.; Sekaly, Rafick-Pierre; Sad, Subash

    2013-01-01

    CD8+ T cells undergo rapid expansion during infection with intracellular pathogens, which is followed by swift and massive culling of primed CD8+ T cells. The mechanisms that govern the massive contraction and maintenance of primed CD8+ T cells are not clear. We show here that the transcription factor, FoxO3a does not influence antigen-presentation and the consequent expansion of CD8+ T cell response during Listeria monocytogenes (LM) infection, but plays a key role in the maintenance of memory CD8+ T cells. The effector function of primed CD8+ T cells as revealed by cytokine secretion and CD107a degranulation was not influenced by inactivation of FoxO3a. Interestingly, FoxO3a-deficient CD8+ T cells displayed reduced expression of pro-apoptotic molecules BIM and PUMA during the various phases of response, and underwent reduced apoptosis in comparison to WT cells. A higher number of memory precursor effector cells (MPECs) and memory subsets were detectable in FoxO3a-deficient mice compared to WT mice. Furthermore, FoxO3a-deficient memory CD8+ T cells upon transfer into normal or RAG1-deficient mice displayed enhanced survival. These results suggest that FoxO3a acts in a cell intrinsic manner to regulate the survival of primed CD8+ T cells. PMID:23277488

  3. From sensorimotor learning to memory cells in prefrontal and temporal association cortex: a neurocomputational study of disembodiment.

    PubMed

    Pulvermüller, Friedemann; Garagnani, Max

    2014-08-01

    Memory cells, the ultimate neurobiological substrates of working memory, remain active for several seconds and are most commonly found in prefrontal cortex and higher multisensory areas. However, if correlated activity in "embodied" sensorimotor systems underlies the formation of memory traces, why should memory cells emerge in areas distant from their antecedent activations in sensorimotor areas, thus leading to "disembodiment" (movement away from sensorimotor systems) of memory mechanisms? We modelled the formation of memory circuits in six-area neurocomputational architectures, implementing motor and sensory primary, secondary and higher association areas in frontotemporal cortices along with known between-area neuroanatomical connections. Sensorimotor learning driven by Hebbian neuroplasticity led to formation of cell assemblies distributed across the different areas of the network. These action-perception circuits (APCs) ignited fully when stimulated, thus providing a neural basis for long-term memory (LTM) of sensorimotor information linked by learning. Subsequent to ignition, activity vanished rapidly from APC neurons in sensorimotor areas but persisted in those in multimodal prefrontal and temporal areas. Such persistent activity provides a mechanism for working memory for actions, perceptions and symbols, including short-term phonological and semantic storage. Cell assembly ignition and "disembodied" working memory retreat of activity to multimodal areas are documented in the neurocomputational models' activity dynamics, at the level of single cells, circuits, and cortical areas. Memory disembodiment is explained neuromechanistically by APC formation and structural neuroanatomical features of the model networks, especially the central role of multimodal prefrontal and temporal cortices in bridging between sensory and motor areas. These simulations answer the "where" question of cortical working memory in terms of distributed APCs and their inner structure

  4. Histone acetylation is associated with differential gene expression in the rapid and robust memory CD8+ T-cell response

    PubMed Central

    Fann, Monchou; Godlove, Jason M.; Catalfamo, Marta; Wood, William H.; Chrest, Francis J.; Chun, Nicholas; Granger, Larry; Wersto, Robert; Madara, Karen; Becker, Kevin; Henkart, Pierre A.; Weng, Nan-ping

    2006-01-01

    To understand the molecular basis for the rapid and robust memory T-cell responses, we examined gene expression and chromatin modification by histone H3 lysine 9 (H3K9) acetylation in resting and activated human naive and memory CD8+ T cells. We found that, although overall gene expression patterns were similar, a number of genes are differentially expressed in either memory or naive cells in their resting and activated states. To further elucidate the basis for differential gene expression, we assessed the role of histone H3K9 acetylation in differential gene expression. Strikingly, higher H3K9 acetylation levels were detected in resting memory cells, prior to their activation, for those genes that were differentially expressed following activation, indicating that hyperacetylation of histone H3K9 may play a role in selective and rapid gene expression of memory CD8+ T cells. Consistent with this model, we showed that inducing high levels of H3K9 acetylation resulted in an increased expression in naive cells of those genes that are normally expressed differentially in memory cells. Together, these findings suggest that differential gene expression mediated at least in part by histone H3K9 hyperacetylation may be responsible for the rapid and robust memory CD8+ T-cell response. PMID:16868257

  5. Evaluation of 1.5-T Cell Flash Memory Total Ionizing Dose Response

    NASA Astrophysics Data System (ADS)

    Clark, Lawrence T.; Holbert, Keith E.; Adams, James W.; Navale, Harshad; Anderson, Blake C.

    2015-12-01

    Flash memory is an essential part of systems used in harsh environments, experienced by both terrestrial and aerospace TID applications. This paper presents studies of COTS flash memory TID hardness. While there is substantial literature on flash memory TID response, this work focuses for the first time on 1.5 transistor per cell flash memory. The experimental results show hardness varying from about 100 krad(Si) to over 250 krad(Si) depending on the usage model. We explore the circuit and device aspects of the results, based on the extensive reliability literature for this flash memory type. Failure modes indicate both device damage and circuit marginalities. Sector erase failure limits, but read only operation allows TID exceeding 200 krad(Si). The failures are analyzed by type.

  6. Early events governing memory CD8+ T-cell differentiation.

    PubMed

    Obar, Joshua J; Lefrançois, Leo

    2010-08-01

    Understanding the regulation of the CD8(+) T-cell response and how protective memory cells are generated has been intensely studied. It is now appreciated that a naive CD8(+) T cell requires at least three signals to mount an effective immune response: (i) TCR triggering, (ii) co-stimulation and (iii) inflammatory cytokines. Only recently have we begun to understand the molecular integration of those signals and how early events regulate the fate decisions of the responding CD8(+) T cells. This review will discuss the recent findings about both the extracellular and intracellular factors that regulate the destiny of responding CD8(+) T cells.

  7. Memory characteristics of metal-oxide-semiconductor structures based on Ge nanoclusters-embedded GeO(x) films grown at low temperature.

    PubMed

    Lin, Tzu-Shun; Lou, Li-Ren; Lee, Ching-Ting; Tsai, Tai-Cheng

    2012-03-01

    The memory devices constructed from the Ge-nanoclusters embedded GeO(x) layer deposited by the laser-assisted chemical vapor deposition (LACVD) system were fabricated. The Ge nanoclusters were observed by a high-resolution transmission electron microscopy. Using the capacitance versus voltage (C-V) and the conductance versus voltage (G-V) characteristics measured under various frequencies, the memory effect observed in the C-V curves was dominantly attributed to the charge storage in the Ge nanoclusters. Furthermore, the defects existed in the deposited film and the interface states were insignificant to the memory performances. Capacitance versus time (C-t) measurement was also executed to evaluate the charge retention characteristics. The charge storage and retention behaviors of the devices demonstrated that the Ge nanoclusters grown by the LACVD system at low temperature are promising for memory device applications.

  8. A critical role for STAT3 transcription factor signaling in the development and maintenance of human T cell memory.

    PubMed

    Siegel, Andrea M; Heimall, Jennifer; Freeman, Alexandra F; Hsu, Amy P; Brittain, Erica; Brenchley, Jason M; Douek, Daniel C; Fahle, Gary H; Cohen, Jeffrey I; Holland, Steven M; Milner, Joshua D

    2011-11-23

    STAT3 transcription factor signaling in specific T helper cell differentiation has been well described, although the broader roles for STAT3 in lymphocyte memory are less clear. Patients with autosomal-dominant hyper-IgE syndrome (AD-HIES) carry dominant-negative STAT3 mutations and are susceptible to a variety of bacterial and fungal infections. We found that AD-HIES patients have a cell-intrinsic defect in the number of central memory CD4(+) and CD8(+) T cells compared to healthy controls. Naive T cells from AD-HIES patients had lower expression of memory-related transcription factors BCL6 and SOCS3, a primary proliferation defect, and they failed to acquire central memory-like surface phenotypes in vitro. AD-HIES patients showed a decreased ability to control varicella zoster virus (VZV) and Epstein-Barr virus (EBV) latency, and T cell memory to both of these viruses was compromised. These data point to a specific role for STAT3 in human central memory T cell formation and in control of certain chronic viruses. Copyright © 2011 Elsevier Inc. All rights reserved.

  9. Tracking KLRC2 (NKG2C)+ memory-like NK cells in SIV+ and rhCMV+ rhesus macaques.

    PubMed

    Ram, Daniel R; Manickam, Cordelia; Hueber, Brady; Itell, Hannah L; Permar, Sallie R; Varner, Valerie; Reeves, R Keith

    2018-05-01

    Natural killer (NK) cells classically typify the nonspecific effector arm of the innate immune system, but have recently been shown to possess memory-like properties against multiple viral infections, most notably CMV. Expression of the activating receptor NKG2C is elevated on human NK cells in response to infection with CMV as well as HIV, and may delineate cells with memory and memory-like functions. A better understanding of how NKG2C+ NK cells specifically respond to these pathogens could be significantly advanced using nonhuman primate (NHP) models but, to date, it has not been possible to distinguish NKG2C from its inhibitory counterpart, NKG2A, in NHP because of unfaithful antibody cross-reactivity. Using novel RNA-based flow cytometry, we identify for the first time true memory NKG2C+ NK cells in NHP by gene expression (KLRC2), and show that these cells have elevated frequencies and diversify their functional repertoire specifically in response to rhCMV and SIV infections.

  10. Nonvolatile memory behavior of nanocrystalline cellulose/graphene oxide composite films

    NASA Astrophysics Data System (ADS)

    Valentini, L.; Cardinali, M.; Fortunati, E.; Kenny, J. M.

    2014-10-01

    With the continuous advance of modern electronics, the demand for nonvolatile memory cells rapidly grows. In order to develop post-silicon electronic devices, it is necessary to find innovative solutions to the eco-sustainability problem of materials for nonvolatile memory cells. In this work, we realized a resistive memory device based on graphene oxide (GO) and GO/cellulose nanocrystals (CNC) thin films. Aqueous solutions of GO and GO with CNC have been prepared and drop cast between two metal electrodes. Such thin-film based devices showed a transition between low and high conductivity states upon the forward and backward sweeping of an external electric field. This reversible current density transition behavior demonstrates a typical memory characteristic. The obtained results open an easy route for electronic information storage based on the integration of nanocrystalline cellulose onto graphene based devices.

  11. Nonvolatile memory behavior of nanocrystalline cellulose/graphene oxide composite films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Valentini, L., E-mail: luca.valentini@unipg.it; Cardinali, M.; Fortunati, E.

    2014-10-13

    With the continuous advance of modern electronics, the demand for nonvolatile memory cells rapidly grows. In order to develop post-silicon electronic devices, it is necessary to find innovative solutions to the eco-sustainability problem of materials for nonvolatile memory cells. In this work, we realized a resistive memory device based on graphene oxide (GO) and GO/cellulose nanocrystals (CNC) thin films. Aqueous solutions of GO and GO with CNC have been prepared and drop cast between two metal electrodes. Such thin-film based devices showed a transition between low and high conductivity states upon the forward and backward sweeping of an external electricmore » field. This reversible current density transition behavior demonstrates a typical memory characteristic. The obtained results open an easy route for electronic information storage based on the integration of nanocrystalline cellulose onto graphene based devices.« less

  12. Blocking Glycolytic Metabolism Increases Memory T Cells and Antitumor Function | Center for Cancer Research

    Cancer.gov

    CD8+ T cells are a major component of the cellular immune response, which is necessary to control a variety of bacterial and viral infections. CD8+ T cells also play a major role in the cell-mediated antitumor immune response. After encountering antigen, naïve CD8+ T cells undergo an extensive period of proliferation and expansion, and differentiate into effector cells and distinct memory T cell subsets. Preclinical studies using adoptive transfer of purified CD8+ T cells have shown that the ability of T cells to proliferate and survive for a long time after transfer is associated with effective antitumor and antiviral responses. Understanding how the formation of long-lived memory T cell subsets is controlled may enable development of more potent immunotherapies against cancer and infectious diseases.

  13. The yellow fever virus vaccine induces a broad and polyfunctional human memory CD8+ T cell response.

    PubMed

    Akondy, Rama S; Monson, Nathan D; Miller, Joseph D; Edupuganti, Srilatha; Teuwen, Dirk; Wu, Hong; Quyyumi, Farah; Garg, Seema; Altman, John D; Del Rio, Carlos; Keyserling, Harry L; Ploss, Alexander; Rice, Charles M; Orenstein, Walter A; Mulligan, Mark J; Ahmed, Rafi

    2009-12-15

    The live yellow fever vaccine (YF-17D) offers a unique opportunity to study memory CD8(+) T cell differentiation in humans following an acute viral infection. We have performed a comprehensive analysis of the virus-specific CD8(+) T cell response using overlapping peptides spanning the entire viral genome. Our results showed that the YF-17D vaccine induces a broad CD8(+) T cell response targeting several epitopes within each viral protein. We identified a dominant HLA-A2-restricted epitope in the NS4B protein and used tetramers specific for this epitope to track the CD8(+) T cell response over a 2 year period. This longitudinal analysis showed the following. 1) Memory CD8(+) T cells appear to pass through an effector phase and then gradually down-regulate expression of activation markers and effector molecules. 2) This effector phase was characterized by down-regulation of CD127, Bcl-2, CCR7, and CD45RA and was followed by a substantial contraction resulting in a pool of memory T cells that re-expressed CD127, Bcl-2, and CD45RA. 3) These memory cells were polyfunctional in terms of degranulation and production of the cytokines IFN-gamma, TNF-alpha, IL-2, and MIP-1beta. 4) The YF-17D-specific memory CD8(+) T cells had a phenotype (CCR7(-)CD45RA(+)) that is typically associated with terminally differentiated cells with limited proliferative capacity (T(EMRA)). However, these cells exhibited robust proliferative potential showing that expression of CD45RA may not always associate with terminal differentiation and, in fact, may be an indicator of highly functional memory CD8(+) T cells generated after acute viral infections.

  14. Progressive CD4+ central memory T cell decline results in CD4+ effector memory insufficiency and overt disease in chronic SIV infection.

    PubMed

    Okoye, Afam; Meier-Schellersheim, Martin; Brenchley, Jason M; Hagen, Shoko I; Walker, Joshua M; Rohankhedkar, Mukta; Lum, Richard; Edgar, John B; Planer, Shannon L; Legasse, Alfred; Sylwester, Andrew W; Piatak, Michael; Lifson, Jeffrey D; Maino, Vernon C; Sodora, Donald L; Douek, Daniel C; Axthelm, Michael K; Grossman, Zvi; Picker, Louis J

    2007-09-03

    Primary simian immunodeficiency virus (SIV) infections of rhesus macaques result in the dramatic depletion of CD4(+) CCR5(+) effector-memory T (T(EM)) cells from extra-lymphoid effector sites, but in most infections, an increased rate of CD4(+) memory T cell proliferation appears to prevent collapse of effector site CD4(+) T(EM) cell populations and acute-phase AIDS. Eventually, persistent SIV replication results in chronic-phase AIDS, but the responsible mechanisms remain controversial. Here, we demonstrate that in the chronic phase of progressive SIV infection, effector site CD4(+) T(EM) cell populations manifest a slow, continuous decline, and that the degree of this depletion remains a highly significant correlate of late-onset AIDS. We further show that due to persistent immune activation, effector site CD4(+) T(EM) cells are predominantly short-lived, and that their homeostasis is strikingly dependent on the production of new CD4(+) T(EM) cells from central-memory T (T(CM)) cell precursors. The instability of effector site CD4(+) T(EM) cell populations over time was not explained by increasing destruction of these cells, but rather was attributable to progressive reduction in their production, secondary to decreasing numbers of CCR5(-) CD4(+) T(CM) cells. These data suggest that although CD4(+) T(EM) cell depletion is a proximate mechanism of immunodeficiency, the tempo of this depletion and the timing of disease onset are largely determined by destruction, failing production, and gradual decline of CD4(+) T(CM) cells.

  15. Airway Memory CD4(+) T Cells Mediate Protective Immunity against Emerging Respiratory Coronaviruses.

    PubMed

    Zhao, Jincun; Zhao, Jingxian; Mangalam, Ashutosh K; Channappanavar, Rudragouda; Fett, Craig; Meyerholz, David K; Agnihothram, Sudhakar; Baric, Ralph S; David, Chella S; Perlman, Stanley

    2016-06-21

    Two zoonotic coronaviruses (CoVs)-SARS-CoV and MERS-CoV-have crossed species to cause severe human respiratory disease. Here, we showed that induction of airway memory CD4(+) T cells specific for a conserved epitope shared by SARS-CoV and MERS-CoV is a potential strategy for developing pan-coronavirus vaccines. Airway memory CD4(+) T cells differed phenotypically and functionally from lung-derived cells and were crucial for protection against both CoVs in mice. Protection was dependent on interferon-γ and required early induction of robust innate and virus-specific CD8(+) T cell responses. The conserved epitope was also recognized in SARS-CoV- and MERS-CoV-infected human leukocyte antigen DR2 and DR3 transgenic mice, indicating potential relevance in human populations. Additionally, this epitope was cross-protective between human and bat CoVs, the progenitors for many human CoVs. Vaccine strategies that induce airway memory CD4(+) T cells targeting conserved epitopes might have broad applicability in the context of new CoVs and other respiratory virus outbreaks. Copyright © 2016 Elsevier Inc. All rights reserved.

  16. The boundary vector cell model of place cell firing and spatial memory

    PubMed Central

    Barry, Caswell; Lever, Colin; Hayman, Robin; Hartley, Tom; Burton, Stephen; O'Keefe, John; Jeffery, Kate; Burgess, Neil

    2009-01-01

    We review evidence for the boundary vector cell model of the environmental determinants of the firing of hippocampal place cells. Preliminary experimental results are presented concerning the effects of addition or removal of environmental boundaries on place cell firing and evidence that boundary vector cells may exist in the subiculum. We review and update computational simulations predicting the location of human search within a virtual environment of variable geometry, assuming that boundary vector cells provide one of the input representations of location used in mammalian spatial memory. Finally, we extend the model to include experience-dependent modification of connection strengths through a BCM-like learning rule, and compare the effects to experimental data on the firing of place cells under geometrical manipulations to their environment. The relationship between neurophysiological results in rats and spatial behaviour in humans is discussed. PMID:16703944

  17. Initiation of Antiretroviral Therapy Restores CD4+ T Memory Stem Cell Homeostasis in Simian Immunodeficiency Virus-Infected Macaques

    PubMed Central

    Cartwright, Emily K.; Palesch, David; Mavigner, Maud; Paiardini, Mirko; Chahroudi, Ann

    2016-01-01

    ABSTRACT Treatment of human immunodeficiency virus (HIV) infection with antiretroviral therapy (ART) has significantly improved prognosis. Unfortunately, interruption of ART almost invariably results in viral rebound, attributed to a pool of long-lived, latently infected cells. Based on their longevity and proliferative potential, CD4+ T memory stem cells (TSCM) have been proposed as an important site of HIV persistence. In a previous study, we found that in simian immunodeficiency virus (SIV)-infected rhesus macaques (RM), CD4+ TSCM are preserved in number but show (i) a decrease in the frequency of CCR5+ cells, (ii) an expansion of the fraction of proliferating Ki-67+ cells, and (iii) high levels of SIV DNA. To understand the impact of ART on both CD4+ TSCM homeostasis and virus persistence, we conducted a longitudinal analysis of these cells in the blood and lymph nodes of 25 SIV-infected RM. We found that ART induced a significant restoration of CD4+ CCR5+ TSCM both in blood and in lymph nodes and a reduction in the fraction of proliferating CD4+ Ki-67+ TSCM in blood (but not lymph nodes). Importantly, we found that the level of SIV DNA in CD4+ transitional memory (TTM) and effector memory (TEM) T cells declined ∼100-fold after ART in both blood and lymph nodes, while the level of SIV DNA in CD4+ TSCM and central memory T cells (TCM-) did not significantly change. These data suggest that ART is effective at partially restoring CD4+ TSCM homeostasis, and the observed stable level of virus in TSCM supports the hypothesis that these cells are a critical contributor to SIV persistence. IMPORTANCE Understanding the roles of various CD4+ T cell memory subsets in immune homeostasis and HIV/SIV persistence during antiretroviral therapy (ART) is critical to effectively treat and cure HIV infection. T memory stem cells (TSCM) are a unique memory T cell subset with enhanced self-renewal capacity and the ability to differentiate into other memory T cell subsets, such as

  18. Comparison of resistive switching characteristics using copper and aluminum electrodes on GeOx/W cross-point memories

    PubMed Central

    2013-01-01

    Comparison of resistive switching memory characteristics using copper (Cu) and aluminum (Al) electrodes on GeOx/W cross-points has been reported under low current compliances (CCs) of 1 nA to 50 μA. The cross-point memory devices are observed by high-resolution transmission electron microscopy (HRTEM). Improved memory characteristics are observed for the Cu/GeOx/W structures as compared to the Al/GeOx/W cross-points owing to AlOx formation at the Al/GeOx interface. The RESET current increases with the increase of the CCs varying from 1 nA to 50 μA for the Cu electrode devices, while the RESET current is high (>1 mA) and independent of CCs varying from 1 nA to 500 μA for the Al electrode devices. An extra formation voltage is needed for the Al/GeOx/W devices, while a low operation voltage of ±2 V is needed for the Cu/GeOx/W cross-point devices. Repeatable bipolar resistive switching characteristics of the Cu/GeOx/W cross-point memory devices are observed with CC varying from 1 nA to 50 μA, and unipolar resistive switching is observed with CC >100 μA. High resistance ratios of 102 to 104 for the bipolar mode (CCs of 1 nA to 50 μA) and approximately 108 for the unipolar mode are obtained for the Cu/GeOx/W cross-points. In addition, repeatable switching cycles and data retention of 103 s are observed under a low current of 1 nA for future low-power, high-density, nonvolatile, nanoscale memory applications. PMID:24305116

  19. Inducible colitis-associated glycome capable of stimulating the proliferation of memory CD4+ T cells.

    PubMed

    Nishida, Atsushi; Nagahama, Kiyotaka; Imaeda, Hirotsugu; Ogawa, Atsuhiro; Lau, Cindy W; Kobayashi, Taku; Hisamatsu, Tadakazu; Preffer, Frederic I; Mizoguchi, Emiko; Ikeuchi, Hiroki; Hibi, Toshifumi; Fukuda, Minoru; Andoh, Akira; Blumberg, Richard S; Mizoguchi, Atsushi

    2012-12-17

    Immune responses are modified by a diverse and abundant repertoire of carbohydrate structures on the cell surface, which is known as the glycome. In this study, we propose that a unique glycome that can be identified through the binding of galectin-4 is created on local, but not systemic, memory CD4+ T cells under diverse intestinal inflammatory conditions, but not in the healthy state. The colitis-associated glycome (CAG) represents an immature core 1-expressing O-glycan. Development of CAG may be mediated by down-regulation of the expression of core-2 β1,6-N-acetylglucosaminyltransferase (C2GnT) 1, a key enzyme responsible for the production of core-2 O-glycan branch through addition of N-acetylglucosamine (GlcNAc) to a core-1 O-glycan structure. Mechanistically, the CAG seems to contribute to super raft formation associated with the immunological synapse on colonic memory CD4+ T cells and to the consequent stabilization of protein kinase C θ activation, resulting in the stimulation of memory CD4+ T cell expansion in the inflamed intestine. Functionally, CAG-mediated CD4+ T cell expansion contributes to the exacerbation of T cell-mediated experimental intestinal inflammations. Therefore, the CAG may be an attractive therapeutic target to specifically suppress the expansion of effector memory CD4+ T cells in intestinal inflammation such as that seen in inflammatory bowel disease.

  20. Electrochemical metallization memories--fundamentals, applications, prospects.

    PubMed

    Valov, Ilia; Waser, Rainer; Jameson, John R; Kozicki, Michael N

    2011-06-24

    This review focuses on electrochemical metallization memory cells (ECM), highlighting their advantages as the next generation memories. In a brief introduction, the basic switching mechanism of ECM cells is described and the historical development is sketched. In a second part, the full spectra of materials and material combinations used for memory device prototypes and for dedicated studies are presented. In a third part, the specific thermodynamics and kinetics of nanosized electrochemical cells are described. The overlapping of the space charge layers is found to be most relevant for the cell properties at rest. The major factors determining the functionality of the ECM cells are the electrode reaction and the transport kinetics. Depending on electrode and/or electrolyte material electron transfer, electro-crystallization or slow diffusion under strong electric fields can be rate determining. In the fourth part, the major device characteristics of ECM cells are explained. Emphasis is placed on switching speed, forming and SET/RESET voltage, R(ON) to R(OFF) ratio, endurance and retention, and scaling potentials. In the last part, circuit design aspects of ECM arrays are discussed, including the pros and cons of active and passive arrays. In the case of passive arrays, the fundamental sneak path problem is described and as well as a possible solution by two anti-serial (complementary) interconnected resistive switches per cell. Furthermore, the prospects of ECM with regard to further scalability and the ability for multi-bit data storage are addressed.

  1. Resistive switching characteristics of interfacial phase-change memory at elevated temperature

    NASA Astrophysics Data System (ADS)

    Mitrofanov, Kirill V.; Saito, Yuta; Miyata, Noriyuki; Fons, Paul; Kolobov, Alexander V.; Tominaga, Junji

    2018-04-01

    Interfacial phase-change memory (iPCM) devices were fabricated using W and TiN for the bottom and top contacts, respectively, and the effect of operation temperature on the resistive switching was examined over the range between room temperature and 200 °C. It was found that the high-resistance (RESET) state in an iPCM device drops sharply at around 150 °C to a low-resistance (SET) state, which differs by ˜400 Ω from the SET state obtained by electric-field-induced switching. The iPCM device SET state resistance recovered during the cooling process and remained at nearly the same value for the RESET state. These resistance characteristics greatly differ from those of the conventional Ge-Sb-Te (GST) alloy phase-change memory device, underscoring the fundamentally different switching nature of iPCM devices. From the thermal stability measurements of iPCM devices, their optimal temperature operation was concluded to be less than 100 °C.

  2. IGF1-Dependent Synaptic Plasticity of Mitral Cells in Olfactory Memory during Social Learning.

    PubMed

    Liu, Zhihui; Chen, Zijun; Shang, Congping; Yan, Fei; Shi, Yingchao; Zhang, Jiajing; Qu, Baole; Han, Hailin; Wang, Yanying; Li, Dapeng; Südhof, Thomas C; Cao, Peng

    2017-07-05

    During social transmission of food preference (STFP), mice form long-term memory of food odors presented by a social partner. How does the brain associate a social context with odor signals to promote memory encoding? Here we show that odor exposure during STFP, but not unconditioned odor exposure, induces glomerulus-specific long-term potentiation (LTP) of synaptic strength selectively at the GABAergic component of dendrodendritic synapses of granule and mitral cells in the olfactory bulb. Conditional deletion of synaptotagmin-10, the Ca 2+ sensor for IGF1 secretion from mitral cells, or deletion of IGF1 receptor in the olfactory bulb prevented the socially relevant GABAergic LTP and impaired memory formation after STFP. Conversely, the addition of IGF1 to acute olfactory bulb slices elicited the GABAergic LTP in mitral cells by enhancing postsynaptic GABA receptor responses. Thus, our data reveal a synaptic substrate for a socially conditioned long-term memory that operates at the level of the initial processing of sensory information. Copyright © 2017 Elsevier Inc. All rights reserved.

  3. Regulation of germinal center responses and B-cell memory by the chromatin modifier MOZ.

    PubMed

    Good-Jacobson, Kim L; Chen, Yunshun; Voss, Anne K; Smyth, Gordon K; Thomas, Tim; Tarlinton, David

    2014-07-01

    Memory B cells and long-lived bone marrow-resident plasma cells maintain humoral immunity. Little is known about the intrinsic mechanisms that are essential for forming memory B cells or endowing them with the ability to rapidly differentiate upon reexposure while maintaining the population over time. Histone modifications have been shown to regulate lymphocyte development, but their role in regulating differentiation and maintenance of B-cell subsets during an immune response is unclear. Using stage-specific deletion of monocytic leukemia zinc finger protein (MOZ), a histone acetyltransferase, we demonstrate that mutation of this chromatin modifier alters fate decisions in both primary and secondary responses. In the absence of MOZ, germinal center B cells were significantly impaired in their ability to generate dark zone centroblasts, with a concomitant decrease in both cell-cycle progression and BCL-6 expression. In contrast, there was increased differentiation to IgM and low-affinity IgG1(+) memory B cells. The lack of MOZ affected the functional outcome of humoral immune responses, with an increase in secondary germinal centers and a corresponding decrease in secondary high-affinity antibody-secreting cell formation. Therefore, these data provide strong evidence that manipulating epigenetic modifiers can regulate fate decisions during humoral responses, and thus could be targeted for therapeutic intervention.

  4. Human TSCM cell dynamics in vivo are compatible with long-lived immunological memory and stemness.

    PubMed

    Del Amo, Pedro Costa; Beneytez, Julio Lahoz; Boelen, Lies; Ahmed, Raya; Miners, Kelly L; Zhang, Yan; Roger, Laureline; Jones, Rhiannon E; Marraco, Silvia A Fuertes; Speiser, Daniel E; Baird, Duncan M; Price, David A; Ladell, Kristin; Macallan, Derek; Asquith, Becca

    2018-06-22

    Adaptive immunity relies on the generation and maintenance of memory T cells to provide protection against repeated antigen exposure. It has been hypothesised that a self-renewing population of T cells, named stem cell-like memory T (TSCM) cells, are responsible for maintaining memory. However, it is not clear if the dynamics of TSCM cells in vivo are compatible with this hypothesis. To address this issue, we investigated the dynamics of TSCM cells under physiological conditions in humans in vivo using a multidisciplinary approach that combines mathematical modelling, stable isotope labelling, telomere length analysis, and cross-sectional data from vaccine recipients. We show that, unexpectedly, the average longevity of a TSCM clone is very short (half-life < 1 year, degree of self-renewal = 430 days): far too short to constitute a stem cell population. However, we also find that the TSCM population is comprised of at least 2 kinetically distinct subpopulations that turn over at different rates. Whilst one subpopulation is rapidly replaced (half-life = 5 months) and explains the rapid average turnover of the bulk TSCM population, the half-life of the other TSCM subpopulation is approximately 9 years, consistent with the longevity of the recall response. We also show that this latter population exhibited a high degree of self-renewal, with a cell residing without dying or differentiating for 15% of our lifetime. Finally, although small, the population was not subject to excessive stochasticity. We conclude that the majority of TSCM cells are not stem cell-like but that there is a subpopulation of TSCM cells whose dynamics are compatible with their putative role in the maintenance of T cell memory.

  5. Peripheral CD4+ naïve/memory ratio is an independent predictor of survival in non-small cell lung cancer

    PubMed Central

    Yang, Peng; Ma, Junhong; Yang, Xin; Li, Wei

    2017-01-01

    Background To investigate the clinical significance of naïve T cells, memory T cells, CD45RA+CD45RO+ T cells, and naïve/memory ratio in non-small cell lung cancer (NSCLC) patients. Methods Pretreatment peripheral blood samples from 76 NSCLC patients and 28 age- and sex-matched healthy volunteers were collected and tested for immune cells by flow cytometry. We compared the expression of these immune cells between patients and healthy controls and evaluated their predictive roles for survival in NSCLC by cox proportional hazards model. Results Decreased naïve CD4+ T cells, naïve CD8+ T cells, CD4+ naïve/memory ratios and CD4+CD45RA+CD45RO+ T cells, and increased memory CD4+ T cells, were observed in 76 NSCLC patients compared to healthy volunteers. Univariate analysis revealed that elevated CD4+ naïve/memory ratio correlated with prolonged progression-free survival (P=0.013). Multivariate analysis confirmed its predictive role with a hazard ratio of 0.35 (95% confidence interval, 0.19-0.75, P=0.012). Conclusions Peripheral CD4+ naïve/memory ratio can be used as a predictive biomarker in NSCLC patients and used to optimize personalized treatment strategies. PMID:29137371

  6. TNFR2-deficient memory CD8 T cells provide superior protection against tumor cell growth.

    PubMed

    Kim, Edward Y; Teh, Soo-Jeet; Yang, Jocelyn; Chow, Michael T; Teh, Hung-Sia

    2009-11-15

    TNF receptor-2 (TNFR2) plays a critical role in promoting the activation and survival of naive T cells during the primary response. Interestingly, anti-CD3 plus IL-2 activated TNFR2(-/-) CD8 T cells are highly resistant to activation-induced cell death (AICD), which correlates with high expression levels of prosurvival molecules such as Bcl-2, survivin, and CD127 (IL-7Ralpha). We determined whether the resistance of activated TNFR2(-/-) CD8 T cells to AICD contributes to more effective protection against tumor cell growth. We found that during a primary tumor challenge, despite initial inferiority in controlling tumor cell growth, TNFR2(-/-) mice were able to more effectively control tumor burden over time compared with wild-type (WT) mice. Furthermore, vaccination of TNFR2(-/-) mice with recombinant Listeria monocytogenes that express OVA confers better protection against the growth of OVA-expressing E.G7 tumor cells relative to similarly vaccinated WT mice. The enhanced protection against tumor cell growth was not due to more effective activation of OVA-specific memory CD8 T cells in vaccinated TNFR2(-/-) mice. In vitro studies indicate that optimally activated OVA-specific TNFR2(-/-) CD8 T cells proliferated to the same extent and possess similar cytotoxicity against E.G7 tumor cells as WT CD8 T cells. However, relative to WT cells, activated OVA-specific TNFR2(-/-) CD8 T cells were highly resistant to AICD. Thus, the enhanced protection against E.G7 in TNFR2(-/-) mice is likely due to the recruitment and activation of OVA-specific memory TNFR2(-/-) CD8 T cells and their prolonged survival at the tumor site.

  7. Cutting Edge: Protection by Antiviral Memory CD8 T Cells Requires Rapidly Produced Antigen in Large Amounts.

    PubMed

    Remakus, Sanda; Ma, Xueying; Tang, Lingjuan; Xu, Ren-Huan; Knudson, Cory; Melo-Silva, Carolina R; Rubio, Daniel; Kuo, Yin-Ming; Andrews, Andrew; Sigal, Luis J

    2018-05-15

    Numerous attempts to produce antiviral vaccines by harnessing memory CD8 T cells have failed. A barrier to progress is that we do not know what makes an Ag a viable target of protective CD8 T cell memory. We found that in mice susceptible to lethal mousepox (the mouse homolog of human smallpox), a dendritic cell vaccine that induced memory CD8 T cells fully protected mice when the infecting virus produced Ag in large quantities and with rapid kinetics. Protection did not occur when the Ag was produced in low amounts, even with rapid kinetics, and protection was only partial when the Ag was produced in large quantities but with slow kinetics. Hence, the amount and timing of Ag expression appear to be key determinants of memory CD8 T cell antiviral protective immunity. These findings may have important implications for vaccine design. Copyright © 2018 by The American Association of Immunologists, Inc.

  8. Cell-Type-Specific Transcriptome Analysis in the Drosophila Mushroom Body Reveals Memory-Related Changes in Gene Expression.

    PubMed

    Crocker, Amanda; Guan, Xiao-Juan; Murphy, Coleen T; Murthy, Mala

    2016-05-17

    Learning and memory formation in Drosophila rely on a network of neurons in the mushroom bodies (MBs). Whereas numerous studies have delineated roles for individual cell types within this network in aspects of learning or memory, whether or not these cells can also be distinguished by the genes they express remains unresolved. In addition, the changes in gene expression that accompany long-term memory formation within the MBs have not yet been studied by neuron type. Here, we address both issues by performing RNA sequencing on single cell types (harvested via patch pipets) within the MB. We discover that the expression of genes that encode cell surface receptors is sufficient to identify cell types and that a subset of these genes, required for sensory transduction in peripheral sensory neurons, is not only expressed within individual neurons of the MB in the central brain, but is also critical for memory formation. Copyright © 2016 The Author(s). Published by Elsevier Inc. All rights reserved.

  9. Exposure of FVIII in the Presence of Phosphatidyl Serine Reduces Generation of Memory B-Cells and Induces Regulatory T-Cell-Mediated Hyporesponsiveness in Hemophilia A Mice.

    PubMed

    Ramakrishnan, Radha; Davidowitz, Andrew; Balu-Iyer, Sathy V

    2015-08-01

    A major complication of replacement therapy with Factor VIII (FVIII) for hemophilia A (HA) is the development of unwanted immune responses. Previous studies showed that administration of FVIII in the presence of phosphatidyl serine (PS) reduced the development of anti-FVIII antibodies in HA mice. However, the impact of PS-mediated effects on immunological memory, such as generation of memory B-cells, is not clear. The effect of PS on memory B-cells was therefore investigated using adoptive transfer approach in FVIII(-/-) HA mice. Adoptive transfer of memory B-cells from a PS-FVIII-treated group to naïve mice followed by challenge of the recipient mice with FVIII showed a significantly reduced anti-FVIII antibody response in the recipient mice, compared with animals that received memory B-cells from free FVIII and FVIII-charge matched phosphatidyl glycerol (PG) group. The decrease in memory B-cell response is accompanied by an increase in FoxP3 expressing regulatory T-cells (Tregs). Flow cytometry studies showed that the generation of Tregs is higher in PS-treated animals as compared with FVIII and FVIII-PG treated animals. The PS-mediated hyporesponsiveness was found to be antigen-specific. The PS-FVIII immunization showed hyporesponsiveness toward FVIII rechallenge but not against ovalbumin (OVA) rechallenge, an unrelated antigen. This demonstrates that PS reduces immunologic memory of FVIII and induces antigen-specific peripheral tolerance in HA mice. © 2015 Wiley Periodicals, Inc. and the American Pharmacists Association.

  10. Rapid allergen-induced interleukin-17 and interferon-γ secretion by skin-resident memory CD8+ T cells.

    PubMed

    Schmidt, Jonas D; Ahlström, Malin G; Johansen, Jeanne D; Dyring-Andersen, Beatrice; Agerbeck, Christina; Nielsen, Morten M; Poulsen, Steen S; Woetmann, Anders; Ødum, Niels; Thomsen, Allan R; Geisler, Carsten; Bonefeld, Charlotte M

    2017-04-01

    Skin-resident memory T (T RM ) cells are associated with immunological memory in the skin. Whether immunological memory responses to allergens in the skin are solely localized to previously allergen-exposed sites or are present globally in the skin is not clear. Furthermore, the mechanisms whereby T RM cells induce rapid recall responses need further investigation. To study whether contact allergens induce local and/or global memory, and to determine the mechanisms involved in memory responses in the skin. To address these questions, we analysed responses to contact allergens in mice and humans sensitized to 2,4-dinitrofluorobenzene and nickel, respectively. Challenge responses in both mice and humans were dramatically increased at sites previously exposed to allergens as compared with previously unexposed sites. Importantly, the magnitude of the challenge response correlated with the epidermal accumulation of interleukin (IL)-17A-producing and interferon (IFN)-γ-producing T RM cells. Moreover, IL-17A and IFN-γ enhanced allergen-induced IL-1β production in keratinocytes. We show that sensitization with contact allergens induces a strong, long-lasting local memory and a weaker, temporary global immunological memory response to the allergen that is mediated by IL-17A-producing and IFN-γ-producing CD8 + T RM cells. © 2016 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  11. Initiation of Antiretroviral Therapy Restores CD4+ T Memory Stem Cell Homeostasis in Simian Immunodeficiency Virus-Infected Macaques.

    PubMed

    Cartwright, Emily K; Palesch, David; Mavigner, Maud; Paiardini, Mirko; Chahroudi, Ann; Silvestri, Guido

    2016-08-01

    Treatment of human immunodeficiency virus (HIV) infection with antiretroviral therapy (ART) has significantly improved prognosis. Unfortunately, interruption of ART almost invariably results in viral rebound, attributed to a pool of long-lived, latently infected cells. Based on their longevity and proliferative potential, CD4(+) T memory stem cells (TSCM) have been proposed as an important site of HIV persistence. In a previous study, we found that in simian immunodeficiency virus (SIV)-infected rhesus macaques (RM), CD4(+) TSCM are preserved in number but show (i) a decrease in the frequency of CCR5(+) cells, (ii) an expansion of the fraction of proliferating Ki-67(+) cells, and (iii) high levels of SIV DNA. To understand the impact of ART on both CD4(+) TSCM homeostasis and virus persistence, we conducted a longitudinal analysis of these cells in the blood and lymph nodes of 25 SIV-infected RM. We found that ART induced a significant restoration of CD4(+) CCR5(+) TSCM both in blood and in lymph nodes and a reduction in the fraction of proliferating CD4(+) Ki-67(+) TSCM in blood (but not lymph nodes). Importantly, we found that the level of SIV DNA in CD4(+) transitional memory (TTM) and effector memory (TEM) T cells declined ∼100-fold after ART in both blood and lymph nodes, while the level of SIV DNA in CD4(+) TSCM and central memory T cells (TCM-) did not significantly change. These data suggest that ART is effective at partially restoring CD4(+) TSCM homeostasis, and the observed stable level of virus in TSCM supports the hypothesis that these cells are a critical contributor to SIV persistence. Understanding the roles of various CD4(+) T cell memory subsets in immune homeostasis and HIV/SIV persistence during antiretroviral therapy (ART) is critical to effectively treat and cure HIV infection. T memory stem cells (TSCM) are a unique memory T cell subset with enhanced self-renewal capacity and the ability to differentiate into other memory T cell subsets

  12. Deficiency in memory B cell compartment in a patient with infertility and recurrent pregnancy losses.

    PubMed

    Sung, N; Byeon, H J; Garcia, M D Salazar; Skariah, A; Wu, L; Dambaeva, S; Beaman, K; Gilman-Sachs, A; Kwak-Kim, J

    2016-11-01

    Alterations in normal balance of B cell subsets have been reported in various rheumatic diseases. In this study, we report a woman with a history of recurrent pregnancy losses (RPL) and infertility who had low levels of memory B cells. A 35-year-old woman with a history of RPL and infertility was demonstrated to have increased peripheral blood CD19+ B cells with persistently low levels of memory B cell subsets. Prior to the frozen donor egg transfer cycle, prednisone and intravenous immunoglobulin G (IVIg) treatment was initiated and patient achieved dichorionic diamniotic twin pregnancies. During pregnancy, proportion (%) of switched memory B cells CD27+IgD- increased, while percent of total CD19+ B cells and CD27-IgD+ naive B cells were gradually decreased with a high dose IVIg treatment. She developed cervical incompetence at 20 weeks of gestation, received a Cesarean section at 32 weeks of gestation due to preterm labor, and delivered twin babies. B cell subset abnormalities may be associated with infertility, RPL and preterm labor, and further investigation is needed. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  13. Association between memory B-cells and clinical and immunological features of primary Sjögren's syndrome and Sicca patients.

    PubMed

    Barcelos, Filipe; Martins, Catarina; Papoila, Ana; Geraldes, Carlos; Cardigos, Joana; Nunes, Glória; Lopes, Teresa; Alves, Nuno; Vaz-Patto, José; Branco, Jaime; Borrego, Luís-Miguel

    2018-06-01

    B-cells play a pivotal role in primary Sjögren's syndrome (pSS) pathogenesis. We aim to (1) evaluate the distribution of B-lymphocyte subpopulations in pSS and Sicca patients, (2) establish cut-off points that discriminate pSS from controls, (3) evaluate the association between memory B-cells and phenotypic features in pSS. We included 57 pSS patients, 68 Sicca and 24 healthy controls. Circulating B-cells were characterized by flow cytometry as naïve and memory subsets and classified from Bm1 to Bm5. Compared to controls, pSS patients had lower percentages (29.5 vs 44.4%) and absolute numbers (47 vs 106 cells/µl) of memory B-cells. Through ROC curves, a cut-off of ≤ 58 total memory B-cells/µl yielded a specificity of 0.88 and a sensitivity of 0.60 for pSS, and was met by 59.6% of pSS patients, 38.8% of Sicca and 12.5% of controls. A cut-off of < 23.5 Switched-memory B-cells/µl yielded a specificity of 0.88 and a sensitivity of 0.54 and was met by 54.4% of pSS patients, 37.3% of Sicca and 12.5% of controls. In pSS, lower total memory B-cells count was associated with longer disease duration (14.3 vs 8.1 years, p = 0.006) and more active disease profile, as evaluated by the European League Against Rheumatism (EULAR) Sjögren's Syndrome Disease Activity Index (ESSDAI) (3.1 vs 1.4, p = 0.043). Decreased numbers of memory B-cells clearly discriminated pSS from controls and can also have prognostic value. It remains to be clarified whether Sicca patients with decreased memory B-cells represent pSS and if B-cell profiling could help in the diagnosis of pSS.

  14. Memory Th1 Cells Are Protective in Invasive Staphylococcus aureus Infection

    PubMed Central

    Lalor, Stephen J.; Leech, John M.; O’Keeffe, Kate M.; Mac Aogáin, Micheál; O’Halloran, Dara P.; Lacey, Keenan A.; Tavakol, Mehri; Hearnden, Claire H.; Fitzgerald-Hughes, Deirdre; Humphreys, Hilary; Fennell, Jérôme P.; van Wamel, Willem J.; Foster, Timothy J.; Geoghegan, Joan A.; Lavelle, Ed C.; Rogers, Thomas R.; McLoughlin, Rachel M.

    2015-01-01

    Mechanisms of protective immunity to Staphylococcus aureus infection in humans remain elusive. While the importance of cellular immunity has been shown in mice, T cell responses in humans have not been characterised. Using a murine model of recurrent S. aureus peritonitis, we demonstrated that prior exposure to S. aureus enhanced IFNγ responses upon subsequent infection, while adoptive transfer of S. aureus antigen-specific Th1 cells was protective in naïve mice. Translating these findings, we found that S. aureus antigen-specific Th1 cells were also significantly expanded during human S. aureus bloodstream infection (BSI). These Th1 cells were CD45RO+, indicative of a memory phenotype. Thus, exposure to S. aureus induces memory Th1 cells in mice and humans, identifying Th1 cells as potential S. aureus vaccine targets. Consequently, we developed a model vaccine comprising staphylococcal clumping factor A, which we demonstrate to be an effective human T cell antigen, combined with the Th1-driving adjuvant CpG. This novel Th1-inducing vaccine conferred significant protection during S. aureus infection in mice. This study notably advances our understanding of S. aureus cellular immunity, and demonstrates for the first time that a correlate of S. aureus protective immunity identified in mice may be relevant in humans. PMID:26539822

  15. Atypical memory B cells in human chronic infectious diseases: An interim report.

    PubMed

    Portugal, Silvia; Obeng-Adjei, Nyamekye; Moir, Susan; Crompton, Peter D; Pierce, Susan K

    2017-11-01

    Immunological memory is a remarkable phenomenon in which survival of an initial infection by a pathogen leads to life-long protection from disease upon subsequent exposure to that same pathogen. For many infectious diseases, long-lived protective humoral immunity is induced after only a single infection in a process that depends on the generation of memory B cells (MBCs) and long-lived plasma cells. However, over the past decade it has become increasingly evident that many chronic human infectious diseases to which immunity is not readily established, including HIV-AIDS, malaria and TB, are associated with fundamental alterations in the composition and functionality of MBC compartments. A common feature of these diseases appears to be a large expansion of what have been termed exhausted B cells, tissue-like memory B cells or atypical memory B cells (aMBCs) that, for simplicity's sake, we refer to here as aMBCs. It has been suggested that chronic immune activation and inflammation drive the expansion of aMBCs and that in some way aMBCs contribute to deficiencies in the acquisition of immunity in chronic infectious diseases. Although aMBCs are heterogeneous both within individuals and between diseases, they have several features in common including low expression of the cell surface markers that define classical MBCs in humans including CD21 and CD27 and high expression of genes not usually expressed by classical MBCs including T-bet, CD11c and a variety of inhibitory receptors, notably members of the FcRL family. Another distinguishing feature is their greatly diminished ability to be stimulated through their B cell receptors to proliferate, secrete cytokines or produce antibodies. In this review, we describe our current understanding of the phenotypic markers of aMBCs, their specificity in relation to the disease-causing pathogen, their functionality, the drivers of their expansion in chronic infections and their life span. We briefly summarize the features of a

  16. A Higher Activation Threshold of Memory CD8+ T Cells Has a Fitness Cost That Is Modified by TCR Affinity during Tuberculosis.

    PubMed

    Carpenter, Stephen M; Nunes-Alves, Cláudio; Booty, Matthew G; Way, Sing Sing; Behar, Samuel M

    2016-01-01

    T cell vaccines against Mycobacterium tuberculosis (Mtb) and other pathogens are based on the principle that memory T cells rapidly generate effector responses upon challenge, leading to pathogen clearance. Despite eliciting a robust memory CD8+ T cell response to the immunodominant Mtb antigen TB10.4 (EsxH), we find the increased frequency of TB10.4-specific CD8+ T cells conferred by vaccination to be short-lived after Mtb challenge. To compare memory and naïve CD8+ T cell function during their response to Mtb, we track their expansions using TB10.4-specific retrogenic CD8+ T cells. We find that the primary (naïve) response outnumbers the secondary (memory) response during Mtb challenge, an effect moderated by increased TCR affinity. To determine whether the expansion of polyclonal memory T cells is restrained following Mtb challenge, we used TCRβ deep sequencing to track TB10.4-specific CD8+ T cells after vaccination and subsequent challenge in intact mice. Successful memory T cells, defined by their clonal expansion after Mtb challenge, express similar CDR3β sequences suggesting TCR selection by antigen. Thus, both TCR-dependent and -independent factors affect the fitness of memory CD8+ responses. The impaired expansion of the majority of memory T cell clonotypes may explain why some TB vaccines have not provided better protection.

  17. A Higher Activation Threshold of Memory CD8+ T Cells Has a Fitness Cost That Is Modified by TCR Affinity during Tuberculosis

    PubMed Central

    Carpenter, Stephen M.; Nunes-Alves, Cláudio; Booty, Matthew G.; Way, Sing Sing; Behar, Samuel M.

    2016-01-01

    T cell vaccines against Mycobacterium tuberculosis (Mtb) and other pathogens are based on the principle that memory T cells rapidly generate effector responses upon challenge, leading to pathogen clearance. Despite eliciting a robust memory CD8+ T cell response to the immunodominant Mtb antigen TB10.4 (EsxH), we find the increased frequency of TB10.4-specific CD8+ T cells conferred by vaccination to be short-lived after Mtb challenge. To compare memory and naïve CD8+ T cell function during their response to Mtb, we track their expansions using TB10.4-specific retrogenic CD8+ T cells. We find that the primary (naïve) response outnumbers the secondary (memory) response during Mtb challenge, an effect moderated by increased TCR affinity. To determine whether the expansion of polyclonal memory T cells is restrained following Mtb challenge, we used TCRβ deep sequencing to track TB10.4-specific CD8+ T cells after vaccination and subsequent challenge in intact mice. Successful memory T cells, defined by their clonal expansion after Mtb challenge, express similar CDR3β sequences suggesting TCR selection by antigen. Thus, both TCR-dependent and -independent factors affect the fitness of memory CD8+ responses. The impaired expansion of the majority of memory T cell clonotypes may explain why some TB vaccines have not provided better protection. PMID:26745507

  18. A dual-docking microfluidic cell migration assay (D2-Chip) for testing neutrophil chemotaxis and the memory effect.

    PubMed

    Yang, Ke; Wu, Jiandong; Xu, Guoqing; Xie, Dongxue; Peretz-Soroka, Hagit; Santos, Susy; Alexander, Murray; Zhu, Ling; Zhang, Michael; Liu, Yong; Lin, Francis

    2017-04-18

    Chemotaxis is a classic mechanism for guiding cell migration and an important topic in both fundamental cell biology and health sciences. Neutrophils are a widely used model to study eukaryotic cell migration and neutrophil chemotaxis itself can lead to protective or harmful immune actions to the body. While much has been learnt from past research about how neutrophils effectively navigate through a chemoattractant gradient, many interesting questions remain unclear. For example, while it is tempting to model neutrophil chemotaxis using the well-established biased random walk theory, the experimental proof was challenged by the cell's highly persistent migrating nature. A special experimental design is required to test the key predictions from the random walk model. Another question that has interested the cell migration community for decades concerns the existence of chemotactic memory and its underlying mechanism. Although chemotactic memory has been suggested in various studies, a clear quantitative experimental demonstration will improve our understanding of the migratory memory effect. Motivated by these questions, we developed a microfluidic cell migration assay (so-called dual-docking chip or D 2 -Chip) that can test both the biased random walk model and the memory effect for neutrophil chemotaxis on a single chip enabled by multi-region gradient generation and dual-region cell alignment. Our results provide experimental support for the biased random walk model and chemotactic memory for neutrophil chemotaxis. Quantitative data analyses provide new insights into neutrophil chemotaxis and memory by making connections to entropic disorder, cell morphology and oscillating migratory response.

  19. Cue generation and memory construction in direct and generative autobiographical memory retrieval.

    PubMed

    Harris, Celia B; O'Connor, Akira R; Sutton, John

    2015-05-01

    Theories of autobiographical memory emphasise effortful, generative search processes in memory retrieval. However recent research suggests that memories are often retrieved directly, without effortful search. We investigated whether direct and generative retrieval differed in the characteristics of memories recalled, or only in terms of retrieval latency. Participants recalled autobiographical memories in response to cue words. For each memory, they reported whether it was retrieved directly or generatively, rated its visuo-spatial perspective, and judged its accompanying recollective experience. Our results indicated that direct retrieval was commonly reported and was faster than generative retrieval, replicating recent findings. The characteristics of directly retrieved memories differed from generatively retrieved memories: directly retrieved memories had higher field perspective ratings and lower observer perspective ratings. However, retrieval mode did not influence recollective experience. We discuss our findings in terms of cue generation and content construction, and the implication for reconstructive models of autobiographical memory. Copyright © 2015 Elsevier Inc. All rights reserved.

  20. Impairment of pneumococcal antigen specific isotype-switched Igg memory B-cell immunity in HIV infected Malawian adults.

    PubMed

    Iwajomo, Oluwadamilola H; Finn, Adam; Ogunniyi, Abiodun D; Williams, Neil A; Heyderman, Robert S

    2013-01-01

    Pneumococcal disease is associated with a particularly high morbidity and mortality amongst adults in HIV endemic countries. Our previous findings implicating a B-cell defect in HIV-infected children from the same population led us to comprehensively characterize B-cell subsets in minimally symptomatic HIV-infected Malawian adults and investigate the isotype-switched IgG memory B-cell immune response to the pneumococcus. We show that similar to vertically acquired HIV-infected Malawian children, horizontally acquired HIV infection in these adults is associated with IgM memory B-cell (CD19(+) CD27(+) IgM(+) IgD(+)) depletion, B-cell activation and impairment of specific IgG B-cell memory to a range of pneumococcal proteins. Our data suggest that HIV infection affects both T-cell independent and T-cell dependent B-cell maturation, potentially leading to impairment of humoral responses to extracellular pathogens such as the pneumococcus, and thus leaving this population susceptible to invasive disease.

  1. In Vitro Measles Virus Infection of Human Lymphocyte Subsets Demonstrates High Susceptibility and Permissiveness of both Naive and Memory B Cells

    PubMed Central

    Laksono, Brigitta M.; Grosserichter-Wagener, Christina; de Vries, Rory D.; Langeveld, Simone A. G.; Brem, Maarten D.; van Dongen, Jacques J. M.; Koopmans, Marion P. G.

    2018-01-01

    ABSTRACT Measles is characterized by a transient immune suppression, leading to an increased risk of opportunistic infections. Measles virus (MV) infection of immune cells is mediated by the cellular receptor CD150, expressed by subsets of lymphocytes, dendritic cells, macrophages, and thymocytes. Previous studies showed that human and nonhuman primate memory T cells express higher levels of CD150 than naive cells and are more susceptible to MV infection. However, limited information is available about the CD150 expression and relative susceptibility to MV infection of B-cell subsets. In this study, we assessed the susceptibility and permissiveness of naive and memory T- and B-cell subsets from human peripheral blood or tonsils to in vitro MV infection. Our study demonstrates that naive and memory B cells express CD150, but at lower frequencies than memory T cells. Nevertheless, both naive and memory B cells proved to be highly permissive to MV infection. Furthermore, we assessed the susceptibility and permissiveness of various functionally distinct T and B cells, such as helper T (TH) cell subsets and IgG- and IgA-positive memory B cells, in peripheral blood and tonsils. We demonstrated that TH1TH17 cells and plasma and germinal center B cells were the subsets most susceptible and permissive to MV infection. Our study suggests that both naive and memory B cells, along with several other antigen-experienced lymphocytes, are important target cells of MV infection. Depletion of these cells potentially contributes to the pathogenesis of measles immune suppression. IMPORTANCE Measles is associated with immune suppression and is often complicated by bacterial pneumonia, otitis media, or gastroenteritis. Measles virus infects antigen-presenting cells and T and B cells, and depletion of these cells may contribute to lymphopenia and immune suppression. Measles has been associated with follicular exhaustion in lymphoid tissues in humans and nonhuman primates, emphasizing

  2. Bone Marrow Mesenchymal Stem Cells Enhance the Differentiation of Human Switched Memory B Lymphocytes into Plasma Cells in Serum-Free Medium

    PubMed Central

    Gervais-St-Amour, Catherine

    2016-01-01

    The differentiation of human B lymphocytes into plasma cells is one of the most stirring questions with regard to adaptive immunity. However, the terminal differentiation and survival of plasma cells are still topics with much to be discovered, especially when targeting switched memory B lymphocytes. Plasma cells can migrate to the bone marrow in response to a CXCL12 gradient and survive for several years while secreting antibodies. In this study, we aimed to get closer to niches favoring plasma cell survival. We tested low oxygen concentrations and coculture with mesenchymal stem cells (MSC) from human bone marrow. Besides, all cultures were performed using an animal protein-free medium. Overall, our model enables the generation of high proportions of CD38+CD138+CD31+ plasma cells (≥50%) when CD40-activated switched memory B lymphocytes were cultured in direct contact with mesenchymal stem cells. In these cultures, the secretion of CXCL12 and TGF-β, usually found in the bone marrow, was linked to the presence of MSC. The level of oxygen appeared less impactful than the contact with MSC. This study shows for the first time that expanded switched memory B lymphocytes can be differentiated into plasma cells using exclusively a serum-free medium. PMID:27872867

  3. Human skin is protected by four functionally and phenotypically discrete populations of resident and recirculating memory T cells.

    PubMed

    Watanabe, Rei; Gehad, Ahmed; Yang, Chao; Scott, Laura L; Teague, Jessica E; Schlapbach, Christoph; Elco, Christopher P; Huang, Victor; Matos, Tiago R; Kupper, Thomas S; Clark, Rachael A

    2015-03-18

    The skin of an adult human contains about 20 billion memory T cells. Epithelial barrier tissues are infiltrated by a combination of resident and recirculating T cells in mice, but the relative proportions and functional activities of resident versus recirculating T cells have not been evaluated in human skin. We discriminated resident from recirculating T cells in human-engrafted mice and lymphoma patients using alemtuzumab, a medication that depletes recirculating T cells from skin, and then analyzed these T cell populations in healthy human skin. All nonrecirculating resident memory T cells (TRM) expressed CD69, but most were CD4(+), CD103(-), and located in the dermis, in contrast to studies in mice. Both CD4(+) and CD8(+) CD103(+) TRM were enriched in the epidermis, had potent effector functions, and had a limited proliferative capacity compared to CD103(-) TRM. TRM of both types had more potent effector functions than recirculating T cells. We observed two distinct populations of recirculating T cells, CCR7(+)/L-selectin(+) central memory T cells (TCM) and CCR7(+)/L-selectin(-) T cells, which we term migratory memory T cells (TMM). Circulating skin-tropic TMM were intermediate in cytokine production between TCM and effector memory T cells. In patients with cutaneous T cell lymphoma, malignant TCM and TMM induced distinct inflammatory skin lesions, and TMM were depleted more slowly from skin after alemtuzumab, suggesting that TMM may recirculate more slowly. In summary, human skin is protected by four functionally distinct populations of T cells, two resident and two recirculating, with differing territories of migration and distinct functional activities. Copyright © 2015, American Association for the Advancement of Science.

  4. T Cell Receptor-Major Histocompatibility Complex Interaction Strength Defines Trafficking and CD103+ Memory Status of CD8 T Cells in the Brain.

    PubMed

    Sanecka, Anna; Yoshida, Nagisa; Kolawole, Elizabeth Motunrayo; Patel, Harshil; Evavold, Brian D; Frickel, Eva-Maria

    2018-01-01

    T cell receptor-major histocompatibility complex (TCR-MHC) affinities span a wide range in a polyclonal T cell response, yet it is undefined how affinity shapes long-term properties of CD8 T cells during chronic infection with persistent antigen. Here, we investigate how the affinity of the TCR-MHC interaction shapes the phenotype of memory CD8 T cells in the chronically Toxoplasma gondii- infected brain. We employed CD8 T cells from three lines of transnuclear (TN) mice that harbor in their endogenous loci different T cell receptors specific for the same Toxoplasma antigenic epitope ROP7. The three TN CD8 T cell clones span a wide range of affinities to MHCI-ROP7. These three CD8 T cell clones have a distinct and fixed hierarchy in terms of effector function in response to the antigen measured as proliferation capacity, trafficking, T cell maintenance, and memory formation. In particular, the T cell clone of lowest affinity does not home to the brain. The two higher affinity T cell clones show differences in establishing resident-like memory populations (CD103 + ) in the brain with the higher affinity clone persisting longer in the host during chronic infection. Transcriptional profiling of naïve and activated ROP7-specific CD8 T cells revealed that Klf2 encoding a transcription factor that is known to be a negative marker for T cell trafficking is upregulated in the activated lowest affinity ROP7 clone. Our data thus suggest that TCR-MHC affinity dictates memory CD8 T cell fate at the site of infection.

  5. IL-1 Receptor Signaling on Graft Parenchymal Cells Regulates Memory and De Novo Donor-Reactive CD8 T Cell Responses to Cardiac Allografts.

    PubMed

    Iida, Shoichi; Tsuda, Hidetoshi; Tanaka, Toshiaki; Kish, Danielle D; Abe, Toyofumi; Su, Charles A; Abe, Ryo; Tanabe, Kazunari; Valujskikh, Anna; Baldwin, William M; Fairchild, Robert L

    2016-03-15

    Reperfusion of organ allografts induces a potent inflammatory response that directs rapid memory T cell, neutrophil, and macrophage graft infiltration and their activation to express functions mediating graft tissue injury. The role of cardiac allograft IL-1 receptor (IL-1R) signaling in this early inflammation and the downstream primary alloimmune response was investigated. When compared with complete MHC-mismatched wild-type cardiac allografts, IL-1R(-/-) allografts had marked decreases in endogenous memory CD8 T cell and neutrophil infiltration and expression of proinflammatory mediators at early times after transplant, whereas endogenous memory CD4 T cell and macrophage infiltration was not decreased. IL-1R(-/-) allograft recipients also had marked decreases in de novo donor-reactive CD8, but not CD4, T cell development to IFN-γ-producing cells. CD8 T cell-mediated rejection of IL-1R(-/-) cardiac allografts took 3 wk longer than wild-type allografts. Cardiac allografts from reciprocal bone marrow reconstituted IL-1R(-/-)/wild-type chimeric donors indicated that IL-1R signaling on graft nonhematopoietic-derived, but not bone marrow-derived, cells is required for the potent donor-reactive memory and primary CD8 T cell alloimmune responses observed in response to wild-type allografts. These studies implicate IL-1R-mediated signals by allograft parenchymal cells in generating the stimuli-provoking development and elicitation of optimal alloimmune responses to the grafts. Copyright © 2016 by The American Association of Immunologists, Inc.

  6. Memory B cell compartment constitution and susceptibility to recurrent lower respiratory tract infections in young children.

    PubMed

    Siebert, Johan N; L'huillier, Arnaud G; Grillet, Stéphane; Delhumeau, Cécile; Siegrist, Claire-Anne; Posfay-Barbe, Klara M

    2013-06-01

    A proportion of children have recurrent LRTIs, mostly as a result of Spn, which persist after 2 years of age. Here, we investigate, by flow cytofluorometry, the constitution of the memory B cell compartment in 90 healthy children and 49 children with recurrent LRTIs to determine if an increased susceptibility to recurrent LRTIs results from a delayed or abnormal ontogeny with poor antibody-mediated protection. Total IgA, IgM, IgG, and IgG subclasses were measured by nephelometry, as well as antipneumococcal antibodies by ELISA. Pneumococcal vaccination status was obtained. We show that the memory B cells increase between birth and 2 years of age (1.6% vs. 21.1%, P<0.001) without further significant increase noted per additional years (3-4 years old: 23.3%; 4-5 years old: 22.2%, P>0.40) to reach adult-like values (31.8±11.8%, P=0.08). Proportions of switched and IgM memory B cells were similar in children and adults. Comparatively, LRTI children had no delay in the constitution of their memory B cell compartment (2-3 years old: 26.9%; 3-4 years old: 18.2%; 4-5 years old: 26.8%, P>0.05). Their switched and IgM memory B cells were similar among age categories, and the distribution was overall similar to that of healthy controls. LRTI children had normal total and pneumococcal serotype-specific antibody values but showed a rapid waning of antipneumococcal antibody levels after vaccination. In summary, our results show that the memory B cell compartment is already similarly constituted at 2 years of age in healthy and LRTI children and thus, cannot explain the increased susceptibility to bacterial pneumonia. However, the waning of antibodies might predispose children to recurrent infections in the absence of revaccination.

  7. Biocompatibility of nanoactuators: stem cell growth on laser-generated nickel-titanium shape memory alloy nanoparticles

    NASA Astrophysics Data System (ADS)

    Barcikowski, Stephan; Hahn, Anne; Guggenheim, Merlin; Reimers, Kerstin; Ostendorf, Andreas

    2010-06-01

    Nanoactuators made from nanoparticulate NiTi shape memory alloy show potential in the mechanical stimulation of bone tissue formation from stem cells. We demonstrate the fabrication of Ni, Ti, and NiTi shape memory alloy nanoparticles and their biocompatibility to human adipose-derived stem cells. The stoichiometry and phase transformation property of the bulk alloy is preserved during attrition by femtosecond laser ablation in liquid, giving access to colloidal nanoactuators. No adverse effect on cell growth and attachment is observed in proliferation assay and environmental electron scanning microscopy, making this material attractive for mechanical stimulation of stem cells.

  8. Effector and memory CD8+ T cell differentiation: toward a molecular understanding of fate determination.

    PubMed

    Belz, Gabrielle T; Kallies, Axel

    2010-06-01

    CD8(+) T cells play a key role in protecting the body against invading microorganisms. Their capacity to control infection relies on the development of peripheral effector and memory T cells. Much of our current knowledge has been gained by tracking alterations of the phenotype of CD8(+) T cells but the molecular understanding of the events that underpin the emergence of heterogeneous effector and memory CD8(+) T cells in response to infection has remained limited. This review focuses on the recent progress in our understanding of the molecular wiring of this differentiation process. Copyright 2010 Elsevier Ltd. All rights reserved.

  9. Depletion of CD8 Memory T Cells for Induction of Tolerance of a Previously Transplanted Kidney Allograft

    PubMed Central

    Koyama, I.; Nadazdin, O.; Boskovic, S.; Ochiai, T.; Smith, R. N.; Sykes, M.; Sogawa, H.; Murakami, T.; Strom, T. B.; Colvin, R. B.; Sachs, D. H.; Benichou, G.; Cosimi, A. B.; Kawai, T.

    2013-01-01

    Heterologous immunologic memory has been considered a potent barrier to tolerance induction in primates. Induction of such tolerance for a previously transplanted organ may be more difficult, because specific memory cells can be induced and activated by a transplanted organ. In the current study, we attempted to induce tolerance to a previously transplanted kidney allograft in nonhuman primates. The conditioning regimen consisted of low dose total body irradiation, thymic irradiation, antithymocyte globulin, and anti- CD154 antibody followed by a brief course of a calcineurin inhibitor. This regimen had been shown to induce mixed chimerism and allograft tolerance when kidney transplantation (KTx) and donor bone marrow transplantation (DBMT) were simultaneously performed. However, the same regimen failed to induce mixed chimerism when delayed DBMT was performed after KTx. We found that significant levels of memory T cells remained after conditioning, despite effective depletion of naïve T cells. By adding humanized anti-CD8 monoclonal antibody (cM-T807), CD8 memory T cells were effectively depleted and these recipients successfully achieved mixed chimerism and tolerance. The current studies provide ‘proof of principle’ that the mixed chimerism approach can induce renal allograft tolerance, even late after organ transplantation if memory T-cell function is adequately controlled. PMID:17286617

  10. Constitutive Lck Activity Drives Sensitivity Differences between CD8+ Memory T Cell Subsets.

    PubMed

    Moogk, Duane; Zhong, Shi; Yu, Zhiya; Liadi, Ivan; Rittase, William; Fang, Victoria; Dougherty, Janna; Perez-Garcia, Arianne; Osman, Iman; Zhu, Cheng; Varadarajan, Navin; Restifo, Nicholas P; Frey, Alan B; Krogsgaard, Michelle

    2016-07-15

    CD8(+) T cells develop increased sensitivity following Ag experience, and differences in sensitivity exist between T cell memory subsets. How differential TCR signaling between memory subsets contributes to sensitivity differences is unclear. We show in mouse effector memory T cells (TEM) that >50% of lymphocyte-specific protein tyrosine kinase (Lck) exists in a constitutively active conformation, compared with <20% in central memory T cells (TCM). Immediately proximal to Lck signaling, we observed enhanced Zap-70 phosphorylation in TEM following TCR ligation compared with TCM Furthermore, we observed superior cytotoxic effector function in TEM compared with TCM, and we provide evidence that this results from a lower probability of TCM reaching threshold signaling owing to the decreased magnitude of TCR-proximal signaling. We provide evidence that the differences in Lck constitutive activity between CD8(+) TCM and TEM are due to differential regulation by SH2 domain-containing phosphatase-1 (Shp-1) and C-terminal Src kinase, and we use modeling of early TCR signaling to reveal the significance of these differences. We show that inhibition of Shp-1 results in increased constitutive Lck activity in TCM to levels similar to TEM, as well as increased cytotoxic effector function in TCM Collectively, this work demonstrates a role for constitutive Lck activity in controlling Ag sensitivity, and it suggests that differential activities of TCR-proximal signaling components may contribute to establishing the divergent effector properties of TCM and TEM. This work also identifies Shp-1 as a potential target to improve the cytotoxic effector functions of TCM for adoptive cell therapy applications. Copyright © 2016 by The American Association of Immunologists, Inc.

  11. CD8+ T Cell Exhaustion, Suppressed Gamma Interferon Production, and Delayed Memory Response Induced by Chronic Brucella melitensis Infection

    PubMed Central

    Durward-Diioia, Marina; Harms, Jerome; Khan, Mike; Hall, Cherisse; Smith, Judith A.

    2015-01-01

    Brucella melitensis is a well-adapted zoonotic pathogen considered a scourge of mankind since recorded history. In some cases, initial infection leads to chronic and reactivating brucellosis, incurring significant morbidity and economic loss. The mechanism by which B. melitensis subverts adaptive immunological memory is poorly understood. Previous work has shown that Brucella-specific CD8+ T cells express gamma interferon (IFN-γ) and can transition to long-lived memory cells but are not polyfunctional. In this study, chronic infection of mice with B. melitensis led to CD8+ T cell exhaustion, manifested by programmed cell death 1 (PD-1) and lymphocyte activation gene 3 (LAG-3) expression and a lack of IFN-γ production. The B. melitensis-specific CD8+ T cells that produced IFN-γ expressed less IFN-γ per cell than did CD8+ cells from uninfected mice. Both memory precursor (CD8+ LFA1HI CD127HI KLRG1LO) and long-lived memory (CD8+ CD27HI CD127HI KLRG1LO) cells were identified during chronic infection. Interestingly, after adoptive transfer, mice receiving cells from chronically infected animals were able to contain infection more rapidly than recipients of cells from acutely infected or uninfected donors, although the proportions of exhausted CD8+ T cells increased after adoptive transfer in both challenged and unchallenged recipients. CD8+ T cells of challenged recipients initially retained the stunted IFN-γ production found prior to transfer, and cells from acutely infected mice were never seen to transition to either memory subset at all time points tested, up to 30 days post-primary infection, suggesting a delay in the generation of memory. Here we have identified defects in Brucella-responsive CD8+ T cells that allow chronic persistence of infection. PMID:26416901

  12. Additional Electrochemical Treatment Effects on the Switching Characteristics of Anodic Porous Alumina Resistive Switching Memory

    NASA Astrophysics Data System (ADS)

    Otsuka, Shintaro; Takeda, Ryouta; Furuya, Saeko; Shimizu, Tomohiro; Shingubara, Shouso; Iwata, Nobuyuki; Watanabe, Tadataka; Takano, Yoshiki; Takase, Kouichi

    2012-06-01

    We have investigated the current-voltage characteristics of a resistive switching memory (ReRAM), especially the reproducibility of the switching voltage between an insulating state and a metallic state. The poor reproducibility hinders the practical use of this memory. According to a filament model, the variation of the switching voltage may be understood in terms of the random choice of filaments with different conductivities and lengths at each switching. A limitation of the number of conductive paths is expected to lead to the suppression of the variation of switching voltage. In this study, two strategies for the limitation have been proposed using an anodic porous alumina (APA). The first is the reduction of the number of conductive paths by restriction of the contact area between the top electrodes and the insulator. The second is the lowering of the resistivity of the insulator, which makes it possible to grow filaments with the same characteristics by electrochemical treatments using a pulse-electroplating technique.

  13. Human skin is protected by four functionally and phenotypically discrete populations of resident and recirculating memory T cells

    PubMed Central

    Watanabe, Rei; Gehad, Ahmed; Yang, Chao; Campbell, Laura; Teague, Jessica E.; Schlapbach, Christoph; Elco, Christopher; Huang, Victor; Matos, Tiago R.; Kupper, Thomas S.; Clark, Rachael A.

    2015-01-01

    The skin of an adult human contains approximately 20 billion memory T cells. Epithelial barrier tissues are infiltrated by a combination of resident and recirculating T cells in mice but the relative proportions and functional activities of resident versus recirculating T cells have not been evaluated in human skin. We discriminated resident from recirculating T cells in human engrafted mice and lymphoma patients using alemtuzumab, a medication that depletes recirculating T cells from skin, and then analyzed these T cell populations in healthy human skin. All non-recirculating resident memory T cells (TRM) expressed CD69, but the majority were CD4+, CD103− and located in the dermis, in contrast to studies in mice. Both CD4+ and CD8+ CD103+ TRM were enriched in the epidermis, had potent effector functions and had a limited proliferative capacity compared to CD103− TRM. TRM of both types had more potent effector functions than recirculating T cells. Induction of CD103 on human T cells was enhanced by keratinocyte contact, depended on TGFβ and was independent of T cell keratinocyte adhesive interactions. We observed two distinct populations of recirculating T cells, CCR7+/L-selectin+ central memory T cells (TCM) and CCR7+/L-selectin− T cells, which we term migratory memory T cells (TMM). Circulating skin-tropic TMM were intermediate in cytokine production between TCM and effector memory T cells. In patients with cutaneous T cell lymphoma, malignant TCM and TMM induced distinct inflammatory skin lesions and TMM were depleted more slowly from skin after alemtuzumab, suggesting TMM may recirculate more slowly. In summary, human skin is protected by four functionally distinct populations of T cells, two resident and two recirculating, with differing territories of migration and distinct functional activities. PMID:25787765

  14. Protection by universal influenza vaccine is mediated by memory CD4 T cells.

    PubMed

    Valkenburg, Sophie A; Li, Olive T W; Li, Athena; Bull, Maireid; Waldmann, Thomas A; Perera, Liyanage P; Peiris, Malik; Poon, Leo L M

    2018-07-05

    There is a diverse array of influenza viruses which circulate between different species, reassort and drift over time. Current seasonal influenza vaccines are ineffective in controlling these viruses. We have developed a novel universal vaccine which elicits robust T cell responses and protection against diverse influenza viruses in mouse and human models. Vaccine mediated protection was dependent on influenza-specific CD4 + T cells, whereby depletion of CD4 + T cells at either vaccination or challenge time points significantly reduced survival in mice. Vaccine memory CD4 + T cells were needed for early antibody production and CD8 + T cell recall responses. Furthermore, influenza-specific CD4 + T cells from vaccination manifested primarily Tfh and Th1 profiles with anti-viral cytokine production. The vaccine boosted H5-specific T cells from human PBMCs, specifically CD4 + and CD8 + T effector memory type, ensuring the vaccine was truly universal for its future application. These findings have implications for the development and optimization of T cell activating vaccines for universal immunity against influenza. Copyright © 2018 Elsevier Ltd. All rights reserved.

  15. Influence of magnet eddy current on magnetization characteristics of variable flux memory machine

    NASA Astrophysics Data System (ADS)

    Yang, Hui; Lin, Heyun; Zhu, Z. Q.; Lyu, Shukang

    2018-05-01

    In this paper, the magnet eddy current characteristics of a newly developed variable flux memory machine (VFMM) is investigated. Firstly, the machine structure, non-linear hysteresis characteristics and eddy current modeling of low coercive force magnet are described, respectively. Besides, the PM eddy current behaviors when applying the demagnetizing current pulses are unveiled and investigated. The mismatch of the required demagnetization currents between the cases with or without considering the magnet eddy current is identified. In addition, the influences of the magnet eddy current on the demagnetization effect of VFMM are analyzed. Finally, a prototype is manufactured and tested to verify the theoretical analyses.

  16. Effector and memory T cell subsets in the response to bovine tuberculosis

    USDA-ARS?s Scientific Manuscript database

    Long-term (i.e., 14 days) cultured IFN-gamma ELISPOT assays of peripheral blood mononuclear cells (PBMC) are used to access T cell central memory (Tcm) responses in both cattle and humans. With bovine tuberculosis, vaccine-elicited long-term IFN-gamma ELISPOT response correlates with protection; how...

  17. Excellent resistive memory characteristics and switching mechanism using a Ti nanolayer at the Cu/TaOx interface

    PubMed Central

    2012-01-01

    Excellent resistive switching memory characteristics were demonstrated for an Al/Cu/Ti/TaOx/W structure with a Ti nanolayer at the Cu/TaOx interface under low voltage operation of ± 1.5 V and a range of current compliances (CCs) from 0.1 to 500 μA. Oxygen accumulation at the Ti nanolayer and formation of a defective high-κ TaOx film were confirmed by high-resolution transmission electron microscopy, energy dispersive X-ray spectroscopy, and X-ray photo-electron spectroscopy. The resistive switching memory characteristics of the Al/Cu/Ti/TaOx/W structure, such as HRS/LRS (approximately 104), stable switching cycle stability (>106) and multi-level operation, were improved compared with those of Al/Cu/TaOx/W devices. These results were attributed to the control of Cu migration/dissolution by the insertion of a Ti nanolayer at the Cu/TaOx interface. In contrast, CuOx formation at the Cu/TaOx interface was observed in an Al/Cu/TaOx/W structure, which hindered dissolution of the Cu filament and resulted in a small resistance ratio of approximately 10 at a CC of 500 μA. A high charge-trapping density of 6.9 × 1016 /cm2 was observed in the Al/Cu/Ti/TaOx/W structure from capacitance-voltage hysteresis characteristics, indicating the migration of Cu ions through defect sites. The switching mechanism was successfully explained for structures with and without the Ti nanolayer. By using a new approach, the nanoscale diameter of Cu filament decreased from 10.4 to 0.17 nm as the CC decreased from 500 to 0.1 μA, resulting in a large memory size of 7.6 T to 28 Pbit/sq in. Extrapolated 10-year data retention of the Ti nanolayer device was also obtained. The findings of this study will not only improve resistive switching memory performance but also aid future design of nanoscale nonvolatile memory. PMID:22734564

  18. Endogenous Memory CD8 T Cells Are Activated Within Cardiac Allografts Without Mediating Rejection

    PubMed Central

    Setoguchi, Kiyoshi; Hattori, Yusuke; Iida, Shoichi; Baldwin, William M.; Fairchild, Robert L.

    2013-01-01

    Endogenous memory CD8 T cells infiltrate MHC-mismatched cardiac allografts within 12–24 hours post-transplant in mice and are activated to proliferate and produce IFN-γ. To more accurately assess the graft injury directly imposed by these endogenous memory CD8 T cells, we took advantage of the ability of anti-LFA-1 mAb given to allograft recipients on days 3 and 4 post-transplant to inhibit the generation of primary effector T cells. When compared to grafts from IgG treated recipients on day 7 post-transplant, allografts from anti-LFA-1 mAb treated recipients had increased numbers of CD8 T cells but these grafts had marked decreases in expression levels of mRNA encoding effector mediators associated with graft injury and decreases in donor-reactive CD8 T cells producing IFN-γ. Despite this decreased activity within the allograft, CD8 T cells in allografts from recipients treated with anti-LFA-1 mAb continued to proliferate up to day 7 post-transplant and did not upregulate expression of the exhaustion marker LAG-3 but did have decreased expression of ICOS. These results indicate that endogenous memory CD8 T cells infiltrate and proliferate in cardiac allografts in mice but do not express sufficient levels of functions to mediate overt graft injury and acute rejection. PMID:23914930

  19. A colitogenic memory CD4+ T cell population mediates gastrointestinal graft-versus-host disease

    PubMed Central

    Zhou, Vivian; Agle, Kimberle; Chen, Xiao; Beres, Amy; Komorowski, Richard; Belle, Ludovic; Taylor, Carolyn; Zhu, Fenlu; Haribhai, Dipica; Williams, Calvin B.; Verbsky, James; Blumenschein, Wendy; Sadekova, Svetlana; Bowman, Eddie; Ballantyne, Christie; Weaver, Casey; Serody, David A.; Vincent, Benjamin; Serody, Jonathan; Cua, Daniel J.; Drobyski, William R.

    2016-01-01

    Damage to the gastrointestinal tract is a major cause of morbidity and mortality in graft-versus-host disease (GVHD) and is attributable to T cell–mediated inflammation. In this work, we identified a unique CD4+ T cell population that constitutively expresses the β2 integrin CD11c and displays a biased central memory phenotype and memory T cell transcriptional profile, innate-like properties, and increased expression of the gut-homing molecules α4β7 and CCR9. Using several complementary murine GVHD models, we determined that adoptive transfer and early accumulation of β2 integrin–expressing CD4+ T cells in the gastrointestinal tract initiated Th1-mediated proinflammatory cytokine production, augmented pathological damage in the colon, and increased mortality. The pathogenic effect of this CD4+ T cell population critically depended on coexpression of the IL-23 receptor, which was required for maximal inflammatory effects. Non–Foxp3-expressing CD4+ T cells produced IL-10, which regulated colonic inflammation and attenuated lethality in the absence of functional CD4+Foxp3+ T cells. Thus, the coordinate expression of CD11c and the IL-23 receptor defines an IL-10–regulated, colitogenic memory CD4+ T cell subset that is poised to initiate inflammation when there is loss of tolerance and breakdown of mucosal barriers. PMID:27500496

  20. In Vitro Measles Virus Infection of Human Lymphocyte Subsets Demonstrates High Susceptibility and Permissiveness of both Naive and Memory B Cells.

    PubMed

    Laksono, Brigitta M; Grosserichter-Wagener, Christina; de Vries, Rory D; Langeveld, Simone A G; Brem, Maarten D; van Dongen, Jacques J M; Katsikis, Peter D; Koopmans, Marion P G; van Zelm, Menno C; de Swart, Rik L

    2018-04-15

    Measles is characterized by a transient immune suppression, leading to an increased risk of opportunistic infections. Measles virus (MV) infection of immune cells is mediated by the cellular receptor CD150, expressed by subsets of lymphocytes, dendritic cells, macrophages, and thymocytes. Previous studies showed that human and nonhuman primate memory T cells express higher levels of CD150 than naive cells and are more susceptible to MV infection. However, limited information is available about the CD150 expression and relative susceptibility to MV infection of B-cell subsets. In this study, we assessed the susceptibility and permissiveness of naive and memory T- and B-cell subsets from human peripheral blood or tonsils to in vitro MV infection. Our study demonstrates that naive and memory B cells express CD150, but at lower frequencies than memory T cells. Nevertheless, both naive and memory B cells proved to be highly permissive to MV infection. Furthermore, we assessed the susceptibility and permissiveness of various functionally distinct T and B cells, such as helper T (T H ) cell subsets and IgG- and IgA-positive memory B cells, in peripheral blood and tonsils. We demonstrated that T H 1T H 17 cells and plasma and germinal center B cells were the subsets most susceptible and permissive to MV infection. Our study suggests that both naive and memory B cells, along with several other antigen-experienced lymphocytes, are important target cells of MV infection. Depletion of these cells potentially contributes to the pathogenesis of measles immune suppression. IMPORTANCE Measles is associated with immune suppression and is often complicated by bacterial pneumonia, otitis media, or gastroenteritis. Measles virus infects antigen-presenting cells and T and B cells, and depletion of these cells may contribute to lymphopenia and immune suppression. Measles has been associated with follicular exhaustion in lymphoid tissues in humans and nonhuman primates, emphasizing the

  1. Critical role for the chemokine receptor CXCR6 in NK cell-mediated antigen-specific memory of haptens and viruses.

    PubMed

    Paust, Silke; Gill, Harvinder S; Wang, Bao-Zhong; Flynn, Michael P; Moseman, E Ashley; Senman, Balimkiz; Szczepanik, Marian; Telenti, Amalio; Askenase, Philip W; Compans, Richard W; von Andrian, Ulrich H

    2010-12-01

    Hepatic natural killer (NK) cells mediate antigen-specific contact hypersensitivity (CHS) in mice deficient in T cells and B cells. We report here that hepatic NK cells, but not splenic or naive NK cells, also developed specific memory of vaccines containing antigens from influenza, vesicular stomatitis virus (VSV) or human immunodeficiency virus type 1 (HIV-1). Adoptive transfer of virus-sensitized NK cells into naive recipient mice enhanced the survival of the mice after lethal challenge with the sensitizing virus but not after lethal challenge with a different virus. NK cell memory of haptens and viruses depended on CXCR6, a chemokine receptor on hepatic NK cells that was required for the persistence of memory NK cells but not for antigen recognition. Thus, hepatic NK cells can develop adaptive immunity to structurally diverse antigens, an activity that requires NK cell-expressed CXCR6.

  2. Interlesional diversity of T cell receptors in melanoma with immune checkpoints enriched in tissue-resident memory T cells

    PubMed Central

    Boddupalli, Chandra Sekhar; Bar, Noffar; Kadaveru, Krishna; Krauthammer, Michael; Pornputtapong, Natopol; Ariyan, Stephan; Narayan, Deepak; Kluger, Harriet; Deng, Yanhong; Verma, Rakesh; Das, Rituparna; Bacchiocchi, Antonella; Halaban, Ruth; Sznol, Mario; Dhodapkar, Madhav V.; Dhodapkar, Kavita M.

    2016-01-01

    Heterogeneity of tumor cells and their microenvironment can affect outcome in cancer. Blockade of immune checkpoints (ICPs) expressed only on a subset of immune cells leads to durable responses in advanced melanoma. Tissue-resident memory T (TRM) cells have recently emerged as a distinct subset of memory T cells in nonlymphoid tissues. Here, we show that functional properties and expression of ICPs within tumor-infiltrating lymphocytes (TILs) differ from those of blood T cells. TILs secrete less IL-2, IFN-γ, and TNF-α compared with circulating counterparts, and expression of VEGF correlated with reduced TIL infiltration. Within tumors, ICPs are particularly enriched within T cells with phenotype and genomic features of TRM cells and the CD16+ subset of myeloid cells. Concurrent T cell receptor (TCR) and tumor exome sequencing of individual metastases in the same patient revealed that interlesional diversity of TCRs exceeded differences in mutation/neoantigen load in tumor cells. These findings suggest that the TRM subset of TILs may be the major target of ICP blockade and illustrate interlesional diversity of tissue-resident TCRs within individual metastases, which did not equilibrate between metastases and may differentially affect the outcome of immune therapy at each site. PMID:28018970

  3. Epidermal Th22 and Tc17 cells form a localized disease memory in clinically healed psoriasis.

    PubMed

    Cheuk, Stanley; Wikén, Maria; Blomqvist, Lennart; Nylén, Susanne; Talme, Toomas; Ståhle, Mona; Eidsmo, Liv

    2014-04-01

    Psoriasis is a common and chronic inflammatory skin disease in which T cells play a key role. Effective treatment heals the skin without scarring, but typically psoriasis recurs in previously affected areas. A pathogenic memory within the skin has been proposed, but the nature of such site-specific disease memory is unknown. Tissue-resident memory T (TRM) cells have been ascribed a role in immunity after resolved viral skin infections. Because of their localization in the epidermal compartment of the skin, TRM may contribute to tissue pathology during psoriasis. In this study, we investigated whether resolved psoriasis lesions contain TRM cells with the ability to maintain and potentially drive recurrent disease. Three common and effective therapies, narrowband-UVB treatment and long-term biologic treatment systemically inhibiting TNF-α or IL-12/23 signaling were studied. Epidermal T cells were highly activated in psoriasis and a high proportion of CD8 T cells expressed TRM markers. In resolved psoriasis, a population of cutaneous lymphocyte-associated Ag, CCR6, CD103, and IL-23R expressing epidermal CD8 T cells was highly enriched. Epidermal CD8 T cells expressing the TRM marker CD103 responded to ex vivo stimulation with IL-17A production and epidermal CD4 T cells responded with IL-22 production after as long as 6 y of TNF-α inhibition. Our data suggest that epidermal TRM cells are retained in resolved psoriasis and that these cells are capable of producing cytokines with a critical role in psoriasis pathogenesis. We provide a potential mechanism for a site-specific T cell-driven disease memory in psoriasis.

  4. Receiver Operating Characteristic Curve Analysis of Wechsler Memory Scale-Revised Scores in Epilepsy Surgery Candidates.

    ERIC Educational Resources Information Center

    Barr, William B.

    1997-01-01

    Wechsler Memory Scale-Revised (WMS-R) scores were analyzed for 82 epilepsy surgery candidates and used in combination with receiver operating characteristic curves to classify patients with left (LTL) and right (RTL) temporal lobe seizure onset. Results indicate that WMS-R scores used alone or in combination provide relatively poor discrimination…

  5. Antigen-Induced but Not Innate Memory CD8 T Cells Express NKG2D and Are Recruited to the Lung Parenchyma upon Viral Infection.

    PubMed

    Grau, Morgan; Valsesia, Séverine; Mafille, Julien; Djebali, Sophia; Tomkowiak, Martine; Mathieu, Anne-Laure; Laubreton, Daphné; de Bernard, Simon; Jouve, Pierre-Emmanuel; Ventre, Erwan; Buffat, Laurent; Walzer, Thierry; Leverrier, Yann; Marvel, Jacqueline

    2018-05-15

    The pool of memory-phenotype CD8 T cells is composed of Ag-induced (AI) and cytokine-induced innate (IN) cells. IN cells have been described as having properties similar to those of AI memory cells. However, we found that pathogen-induced AI memory cells can be distinguished in mice from naturally generated IN memory cells by surface expression of NKG2D. Using this marker, we described the increased functionalities of AI and IN memory CD8 T cells compared with naive cells, as shown by comprehensive analysis of cytokine secretion and gene expression. However, AI differed from IN memory CD8 T cells by their capacity to migrate to the lung parenchyma upon inflammation or infection, a process dependent on their expression of ITGA1/CD49a and ITGA4/CD49d integrins. Copyright © 2018 by The American Association of Immunologists, Inc.

  6. Enhancement of CD8+ T-cell memory by removal of a vaccinia virus nuclear factor-κB inhibitor

    PubMed Central

    Ren, Hongwei; Ferguson, Brian J; de Motes, Carlos Maluquer; Sumner, Rebecca P; Harman, Laura E R; Smith, Geoffrey L

    2015-01-01

    Factors influencing T-cell responses are important for vaccine development but are incompletely understood. Here, vaccinia virus (VACV) protein N1 is shown to impair the development of both effector and memory CD8+ T cells and this correlates with its inhibition of nuclear factor-κB (NF-κB) activation. Infection with VACVs that either have the N1L gene deleted (vΔN1) or contain a I6E mutation (vN1.I6E) that abrogates its inhibition of NF-κB resulted in increased central and memory CD8+ T-cell populations, increased CD8+ T-cell cytotoxicity and lower virus titres after challenge. Furthermore, CD8+ memory T-cell function was increased following infection with vN1.I6E, with more interferon-γ production and greater protection against VACV infection following passive transfer to naive mice, compared with CD8+ T cells from mice infected with wild-type virus (vN1.WT). This demonstrates the importance of NF-κB activation within infected cells for long-term CD8+ T-cell memory and vaccine efficacy. Further, it provides a rationale for deleting N1 from VACV vectors to enhance CD8+ T-cell immunogenicity, while simultaneously reducing virulence to improve vaccine safety. PMID:25382035

  7. Realisation of all 16 Boolean logic functions in a single magnetoresistance memory cell

    NASA Astrophysics Data System (ADS)

    Gao, Shuang; Yang, Guang; Cui, Bin; Wang, Shouguo; Zeng, Fei; Song, Cheng; Pan, Feng

    2016-06-01

    Stateful logic circuits based on next-generation nonvolatile memories, such as magnetoresistance random access memory (MRAM), promise to break the long-standing von Neumann bottleneck in state-of-the-art data processing devices. For the successful commercialisation of stateful logic circuits, a critical step is realizing the best use of a single memory cell to perform logic functions. In this work, we propose a method for implementing all 16 Boolean logic functions in a single MRAM cell, namely a magnetoresistance (MR) unit. Based on our experimental results, we conclude that this method is applicable to any MR unit with a double-hump-like hysteresis loop, especially pseudo-spin-valve magnetic tunnel junctions with a high MR ratio. Moreover, after simply reversing the correspondence between voltage signals and output logic values, this method could also be applicable to any MR unit with a double-pit-like hysteresis loop. These results may provide a helpful solution for the final commercialisation of MRAM-based stateful logic circuits in the near future.Stateful logic circuits based on next-generation nonvolatile memories, such as magnetoresistance random access memory (MRAM), promise to break the long-standing von Neumann bottleneck in state-of-the-art data processing devices. For the successful commercialisation of stateful logic circuits, a critical step is realizing the best use of a single memory cell to perform logic functions. In this work, we propose a method for implementing all 16 Boolean logic functions in a single MRAM cell, namely a magnetoresistance (MR) unit. Based on our experimental results, we conclude that this method is applicable to any MR unit with a double-hump-like hysteresis loop, especially pseudo-spin-valve magnetic tunnel junctions with a high MR ratio. Moreover, after simply reversing the correspondence between voltage signals and output logic values, this method could also be applicable to any MR unit with a double-pit-like hysteresis

  8. Fluoxetine prevents the memory deficits and reduction in hippocampal cell proliferation caused by valproic acid.

    PubMed

    Welbat, Jariya Umka; Sangrich, Preeyanuch; Sirichoat, Apiwat; Chaisawang, Pornthip; Chaijaroonkhanarak, Wunnee; Prachaney, Parichat; Pannangrong, Wanassanun; Wigmore, Peter

    2016-12-01

    Valproic acid (VPA), a commonly used antiepileptic drug, has been reported to cause cognitive impairments in patients. In a previous study, using a rodent model, we showed that VPA treatment impaired cognition which was associated with a reduction in the cell proliferation required for hippocampal neurogenesis. The antidepressant fluoxetine has been shown to increase hippocampal neurogenesis and to reverse the memory deficits found in a number of pathological conditions. In the present study we investigated the protective effects of fluoxetine treatment against the impairments in memory and hippocampal cell proliferation produced by VPA. Male Sprague Dawley rats received daily treatment with fluoxetine (10mg/kg) by oral gavage for 21days. Some rats were co-administered with VPA (300mg/kg, twice daily i.p. injections) for 14days from day 8 to day 21 of the fluoxetine treatment. Spatial memory was tested using the novel object location (NOL) test. The number of proliferating cells present in the sub granular zone of the dentate gyrus was quantified using Ki67 immunohistochemistry at the end of the experiment. Levels of the receptor Notch1, the neurotrophic factor BDNF and the neural differentiation marker DCX were determined by Western blotting. VPA-treated rats showed memory deficits, a decrease in the number of proliferating cells in the sub granular zone and decreases in the levels of Notch1 and BDNF but not DCX compared to control animals. These changes in behavior, cell proliferation and Notch1 and BDNF were prevented in animals which had received both VPA and fluoxetine. Rats receiving fluoxetine alone did not show a significant difference in the number of proliferating cells or behavior compared to controls. These results demonstrated that the spatial memory deficits and reduction of cell proliferation produced by VPA can be ameliorated by the simultaneous administration of the antidepressant fluoxetine. Crown Copyright © 2016. Published by Elsevier B

  9. Characterization of Effector and Memory T Cell Subsets in the Immune Response to Bovine Tuberculosis in Cattle

    PubMed Central

    Maggioli, Mayara F.; Palmer, Mitchell V.; Thacker, Tyler C.; Vordermeier, H. Martin; Waters, W. Ray

    2015-01-01

    Cultured IFN-γ ELISPOT assays are primarily a measure of central memory T cell (Tcm) responses with humans; however, this important subset of lymphocytes is poorly characterized in cattle. Vaccine-elicited cultured IFN-γ ELISPOT responses correlate with protection against bovine tuberculosis in cattle. However, whether this assay measures cattle Tcm responses or not is uncertain. The objective of the present study was to characterize the relative contribution of Tcm (CCR7+, CD62Lhi, CD45RO+), T effector memory (Tem, defined as: CCR7-, CD62Llow/int, CD45RO+), and T effector cells (CCR7-, CD62L-/low, CD45RO-), in the immune response to Mycobacterium bovis. Peripheral blood mononuclear cells (PBMC) from infected cattle were stimulated with a cocktail of M. bovis purified protein derivative, rTb10.4 and rAg85A for 13 days with periodic addition of fresh media and rIL-2. On day 13, cultured PBMC were re-stimulated with medium alone, rESAT-6:CFP10 or PPDb with fresh autologous adherent cells for antigen presentation. Cultured cells (13 days) or fresh PBMCs (ex vivo response) from the same calves were analyzed for IFN-γ production, proliferation, and CD4, CD45RO, CD62L, CD44, and CCR7 expression via flow cytometry after overnight stimulation. In response to mycobacterial antigens, ~75% of CD4+ IFN-γ+ cells in long-term cultures expressed a Tcm phenotype while less than 10% of the ex vivo response consisted of Tcm cells. Upon re-exposure to antigen, long-term cultured cells were highly proliferative, a distinctive characteristic of Tcm, and the predominant phenotype within the long-term cultures switched from Tcm to Tem. These findings suggest that proliferative responses of Tcm cells to some extent occurs simultaneously with reversion to effector phenotypes (mostly Tem). The present study characterizes Tcm cells of cattle and their participation in the response to M. bovis infection. PMID:25879774

  10. Personality characteristics and affective status related to cognitive test performance and gender in patients with memory complaints.

    PubMed

    Vestberg, Susanna; Passant, Ulla; Risberg, Jarl; Elfgren, Christina

    2007-11-01

    The aims are to study personality characteristics of patients with memory complaints and to assess the presence of objective (OMI) versus subjective (SMI) memory impairment, the affective status, as well as potential gender differences. The patients were assessed by means of a neuropsychiatric examination and a neuropsychological test-battery. The Swedish version of the revised NEO Personality Inventory (NEO PI-R) and the Hospital Anxiety and Depression Scale (HADS) were used. The 57 patients (38 women, 19 men, mean age 56.9) differed from the Swedish normative group in three of the five personality factors: neuroticism, extraversion and agreeableness. This was mainly because of the scores of the female patients. Approximately half of the patients had OMI. No differences regarding personality factors or affective status were found between OMI and SMI patients. The female patients scored significantly higher than the male patients on symptoms of anxiety and depression. Neuroticism and symptoms of depression interacted with memory performance and gender. Our findings demonstrate the importance of applying an objective assessment of memory functions and a gender perspective when studying patients with memory complaints.

  11. The role of human chorionic gonadotropin in regulation of naïve and memory T cells activity in vitro.

    PubMed

    Zamorina, S A; Litvinova, L S; Yurova, K A; Khaziakhmatova, O G; Timganova, V P; Bochkova, M S; Khramtsov, P V; Rayev, M B

    2018-01-01

    The role of human chorionic gonadotropin (hCG) in the regulation of molecular genetics factors determining the functional activity of human naïve and memory T cells in vitro was studied. It was found that hCG (10 and 100IU/ml) inhibited CD28 and CD25 expression on the naïve T cells (CD45RA+) and CD25 expression on the memory T cells (CD45R0+). hCG didn't affect the CD71 proliferation marker expression in total. Nevertheless, hCG reduced the percentage of proliferating memory T cells with simultaneous suppression of CD71 expression on proliferating CD45R0+cells. In parallel, expression of U2af1l4, Gfi1, and hnRNPLL genes, which are Ptprc gene alternative splicing regulators was evaluated. It was established that hCG stimulated the expression of U2af1l4 and hnRNPLL genes, responsible for the assembly of CD45R0 in memory T cells, but reduced the expression of Gfi1 in these cells. In general, hCG promotes the differentiation of memory T cells by increasing of CD45R0 expression, but inhibits proliferation and CD25 expression which reflects their functional activity. Copyright © 2017 Elsevier B.V. All rights reserved.

  12. CD8α+ DC trans-presentation of IL-15 to naïve CD8+ T cells produces antigen inexperienced T cells in the periphery with memory phenotype and function

    PubMed Central

    Sosinowski, Tomasz; White, Jason T.; Cross, Eric; Haluszczak, Catherine; Marrack, Philippa; Gapin, Laurent; Kedl, Ross M.

    2013-01-01

    Various populations of memory phenotype CD8+ T cells have been described over the last 15–20 years, all of which possess elevated effector functions relative to naïve phenotype cells. Using a technique for isolating antigen specific cells from unprimed hosts, we recently identified a new subset of cells, specific for nominal antigen, but phenotypically and functionally similar to memory cells arising as a result of homeostatic proliferation (HP). We show here that these “Virtual Memory” cells are independent of previously identified “innate memory” cells, arising as a result of their response to IL-15 trans-presentation by lymphoid tissue-resident CD8α+ DCs in the periphery. The absence of IL-15, CD8+ T cell expression of either CD122 or Eomes, or of CD8a+ DCs all lead to the loss of Virtual Memory cells in the host. Our results show that CD8+ T cell homeostatic expansion is an active process within the non-lymphopenic environment, is mediated by IL-15, and produces antigen inexperienced memory cells which retain the capacity to respond to nominal antigen with memory-like function. Preferential engagement of these “Virtual Memory” T cells into a vaccine response could dramatically enhance the rate by which immune protection develops. PMID:23355737

  13. Homogeneous-oxide stack in IGZO thin-film transistors for multi-level-cell NAND memory application

    NASA Astrophysics Data System (ADS)

    Ji, Hao; Wei, Yehui; Zhang, Xinlei; Jiang, Ran

    2017-11-01

    A nonvolatile charge-trap-flash memory that is based on amorphous indium-gallium-zinc-oxide thin film transistors was fabricated with a homogeneous-oxide structure for a multi-level-cell application. All oxide layers, i.e., tunneling layer, charge trapping layer, and blocking layer, were fabricated with Al2O3 films. The fabrication condition (including temperature and deposition method) of the charge trapping layer was different from those of the other oxide layers. This device demonstrated a considerable large memory window of 4 V between the states fully erased and programmed with the operation voltage less than 14 V. This kind of device shows a good prospect for multi-level-cell memory applications.

  14. Protection against Pertussis in Humans Correlates to Elevated Serum Antibodies and Memory B Cells

    PubMed Central

    Marcellini, Valentina; Piano Mortari, Eva; Fedele, Giorgio; Gesualdo, Francesco; Pandolfi, Elisabetta; Midulla, Fabio; Leone, Pasqualina; Stefanelli, Paola; Tozzi, Alberto Eugenio; Carsetti, Rita; Agricola, E.

    2017-01-01

    Pertussis is a respiratory infection caused by Bordetella pertussis that may be particularly severe and even lethal in the first months of life when infants are still too young to be vaccinated. Adults and adolescents experience mild symptoms and are the source of infection for neonates. Adoptive maternal immunity does not prevent pertussis in the neonate. We compared the specific immune response of mothers of neonates diagnosed with pertussis and mothers of control children. We show that women have pre-existing pertussis-specific antibodies and memory B cells and react against the infection with a recall response increasing the levels specific serum IgG, milk IgA, and the frequency of memory B cells of all isotypes. Thus, the maternal immune system is activated in response to pertussis and effectively prevents the disease indicating that the low levels of pre-formed serum antibodies are insufficient for protection. For this reason, memory B cells play a major role in the adult defense. The results of this study suggest that new strategies for vaccine design should aim at increasing long-lived plasma cells and their antibodies. PMID:28966622

  15. Efficient Culture of Human Naïve and Memory B cells for Use as Antigen-presenting Cells

    PubMed Central

    Su, Kuei-Ying; Watanabe, Akiko; Yeh, Chen-Hao; Kelsoe, Garnett; Kuraoka, Masayuki

    2016-01-01

    The ability to culture and expand B cells in vitro has become a useful tool for studying human immunity. A limitation of current methods for human B-cell culture is the capacity to support mature B-cell proliferation. We have developed a culture method to support the efficient activation and proliferation of both naïve and memory human B cells. This culture supports extensive B-cell proliferation, with approximately 103-fold increases following 8 days in culture, and 106-fold increases when cultures are split and cultured for 8 more days. In culture, a significant fraction of naïve B cells undergo isotype switching and differentiate into plasmacytes. Culture-derived (CD) B cells are readily cryopreserved, and when recovered, retain their ability to proliferate and differentiate. Significantly, proliferating CD B cells express high levels of MHCII, CD80, and CD86. CD B cells act as APCs and present both alloantigens and microbial antigens to T cells. We are able to activate and expand antigen-specific memory B cells; these cultured cells are highly effective in presenting antigen to T cells. We have characterized the TCR repertoire of rare antigen-specific CD4+ T cells that proliferated in response to tetanus toxoid (TT) presented by autologous CD B cells. TCR Vβ usage by TT-activated CD4+ T cells differs from both resting and unspecifically activated CD4+ T cells. Moreover, we found that TT-specific TCR Vβ usage by CD4+ T cells was substantially different between donors. This culture method provides a platform for studying the BCR and TCR repertoires within a single individual. PMID:27815447

  16. N-ras couples antigen receptor signaling to Eomesodermin and to functional CD8+ T cell memory but not to effector differentiation

    PubMed Central

    Iborra, Salvador; Ramos, Manuel; Arana, David M.; Lázaro, Silvia; Aguilar, Francisco; Santos, Eugenio; López, Daniel

    2013-01-01

    Signals from the TCR that specifically contribute to effector versus memory CD8+ T cell differentiation are poorly understood. Using mice and adoptively transferred T lymphocytes lacking the small GTPase N-ras, we found that N-ras–deficient CD8+ T cells differentiate efficiently into antiviral primary effectors but have a severe defect in generating protective memory cells. This defect was rescued, although only partly, by rapamycin-mediated inhibition of mammalian target of rapamycin (mTOR) in vivo. The memory defect correlated with a marked impairment in vitro and in vivo of the antigen-mediated early induction of T-box transcription factor Eomesodermin (Eomes), whereas T-bet was unaffected. Besides N-ras, early Eomes induction in vitro required phosphoinositide 3-kinase (PI3K)–AKT but not extracellular signal-regulated kinase (ERK) activation, and it was largely insensitive to rapamycin. Consistent with N-ras coupling Eomes to T cell memory, retrovirally enforced expression of Eomes in N-ras–deficient CD8+ T cells effectively rescued their memory differentiation. Thus, our study identifies a critical role for N-ras as a TCR-proximal regulator of Eomes for early determination of the CD8+ T cell memory fate. PMID:23776078

  17. Enhanced Requirement for TNFR2 in Graft Rejection Mediated by Low Affinity Memory CD8+ T Cells During Heterologous Immunity

    PubMed Central

    Krummey, Scott M.; Chen, Ching-Wen; Guasch, Sara A.; Liu, Danya; Wagener, Maylene; Larsen, Christian P; Ford, Mandy L.

    2016-01-01

    The affinity of a T cell receptor (TCR) binding to peptide:MHC profoundly impacts the phenotype and function of effector and memory cell differentiation. Little is known about the effect of low affinity priming on memory cell generation and function, which is particularly important in heterologous immunity, when microbe-specific T cells cross-react with allogeneic antigen and mediate graft rejection. We found that low affinity primed memory CD8+ T cells produced high levels of TNF ex vivo in response to heterologous rechallenge compared to high affinity primed memory T cells. Low affinity secondary effectors significantly upregulated TNFR2 on the cell surface and contained a higher frequency of TNFR2hi proliferating cells. Low affinity primed secondary effectors concurrently downregulated TNF production. Importantly, blockade of TNFR2 attenuated graft rejection in low but not high affinity primed animals. These data establish a functional connection between TNF signaling and TCR priming affinity and have implications for the immunomodulation of pathogenic T cell responses during transplantation. PMID:27481849

  18. Unified random access memory (URAM) by integration of a nanocrystal floating gate for nonvolatile memory and a partially depleted floating body for capacitorless 1T-DRAM

    NASA Astrophysics Data System (ADS)

    Ryu, Seong-Wan; Han, Jin-Woo; Kim, Chung-Jin; Kim, Sungho; Choi, Yang-Kyu

    2009-03-01

    This paper describes a unified memory (URAM) that utilizes a nanocrystal SOI MOSFET for multi-functional applications of both nonvolatile memory (NVM) and capacitorless 1T-DRAM. By using a discrete storage node (Ag nanocrystal) as the floating gate of the NVM, high defect immunity and 2-bit/cell operation were achieved. The embedded nanocrystal NVM also showed 1T-DRAM operation (program/erase time = 100 ns) characteristics, which were realized by storing holes in the floating body of the SOI MOSFET, without requiring an external capacitor. Three-bit/cell operation was accomplished for different applications - 2-bits for nonvolatility and 1-bit for fast operation.

  19. When your face describes your memories: facial expressions during retrieval of autobiographical memories.

    PubMed

    El Haj, Mohamad; Daoudi, Mohamed; Gallouj, Karim; Moustafa, Ahmed A; Nandrino, Jean-Louis

    2018-05-11

    Thanks to the current advances in the software analysis of facial expressions, there is a burgeoning interest in understanding emotional facial expressions observed during the retrieval of autobiographical memories. This review describes the research on facial expressions during autobiographical retrieval showing distinct emotional facial expressions according to the characteristics of retrieved memoires. More specifically, this research demonstrates that the retrieval of emotional memories can trigger corresponding emotional facial expressions (e.g. positive memories may trigger positive facial expressions). Also, this study demonstrates the variations of facial expressions according to specificity, self-relevance, or past versus future direction of memory construction. Besides linking research on facial expressions during autobiographical retrieval to cognitive and affective characteristics of autobiographical memory in general, this review positions this research within the broader context research on the physiologic characteristics of autobiographical retrieval. We also provide several perspectives for clinical studies to investigate facial expressions in populations with deficits in autobiographical memory (e.g. whether autobiographical overgenerality in neurologic and psychiatric populations may trigger few emotional facial expressions). In sum, this review paper demonstrates how the evaluation of facial expressions during autobiographical retrieval may help understand the functioning and dysfunctioning of autobiographical memory.

  20. Selective resistance of CD44hi T cells to p53-dependent cell death results in persistence of immunologic memory after total body irradiation.

    PubMed

    Yao, Zhenyu; Jones, Jennifer; Kohrt, Holbrook; Strober, Samuel

    2011-10-15

    Our previous studies showed that treatment of mice with total body irradiation (TBI) or total lymphoid tissue irradiation markedly changes the balance of residual T cell subsets to favor CD4(+)CD44(hi) NKT cells because of the differential resistance of the latter subset to cell death. The object of the current study was to further elucidate the changed balance and mechanisms of differential radioresistance of T cell subsets after graded doses of TBI. The experimental results showed that CD4(+) T cells were markedly more resistant than CD8(+) T cells, and CD44(hi) T cells, including NKT cells and memory T cells, were markedly more resistant than CD44(lo) (naive) T cells. The memory T cells immunized to alloantigens persisted even after myeloablative (1000 cGy) TBI and were able to prevent engraftment of bone marrow transplants. Although T cell death after 1000 cGy was prevented in p53(-/-) mice, there was progressive T cell death in p53(-/-) mice at higher doses. Although p53-dependent T cell death changed the balance of subsets, p53-independent T cell death did not. In conclusion, resistance of CD44(hi) T cells to p53-dependent cell death results in the persistence of immunological memory after TBI and can explain the immune-mediated rejection of marrow transplants in sensitized recipients.

  1. Predicting Which Childhood Memories Persist: Contributions of Memory Characteristics

    ERIC Educational Resources Information Center

    Peterson, Carole; Morris, Gwynn; Baker-Ward, Lynne; Flynn, Susan

    2014-01-01

    This investigation identified memory-level predictors of the survivability of 4- to 13-year-old children's earliest recollections over a 2-year period. Data previously reported by Peterson, Warren, and Short (2011) were coded for inclusion of emotion terms and thematic, chronological, and contextual narrative coherence. In addition, the…

  2. Human in vitro induced T regulatory cells and memory T cells share common demethylation of specific FOXP3 promoter region.

    PubMed

    Bégin, Philippe; Schulze, Janika; Baron, Udo; Olek, Sven; Bauer, Rebecca N; Passerini, Laura; Baccheta, Rosa; Nadeau, Kari C

    2015-01-01

    The FOXP3 gene is the master regulator for T regulatory cells and is under tight DNA methylation control at the Treg specific demethylated region (TSDR) in its first intron. This said, methylation of its promoter region, the significance of which is unknown, has also been associated with various immune-related disease states such as asthma, food allergy, auto-immunity and cancer. Here, we used induced T regulatory cells (iTreg) as a target cell population to identify candidate hypomethylated CpG sites in the FOXP3 gene promoter to design a DNA methylation quantitative assay for this region. Three CpG sites at the promoter region showed clear demethylation pattern associated with high FOXP3 expression after activation in presence of TGFβ and were selected as primary targets to design methylation-dependent RT-PCR primers and probes. We then examined the methylation of this 'inducible-promoter-demethylated-region' (IPDR) in various FOXP3+ T cell subsets. Both naïve and memory thymic-derived Treg cells were found to be fully demethylated at both the IPDR and TSDR. Interestingly, in addition to iTregs, both CD25- and CD25(lo) conventional memory CD4+CD45RA- T cells displayed a high fraction of IPDR demethylated cells in absence of TSDR demethylation. This implies that the fraction of memory T cells should be taken in account when interpreting FOXP3 promoter methylation results from clinical studies. This approach, which is available for testing in clinical samples could have diagnostic and prognostic value in patients with immune or auto-inflammatory diseases.

  3. Long-term memory, neurogenesis, and signal novelty.

    PubMed

    Sokolov, E N; Nezlina, N I

    2004-10-01

    According to our suggested hypothesis, long-term memory is a collection of "gnostic units," selectively tuned to past events. The formation of long-term memory occurs with the involvement of constantly appearing new neurons which differentiate from stem cells during the process of neurogenesis, in particular in adults. Conversion of precursor neurons into "gnostic units" selective in relation to ongoing events, supplemented by the involvement of hippocampal "novelty neurons," which increase the flow of information needing to be fixed in long-term memory. "Gnostic units" form before the informational processes occurring in the ventral ("what?") and dorsal ("where?") systems. Formation of new "gnostic units" selectively tuned to a particular event results from the combination of excitation of the detector for stimulus characteristics and the novelty signal generated by "novelty neurons" in the hippocampus.

  4. Protective CD8 Memory T Cell Responses to Mouse Melanoma Are Generated in the Absence of CD4 T Cell Help

    PubMed Central

    Steinberg, Shannon M.; Zhang, Peisheng; Turk, Mary Jo

    2011-01-01

    Background We have previously demonstrated that temporary depletion of CD4 T cells in mice with progressive B16 melanoma, followed by surgical tumor excision, induces protective memory CD8 T cell responses to melanoma/melanocyte antigens. We also showed that persistence of these CD8 T cells is supported, in an antigen-dependent fashion, by concurrent autoimmune melanocyte destruction. Herein we explore the requirement of CD4 T cell help in priming and maintaining this protective CD8 T cell response to melanoma. Methodology and Principal Findings To induce melanoma/melanocyte antigen-specific CD8 T cells, B16 tumor bearing mice were depleted of regulatory T cells (Treg) by either temporary, or long-term continuous treatment with anti-CD4 (mAb clone GK1.5). Total depletion of CD4 T cells led to significant priming of IFN-γ-producing CD8 T cell responses to TRP-2 and gp100. Surprisingly, treatment with anti-CD25 (mAb clone PC61), to specifically deplete Treg cells while leaving help intact, was ineffective at priming CD8 T cells. Thirty to sixty days after primary tumors were surgically excised, mice completely lacking CD4 T cell help developed autoimmune vitiligo, and maintained antigen-specific memory CD8 T cell responses that were highly effective at producing cytokines (IFN-γ, TNF-α, and IL-2). Mice lacking total CD4 T cell help also mounted protection against re-challenge with B16 melanoma sixty days after primary tumor excision. Conclusions and Significance This work establishes that CD4 T cell help is dispensable for the generation of protective memory T cell responses to melanoma. Our findings support further use of CD4 T cell depletion therapy for inducing long-lived immunity to cancer. PMID:22046294

  5. Shape Memory Characteristics of Ti(sub 49.5)Ni(sub 25)Pd(sub 25)Sc(sub 0.5) High-Temperature Shape Memory Alloy After Severe Plastic Deformation

    NASA Technical Reports Server (NTRS)

    Atli, K. C.; Karaman, I.; Noebe, R. D.; Garg, A.; Chumlyakov, Y. I.; Kireeva, I. V.

    2011-01-01

    A Ti(49.5)Ni25Pd25Sc(0.5) high-temperature shape memory alloy is thermomechanically processed to obtain enhanced shape-memory characteristics: in particular, dimensional stability upon repeated thermal cycles under constant loads. This is accomplished using severe plastic deformation via equal channel angular extrusion (ECAE) and post-processing annealing heat treatments. The results of the thermomechanical experiments reveal that the processed materials display enhanced shape memory response, exhibiting higher recoverable transformation and reduced irrecoverable strain levels upon thermal cycling compared with the unprocessed material. This improvement is attributed to the increased strength and resistance of the material against defect generation upon phase transformation as a result of the microstructural refinement due to the ECAE process, as supported by the electron microscopy observations.

  6. IFN-Gamma-Dependent and Independent Mechanisms of CD4⁺ Memory T Cell-Mediated Protection from Listeria Infection.

    PubMed

    Meek, Stephanie M; Williams, Matthew A

    2018-02-13

    While CD8⁺ memory T cells can promote long-lived protection from secondary exposure to intracellular pathogens, less is known regarding the direct protective mechanisms of CD4⁺ T cells. We utilized a prime/boost model in which mice are initially exposed to an acutely infecting strain of lymphocytic choriomeningitis virus (LCMV), followed by a heterologous rechallenge with Listeria monocytogenes recombinantly expressing the MHC Class II-restricted LCMV epitope, GP 61-80 (Lm-gp61). We found that heterologous Lm-gp61 rechallenge resulted in robust activation of CD4⁺ memory T cells and that they were required for rapid bacterial clearance. We further assessed the relative roles of TNF and IFNγ in the direct anti-bacterial function of CD4⁺ memory T cells. We found that disruption of TNF resulted in a complete loss of protection mediated by CD4⁺ memory T cells, whereas disruption of IFNγ signaling to macrophages results in only a partial loss of protection. The protective effect mediated by CD4⁺ T cells corresponded to the rapid accumulation of pro-inflammatory macrophages in the spleen and an altered inflammatory environment in vivo. Overall, we conclude that protection mediated by CD4⁺ memory T cells from heterologous Listeria challenge is most directly dependent on TNF, whereas IFNγ only plays a minor role.

  7. Long-lasting stem cell-like memory CD8+ T cells with a naïve-like profile upon yellow fever vaccination.

    PubMed

    Fuertes Marraco, Silvia A; Soneson, Charlotte; Cagnon, Laurène; Gannon, Philippe O; Allard, Mathilde; Abed Maillard, Samia; Montandon, Nicole; Rufer, Nathalie; Waldvogel, Sophie; Delorenzi, Mauro; Speiser, Daniel E

    2015-04-08

    Efficient and persisting immune memory is essential for long-term protection from infectious and malignant diseases. The yellow fever (YF) vaccine is a live attenuated virus that mediates lifelong protection, with recent studies showing that the CD8(+) T cell response is particularly robust. Yet, limited data exist regarding the long-term CD8(+) T cell response, with no studies beyond 5 years after vaccination. We investigated 41 vaccinees, spanning 0.27 to 35 years after vaccination. YF-specific CD8(+) T cells were readily detected in almost all donors (38 of 41), with frequencies decreasing with time. As previously described, effector cells dominated the response early after vaccination. We detected a population of naïve-like YF-specific CD8(+) T cells that was stably maintained for more than 25 years and was capable of self-renewal ex vivo. In-depth analyses of markers and genome-wide mRNA profiling showed that naïve-like YF-specific CD8(+) T cells in vaccinees (i) were distinct from genuine naïve cells in unvaccinated donors, (ii) resembled the recently described stem cell-like memory subset (Tscm), and (iii) among all differentiated subsets, had profiles closest to naïve cells. Our findings reveal that CD8(+) Tscm are efficiently induced by a vaccine in humans, persist for decades, and preserve a naïveness-like profile. These data support YF vaccination as an optimal mechanistic model for the study of long-lasting memory CD8(+) T cells in humans. Copyright © 2015, American Association for the Advancement of Science.

  8. Treatment for moderate to severe atopic dermatitis in alpine and moderate maritime climates differentially affects helper T cells and memory B cells in children.

    PubMed

    Heeringa, J J; Fieten, K B; Bruins, F M; van Hoffen, E; Knol, E F; Pasmans, S G M A; van Zelm, M C

    2018-06-01

    Treatment of atopic dermatitis (AD) is focused on topical anti-inflammatory therapy, epidermal barrier repair and trigger avoidance. Multidisciplinary treatment in both moderate maritime and alpine climates can successfully reduce disease activity in children with AD. However, it remains unclear whether abnormalities in B cell and T cell memory normalize and whether this differs between treatment strategies. To determine whether successful treatment in maritime and alpine climates normalizes B- and T lymphocytes in children with moderate to severe AD. The study was performed in the context of a trial (DAVOS trial, registered at Current Controlled Trials ISCRTN88136485) in which eighty-eight children with moderate to severe AD were randomized to 6 weeks of treatment in moderate maritime climate (outpatient setting) or in the alpine climate (inpatient setting). Before and directly after treatment, disease activity was determined with SA-EASI and serum TARC, and T cell and B cell subsets were quantified in blood. Both treatment protocols achieved a significant decrease in disease activity, which was accompanied by a reduction in circulating memory Treg, transitional B cell and plasmablast numbers. Alpine climate treatment had a significantly greater effect on disease activity and was accompanied by a reduction in blood eosinophils and increases in memory B cells, CD8+ TemRO, CD4+ Tcm and CCR7+ Th2 subsets. Clinically successful treatment of AD induces changes in blood B- and T cell subsets reflecting reduced chronic inflammation. In addition, multidisciplinary inpatient treatment in the alpine climate specifically affects memory B cells, CD8+ T cells and Th2 cells. These cell types could represent good markers for treatment efficacy. © 2018 John Wiley & Sons Ltd.

  9. Excess influx of Zn(2+) into dentate granule cells affects object recognition memory via attenuated LTP.

    PubMed

    Suzuki, Miki; Fujise, Yuki; Tsuchiya, Yuka; Tamano, Haruna; Takeda, Atsushi

    2015-08-01

    The influx of extracellular Zn(2+) into dentate granule cells is nonessential for dentate gyrus long-term potentiation (LTP) and the physiological significance of extracellular Zn(2+) dynamics is unknown in the dentate gyrus. Excess increase in extracellular Zn(2+) in the hippocampal CA1, which is induced with excitation of zincergic neurons, induces memory deficit via excess influx of Zn(2+) into CA1 pyramidal cells. In the present study, it was examined whether extracellular Zn(2+) induces object recognition memory deficit via excess influx of Zn(2+) into dentate granule cells. KCl (100 mM, 2 µl) was locally injected into the dentate gyrus. The increase in intracellular Zn(2+) in dentate granule cells induced with high K(+) was blocked by co-injection of CaEDTA and CNQX, an extracellular Zn(2+) chelator and an AMPA receptor antagonist, respectively, suggesting that high K(+) increases the influx of Zn(2+) into dentate granule cells via AMPA receptor activation. Dentate gyrus LTP induction was attenuated 1 h after KCl injection into the dentate gyrus and also attenuated when KCl was injected 5 min after the induction. Memory deficit was induced when training of object recognition test was performed 1 h after KCl injection into the dentate gyrus and also induced when KCl was injected 5 min after the training. High K(+)-induced impairments of LTP and memory were rescued by co-injection of CaEDTA. These results indicate that excess influx of Zn(2+) into dentate granule cells via AMPA receptor activation affects object recognition memory via attenuated LTP induction. Even in the dentate gyrus where is scarcely innervated by zincergic neurons, it is likely that extracellular Zn(2+) homeostasis is strictly regulated for cognition. Copyright © 2015 Elsevier Ltd. All rights reserved.

  10. Inference of cell-cell interactions from population density characteristics and cell trajectories on static and growing domains.

    PubMed

    Ross, Robert J H; Yates, C A; Baker, R E

    2015-06-01

    A key feature of cell migration is how cell movement is affected by cell-cell interactions. Furthermore, many cell migratory processes such as neural crest stem cell migration [Thomas and Erickson, 2008; McLennan et al., 2012] occur on growing domains or in the presence of a chemoattractant. Therefore, it is important to study interactions between migrating cells in the context of domain growth and directed motility. Here we compare discrete and continuum models describing the spatial and temporal evolution of a cell population for different types of cell-cell interactions on static and growing domains. We suggest that cell-cell interactions can be inferred from population density characteristics in the presence of motility bias, and these population density characteristics for different cell-cell interactions are conserved on both static and growing domains. We also study the expected displacement of a tagged cell, and show that different types of cell-cell interactions can give rise to cell trajectories with different characteristics. These characteristics are conserved in the presence of domain growth, however, they are diminished in the presence of motility bias. Our results are relevant for researchers who study the existence and role of cell-cell interactions in biological systems, so far as we suggest that different types of cell-cell interactions could be identified from cell density and trajectory data. Copyright © 2015 Elsevier Inc. All rights reserved.

  11. Interleukin (IL)-1 Receptor Signaling on Graft Parenchymal Cells Regulates Memory and De Novo Donor-Reactive CD8 T Cell Responses to Cardiac Allografts1

    PubMed Central

    Iida, Shoichi; Tsuda, Hidetoshi; Tanaka, Toshiaki; Kish, Danielle D.; Abe, Toyofumi; Su, Charles A.; Abe, Ryo; Tanabe, Kazunari; Valujskikh, Anna; Baldwin, William M.; Fairchild, Robert L.

    2016-01-01

    Reperfusion of organ allografts induces a potent inflammatory response that directs rapid memory T cell, neutrophil and macrophage graft infiltration and their activation to express functions mediating graft tissue injury. The role of cardiac allograft IL-1 receptor signaling in this early inflammation and the downstream primary alloimmune response was investigated. When compared to complete MHC-mismatched wild type cardiac allografts, IL-1R−/− allografts had marked decreases in endogenous memory CD8 T cell and neutrophil infiltration and expression of proinflammatory mediators at early times after transplant whereas endogenous memory CD4 T cell and macrophage infiltration was not decreased. IL-1R−/− allograft recipients also had marked decreases in de novo donor-reactive CD8, but not CD4, T cell development to IFN-γ-producing cells. CD8 T cell-mediated rejection of IL-1R−/− cardiac allografts took 3 weeks longer than wild type allografts. Cardiac allografts from reciprocal bone marrow reconstituted IL-1R−/−/wild type chimeric donors indicated that IL-1R signaling on graft non-hematopoietic-derived, but not bone marrow-derived, cells is required for the potent donor-reactive memory and primary CD8 T cell alloimmune responses observed in response to wild type allografts. These studies implicate IL-1R-mediated signals by allograft parenchymal cells in generating the stimuli provoking development and elicitation of optimal alloimmune responses to the grafts. PMID:26856697

  12. Elevated-Confined Phase-Change Random Access Memory Cells

    NASA Astrophysics Data System (ADS)

    Lee; Koon, Hock; Shi; Luping; Zhao; Rong; Yang; Hongxin; Lim; Guan, Kian; Li; Jianming; Chong; Chong, Tow

    2010-04-01

    A new elevated-confined phase-change random access memory (PCRAM) cell structure to reduce power consumption was proposed. In this proposed structure, the confined phase-change region is sitting on top of a small metal column enclosed by a dielectric at the sides. Hence, more heat can be effectively sustained underneath the phase-change region. As for the conventional structure, the confined phase-change region is sitting directly above a large planar bottom metal electrode, which can easily conduct most of the induced heat away. From simulations, a more uniform temperature profile around the active region and a higher peak temperature at the phase-change layer (PCL) in an elevated-confined structure were observed. Experimental results showed that the elevated-confined PCRAM cell requires a lower programming power and has a better scalability than a conventional confined PCRAM cell.

  13. Light sensitivity of a one transistor-one capacitor memory cell when used as a micromirror actuator in projector applications

    NASA Astrophysics Data System (ADS)

    Huffman, James Douglas

    2001-11-01

    The most important issue facing the future business success of the Digital Micromirror Device or DMD™ produced by Texas Instruments is the cost of the actual device. As the business and consumer markets call for higher resolution displays, the array size will have to be increased to incorporate more pixels. The manufacturing costs associated with building these higher resolution displays follow an exponential relation with the number of pixels due to yield loss and reduced number of chips per silicon wafer. Each pixel is actuated by electrostatics that are provided by a memory cell that is built in the underlying silicon substrate. One way to decrease cost of the wafer is to change the memory cell architecture from a static random access configuration or SRAM to a dynamic random access configuration or DRAM. This change has the benefits of having fewer components per area and a lower metal density. This reduction in the component count and metal density has a dramatic effect on the yield of the memory array by reducing the particle sensitivity of the underlying cell. The main drawback to using a DRAM configuration in a display application is the light sensitivity of a charge storage device built in the silicon substrate. As the photons pass through the mechanical micromirrors and illuminate the DRAM cell, the effective electrostatic potential of the memory element used for the mirror actuation is reduced. This dissertation outlines the issues associated with the light sensitivity of a DRAM memory cell as the actuation element for a micromirror. The concept of charge depletion on a silicon capacitor due to recombination of photogenerated carriers is explored and experimentally verified. The effects of the reduced potential on the capacitor on the micromirror are also explored. Optical modeling is used to determine the incoming photon flux to determine the benefits of adding a charge recombination region as part of the DRAM memory cell. Several options are explored

  14. B7-H1 limits the entry of effector CD8(+) T cells to the memory pool by upregulating Bim.

    PubMed

    Gibbons, Rachel M; Liu, Xin; Pulko, Vesna; Harrington, Susan M; Krco, Christopher J; Kwon, Eugene D; Dong, Haidong

    2012-10-01

    Protective T‑cell immunity against cancer and infections is dependent on the generation of a durable effector and memory T‑cell pool. Studies from cancer and chronic infections reveal that B7-H1 (PD-L1) engagement with its receptor PD-1 promotes apoptosis of effector T cells. It is not clear how B7-H1 regulates T‑cell apoptosis and the subsequent impact of B7-H1 on the generation of memory T cells. In immunized B7-H1-deficient mice, we detected an increased expansion of effector CD8(+) T cells and a delayed T‑cell contraction followed by the emergence of a protective CD8(+) T‑cell memory capable of completely rejecting tumor metastases in the lung. Intracellular staining revealed that antigen-primed CD8(+) T cells in B7-H1-deficient mice express lower levels of the pro-apoptotic molecule Bim. The engagement of activated CD8(+) T cells by a plate-bound B7-H1 fusion protein led to the upregulation of Bim and increased cell death. Assays based on blocking antibodies determined that both PD-1 and CD80 are involved in the B7-H1-mediated regulation of Bim in activated CD8(+) T cells. Our results suggest that B7-H1 may negatively regulate CD8(+) T‑cell memory by enhancing the depletion of effector CD8(+) T cells through the upregulation of Bim. Our findings may provide a new strategy for targeting B7-H1 signaling in effector CD8(+) T cells to achieve protective antitumor memory responses.

  15. Viral infection of implanted meningeal tumors induces antitumor memory T-cells to travel to the brain and eliminate established tumors.

    PubMed

    Gao, Yanhua; Whitaker-Dowling, Patricia; Barmada, Mamdouha A; Basse, Per H; Bergman, Ira

    2015-04-01

    Leptomeningeal metastases occur in 2%-5% of patients with breast cancer and have an exceptionally poor prognosis. The blood-brain and blood-meningeal barriers severely inhibit successful chemotherapy. We have developed a straightforward method to induce antitumor memory T-cells using a Her2/neu targeted vesicular stomatitis virus. We sought to determine whether viral infection of meningeal tumor could attract antitumor memory T-cells to eradicate the tumors. Meningeal implants in mice were studied using treatment trials and analyses of immune cells in the tumors. This paper demonstrates that there is a blood-meningeal barrier to bringing therapeutic memory T-cells to meningeal tumors. The barrier can be overcome by viral infection of the tumor. Viral infection of the meningeal tumors followed by memory T-cell transfer resulted in 89% cure of meningeal tumor in 2 different mouse strains. Viral infection produced increased infiltration and proliferation of transferred memory T-cells in the meningeal tumors. Following viral infection, the leukocyte infiltration in meninges and tumor shifted from predominantly macrophages to predominantly T-cells. Finally, this paper shows that successful viral therapy of peritoneal tumors generates memory CD8 T-cells that prevent establishment of tumor in the meninges of these same animals. These results support the hypothesis that a virally based immunization strategy can be used to both prevent and treat meningeal metastases. The meningeal barriers to cancer therapy may be much more permeable to treatment based on cells than treatment based on drugs or molecules. © The Author(s) 2014. Published by Oxford University Press on behalf of the Society for Neuro-Oncology. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com.

  16. Dual-reactive B cells are autoreactive and highly enriched in the plasmablast and memory B cell subsets of autoimmune mice

    PubMed Central

    Fournier, Emilie M.; Velez, Maria-Gabriela; Leahy, Katelyn; Swanson, Cristina L.; Rubtsov, Anatoly V.; Torres, Raul M.

    2012-01-01

    Rare dual-reactive B cells expressing two types of Ig light or heavy chains have been shown to participate in immune responses and differentiate into IgG+ cells in healthy mice. These cells are generated more often in autoreactive mice, leading us to hypothesize they might be relevant in autoimmunity. Using mice bearing Igk allotypic markers and a wild-type Ig repertoire, we demonstrate that the generation of dual-κ B cells increases with age and disease progression in autoimmune-prone MRL and MRL/lpr mice. These dual-reactive cells express markers of activation and are more frequently autoreactive than single-reactive B cells. Moreover, dual-κ B cells represent up to half of plasmablasts and memory B cells in autoimmune mice, whereas they remain infrequent in healthy mice. Differentiation of dual-κ B cells into plasmablasts is driven by MRL genes, whereas the maintenance of IgG+ cells is partly dependent on Fas inactivation. Furthermore, dual-κ B cells that differentiate into plasmablasts retain the capacity to secrete autoantibodies. Overall, our study indicates that dual-reactive B cells significantly contribute to the plasmablast and memory B cell populations of autoimmune-prone mice suggesting a role in autoimmunity. PMID:22927551

  17. CCR6 Defines Memory B Cell Precursors in Mouse and Human Germinal Centers, Revealing Light-Zone Location and Predominant Low Antigen Affinity.

    PubMed

    Suan, Dan; Kräutler, Nike J; Maag, Jesper L V; Butt, Danyal; Bourne, Katherine; Hermes, Jana R; Avery, Danielle T; Young, Clara; Statham, Aaron; Elliott, Michael; Dinger, Marcel E; Basten, Antony; Tangye, Stuart G; Brink, Robert

    2017-12-19

    Memory B cells (MBCs) and plasma cells (PCs) constitute the two cellular outputs of germinal center (GC) responses that together facilitate long-term humoral immunity. Although expression of the transcription factor BLIMP-1 identifies cells undergoing PC differentiation, no such marker exists for cells committed to the MBC lineage. Here, we report that the chemokine receptor CCR6 uniquely marks MBC precursors in both mouse and human GCs. CCR6 + GC B cells were highly enriched within the GC light zone (LZ), were the most quiescent of all GC B cells, exhibited a cell-surface phenotype and gene expression signature indicative of an MBC transition, and possessed the augmented response characteristics of MBCs. MBC precursors within the GC LZ predominantly possessed a low affinity for antigen but also included cells from within the high-affinity pool. These data indicate a fundamental dichotomy between the processes that drive MBC and PC differentiation during GC responses. Copyright © 2017 Elsevier Inc. All rights reserved.

  18. Application of long-term cultured interferon-gamma enzyme-linked immunospot assay for assessing effector and memory T cell responses in cattle

    USDA-ARS?s Scientific Manuscript database

    Effector and memory T cells are generated through developmental programing of naïve cells following antigen recognition. If the infection is controlled, up to 95% of the T cells generated during the expansion phase are eliminated (i.e., contraction phase) and memory T cells remain, sometimes for a l...

  19. Antigen challenge leads to in vivo activation and elimination of highly polarized TH1 memory T cells

    PubMed Central

    Hayashi, Nobuki; Liu, Dacai; Min, Booki; Ben-Sasson, Shlomo Z.; Paul, William E.

    2002-01-01

    TH1 memory T cells derived from T cell receptor transgenic mice, in which the T cell antigen receptor is specific for a cytochrome C peptide in association with I-Ek, were transferred into normal B10.A mice and allowed to adopt a resting phenotype. When challenged, 30–60 days after transfer, with i.v. cytochrome C, the transgenic cells rapidly became activated, expressed mRNA for IFNγ, and began to divide. However, after 48 h, the frequency of the cells fell progressively, reaching levels only slightly above the limit of detection by day 8 and thereafter remain depressed for up to 90 days. The remaining cells were anergic as shown by limitation in proliferation and IFNγ production in response to in vitro antigen stimulation. Even if challenged with antigen emulsified in complete Freund's adjuvant, the overall pattern was similar, except that in the draining lymph nodes, the surviving antigen-specific cells were not anergic, although spleen cells were still strikingly anergic. Thus, antigenic challenge of mice possessing resting memory TH1 CD4 T cells leads to the unanticipated loss of most of the specific cells and an apparent depletion rather than enhancement of immunologic memory. PMID:11959916

  20. Preserved antibody levels and loss of memory B cells against pneumococcus and tetanus after splenectomy: tailoring better vaccination strategies.

    PubMed

    Rosado, M Manuela; Gesualdo, Francesco; Marcellini, Valentina; Di Sabatino, Antonio; Corazza, Gino Roberto; Smacchia, Maria Paola; Nobili, Bruno; Baronci, Carlo; Russo, Lidia; Rossi, Francesca; Vito, Rita De; Nicolosi, Luciana; Inserra, Alessandro; Locatelli, Franco; Tozzi, Alberto E; Carsetti, Rita

    2013-10-01

    Splenectomized patients are exposed to an increased risk of septicemia caused by encapsulated bacteria. Defense against infection is ensured by preformed serum antibodies produced by long-lived plasma cells and by memory B cells that secrete immunoglobulin in response to specific antigenic stimuli. Studying a group of asplenic individuals (57 adults and 21 children) without additional immunologic defects, we found that spleen removal does not alter serum anti-pneumococcal polysaccharide (PnPS) IgG concentration, but reduces the number of PnPS-specific memory B cells, of both IgM and IgG isotypes. The number of specific memory B cells was low in splenectomized adults and children that had received the PnPS vaccine either before or after splenectomy. Seven children were given the 13-valent pneumococcal conjugated vaccine after splenectomy. In this group, the number of PnPS-specific IgG memory B cells was similar to that of eusplenic children, suggesting that pneumococcal conjugated vaccine administered after splenectomy is able to restore the pool of anti-PnPS IgG memory B cells. Our data further elucidate the crucial role of the spleen in the immunological response to infections caused by encapsulated bacteria and suggest that glycoconjugated vaccines may be the most suitable choice to generate IgG-mediated protection in these patients. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Mucosal immunization in macaques upregulates the innate APOBEC 3G anti-viral factor in CD4(+) memory T cells.

    PubMed

    Wang, Yufei; Bergmeier, Lesley A; Stebbings, Richard; Seidl, Thomas; Whittall, Trevor; Singh, Mahavir; Berry, Neil; Almond, Neil; Lehner, Thomas

    2009-02-05

    APOBEC3G is an innate intracellular anti-viral factor which deaminates retroviral cytidine to uridine. In vivo studies of APOBEC3G (A3G) were carried out in rhesus macaques, following mucosal immunization with SIV antigens and CCR5 peptides, linked to the 70kDa heat shock protein. A progressive increase in A3G mRNA was elicited in PBMC after each immunization (p<0.0002 to p< or =0.02), which was maintained for at least 17 weeks. Analysis of memory T cells showed a significant increase in A3G mRNA and protein in CD4(+)CCR5(+) memory T cells in circulating (p=0.0001), splenic (p=0.0001), iliac lymph nodes (p=0.002) and rectal (p=0.01) cells of the immunized compared with unimmunized macaques. Mucosal challenge with SIVmac 251 showed a significant increase in A3G mRNA in the CD4(+)CCR5(+) circulating cells (p<0.01) and the draining iliac lymph node cells (p<0.05) in the immunized uninfected macaques, consistent with a protective effect exerted by A3G. The results suggest that mucosal immunization in a non-human primate can induce features of a memory response to an innate anti-viral factor in CCR5(+)CD4(+) memory and CD4(+)CD95(+)CCR7(-) effector memory T cells.

  2. Chemoprophylaxis with sporozoite immunization in P. knowlesi rhesus monkeys confers protection and elicits sporozoite-specific memory T cells in the liver

    PubMed Central

    Spring, Michele D.; Yongvanitchit, Kosol; Kum-Arb, Utaiwan; Limsalakpetch, Amporn; Im-Erbsin, Rawiwan; Ubalee, Ratawan; Vanachayangkul, Pattaraporn; Remarque, Edmond J.; Angov, Evelina; Smith, Philip L.; Saunders, David L.

    2017-01-01

    Whole malaria sporozoite vaccine regimens are promising new strategies, and some candidates have demonstrated high rates of durable clinical protection associated with memory T cell responses. Little is known about the anatomical distribution of memory T cells following whole sporozoite vaccines, and immunization of nonhuman primates can be used as a relevant model for humans. We conducted a chemoprophylaxis with sporozoite (CPS) immunization in P. knowlesi rhesus monkeys and challenged via mosquito bites. Half of CPS immunized animals developed complete protection, with a marked delay in parasitemia demonstrated in the other half. Antibody responses to whole sporozoites, CSP, and AMA1, but not CelTOS were detected. Peripheral blood T cell responses to whole sporozoites, but not CSP and AMA1 peptides were observed. Unlike peripheral blood, there was a high frequency of sporozoite-specific memory T cells observed in the liver and bone marrow. Interestingly, sporozoite-specific CD4+ and CD8+ memory T cells in the liver highly expressed chemokine receptors CCR5 and CXCR6, both of which are known for liver sinusoid homing. The majority of liver sporozoite-specific memory T cells expressed CD69, a phenotypic marker of tissue-resident memory (TRM) cells, which are well positioned to rapidly control liver-stage infection. Vaccine strategies that aim to elicit large number of liver TRM cells may efficiently increase the efficacy and durability of response against pre-erythrocytic parasites. PMID:28182750

  3. Vaccine-elicited SIV and HIV envelope-specific IgA and IgG memory B cells in rhesus macaque peripheral blood correlate with functional antibody responses and reduced viremia

    PubMed Central

    Brocca-Cofano, Egidio; McKinnon, Katherine; Demberg, Thorsten; Venzon, David; Hidajat, Rachmat; Xiao, Peng; Daltabuit-Test, Mara; Patterson, L. Jean; Robert-Guroff, Marjorie

    2011-01-01

    An effective HIV vaccine requires strong systemic and mucosal, cellular and humoral immunity. Numerous non-human primate studies have investigated memory T cells, but not memory B cells. Humoral immunologic memory is mediated by long-lived antibody-secreting plasma cells and differentiation of memory B cells into short-lived plasma blasts following re-exposure to immunizing antigen. Here we studied memory B cells in vaccinated rhesus macaques. PBMC were stimulated polyclonally using CD40 Ligand, IL-21 and CpG to induce B cell proliferation and differentiation into antibody secreting cells (ASC). Flow cytometry was used for phenotyping and evaluating proliferation by CFSE dilution. B cell responses were quantified by ELISPOT. Methodology was established using PBMC of vaccinated elite-controller macaques that exhibited strong, multi-functional antibody activities. Subsequently, memory B cells elicited by two replicating Ad-recombinant prime/envelope boost regimens were retrospectively evaluated pre- and post- SIV and SHIV challenges. The vaccine regimens induced SIV and HIV Env-specific IgG and IgA memory B cells. Prior to challenge, IgA memory B cells were more numerous than IgG memory B cells, reflecting the mucosal priming immunizations. Pre- and post-challenge memory B cells were correlated with functional antibody responses including antibody-dependent cellular cytotoxicity (ADCC), antibody-dependent cell-mediated viral inhibition (ADCVI) and transcytosis inhibition. Post-challenge, Env-specific IgG and IgA memory B cells were correlated with reduced chronic viremia. We conclude that functional antibody responses elicited by our prime/boost regimen were effectively incorporated into the memory B cell pool where they contributed to control of viremia following re-exposure to the immunizing antigen. PMID:21382487

  4. Past matrix stiffness primes epithelial cells and regulates their future collective migration through a mechanical memory.

    PubMed

    Nasrollahi, Samila; Walter, Christopher; Loza, Andrew J; Schimizzi, Gregory V; Longmore, Gregory D; Pathak, Amit

    2017-11-01

    During morphogenesis and cancer metastasis, grouped cells migrate through tissues of dissimilar stiffness. Although the influence of matrix stiffness on cellular mechanosensitivity and motility are well-recognized, it remains unknown whether these matrix-dependent cellular features persist after cells move to a new microenvironment. Here, we interrogate whether priming of epithelial cells by a given matrix stiffness influences their future collective migration on a different matrix - a property we refer to as the 'mechanical memory' of migratory cells. To prime cells on a defined matrix and track their collective migration onto an adjoining secondary matrix of dissimilar stiffness, we develop a modular polyacrylamide substrate through step-by-step polymerization of different PA compositions. We report that epithelial cells primed on a stiff matrix migrate faster, display higher actomyosin expression, form larger focal adhesions, and retain nuclear YAP even after arriving onto a soft secondary matrix, as compared to their control behavior on a homogeneously soft matrix. Priming on a soft ECM causes a reverse effect. The depletion of YAP dramatically reduces this memory-dependent migration. Our results present a previously unidentified regulation of mechanosensitive collective cell migration by past matrix stiffness, in which mechanical memory depends on YAP activity. Copyright © 2017 Elsevier Ltd. All rights reserved.

  5. Adenoviral vaccine induction of CD8+ T cell memory inflation: Impact of co-infection and infection order.

    PubMed

    Lee, Lian N; Bolinger, Beatrice; Banki, Zoltan; de Lara, Catherine; Highton, Andrew J; Colston, Julia M; Hutchings, Claire; Klenerman, Paul

    2017-12-01

    The efficacies of many new T cell vaccines rely on generating large populations of long-lived pathogen-specific effector memory CD8 T cells. However, it is now increasingly recognized that prior infection history impacts on the host immune response. Additionally, the order in which these infections are acquired could have a major effect. Exploiting the ability to generate large sustained effector memory (i.e. inflationary) T cell populations from murine cytomegalovirus (MCMV) and human Adenovirus-subtype (AdHu5) 5-beta-galactosidase (Ad-lacZ) vector, the impact of new infections on pre-existing memory and the capacity of the host's memory compartment to accommodate multiple inflationary populations from unrelated pathogens was investigated in a murine model. Simultaneous and sequential infections, first with MCMV followed by Ad-lacZ, generated inflationary populations towards both viruses with similar kinetics and magnitude to mono-infected groups. However, in Ad-lacZ immune mice, subsequent acute MCMV infection led to a rapid decline of the pre-existing Ad-LacZ-specific inflating population, associated with bystander activation of Fas-dependent apoptotic pathways. However, responses were maintained long-term and boosting with Ad-lacZ led to rapid re-expansion of the inflating population. These data indicate firstly that multiple specificities of inflating memory cells can be acquired at different times and stably co-exist. Some acute infections may also deplete pre-existing memory populations, thus revealing the importance of the order of infection acquisition. Importantly, immunization with an AdHu5 vector did not alter the size of the pre-existing memory. These phenomena are relevant to the development of adenoviral vectors as novel vaccination strategies for diverse infections and cancers. (241 words).

  6. Adenoviral vaccine induction of CD8+ T cell memory inflation: Impact of co-infection and infection order

    PubMed Central

    Bolinger, Beatrice; de Lara, Catherine; Hutchings, Claire

    2017-01-01

    The efficacies of many new T cell vaccines rely on generating large populations of long-lived pathogen-specific effector memory CD8 T cells. However, it is now increasingly recognized that prior infection history impacts on the host immune response. Additionally, the order in which these infections are acquired could have a major effect. Exploiting the ability to generate large sustained effector memory (i.e. inflationary) T cell populations from murine cytomegalovirus (MCMV) and human Adenovirus-subtype (AdHu5) 5-beta-galactosidase (Ad-lacZ) vector, the impact of new infections on pre-existing memory and the capacity of the host’s memory compartment to accommodate multiple inflationary populations from unrelated pathogens was investigated in a murine model. Simultaneous and sequential infections, first with MCMV followed by Ad-lacZ, generated inflationary populations towards both viruses with similar kinetics and magnitude to mono-infected groups. However, in Ad-lacZ immune mice, subsequent acute MCMV infection led to a rapid decline of the pre-existing Ad-LacZ-specific inflating population, associated with bystander activation of Fas-dependent apoptotic pathways. However, responses were maintained long-term and boosting with Ad-lacZ led to rapid re-expansion of the inflating population. These data indicate firstly that multiple specificities of inflating memory cells can be acquired at different times and stably co-exist. Some acute infections may also deplete pre-existing memory populations, thus revealing the importance of the order of infection acquisition. Importantly, immunization with an AdHu5 vector did not alter the size of the pre-existing memory. These phenomena are relevant to the development of adenoviral vectors as novel vaccination strategies for diverse infections and cancers. (241 words) PMID:29281733

  7. One bipolar transistor selector - One resistive random access memory device for cross bar memory array

    NASA Astrophysics Data System (ADS)

    Aluguri, R.; Kumar, D.; Simanjuntak, F. M.; Tseng, T.-Y.

    2017-09-01

    A bipolar transistor selector was connected in series with a resistive switching memory device to study its memory characteristics for its application in cross bar array memory. The metal oxide based p-n-p bipolar transistor selector indicated good selectivity of about 104 with high retention and long endurance showing its usefulness in cross bar RRAM devices. Zener tunneling is found to be the main conduction phenomena for obtaining high selectivity. 1BT-1R device demonstrated good memory characteristics with non-linearity of 2 orders, selectivity of about 2 orders and long retention characteristics of more than 105 sec. One bit-line pull-up scheme shows that a 650 kb cross bar array made with this 1BT1R devices works well with more than 10 % read margin proving its ability in future memory technology application.

  8. Monolayer optical memory cells based on artificial trap-mediated charge storage and release

    NASA Astrophysics Data System (ADS)

    Lee, Juwon; Pak, Sangyeon; Lee, Young-Woo; Cho, Yuljae; Hong, John; Giraud, Paul; Shin, Hyeon Suk; Morris, Stephen M.; Sohn, Jung Inn; Cha, Seungnam; Kim, Jong Min

    2017-03-01

    Monolayer transition metal dichalcogenides are considered to be promising candidates for flexible and transparent optoelectronics applications due to their direct bandgap and strong light-matter interactions. Although several monolayer-based photodetectors have been demonstrated, single-layered optical memory devices suitable for high-quality image sensing have received little attention. Here we report a concept for monolayer MoS2 optoelectronic memory devices using artificially-structured charge trap layers through the functionalization of the monolayer/dielectric interfaces, leading to localized electronic states that serve as a basis for electrically-induced charge trapping and optically-mediated charge release. Our devices exhibit excellent photo-responsive memory characteristics with a large linear dynamic range of ~4,700 (73.4 dB) coupled with a low OFF-state current (<4 pA), and a long storage lifetime of over 104 s. In addition, the multi-level detection of up to 8 optical states is successfully demonstrated. These results represent a significant step toward the development of future monolayer optoelectronic memory devices.

  9. Inflammatory memory sensitizes skin epithelial stem cells to tissue damage.

    PubMed

    Naik, Shruti; Larsen, Samantha B; Gomez, Nicholas C; Alaverdyan, Kirill; Sendoel, Ataman; Yuan, Shaopeng; Polak, Lisa; Kulukian, Anita; Chai, Sophia; Fuchs, Elaine

    2017-10-26

    The skin barrier is the body's first line of defence against environmental assaults, and is maintained by epithelial stem cells (EpSCs). Despite the vulnerability of EpSCs to inflammatory pressures, neither the primary response to inflammation nor its enduring consequences are well understood. Here we report a prolonged memory to acute inflammation that enables mouse EpSCs to hasten barrier restoration after subsequent tissue damage. This functional adaptation does not require skin-resident macrophages or T cells. Instead, EpSCs maintain chromosomal accessibility at key stress response genes that are activated by the primary stimulus. Upon a secondary challenge, genes governed by these domains are transcribed rapidly. Fuelling this memory is Aim2, which encodes an activator of the inflammasome. The absence of AIM2 or its downstream effectors, caspase-1 and interleukin-1β, erases the ability of EpSCs to recollect inflammation. Although EpSCs benefit from inflammatory tuning by heightening their responsiveness to subsequent stressors, this enhanced sensitivity probably increases their susceptibility to autoimmune and hyperproliferative disorders, including cancer.

  10. Tolerogenic dendritic cells inhibit antiphospholipid syndrome derived effector/memory CD4⁺ T cell response to β2GPI.

    PubMed

    Torres-Aguilar, Honorio; Blank, Miri; Kivity, Shaye; Misgav, Mudi; Luboshitz, Jacob; Pierangeli, Silvia S; Shoenfeld, Yehuda

    2012-01-01

    The importance of β(2)-glycoprotein I (β(2)GPI)-specific CD4(+) T cells in the development of pathogenic processes in patients with antiphospholipid syndrome (APS) and APS mouse models is well established. Therefore, our objective is to manipulate the β2GPI specific CD4(+) T cells using tolerogenic dendritic cells (tDCs) to induce tolerance. We aim to evaluate the capability of tDCs to induce antigen-specific tolerance in effector/memory T cells from patients with APS and to elucidate the involved mechanism. DCs and tDCs were produced from patients with APS peripheral-blood-monocytes, using specific cytokines. β(2)GPI-specific tolerance induction was investigated by coculturing control DC (cDC) or tDC, β(2)GPI-loaded, with autologous effector/memory T cells, evaluating the proliferative response, phenotype, cytokines secretion, viability and regulatory T cells. Human monocyte-derived DCs treated with interleukin (IL)-10 and transforming growth factor β-1 (10/TGF-DC) induced β(2)GPI-specific-unresponsiveness in effector/memory CD4(+) T cells (46.5% ± 26.0 less proliferation) in 16 of 20 analysed patients with APS, without affecting the proliferative response to an unrelated candidin. In five analysed patients, 10/TGF-DC-stimulated T cells acquired an IL-2(low)interferon γ(low)IL-10(high) cytokine profile, with just a propensity to express higher numbers of Foxp3(+)CTLA-4(+) cells, but with an evident suppressive ability. In four of 10 analysed patients, 10/TGF-DC-stimulated T cell hyporesponsiveness could not be reverted and showed higher percentages of late apoptosis, p<0.02. The inherent tolerance induction resistance of activated T cells present during the development of autoimmune diseases has delayed the application of tDC as an alternative therapy. This study highlights the 10/TGF-DC feasibility to induce antigen-specific unresponsiveness in autoreactive T cells generated in patients with APS by inducing apoptosis or T cells with regulatory abilities.

  11. Neural stem cell apoptosis after low-methylmercury exposures in postnatal hippocampus produce persistent cell loss and adolescent memory deficits.

    PubMed

    Sokolowski, Katie; Obiorah, Maryann; Robinson, Kelsey; McCandlish, Elizabeth; Buckley, Brian; DiCicco-Bloom, Emanuel

    2013-12-01

    The developing brain is particularly sensitive to exposures to environmental contaminants. In contrast to the adult, the developing brain contains large numbers of dividing neuronal precursors, suggesting that they may be vulnerable targets. The postnatal day 7 (P7) rat hippocampus has populations of both mature neurons in the CA1-3 region as well as neural stem cells (NSC) in the dentate gyrus (DG) hilus, which actively produce new neurons that migrate to the granule cell layer (GCL). Using this well-characterized NSC population, we examined the impact of low levels of methylmercury (MeHg) on proliferation, neurogenesis, and subsequent adolescent learning and memory behavior. Assessing a range of exposures, we found that a single subcutaneous injection of 0.6 µg/g MeHg in P7 rats induced caspase activation in proliferating NSC of the hilus and GCL. This acute NSC death had lasting impact on the DG at P21, reducing cell numbers in the hilus by 22% and the GCL by 27%, as well as reductions in neural precursor proliferation by 25%. In contrast, non-proliferative CA1-3 pyramidal neuron cell number was unchanged. Furthermore, animals exposed to P7 MeHg exhibited an adolescent spatial memory deficit as assessed by Morris water maze. These results suggest that environmentally relevant levels of MeHg exposure may decrease NSC populations and, despite ongoing neurogenesis, the brain may not restore the hippocampal cell deficits, which may contribute to hippocampal-dependent memory deficits during adolescence. Copyright © 2013 Wiley Periodicals, Inc.

  12. Developmental Regulation of Effector and Resident Memory T Cell Generation during Pediatric Viral Respiratory Tract Infection.

    PubMed

    Connors, Thomas J; Baird, J Scott; Yopes, Margot C; Zens, Kyra D; Pethe, Kalpana; Ravindranath, Thyyar M; Ho, Siu-Hong; Farber, Donna L

    2018-05-30

    Viral respiratory tract infections (VRTI) remain a leading cause of morbidity and mortality among infants and young children. In mice, optimal protection to VRTI is mediated by recruitment of effector T cells to the lungs and respiratory tract, and subsequent establishment of tissue resident memory T cells (Trm), which provide long-term protection. These critical processes of T cell recruitment to the respiratory tract, their role in disease pathogenesis, and establishment of local protective immunity remain undefined in pediatric VRTI. In this study, we investigated T cell responses in the upper respiratory tract (URT) and lower respiratory tract (LRT) of infants and young children with VRTI, revealing developmental regulation of T cell differentiation and Trm generation in situ. We show a direct concurrence between T cell responses in the URT and LRT, including a preponderance of effector CD8 + T cells that was associated with disease severity. During infant VRTI, there was an accumulation of terminally differentiated effector cells (effector memory RA + T cells) in the URT and LRT with reduced Trm in the early neonatal period, and decreased effector memory RA + T cell and increased Trm formation with age during the early years of childhood. Moreover, human infant T cells exhibit increased expression of the transcription factor T-bet compared with adult T cells, suggesting a mechanism for preferential generation of effector over Trm. The developmental regulation of respiratory T cell responses as revealed in the present study is important for diagnosing, monitoring, and treating VRTI in the critical early life stages. Copyright © 2018 by The American Association of Immunologists, Inc.

  13. Developmental dissociation between the maturation of procedural memory and declarative memory.

    PubMed

    Finn, Amy S; Kalra, Priya B; Goetz, Calvin; Leonard, Julia A; Sheridan, Margaret A; Gabrieli, John D E

    2016-02-01

    Declarative memory and procedural memory are known to be two fundamentally different kinds of memory that are dissociable in their psychological characteristics and measurement (explicit vs. implicit) and in the neural systems that subserve each kind of memory. Declarative memory abilities are known to improve from childhood through young adulthood, but the developmental maturation of procedural memory is largely unknown. We compared 10-year-old children and young adults on measures of declarative memory and working memory capacity and on four measures of procedural memory that have been strongly dissociated from declarative memory (mirror tracing, rotary pursuit, probabilistic classification, and artificial grammar). Children had lesser declarative memory ability and lesser working memory capacity than adults, but children exhibited learning equivalent to adults on all four measures of procedural memory. Therefore, declarative memory and procedural memory are developmentally dissociable, with procedural memory being adult-like by age 10years and declarative memory continuing to mature into young adulthood. Copyright © 2015 Elsevier Inc. All rights reserved.

  14. Conserved Region C Functions To Regulate PD-1 Expression and Subsequent CD8 T Cell Memory.

    PubMed

    Bally, Alexander P R; Tang, Yan; Lee, Joshua T; Barwick, Benjamin G; Martinez, Ryan; Evavold, Brian D; Boss, Jeremy M

    2017-01-01

    Expression of programmed death 1 (PD-1) on CD8 T cells promotes T cell exhaustion during chronic Ag exposure. During acute infections, PD-1 is transiently expressed and has the potential to modulate CD8 T cell memory formation. Conserved region C (CR-C), a promoter proximal cis-regulatory element that is critical to PD-1 expression in vitro, responds to NFATc1, FoxO1, and/or NF-κB signaling pathways. Here, a CR-C knockout mouse was established to determine its role on PD-1 expression and the corresponding effects on T cell function in vivo. Deletion of CR-C decreased PD-1 expression on CD4 T cells and Ag-specific CD8 T cells during acute and chronic lymphocytic choriomeningitis virus challenges, but did not affect the ability to clear an infection. Following acute lymphocytic choriomeningitis virus infection, memory CD8 T cells in the CR-C knockout mouse were formed in greater numbers, were more functional, and were more effective at responding to a melanoma tumor than wild-type memory cells. These data implicate a critical role for CR-C in governing PD-1 expression, and a subsequent role in guiding CD8 T cell differentiation. The data suggest the possibility that titrating PD-1 expression during CD8 T cell activation could have important ramifications in vaccine development and clinical care. Copyright © 2016 by The American Association of Immunologists, Inc.

  15. Friends not foes: CTLA-4 blockade and mTOR inhibition cooperate during CD8+ T cell priming to promote memory formation and metabolic readiness.

    PubMed

    Pedicord, Virginia A; Cross, Justin R; Montalvo-Ortiz, Welby; Miller, Martin L; Allison, James P

    2015-03-01

    During primary Ag encounter, T cells receive numerous positive and negative signals that control their proliferation, function, and differentiation, but how these signals are integrated to modulate T cell memory has not been fully characterized. In these studies, we demonstrate that combining seemingly opposite signals, CTLA-4 blockade and rapamycin-mediated mammalian target of rapamycin inhibition, during in vivo T cell priming leads to both an increase in the frequency of memory CD8(+) T cells and improved memory responses to tumors and bacterial challenges. This enhanced efficacy corresponds to increased early expansion and memory precursor differentiation of CD8(+) T cells and increased mitochondrial biogenesis and spare respiratory capacity in memory CD8(+) T cells in mice treated with anti-CTLA-4 and rapamycin during immunization. Collectively, these results reveal that mammalian target of rapamycin inhibition cooperates with rather than antagonizes blockade of CTLA-4, promoting unrestrained effector function and proliferation, and an optimal metabolic program for CD8(+) T cell memory. Copyright © 2015 by The American Association of Immunologists, Inc.

  16. Human Memory CD4+ T Cell Immune Responses against Giardia lamblia

    PubMed Central

    Sørnes, Steinar; Peirasmaki, Dimitra; Svärd, Staffan; Langeland, Nina

    2015-01-01

    The intestinal protozoan parasite Giardia lamblia may cause severe prolonged diarrheal disease or pass unnoticed as an asymptomatic infection. T cells seem to play an important role in the immune response to Giardia infection, and memory responses may last years. Recently, TH17 responses have been found in three animal studies of Giardia infection. The aim of this study was to characterize the human CD4+ T cell responses to Giardia. Peripheral blood mononuclear cells (PBMCs) were obtained from 21 returning travelers with recent or ongoing giardiasis and 12 low-risk healthy controls and stimulated in vitro with Giardia lamblia proteins. Production of tumor necrosis factor alpha (TNF-α), gamma interferon, interleukin-17A (IL-17A), IL-10, and IL-4 was measured in CD4+ effector memory (EM) T cells after 24 h by flow cytometry. After 6 days of culture, activation and proliferation were measured by flow cytometry, while an array of inflammatory cytokine levels in supernatants were measured with multiplex assays. We found the number of IL-17A-producing CD4+ EM T cells, as well as that of cells simultaneously producing both IL-17A and TNF-α, to be significantly elevated in the Giardia-exposed individuals after 24 h of antigen stimulation. In supernatants of PBMCs stimulated with Giardia antigens for 6 days, we found inflammation-associated cytokines, including 1L-17A, as well as CD4+ T cell activation and proliferation, to be significantly elevated in the Giardia-exposed individuals. We conclude that symptomatic Giardia infection in humans induces a CD4+ EM T cell response of which IL-17A production seems to be an important component. PMID:26376930

  17. A short CD3/CD28 costimulation combined with IL-21 enhance the generation of human memory stem T cells for adoptive immunotherapy.

    PubMed

    Alvarez-Fernández, C; Escribà-Garcia, L; Vidal, S; Sierra, J; Briones, J

    2016-07-19

    Immunotherapy based on the adoptive transfer of gene modified T cells is an emerging approach for the induction of tumor-specific immune responses. Memory stem T cells, due to their enhanced antitumor and self-renewal capacity, have become potential candidate for adoptive T cell therapy of cancer. Methods to generate memory stem T cells ex vivo rely on CD3/CD28 costimulation and the use of cytokines such as IL-7 and IL-15 during the entire culture period. However, a strong costimulation may induce differentiation of memory stem T cells to effector memory T cells. Here we show that manipulation of the length of the costimulation and addition of IL-21 enhance the ex vivo expansion of memory stem T cells. Purified naïve T cells from healthy donors were cultured in the presence of anti-CD3/CD28 coated beads, IL-7, IL-15 and/or IL-21 (25 ng/ml). T cells phenotype from the different memory and effector subpopulations were analyzed by multiparametric flow cytometry. A short anti-CD3/CD28 costimulation of naïve T cells, combined with IL-7 and IL-15 significantly increased the frequencies of CD4(+) and CD8(+) memory stem T cells ex vivo, compared to a prolonged costimulation (34.6 ± 4.4 % vs 15.6 ± 4.24 % in CD4(+); p = 0.008, and 20.5 ± 4.00 % vs 7.7 ± 2.53 % in CD8(+); p = 0.02). Moreover, the addition of IL-21 to this condition further enhanced the enrichment and expansion of CD4(+) and CD8(+) memory stem T cells with an increase in the absolute numbers (0.7 × 10(6) ± 0.1 vs 0.26 × 10(6) ± 0.1 cells for CD4(+); p = 0.002 and 1.1 × 10(6) ± 0.1 vs 0.27 × 10(6) ± 0.1 cells for CD8(+); p = 0.0002; short + IL-21 vs long). These new in vitro conditions increase the frequencies and expansion of memory stem T cells and may have relevant clinical implications for the generation of this memory T cell subset for adoptive cell therapy of patients with cancer.

  18. Grb2 regulates B-cell maturation, B-cell memory responses and inhibits B-cell Ca2+ signalling.

    PubMed

    Ackermann, Jochen A; Radtke, Daniel; Maurberger, Anna; Winkler, Thomas H; Nitschke, Lars

    2011-04-20

    Grb2 is a ubiquitously expressed adaptor protein, which activates Ras and MAP kinases in growth factor receptor signalling, while in B-cell receptor (BCR) signalling this role is controversial. In B cell lines it was shown that Grb2 can inhibit BCR-induced Ca(2+) signalling. Nonetheless, the physiological role of Grb2 in primary B cells is still unknown. We generated a B-cell-specific Grb2-deficient mouse line, which had a severe reduction of mature follicular B cells in the periphery due to a differentiation block and decreased B-cell survival. Moreover, we found several changes in important signalling pathways: enhanced BCR-induced Ca(2+) signalling, alterations in mitogen-activated protein kinase activation patterns and strongly impaired Akt activation, the latter pointing towards a defect in PI3K signalling. Interestingly, B-cell-specific Grb2-deficient mice showed impaired IgG and B-cell memory responses, and impaired germinal centre formation. Thus, Grb2-dependent signalling pathways are crucial for lymphocyte differentiation processes, as well as for control of secondary humoral immune responses.

  19. Transcription Factor IRF4 Promotes CD8+ T Cell Exhaustion and Limits the Development of Memory-like T Cells during Chronic Infection.

    PubMed

    Man, Kevin; Gabriel, Sarah S; Liao, Yang; Gloury, Renee; Preston, Simon; Henstridge, Darren C; Pellegrini, Marc; Zehn, Dietmar; Berberich-Siebelt, Friederike; Febbraio, Mark A; Shi, Wei; Kallies, Axel

    2017-12-19

    During chronic stimulation, CD8 + T cells acquire an exhausted phenotype characterized by expression of inhibitory receptors, down-modulation of effector function, and metabolic impairments. T cell exhaustion protects from excessive immunopathology but limits clearance of virus-infected or tumor cells. We transcriptionally profiled antigen-specific T cells from mice infected with lymphocytic choriomeningitis virus strains that cause acute or chronic disease. T cell exhaustion during chronic infection was driven by high amounts of T cell receptor (TCR)-induced transcription factors IRF4, BATF, and NFATc1. These regulators promoted expression of inhibitory receptors, including PD-1, and mediated impaired cellular metabolism. Furthermore, they repressed the expression of TCF1, a transcription factor required for memorycell differentiation. Reducing IRF4 expression restored the functional and metabolic properties of antigen-specific T cells and promoted memory-like T cell development. These findings indicate that IRF4 functions as a central node in a TCR-responsive transcriptional circuit that establishes and sustains T cell exhaustion during chronic infection. Crown Copyright © 2017. Published by Elsevier Inc. All rights reserved.

  20. Developmental Dissociation Between the Maturation of Procedural Memory and Declarative Memory

    PubMed Central

    Finn, Amy S.; Kalra, Priya B.; Goetz, Calvin; Leonard, Julia A.; Sheridan, Margaret A.; Gabrieli, John D. E.

    2015-01-01

    Declarative memory and procedural memory are known to be two fundamentally different kinds of memory that are dissociable in their psychological characteristics and measurement (explicit versus implicit) and in the neural systems that subserve each kind of memory. Declarative memory abilities are known to improve from childhood through young adulthood, but the developmental maturation of procedural memory is largely unknown. We compared 10-year-old children and young adults on measures of declarative memory, working memory capacity, and four measures of procedural memory that have been strongly dissociated from declarative memory (mirror tracing, rotary pursuit, probabilistic classification, and artificial grammar). Children had lesser declarative memory ability and lesser working memory capacity than the adults, but exhibited learning equivalent to adults on all four measures of procedural memory. Declarative and procedural memory are, therefore, developmentally dissociable, with procedural memory being adult-like by age 10 and declarative memory continuing to mature into young adulthood. PMID:26560675

  1. Quantum random access memory.

    PubMed

    Giovannetti, Vittorio; Lloyd, Seth; Maccone, Lorenzo

    2008-04-25

    A random access memory (RAM) uses n bits to randomly address N=2(n) distinct memory cells. A quantum random access memory (QRAM) uses n qubits to address any quantum superposition of N memory cells. We present an architecture that exponentially reduces the requirements for a memory call: O(logN) switches need be thrown instead of the N used in conventional (classical or quantum) RAM designs. This yields a more robust QRAM algorithm, as it in general requires entanglement among exponentially less gates, and leads to an exponential decrease in the power needed for addressing. A quantum optical implementation is presented.

  2. Defining characteristics of classical Hodgkin lymphoma microenvironment T-helper cells.

    PubMed

    Greaves, Paul; Clear, Andrew; Owen, Andrew; Iqbal, Sameena; Lee, Abigail; Matthews, Janet; Wilson, Andrew; Calaminici, Maria; Gribben, John G

    2013-10-17

    CD4(+) T-helper cells (THs) dominate the classical Hodgkin lymphoma (CHL) microenvironment, but their role is poorly understood. Advances in flow cytometry and immunohistochemistry permit more detailed investigation of this aspect of CHL pathophysiology. To address the hypothesis that the TH-infiltrate, rather than being TH2-enriched, senescent and hypofunctional, is TH1 and activation marker-rich, cytokine-secretory and proliferative, we applied comprehensive flow cytometric immunophenotyping and functional assays of cytokine secretion/proliferation to TH cells from 18 CHL-derived single-cell suspensions (SCSs) compared to reactive lymph nodes (RLNs). CHL-derived TH cells express TH1-associated CXCR3/CCR5 and TNFα/IFNγ/interleukin-2 (IL-2) and less TH2-associated CCR3/CCR4, with no IL-4/IL-13. They lack exhaustion-/suppression-associated PD1, CD57 and terminally differentiated effector memory cells, with more central memory cells, activation-associated partners of Hodgkin Reed Sternberg (HRS) cell-expressed CD30/OX40-L/ICOS-L, and other activation markers. TH cell lines established from CHL and RLN-derived SCSs remain cytokine-secretory. We confirmed and extended these studies using tissue microarray immunohistochemistry (TMA-IHC) from a large CHL tissue bank (n = 122) and demonstrate TH1-associated TBET is abundant in CHL, and TH2-associated CMAF/GATA3 and exhaustion-associated PD1 expressed at significantly lower levels. These molecular insights into the CHL-associated TH offer potential diagnostic, prognostic and pharmacologically modifiable therapeutic targets and do not support the established view of a TH2-enriched, senescent/exhausted, hypofunctional, hypoproliferative infiltrate.

  3. Antibody response against Betaferon® in immune tolerant mice: involvement of marginal zone B-cells and CD4+ T-cells and apparent lack of immunological memory.

    PubMed

    Sauerborn, Melody; van Beers, Miranda M C; Jiskoot, Wim; Kijanka, Grzegorz M; Boon, Louis; Schellekens, Huub; Brinks, Vera

    2013-01-01

    The immunological processes underlying immunogenicity of recombinant human therapeutics are poorly understood. Using an immune tolerant mouse model we previously demonstrated that aggregates are a major trigger of the antidrug antibody (ADA) response against recombinant human interferon beta (rhIFNβ) products including Betaferon®, and that immunological memory seems to be lacking after a rechallenge with non-aggregated rhIFNβ. The apparent absence of immunological memory indicates a CD4+ T-cell independent (Tind) immune response underlying ADA formation against Betaferon®. This hypothesis was tested. Using the immune tolerant mouse model we first validated that rechallenge with highly aggregated rhIFNβ (Betaferon®) does not lead to a subsequent fast increase in ADA titers, suggesting a lack of immunological memory. Next we assessed whether Betaferon® could act as Tind antigen by inactivation of marginal zone (MZ) B-cells during treatment. MZ B-cells are major effector cells involved in a Tind immune response. In a following experiment we depleted the mice from CD4+ T-cells to test their involvement in the ADA response against Betaferon®. Inactivation of MZ B-cells at the start of Betaferon® treatment drastically lowered ADA levels, suggesting a Tind immune response. However, persistent depletion of CD4+ T-cells before and during Betaferon® treatment abolished the ADA response in almost all mice. The immune response against rhIFNβ in immune tolerant mice is neither a T-cell independent nor a classical T-cell dependent immune response. Further studies are needed to confirm absence of immunological memory (cells).

  4. Tissue-specific programming of memory CD8 T cell subsets impacts protection against lethal respiratory virus infection

    PubMed Central

    Tahiliani, Vikas

    2016-01-01

    How tissue-specific anatomical distribution and phenotypic specialization are linked to protective efficacy of memory T cells against reinfection is unclear. Here, we show that lung environmental cues program recently recruited central-like memory cells with migratory potentials for their tissue-specific functions during lethal respiratory virus infection. After entering the lung, some central-like cells retain their original CD27hiCXCR3hi phenotype, enabling them to localize near the infected bronchiolar epithelium and airway lumen to function as the first line of defense against pathogen encounter. Others, in response to local cytokine triggers, undergo a secondary program of differentiation that leads to the loss of CXCR3, migration arrest, and clustering within peribronchoarterial areas and in interalveolar septa. Here, the immune system adapts its response to prevent systemic viral dissemination and mortality. These results reveal the striking and unexpected spatial organization of central- versus effector-like memory cells within the lung and how cooperation between these two subsets contributes to host defense. PMID:27879287

  5. Realisation of all 16 Boolean logic functions in a single magnetoresistance memory cell.

    PubMed

    Gao, Shuang; Yang, Guang; Cui, Bin; Wang, Shouguo; Zeng, Fei; Song, Cheng; Pan, Feng

    2016-07-07

    Stateful logic circuits based on next-generation nonvolatile memories, such as magnetoresistance random access memory (MRAM), promise to break the long-standing von Neumann bottleneck in state-of-the-art data processing devices. For the successful commercialisation of stateful logic circuits, a critical step is realizing the best use of a single memory cell to perform logic functions. In this work, we propose a method for implementing all 16 Boolean logic functions in a single MRAM cell, namely a magnetoresistance (MR) unit. Based on our experimental results, we conclude that this method is applicable to any MR unit with a double-hump-like hysteresis loop, especially pseudo-spin-valve magnetic tunnel junctions with a high MR ratio. Moreover, after simply reversing the correspondence between voltage signals and output logic values, this method could also be applicable to any MR unit with a double-pit-like hysteresis loop. These results may provide a helpful solution for the final commercialisation of MRAM-based stateful logic circuits in the near future.

  6. FinFET memory cell improvements for higher immunity against single event upsets

    NASA Astrophysics Data System (ADS)

    Sajit, Ahmed Sattar

    The 21st century is witnessing a tremendous demand for transistors. Life amenities have incorporated the transistor in every aspect of daily life, ranging from toys to rocket science. Day by day, scaling down the transistor is becoming an imperious necessity. However, it is not a straightforward process; instead, it faces overwhelming challenges. Due to these scaling changes, new technologies, such as FinFETs for example, have emerged as alternatives to the conventional bulk-CMOS technology. FinFET has more control over the channel, therefore, leakage current is reduced. FinFET could bridge the gap between silicon devices and non-silicon devices. The semiconductor industry is now incorporating FinFETs in systems and subsystems. For example, Intel has been using them in their newest processors, delivering potential saving powers and increased speeds to memory circuits. Memory sub-systems are considered a vital component in the digital era. In memory, few rows are read or written at a time, while the most rows are static; hence, reducing leakage current increases the performance. However, as a transistor shrinks, it becomes more vulnerable to the effects from radioactive particle strikes. If a particle hits a node in a memory cell, the content might flip; consequently, leading to corrupting stored data. Critical fields, such as medical and aerospace, where there are no second chances and cannot even afford to operate at 99.99% accuracy, has induced me to find a rigid circuit in a radiated working environment. This research focuses on a wide spectrum of memories such as 6T SRAM, 8T SRAM, and DICE memory cells using FinFET technology and finding the best platform in terms of Read and Write delay, susceptibility level of SNM, RSNM, leakage current, energy consumption, and Single Event Upsets (SEUs). This research has shown that the SEU tolerance that 6T and 8T FinFET SRAMs provide may not be acceptable in medical and aerospace applications where there is a very high

  7. Conserved region C functions to regulate PD-1 expression and subsequent CD8 T cell memory1

    PubMed Central

    Bally, Alexander P. R.; Tang, Yan; Lee, Joshua T.; Barwick, Benjamin G.; Martinez, Ryan; Evavold, Brian D.; Boss, Jeremy M.

    2016-01-01

    Expression of programmed death 1 (PD-1) on CD8 T cells promotes T cell exhaustion during chronic antigen exposure. During acute infections, PD-1 is transiently expressed and has the potential to modulate CD8 T cell memory formation. Conserved Region C (CR-C), a promoter proximal cis-regulatory element that is critical to PD-1 expression in vitro, responds to NFATc1, FoxO1, and/or NF-κB signaling pathways. Here, a CR-C knockout mouse (CRC−) was established to determine its role on PD-1 expression and corresponding effects on T cell function in vivo. Deletion of CR-C decreased PD-1 expression on CD4 T cells and antigen-specific CD8 T cells during acute and chronic lymphocytic choriomeningitis virus (LCMV) challenges, but did not affect the ability to clear an infection. Following acute LCMV infection, memory CD8 T cells in the CRC− mouse were formed in greater numbers, were more functional, and were more effective at responding to a melanoma tumor than wild-type memory cells. These data implicate a critical role for CR-C in governing PD-1 expression, and a subsequent role in guiding CD8 T cell differentiation. The data suggest the possibility that titrating PD-1 expression during CD8 T cell activation could have important ramifications in vaccine development and clinical care. PMID:27895178

  8. Radiation-hardened optically reconfigurable gate array exploiting holographic memory characteristics

    NASA Astrophysics Data System (ADS)

    Seto, Daisaku; Watanabe, Minoru

    2015-09-01

    In this paper, we present a proposal for a radiation-hardened optically reconfigurable gate array (ORGA). The ORGA is a type of field programmable gate array (FPGA). The ORGA configuration can be executed by the exploitation of holographic memory characteristics even if 20% of the configuration data are damaged. Moreover, the optoelectronic technology enables the high-speed reconfiguration of the programmable gate array. Such a high-speed reconfiguration can increase the radiation tolerance of its programmable gate array to 9.3 × 104 times higher than that of current FPGAs. Through experimentation, this study clarified the configuration dependability using the impulse-noise emulation and high-speed configuration capabilities of the ORGA with corrupt configuration contexts. Moreover, the radiation tolerance of the programmable gate array was confirmed theoretically through probabilistic calculation.

  9. Effect of conductance linearity and multi-level cell characteristics of TaOx-based synapse device on pattern recognition accuracy of neuromorphic system

    NASA Astrophysics Data System (ADS)

    Sung, Changhyuck; Lim, Seokjae; Kim, Hyungjun; Kim, Taesu; Moon, Kibong; Song, Jeonghwan; Kim, Jae-Joon; Hwang, Hyunsang

    2018-03-01

    To improve the classification accuracy of an image data set (CIFAR-10) by using analog input voltage, synapse devices with excellent conductance linearity (CL) and multi-level cell (MLC) characteristics are required. We analyze the CL and MLC characteristics of TaOx-based filamentary resistive random access memory (RRAM) to implement the synapse device in neural network hardware. Our findings show that the number of oxygen vacancies in the filament constriction region of the RRAM directly controls the CL and MLC characteristics. By adopting a Ta electrode (instead of Ti) and the hot-forming step, we could form a dense conductive filament. As a result, a wide range of conductance levels with CL is achieved and significantly improved image classification accuracy is confirmed.

  10. Short-Term Exposure to Lambda-Cyhalothrin Negatively Affects the Survival and Memory-Related Characteristics of Worker Bees Apis mellifera.

    PubMed

    Liao, Chun-Hua; He, Xu-Jiang; Wang, Zi-Long; Barron, Andrew B; Zhang, Bo; Zeng, Zhi-Jiang; Wu, Xiao-Bo

    2018-07-01

    Pesticides are considered one of the major contemporary stressors of honey bee health. In this study, the effects of short-term exposure to lambda-cyhalothrin on lifespan, learning, and memory-related characteristics of Apis mellifera were systematically examined. Short-term exposure to lambda-cyhalothrin in worker bees reduced lifespan, affected learning and memory performance, reduced the homing ability, and influenced the expression levels of two learning and memory-related genes of A. mellifera. This research identifies the nature of the sublethal effects of lambda-cyhalothrin on bees and the level of exposure that can be harmful to bee health. This new information will assist in establishing guidelines for the safe use of lambda-cyhalothrin in the field.

  11. Ga-doped indium oxide nanowire phase change random access memory cells

    NASA Astrophysics Data System (ADS)

    Jin, Bo; Lim, Taekyung; Ju, Sanghyun; Latypov, Marat I.; Kim, Hyoung Seop; Meyyappan, M.; Lee, Jeong-Soo

    2014-02-01

    Phase change random access memory (PCRAM) devices are usually constructed using tellurium based compounds, but efforts to seek other materials providing desirable memory characteristics have continued. We have fabricated PCRAM devices using Ga-doped In2O3 nanowires with three different Ga compositions (Ga/(In+Ga) atomic ratio: 2.1%, 11.5% and 13.0%), and investigated their phase switching properties. The nanowires (˜40 nm in diameter) can be repeatedly switched between crystalline and amorphous phases, and Ga concentration-dependent memory switching behavior in the nanowires was observed with ultra-fast set/reset rates of 80 ns/20 ns, which are faster than for other competitive phase change materials. The observations of fast set/reset rates and two distinct states with a difference in resistance of two to three orders of magnitude appear promising for nonvolatile information storage. Moreover, we found that increasing the Ga concentration can reduce the power consumption and resistance drift; however, too high a level of Ga doping may cause difficulty in achieving the phase transition.

  12. The Effects of Cell Phone Conversations on the Attention and Memory of Bystanders

    PubMed Central

    Galván, Veronica V.; Vessal, Rosa S.; Golley, Matthew T.

    2013-01-01

    The pervasive use of cell phones impacts many people–both cell phone users and bystanders exposed to conversations. This study examined the effects of overhearing a one-sided (cell phone) conversation versus a two-sided conversation on attention and memory. In our realistic design, participants were led to believe they were participating in a study examining the relationship between anagrams and reading comprehension. While the participant was completing an anagram task, the researcher left the room and participants overheard a scripted conversation, either two confederates talking with each other or one confederate talking on a cell phone. Upon the researcher’s return, the participant took a recognition memory task with words from the conversation, and completed a questionnaire measuring the distracting nature of the conversation. Participants who overheard the one-sided conversation rated the conversation as significantly higher in distractibility than those who overheard the two-sided conversation. Also, participants in the one-sided condition scored higher on the recognition task. In particular they were more confident and accurate in their responses to words from the conversation than participants in the two-sided condition. However, participants’ scores on the anagram task were not significantly different between conditions. As in real world situations, individual participants could pay varying amounts of attention to the conversation since they were not explicitly instructed to ignore it. Even though the conversation was irrelevant to the anagram task and contained less words and noise, one-sided conversations still impacted participants’ self-reported distractibility and memory, thus showing people are more attentive to cell phone conversations than two-sided conversations. Cell phone conversations may be a common source of distraction causing negative consequences in workplace environments and other public places. PMID:23516514

  13. The effects of cell phone conversations on the attention and memory of bystanders.

    PubMed

    Galván, Veronica V; Vessal, Rosa S; Golley, Matthew T

    2013-01-01

    The pervasive use of cell phones impacts many people-both cell phone users and bystanders exposed to conversations. This study examined the effects of overhearing a one-sided (cell phone) conversation versus a two-sided conversation on attention and memory. In our realistic design, participants were led to believe they were participating in a study examining the relationship between anagrams and reading comprehension. While the participant was completing an anagram task, the researcher left the room and participants overheard a scripted conversation, either two confederates talking with each other or one confederate talking on a cell phone. Upon the researcher's return, the participant took a recognition memory task with words from the conversation, and completed a questionnaire measuring the distracting nature of the conversation. Participants who overheard the one-sided conversation rated the conversation as significantly higher in distractibility than those who overheard the two-sided conversation. Also, participants in the one-sided condition scored higher on the recognition task. In particular they were more confident and accurate in their responses to words from the conversation than participants in the two-sided condition. However, participants' scores on the anagram task were not significantly different between conditions. As in real world situations, individual participants could pay varying amounts of attention to the conversation since they were not explicitly instructed to ignore it. Even though the conversation was irrelevant to the anagram task and contained less words and noise, one-sided conversations still impacted participants' self-reported distractibility and memory, thus showing people are more attentive to cell phone conversations than two-sided conversations. Cell phone conversations may be a common source of distraction causing negative consequences in workplace environments and other public places.

  14. Single-Cell Tracking Reveals a Role for Pre-Existing CCR5+ Memory Th1 Cells in the Control of Rhinovirus-A39 After Experimental Challenge in Humans.

    PubMed

    Muehling, Lyndsey M; Turner, Ronald B; Brown, Kenneth B; Wright, Paul W; Patrie, James T; Lahtinen, Sampo J; Lehtinen, Markus J; Kwok, William W; Woodfolk, Judith A

    2018-01-17

    Little is known about T cells that respond to human rhinovirus in vivo, due to timing of infection, viral diversity, and complex T-cell specificities. We tracked circulating CD4+ T cells with identical epitope specificities that responded to intranasal challenge with rhinovirus (RV)-A39, and we assessed T-cell signatures in the nose. Cells were monitored using a mixture of 2 capsid-specific major histocompatibility complex II tetramers over a 7-week period, before and after RV-A39 challenge, in 16 human leukocyte antigen-DR4+ subjects who participated in a trial of Bifidobacterium lactis (Bl-04) supplementation. Pre-existing tetramer+ T cells were linked to delayed viral shedding, enriched for activated CCR5+ Th1 effectors, and included a minor interleukin-21+ T follicular helper cell subset. After RV challenge, expansion and activation of virus-specific CCR5+ Th1 effectors was restricted to subjects who had a rise in neutralizing antibodies, and tetramer-negative CCR5+ effector memory types were comodulated. In the nose, CXCR3-CCR5+ T cells present during acute infection were activated effector memory type, whereas CXCR3+ cells were central memory type, and cognate chemokine ligands were elevated over baseline. Probiotic had no T-cell effects. We conclude that virus-specific CCR5+ effector memory CD4+ T cells primed by previous exposure to related viruses contribute to the control of rhinovirus. © The Author(s) 2017. Published by Oxford University Press for the Infectious Diseases Society of America. All rights reserved. For permissions, e-mail: journals.permissions@oup.com.

  15. A Positive Correlation between Atypical Memory B Cells and Plasmodium falciparum Transmission Intensity in Cross-Sectional Studies in Peru and Mali

    PubMed Central

    Weiss, Greta E.; Clark, Eva H.; Li, Shanping; Traore, Boubacar; Kayentao, Kassoum; Ongoiba, Aissata; Hernandez, Jean N.; Doumbo, Ogobara K.; Pierce, Susan K.; Branch, OraLee H.; Crompton, Peter D.

    2011-01-01

    Background Antibodies that protect against Plasmodium falciparum (Pf) malaria are only acquired after years of repeated infections. The B cell biology that underlies this observation is poorly understood. We previously reported that “atypical” memory B cells are increased in children and adults exposed to intense Pf transmission in Mali, similar to what has been observed in individuals infected with HIV. In this study we examined B cell subsets of Pf -infected adults in Peru and Mali to determine if Pf transmission intensity correlates with atypical memory B cell expansion. Methodology/Principal Findings In this cross-sectional study venous blood was collected from adults in areas of zero (U.S., n = 10), low (Peru, n = 18) and high (Mali, n = 12) Pf transmission. Adults in Peru and Mali were infected with Pf at the time of blood collection. Thawed lymphocytes were analyzed by flow cytometry to quantify B cell subsets, including atypical memory B cells, defined by the cell surface markers CD19+ CD20+ CD21− CD27− CD10−. In Peru, the mean level of atypical memory B cells, as a percent of total B cells, was higher than U.S. adults (Peru mean: 5.4% [95% CI: 3.61–7.28]; U.S. mean: 1.4% [95% CI: 0.92–1.81]; p<0.0001) but lower than Malian adults (Mali mean 13.1% [95% CI: 10.68–15.57]; p = 0.0001). In Peru, individuals self-reporting ≥1 prior malaria episodes had a higher percentage of atypical memory B cells compared to those reporting no prior episodes (≥1 prior episodes mean: 6.6% [95% CI: 4.09–9.11]; no prior episodes mean: 3.1% [95% CI: 1.52–4.73]; p = 0.028). Conclusions/Significance Compared to Pf-naive controls, atypical memory B cells were increased in Peruvian adults exposed to low Pf transmission, and further increased in Malian adults exposed to intense Pf transmission. Understanding the origin, function and antigen specificity of atypical memory B cells in the context of Pf infection could contribute to our

  16. Establishment of anti-tumor memory in humans using in vitro-educated CD8+ T cells

    PubMed Central

    Butler, Marcus O.; Friedlander, Philip; Milstein, Matthew I.; Mooney, Mary M.; Metzler, Genita; Murray, Andrew P.; Tanaka, Makito; Berezovskaya, Alla; Imataki, Osamu; Drury, Linda; Brennan, Lisa; Flavin, Marisa; Neuberg, Donna; Stevenson, Kristen; Lawrence, Donald; Hodi, F. Stephen; Velazquez, Elsa F.; Jaklitsch, Michael T.; Russell, Sara E.; Mihm, Martin; Nadler, Lee M.; Hirano, Naoto

    2013-01-01

    While advanced stage melanoma patients have a median survival of less than a year, adoptive T cell therapy can induce durable clinical responses in some patients. Successful adoptive T cell therapy to treat cancer requires engraftment of anti-tumor T lymphocytes that not only retain specificity and function in vivo but also display an intrinsic capacity to survive. To date, adoptively transferred anti-tumor CD8+ T lymphocytes (CTL) have had limited life spans unless the host has been manipulated. To generate CTL that possess an intrinsic capacity to persist in vivo, we developed a human artificial antigen presenting cell system that can educate anti-tumor CTL to acquire both a central memory and effector memory phenotype as well as the capacity to survive in culture for prolonged periods of time. In the present report, we examined whether anti-tumor CTL generated using this system could function and persist in patients. Here, we showed that MART1-specific CTL, educated and expanded using our artificial antigen presenting cell system, could survive for prolonged periods in advanced stage melanoma patients without previous conditioning or cytokine treatment. Moreover, these CTL trafficked to the tumor, mediated biological and clinical responses, and established anti-tumor immunologic memory. Therefore, this approach may broaden the availability of adoptive cell therapy to patients both alone and in combination with other therapeutic modalities. PMID:21525398

  17. Demonstration of the Burkitt's lymphoma Epstein-Barr virus phenotype in dividing latently infected memory cells in vivo

    PubMed Central

    Hochberg, Donna; Middeldorp, Jaap M.; Catalina, Michelle; Sullivan, John L.; Luzuriaga, Katherine; Thorley-Lawson, David A.

    2004-01-01

    Epstein-Barr virus (EBV) is a herpesvirus that establishes a lifelong, persistent infection. It was first discovered in the tumor Burkitt's lymphoma (BL). Despite intensive study, the role of EBV in BL remains enigmatic. One striking feature of the tumor is the unique pattern of viral latent protein expression, which is restricted to EBV-encoded nuclear antigen (EBNA) 1. EBNA1 is required to maintain the viral genome but is not recognized by cytotoxic T cells. Consequently, it was proposed that this expression pattern was used by latently infected B cells in vivo. This would be the site of long-term, persistent infection by the virus and, by implication, the progenitor of BL. We now know that EBV persists in memory B cells in the peripheral blood and that BL is a tumor of memory cells. However, a normal B cell expressing EBNA1 alone has been elusive. Here we show that most infected cells in the blood express no detectable latent mRNA or proteins. The exception is that when infected cells divide they express EBNA1 only. This is the first detection of the BL viral phenotype in a normal, infected B cell in vivo. It suggests that BL may be a tumor of a latently infected memory B cell that is stuck proliferating because it is a tumor and, therefore, constitutively expressing only EBNA1. PMID:14688409

  18. Tumour cell lysate-loaded dendritic cell vaccine induces biochemical and memory immune response in castration-resistant prostate cancer patients

    PubMed Central

    Reyes, D; Salazar, L; Espinoza, E; Pereda, C; Castellón, E; Valdevenito, R; Huidobro, C; Inés Becker, M; Lladser, A; López, M N; Salazar-Onfray, F

    2013-01-01

    Background: Recently, we produced a tumour antigen-presenting cells (TAPCells) vaccine using a melanoma cell lysate, called TRIMEL, as an antigen source and an activation factor. Tumour antigen-presenting cells induced immunological responses and increased melanoma patient survival. Herein, we investigated the effect of TAPCells loaded with prostate cancer cell lysates (PCCL) as an antigen source, and TRIMEL as a dendritic cell (DC) activation factor; which were co-injected with the Concholepas concholepas haemocyanin (CCH) as an adjuvant on castration-resistant prostate cancer (CRPC) patients. Methods: The lysate mix capacity, for inducing T-cell activation, was analysed by flow cytometry and Elispot. Delayed-type hypersensitivity (DTH) reaction against PCCL, frequency of CD8+ memory T cells (Tm) in blood and prostate-specific antigen (PSA) levels in serum were measured in treated patients. Results: The lysate mix induced functional mature DCs that were capable of activating PCCL-specific T cells. No relevant adverse reactions were observed. Six out of 14 patients showed a significant decrease in levels of PSA. DTH+ patients showed a prolonged PSA doubling-time after treatment. Expansion of functional central and effector CD8+ Tm were detected. Conclusion: Treatment of CRPC patients with lysate-loaded TAPCells and CCH as an adjuvant is safe: generating biochemical and memory immune responses. However, the limited number of cases requires confirmation in a phase II clinical trial. PMID:23989944

  19. Tumour cell lysate-loaded dendritic cell vaccine induces biochemical and memory immune response in castration-resistant prostate cancer patients.

    PubMed

    Reyes, D; Salazar, L; Espinoza, E; Pereda, C; Castellón, E; Valdevenito, R; Huidobro, C; Inés Becker, M; Lladser, A; López, M N; Salazar-Onfray, F

    2013-09-17

    Recently, we produced a tumour antigen-presenting cells (TAPCells) vaccine using a melanoma cell lysate, called TRIMEL, as an antigen source and an activation factor. Tumour antigen-presenting cells induced immunological responses and increased melanoma patient survival. Herein, we investigated the effect of TAPCells loaded with prostate cancer cell lysates (PCCL) as an antigen source, and TRIMEL as a dendritic cell (DC) activation factor; which were co-injected with the Concholepas concholepas haemocyanin (CCH) as an adjuvant on castration-resistant prostate cancer (CRPC) patients. The lysate mix capacity, for inducing T-cell activation, was analysed by flow cytometry and Elispot. Delayed-type hypersensitivity (DTH) reaction against PCCL, frequency of CD8(+) memory T cells (Tm) in blood and prostate-specific antigen (PSA) levels in serum were measured in treated patients. The lysate mix induced functional mature DCs that were capable of activating PCCL-specific T cells. No relevant adverse reactions were observed. Six out of 14 patients showed a significant decrease in levels of PSA. DTH(+) patients showed a prolonged PSA doubling-time after treatment. Expansion of functional central and effector CD8(+) Tm were detected. Treatment of CRPC patients with lysate-loaded TAPCells and CCH as an adjuvant is safe: generating biochemical and memory immune responses. However, the limited number of cases requires confirmation in a phase II clinical trial.

  20. A review of emerging non-volatile memory (NVM) technologies and applications

    NASA Astrophysics Data System (ADS)

    Chen, An

    2016-11-01

    This paper will review emerging non-volatile memory (NVM) technologies, with the focus on phase change memory (PCM), spin-transfer-torque random-access-memory (STTRAM), resistive random-access-memory (RRAM), and ferroelectric field-effect-transistor (FeFET) memory. These promising NVM devices are evaluated in terms of their advantages, challenges, and applications. Their performance is compared based on reported parameters of major industrial test chips. Memory selector devices and cell structures are discussed. Changing market trends toward low power (e.g., mobile, IoT) and data-centric applications create opportunities for emerging NVMs. High-performance and low-cost emerging NVMs may simplify memory hierarchy, introduce non-volatility in logic gates and circuits, reduce system power, and enable novel architectures. Storage-class memory (SCM) based on high-density NVMs could fill the performance and density gap between memory and storage. Some unique characteristics of emerging NVMs can be utilized for novel applications beyond the memory space, e.g., neuromorphic computing, hardware security, etc. In the beyond-CMOS era, emerging NVMs have the potential to fulfill more important functions and enable more efficient, intelligent, and secure computing systems.

  1. Age and CD161 Expression Contribute to Inter-Individual Variation in Interleukin-23 Response in CD8+ Memory Human T Cells

    PubMed Central

    Abraham, Clara; Cho, Judy H.

    2013-01-01

    The interleukin-23 (IL-23) pathway plays a critical role in the pathogenesis of multiple chronic inflammatory disorders, however, inter-individual variability in IL-23-induced signal transduction in circulating human lymphocytes has not been well-defined. In this study, we observed marked, reproducible inter-individual differences in IL-23 responsiveness (measured by STAT3 phosphorylation) in peripheral blood CD8+CD45RO+ memory T and CD3+CD56+ NKT cells. Age, but not gender, was a significant (Pearson’s correlation coefficient, r = −0.37, p = 0.001) source of variability observed in CD8+CD45RO+ memory T cells, with IL-23 responsiveness gradually decreasing with increasing age. Relative to cells from individuals demonstrating low responsiveness to IL-23 stimulation, CD8+CD45RO+ memory T cells from individuals demonstrating high responsiveness to IL-23 stimulation showed increased gene expression for IL-23 receptor (IL-23R), RORC (RORγt) and CD161 (KLRB1), whereas RORA (RORα) and STAT3 expression were equivalent. Similar to CD4+ memory T cells, IL-23 responsiveness is confined to the CD161+ subset in CD8+CD45RO+ memory T cells, suggesting a similar CD161+ precursor as has been reported for CD4+ Th17 cells. We observed a very strong positive correlation between IL-23 responsiveness and the fraction of CD161+, CD8+CD45RO+ memory T cells (r = 0.80, p<0.001). Moreover, the fraction of CD161+, CD8+CD45RO+ memory T cells gradually decreases with aging (r = −0.34, p = 0.05). Our data define the inter-individual differences in IL-23 responsiveness in peripheral blood lymphocytes from the general population. Variable expression of CD161, IL-23R and RORC affects IL-23 responsiveness and contributes to the inter-individual susceptibility to IL-23-mediated defenses and inflammatory processes. PMID:23469228

  2. Hippocampal place cell and inhibitory neuron activity in disrupted-in-schizophrenia-1 mutant mice: implications for working memory deficits

    PubMed Central

    Mesbah-Oskui, Lia; Georgiou, John; Roder, John C

    2015-01-01

    Background: Despite the prevalence of working memory deficits in schizophrenia, the neuronal mechanisms mediating these deficits are not fully understood. Importantly, deficits in spatial working memory are identified in numerous mouse models that exhibit schizophrenia-like endophenotypes. The hippocampus is one of the major brain regions that actively encodes spatial location, possessing pyramidal neurons, commonly referred to as ‘place cells’, that fire in a location-specific manner. This study tests the hypothesis that mice with a schizophrenia-like endophenotype exhibit impaired encoding of spatial location in the hippocampus. Aims: To characterize hippocampal place cell activity in mice that exhibit a schizophrenia-like endophenotype. Methods: We recorded CA1 place cell activity in six control mice and six mice that carry a point mutation in the disrupted-in-schizophrenia-1 gene (Disc1-L100P) and have previously been shown to exhibit deficits in spatial working memory. Results: The spatial specificity and stability of Disc1-L100P place cells were similar to wild-type place cells. Importantly, however, Disc1-L100P place cells exhibited a higher propensity to increase their firing rate in a single, large location of the environment, rather than multiple smaller locations, indicating a generalization in their spatial selectivity. Alterations in the signaling and numbers of CA1 putative inhibitory interneurons and decreased hippocampal theta (5–12 Hz) power were also identified in the Disc1-L100P mice. Conclusions: The generalized spatial selectivity of Disc1-L100P place cells suggests a simplification of the ensemble place codes that encode individual locations and subserve spatial working memory. Moreover, these results suggest that deficient working memory in schizophrenia results from an impaired ability to uniquely code the individual components of a memory sequence. PMID:27280123

  3. Insights into human CD8(+) T-cell memory using the yellow fever and smallpox vaccines.

    PubMed

    Ahmed, Rafi; Akondy, Rama S

    2011-03-01

    Live virus vaccines provide a unique opportunity to study human CD8(+) T-cell memory in the context of a controlled, primary acute viral infection. Yellow fever virus-17D and Dryvax are two such live-virus vaccines that are highly efficacious, used worldwide and provide long-term immunity against yellow fever and smallpox respectively. In this review, we describe the properties of virus-specific memory CD8(+) T cells generated in smallpox and yellow fever vaccinees. We address fundamental questions regarding magnitude, functional quality and longevity of the CD8(+) T-cell response, which are otherwise challenging to address in humans. These findings provide insights into the attributes of the human immune system as well as provide a benchmark for the optimal quality of a CD8(+) T-cell response that can be used to evaluate novel candidate vaccines.

  4. Immunophenotyping of rheumatoid arthritis reveals a linkage between HLA-DRB1 genotype, CXCR4 expression on memory CD4(+) T cells, and disease activity.

    PubMed

    Nagafuchi, Yasuo; Shoda, Hirofumi; Sumitomo, Shuji; Nakachi, Shinichiro; Kato, Rika; Tsuchida, Yumi; Tsuchiya, Haruka; Sakurai, Keiichi; Hanata, Norio; Tateishi, Shoko; Kanda, Hiroko; Ishigaki, Kazuyoshi; Okada, Yukinori; Suzuki, Akari; Kochi, Yuta; Fujio, Keishi; Yamamoto, Kazuhiko

    2016-07-07

    Rheumatoid arthritis (RA) is a chronic autoimmune inflammatory disease that leads to destructive arthritis. Although the HLA class II locus is the strongest genetic risk factor for rheumatoid arthritis, the relationship between HLA class II alleles and lymphocyte activation remains unclear. We performed immunophenotyping of peripheral blood mononuclear cells on 91 HLA-DRB1-genotyped RA patients and 110 healthy donors. The frequency of memory CXCR4(+)CD4(+) T cells, and not Th1 and Th17 cells, was significantly associated with disease severity by multiple linear regression analysis. RA patients with one or more susceptible HLA-DR haplotypes (shared epitope: SE) displayed a significantly higher frequency of memory CXCR4(+)CD4(+) T cells. Moreover, the frequency of memory CXCR4(+)CD4(+) T cells significantly correlated with the expression level of HLA-DR on B cells, which was elevated in RA patients with SE. In vitro analysis and transcriptomic pathway analysis suggested that the interaction between HLA-DR and T cell receptors is an important regulator of memory CXCR4(+)CD4(+) T cells. Clinically, a higher frequency of memory CXCR4(+)CD4(+) T cells predicted a better response to CTLA4-Ig. Memory CXCR4(+)CD4(+) T cells may serve as a powerful biomarker for unraveling the linkage between HLA-DRB1 genotype and disease activity in RA.

  5. Neem leaf glycoprotein promotes dual generation of central and effector memory CD8(+) T cells against sarcoma antigen vaccine to induce protective anti-tumor immunity.

    PubMed

    Ghosh, Sarbari; Sarkar, Madhurima; Ghosh, Tithi; Guha, Ipsita; Bhuniya, Avishek; Saha, Akata; Dasgupta, Shayani; Barik, Subhasis; Bose, Anamika; Baral, Rathindranath

    2016-03-01

    We have previously shown that Neem Leaf Glycoprotein (NLGP) mediates sustained tumor protection by activating host immune response. Now we report that adjuvant help from NLGP predominantly generates CD44(+)CD62L(high)CCR7(high) central memory (TCM; in lymph node) and CD44(+)CD62L(low)CCR7(low) effector memory (TEM; in spleen) CD8(+) T cells of Swiss mice after vaccination with sarcoma antigen (SarAg). Generated TCM and TEM participated either to replenish memory cell pool for sustained disease free states or in rapid tumor eradication respectively. TCM generated after SarAg+NLGP vaccination underwent significant proliferation and IL-2 secretion following SarAg re-stimulation. Furthermore, SarAg+NLGP vaccination helps in greater survival of the memory precursor effector cells at the peak of the effector response and their maintenance as mature memory cells, in comparison to single modality treatment. Such response is corroborated with the reduced phosphorylation of FOXO in the cytosol and increased KLF2 in the nucleus associated with enhanced CD62L, CCR7 expression of lymph node-resident CD8(+) T cells. However, spleen-resident CD8(+) T memory cells show superior efficacy for immediate memory-to-effector cell conversion. The data support in all aspects that SarAg+NLGP demonstrate superiority than SarAg vaccination alone that benefits the host by rapid effector functions whenever required, whereas, central-memory cells are thought to replenish the memory cell pool for ultimate sustained disease free survival till 60 days following post-vaccination tumor inoculation. Copyright © 2016 Elsevier Ltd. All rights reserved.

  6. Scene perception and memory revealed by eye movements and receiver-operating characteristic analyses: Does a cultural difference truly exist?

    PubMed Central

    Evans, Kris; Rotello, Caren M.; Li, Xingshan; Rayner, Keith

    2009-01-01

    Cultural differences have been observed in scene perception and memory: Chinese participants purportedly attend to the background information more than did American participants. We investigated the influence of culture by recording eye movements during scene perception and while participants made recognition memory judgements. Real-world pictures with a focal object on a background were shown to both American and Chinese participants while their eye movements were recorded. Later, memory for the focal object in each scene was tested, and the relationship between the focal object (studied, new) and the background context (studied, new) was manipulated. Receiver-operating characteristic (ROC) curves show that both sensitivity and response bias were changed when objects were tested in new contexts. However, neither the decrease in accuracy nor the response bias shift differed with culture. The eye movement patterns were also similar across cultural groups. Both groups made longer and more fixations on the focal objects than on the contexts. The similarity of eye movement patterns and recognition memory behaviour suggests that both Americans and Chinese use the same strategies in scene perception and memory. PMID:18785074

  7. Scene perception and memory revealed by eye movements and receiver-operating characteristic analyses: does a cultural difference truly exist?

    PubMed

    Evans, Kris; Rotello, Caren M; Li, Xingshan; Rayner, Keith

    2009-02-01

    Cultural differences have been observed in scene perception and memory: Chinese participants purportedly attend to the background information more than did American participants. We investigated the influence of culture by recording eye movements during scene perception and while participants made recognition memory judgements. Real-world pictures with a focal object on a background were shown to both American and Chinese participants while their eye movements were recorded. Later, memory for the focal object in each scene was tested, and the relationship between the focal object (studied, new) and the background context (studied, new) was manipulated. Receiver-operating characteristic (ROC) curves show that both sensitivity and response bias were changed when objects were tested in new contexts. However, neither the decrease in accuracy nor the response bias shift differed with culture. The eye movement patterns were also similar across cultural groups. Both groups made longer and more fixations on the focal objects than on the contexts. The similarity of eye movement patterns and recognition memory behaviour suggests that both Americans and Chinese use the same strategies in scene perception and memory.

  8. Differential requirements of CD4(+) T-cell signals for effector cytotoxic T-lymphocyte (CTL) priming and functional memory CTL development at higher CD8(+) T-cell precursor frequency.

    PubMed

    Umeshappa, Channakeshava S; Nanjundappa, Roopa H; Xie, Yufeng; Freywald, Andrew; Xu, Qingyong; Xiang, Jim

    2013-04-01

    Increased CD8(+) T-cell precursor frequency (PF) precludes the requirement of CD4(+) helper T (Th) cells for primary CD8(+) cytotoxic T-lymphocyte (CTL) responses. However, the key questions of whether unhelped CTLs generated at higher PF are functional effectors, and whether unhelped CTLs can differentiate into functional memory cells at higher PF are unclear. In this study, ovalbumin (OVA) -pulsed dendritic cells (DC(OVA)) derived from C57BL/6, CD40 knockout (CD40(-/-)) or CD40 ligand knockout (CD40L(-/-)) mice were used to immunize C57BL/6, Ia(b-/-), CD40(-/-) or CD40L(-/-) mice, whose PF was previously increased with transfer of 1 × 10(6) CD8(+) T cells derived from OVA-specific T-cell receptor (TCR) transgenic OTI, OTI(CD40(-/-)) or OTI(CD40L(-/-)) mice. All the immunized mice were then assessed for effector and memory CTL responses. Following DC immunization, relatively comparable CTL priming occurred without CD4(+) T-cell help and Th-provided CD40/CD40L signalling. In addition, the unhelped CTLs were functional effectors capable of inducing therapeutic immunity against established OVA-expressing tumours. In contrast, the functional memory development of CTLs was severely impaired in the absence of CD4(+) T-cell help and CD40/CD40L signalling. Finally, unhelped memory CTLs failed to protect mice against lethal tumour challenge. Taken together, these results demonstrate that CD4(+) T-cell help at higher PF, is not required for effector CTL priming, but is required for functional memory CTL development against cancer. Our data may impact the development of novel preventive and therapeutic approaches in cancer patients with compromised CD4(+) T-cell functions. © 2012 Blackwell Publishing Ltd.

  9. Enhancement of Immune Memory Responses to Respiratory Infection

    DTIC Science & Technology

    2017-08-01

    induction of highly specific B and T cell responses against viral infections. Despite recent progress in vaccine development, the molecular mechanisms...highly expressed in memory B cells in mice, and Atg7 is required for maintenance of long-term memory B cells needed to protect against influenza...infection. Human influenza-specific memory B cells also have high levels of autophagy, but whether autophagy protects memory B cell survival in humans

  10. Bovine central memory T cells are highly proliferative in response to bovine tuberculosis infection

    USDA-ARS?s Scientific Manuscript database

    Long-term (i.e., 14 days) cultured IFN-gamma responses of peripheral blood mononuclear cells are used as a correlate of T cell central memory (Tcm) responses in both humans and cattle. With bovine tuberculosis, vaccine-elicited long-term IFN-gamma ELISPOT assays are a correlate of protection. Recent...

  11. Defining characteristics of classical Hodgkin lymphoma microenvironment T-helper cells

    PubMed Central

    Clear, Andrew; Owen, Andrew; Iqbal, Sameena; Lee, Abigail; Matthews, Janet; Wilson, Andrew; Calaminici, Maria; Gribben, John G.

    2013-01-01

    CD4+ T-helper cells (THs) dominate the classical Hodgkin lymphoma (CHL) microenvironment, but their role is poorly understood. Advances in flow cytometry and immunohistochemistry permit more detailed investigation of this aspect of CHL pathophysiology. To address the hypothesis that the TH-infiltrate, rather than being TH2-enriched, senescent and hypofunctional, is TH1 and activation marker-rich, cytokine-secretory and proliferative, we applied comprehensive flow cytometric immunophenotyping and functional assays of cytokine secretion/proliferation to TH cells from 18 CHL-derived single-cell suspensions (SCSs) compared to reactive lymph nodes (RLNs). CHL-derived TH cells express TH1-associated CXCR3/CCR5 and TNFα/IFNγ/interleukin-2 (IL-2) and less TH2-associated CCR3/CCR4, with no IL-4/IL-13. They lack exhaustion-/suppression-associated PD1, CD57 and terminally differentiated effector memory cells, with more central memory cells, activation-associated partners of Hodgkin Reed Sternberg (HRS) cell-expressed CD30/OX40-L/ICOS-L, and other activation markers. TH cell lines established from CHL and RLN-derived SCSs remain cytokine-secretory. We confirmed and extended these studies using tissue microarray immunohistochemistry (TMA-IHC) from a large CHL tissue bank (n = 122) and demonstrate TH1-associated TBET is abundant in CHL, and TH2-associated CMAF/GATA3 and exhaustion-associated PD1 expressed at significantly lower levels. These molecular insights into the CHL-associated TH offer potential diagnostic, prognostic and pharmacologically modifiable therapeutic targets and do not support the established view of a TH2-enriched, senescent/exhausted, hypofunctional, hypoproliferative infiltrate. PMID:24004665

  12. Antigen-dependent proliferation and cytokine induction in respiratory syncytial virus-infected cotton rats reflect the presence of effector-memory T cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Richter, Bettina W.M.; Onuska, Jaya M.; Niewiesk, Stefan

    2005-06-20

    Respiratory syncytial virus (RSV) is a major cause of lower airway disease in infants and children. Immunity to RSV is not long lasting, resulting in re-occurring infections throughout life. Effective long-lived immunity results when central-memory T cells that proliferate vigorously and secrete IL-2 are present. In contrast, effector-memory T cells that mainly produce IFN-{gamma}, facilitate virus clearance but are not long lived. To identify the type of memory response induced after RSV-A (Long) infection, we characterized the kinetics of the antigen-specific immune response and identified the types of cytokines induced. RSV-specific lymphocytic proliferation following primary and secondary infection was similar,more » and in both cases responses waned within a short period of time. In addition, mRNA for IFN-{gamma} but not IL-2 was induced in RSV-specific CD4{sup +} T cells. This supports the idea that the presence of effector-memory rather than central-memory T cells contributes to the ineffectiveness of the immune response to RSV.« less

  13. Emerging memories

    NASA Astrophysics Data System (ADS)

    Baldi, Livio; Bez, Roberto; Sandhu, Gurtej

    2014-12-01

    Memory is a key component of any data processing system. Following the classical Turing machine approach, memories hold both the data to be processed and the rules for processing them. In the history of microelectronics, the distinction has been rather between working memory, which is exemplified by DRAM, and storage memory, exemplified by NAND. These two types of memory devices now represent 90% of all memory market and 25% of the total semiconductor market, and have been the technology drivers in the last decades. Even if radically different in characteristics, they are however based on the same storage mechanism: charge storage, and this mechanism seems to be near to reaching its physical limits. The search for new alternative memory approaches, based on more scalable mechanisms, has therefore gained new momentum. The status of incumbent memory technologies and their scaling limitations will be discussed. Emerging memory technologies will be analyzed, starting from the ones that are already present for niche applications, and which are getting new attention, thanks to recent technology breakthroughs. Maturity level, physical limitations and potential for scaling will be compared to existing memories. At the end the possible future composition of memory systems will be discussed.

  14. Memory on time

    PubMed Central

    Eichenbaum, Howard

    2013-01-01

    Considerable recent work has shown that the hippocampus is critical for remembering the order of events in distinct experiences, a defining feature of episodic memory. Correspondingly, hippocampal neuronal activity can ‘replay’ sequential events in memories and hippocampal neuronal ensembles represent a gradually changing temporal context signal. Most strikingly, single hippocampal neurons – called time cells – encode moments in temporally structured experiences much as the well-known place cells encode locations in spatially structured experiences. These observations bridge largely disconnected literatures on the role of the hippocampus in episodic memory and spatial mapping, and suggest that the fundamental function of the hippocampus is to establish spatio-temporal frameworks for organizing memories. PMID:23318095

  15. Characteristics of Disorder-Related Autobiographical Memory in Acute Anorexia Nervosa Patients.

    PubMed

    Huber, Julia; Salatsch, Carmen; Ingenerf, Katrin; Schmid, Carolin; Maatouk, Imad; Weisbrod, Matthias; Herzog, Wolfgang; Friederich, Hans-Christoph; Nikendei, Christoph

    2015-09-01

    First studies revealed overgeneral autobiographical memories in anorexia nervosa (AN) patients. The aim of the present study was to investigate frequency, generalization and valence of autobiographical memories in AN patients in response to eating disorder-related cue words. Autobiographical memory was examined in 21 AN patients and 21 healthy controls (HC) using a modified version of the Autobiographical Memory Test, incorporating body-related, food-related, perfectionism-related, depression-related and neutral cues. Anorexia nervosa patients recalled fewer and more general autobiographical memories compared with HC. For eating disorder-related cues as against neutral ones, AN patients compared with HC showed fewer memories for food-related and body-related cues, an elevated overgeneralization for food-related cues, while the valence of the retrieved memories was more negative in response to body-related cues. This study detects disorder-related autobiographical memory alterations in AN, which are intensified in response to symptom-related cues. The findings are discussed with regard to their maladaptive function in emotion regulation. Copyright © 2015 John Wiley & Sons, Ltd and Eating Disorders Association.

  16. Selective Memory to Apoptotic Cell-Derived Self-Antigens with Implications for Systemic Lupus Erythematosus Development.

    PubMed

    Duhlin, Amanda; Chen, Yunying; Wermeling, Fredrik; Sedimbi, Saikiran K; Lindh, Emma; Shinde, Rahul; Halaby, Marie Jo; Kaiser, Ylva; Winqvist, Ola; McGaha, Tracy L; Karlsson, Mikael C I

    2016-10-01

    Autoimmune diseases are characterized by pathogenic immune responses to self-antigens. In systemic lupus erythematosus (SLE), many self-antigens are found in apoptotic cells (ACs), and defects in removal of ACs from the body are linked to a risk for developing SLE. This includes pathological memory that gives rise to disease flares. In this study, we investigated how memory to AC-derived self-antigens develops and the contribution of self-memory to the development of lupus-related pathology. Multiple injections of ACs without adjuvant into wild-type mice induce a transient primary autoimmune response without apparent anti-nuclear Ab reactivity or kidney pathology. Interestingly, as the transient Ab response reached baseline, a single boost injection fully recalled the immune response to ACs, and this memory response was furthermore transferable into naive mice. Additionally, the memory response contains elements of pathogenicity, accompanied by selective memory to selective Ags. Thus, we provide evidence for a selective self-memory that underlies progression of the response to self-antigens with implications for SLE development therapy. Copyright © 2016 by The American Association of Immunologists, Inc.

  17. Bone marrow-mesenchymal stem cells are a major source of interleukin-7 and sustain colitis by forming the niche for colitogenic CD4 memory T cells

    PubMed Central

    Nemoto, Yasuhiro; Kanai, Takanori; Takahara, Masahiro; Oshima, Shigeru; Nakamura, Tetsuya; Okamoto, Ryuichi; Tsuchiya, Kiichiro; Watanabe, Mamoru

    2013-01-01

    Objective Interleukin (IL)-7 is mainly produced in bone marrow (BM) that forms the niche for B cells. We previously demonstrated that BM also retains pathogenic memory CD4 T cells in murine models of inflammatory bowel disease (IBD). However, it remains unknown whether BM-derived IL-7 is sufficient for the development of IBD and which cells form the niche for colitogenic memory CD4 T cells in BM. Design To address these questions, we developed mice in which IL-7 expression was specific for BM, and identified colitis-associated IL-7-expressing mesenchymal stem cells (MSC) in the BM. Results IL-7–/–×RAG-1–/– mice injected with BM cells from IL-7+/+×RAG-1–/– mice, but not from IL-7–/–×RAG-1–/– mice, expressed IL-7 in BM, but not in their colon, and developed colitis when injected with CD4+CD45RBhigh T cells. Cultured BM MSC stably expressed a higher level of IL-7 than that of primary BM cells. IL-7-sufficient, but not IL-7-deficient, BM MSC supported upregulation of Bcl-2 in, and homeostatic proliferation of, colitogenic memory CD4 T cells in vitro. Notably, IL-7–/–×RAG-1–/– mice transplanted with IL-7-sufficient, but not IL-7-deficient, BM MSC expressed IL-7 in BM, but not in their colon, and developed colitis when transplanted with CD4+CD45RBhigh T cells. Conclusions We demonstrate for the first time that BM MSC are a major source of IL-7 and play a pathological role in IBD by forming the niche for colitogenic CD4 memory T cells in BM. PMID:23144054

  18. Place Cell Networks in Pre-weanling Rats Show Associative Memory Properties from the Onset of Exploratory Behavior.

    PubMed

    Muessig, L; Hauser, J; Wills, T J; Cacucci, F

    2016-08-01

    Place cells are hippocampal pyramidal cells that are active when an animal visits a restricted area of the environment, and collectively their activity constitutes a neural representation of space. Place cell populations in the adult rat hippocampus display fundamental properties consistent with an associative memory network: the ability to 1) generate new and distinct spatial firing patterns when encountering novel spatial contexts or changes in sensory input ("remapping") and 2) reinstate previously stored firing patterns when encountering a familiar context, including on the basis of an incomplete/degraded set of sensory cues ("pattern completion"). To date, it is unknown when these spatial memory responses emerge during brain development. Here, we show that, from the age of first exploration (postnatal day 16) onwards, place cell populations already exhibit these key features: they generate new representations upon exposure to a novel context and can reactivate familiar representations on the basis of an incomplete set of sensory cues. These results demonstrate that, as early as exploratory behaviors emerge, and despite the absence of an adult-like grid cell network, the developing hippocampus processes incoming sensory information as an associative memory network. © The Author 2016. Published by Oxford University Press.

  19. Inception of a false memory by optogenetic manipulation of a hippocampal memory engram.

    PubMed

    Liu, Xu; Ramirez, Steve; Tonegawa, Susumu

    2014-01-05

    Memories can be easily distorted, and a lack of relevant animal models has largely hindered our understanding of false-memory formation. Here, we first identified a population of cells in the dentate gyrus (DG) of the hippocampus that bear the engrams for a specific context; these cells were naturally activated during the encoding phase of fear conditioning and their artificial reactivation using optogenetics in an unrelated context was sufficient for inducing the fear memory specific to the conditioned context. In a further study, DG or CA1 neurons activated by exposure to a particular context were labelled with channelrhodopsin-2 (ChR2). These neurons were later optically reactivated during fear conditioning in a different context. The DG experimental group showed increased freezing in the original context in which a foot shock was never delivered. The recall of this false memory was context specific, activated similar downstream regions engaged during natural fear-memory recall, and was also capable of driving an active fear response. Together, our data demonstrate that by substituting a natural conditioned stimulus with optogenetically reactivated DG cells that bear contextual memory engrams, it is possible to incept an internally and behaviourally represented false fear memory.

  20. Allergic TH2 Response Governed by B-Cell Lymphoma 6 Function in Naturally Occurring Memory Phenotype CD4+ T Cells

    PubMed Central

    Ogasawara, Takashi; Kohashi, Yuko; Ikari, Jun; Taniguchi, Toshibumi; Tsuruoka, Nobuhide; Watanabe-Takano, Haruko; Fujimura, Lisa; Sakamoto, Akemi; Hatano, Masahiko; Hirata, Hirokuni; Fukushima, Yasutsugu; Fukuda, Takeshi; Kurasawa, Kazuhiro; Tatsumi, Koichiro; Tokuhisa, Takeshi; Arima, Masafumi

    2018-01-01

    Transcriptional repressor B-cell lymphoma 6 (Bcl6) appears to regulate TH2 immune responses in allergies, but its precise role is unclear. We previously reported that Bcl6 suppressed IL-4 production in naïve CD4+ T cell-derived memory TH2 cells. To investigate Bcl6 function in allergic responses in naturally occurring memory phenotype CD4+ T (MPT) cells and their derived TH2 (MPTH2) cells, Bcl6-manipulated mice, highly conserved intron enhancer (hcIE)-deficient mice, and reporter mice for conserved noncoding sequence 2 (CNS2) 3′ distal enhancer region were used to elucidate Bcl6 function in MPT cells. The molecular mechanisms of Bcl6-mediated TH2 cytokine gene regulation were elucidated using cellular and molecular approaches. Bcl6 function in MPT cells was determined using adoptive transfer to naïve mice, which were assessed for allergic airway inflammation. Bcl6 suppressed IL-4 production in MPT and MPTH2 cells by suppressing CNS2 enhancer activity. Bcl6 downregulated Il4 expression in MPTH2 cells, but not MPT cells, by suppressing hcIE activity. The inhibitory functions of Bcl6 in MPT and MPTH2 cells attenuated allergic responses. Bcl6 is a critical regulator of IL-4 production by MPT and MPTH2 cells in TH2 immune responses related to the pathogenesis of allergies. PMID:29696026