Sample records for memory gijutsu energy

  1. Memory device for two-dimensional radiant energy array computers

    NASA Technical Reports Server (NTRS)

    Schaefer, D. H.; Strong, J. P., III (Inventor)

    1977-01-01

    A memory device for two dimensional radiant energy array computers was developed, in which the memory device stores digital information in an input array of radiant energy digital signals that are characterized by ordered rows and columns. The memory device contains a radiant energy logic storing device having a pair of input surface locations for receiving a pair of separate radiant energy digital signal arrays and an output surface location adapted to transmit a radiant energy digital signal array. A regenerative feedback device that couples one of the input surface locations to the output surface location in a manner for causing regenerative feedback is also included

  2. Memory-efficient RNA energy landscape exploration

    PubMed Central

    Mann, Martin; Kucharík, Marcel; Flamm, Christoph; Wolfinger, Michael T.

    2014-01-01

    Motivation: Energy landscapes provide a valuable means for studying the folding dynamics of short RNA molecules in detail by modeling all possible structures and their transitions. Higher abstraction levels based on a macro-state decomposition of the landscape enable the study of larger systems; however, they are still restricted by huge memory requirements of exact approaches. Results: We present a highly parallelizable local enumeration scheme that enables the computation of exact macro-state transition models with highly reduced memory requirements. The approach is evaluated on RNA secondary structure landscapes using a gradient basin definition for macro-states. Furthermore, we demonstrate the need for exact transition models by comparing two barrier-based approaches, and perform a detailed investigation of gradient basins in RNA energy landscapes. Availability and implementation: Source code is part of the C++ Energy Landscape Library available at http://www.bioinf.uni-freiburg.de/Software/. Contact: mmann@informatik.uni-freiburg.de Supplementary information: Supplementary data are available at Bioinformatics online. PMID:24833804

  3. Energy-aware Thread and Data Management in Heterogeneous Multi-core, Multi-memory Systems

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Su, Chun-Yi

    By 2004, microprocessor design focused on multicore scaling—increasing the number of cores per die in each generation—as the primary strategy for improving performance. These multicore processors typically equip multiple memory subsystems to improve data throughput. In addition, these systems employ heterogeneous processors such as GPUs and heterogeneous memories like non-volatile memory to improve performance, capacity, and energy efficiency. With the increasing volume of hardware resources and system complexity caused by heterogeneity, future systems will require intelligent ways to manage hardware resources. Early research to improve performance and energy efficiency on heterogeneous, multi-core, multi-memory systems focused on tuning a single primitivemore » or at best a few primitives in the systems. The key limitation of past efforts is their lack of a holistic approach to resource management that balances the tradeoff between performance and energy consumption. In addition, the shift from simple, homogeneous systems to these heterogeneous, multicore, multi-memory systems requires in-depth understanding of efficient resource management for scalable execution, including new models that capture the interchange between performance and energy, smarter resource management strategies, and novel low-level performance/energy tuning primitives and runtime systems. Tuning an application to control available resources efficiently has become a daunting challenge; managing resources in automation is still a dark art since the tradeoffs among programming, energy, and performance remain insufficiently understood. In this dissertation, I have developed theories, models, and resource management techniques to enable energy-efficient execution of parallel applications through thread and data management in these heterogeneous multi-core, multi-memory systems. I study the effect of dynamic concurrent throttling on the performance and energy of multi-core, non-uniform memory

  4. Aging, memory, and nonhierarchical energy landscape of spin jam

    NASA Astrophysics Data System (ADS)

    Samarakoon, Anjana; Sato, Taku J.; Chen, Tianran; Chern, Gai-Wei; Yang, Junjie; Klich, Israel; Sinclair, Ryan; Zhou, Haidong; Lee, Seung-Hun

    2016-10-01

    The notion of complex energy landscape underpins the intriguing dynamical behaviors in many complex systems ranging from polymers, to brain activity, to social networks and glass transitions. The spin glass state found in dilute magnetic alloys has been an exceptionally convenient laboratory frame for studying complex dynamics resulting from a hierarchical energy landscape with rugged funnels. Here, we show, by a bulk susceptibility and Monte Carlo simulation study, that densely populated frustrated magnets in a spin jam state exhibit much weaker memory effects than spin glasses, and the characteristic properties can be reproduced by a nonhierarchical landscape with a wide and nearly flat but rough bottom. Our results illustrate that the memory effects can be used to probe different slow dynamics of glassy materials, hence opening a window to explore their distinct energy landscapes.

  5. Aging, memory, and nonhierarchical energy landscape of spin jam

    PubMed Central

    Samarakoon, Anjana; Sato, Taku J.; Chen, Tianran; Chern, Gai-Wei; Yang, Junjie; Klich, Israel; Sinclair, Ryan; Zhou, Haidong; Lee, Seung-Hun

    2016-01-01

    The notion of complex energy landscape underpins the intriguing dynamical behaviors in many complex systems ranging from polymers, to brain activity, to social networks and glass transitions. The spin glass state found in dilute magnetic alloys has been an exceptionally convenient laboratory frame for studying complex dynamics resulting from a hierarchical energy landscape with rugged funnels. Here, we show, by a bulk susceptibility and Monte Carlo simulation study, that densely populated frustrated magnets in a spin jam state exhibit much weaker memory effects than spin glasses, and the characteristic properties can be reproduced by a nonhierarchical landscape with a wide and nearly flat but rough bottom. Our results illustrate that the memory effects can be used to probe different slow dynamics of glassy materials, hence opening a window to explore their distinct energy landscapes. PMID:27698141

  6. Quantum memories with zero-energy Majorana modes and experimental constraints

    NASA Astrophysics Data System (ADS)

    Ippoliti, Matteo; Rizzi, Matteo; Giovannetti, Vittorio; Mazza, Leonardo

    2016-06-01

    In this work we address the problem of realizing a reliable quantum memory based on zero-energy Majorana modes in the presence of experimental constraints on the operations aimed at recovering the information. In particular, we characterize the best recovery operation acting only on the zero-energy Majorana modes and the memory fidelity that can be therewith achieved. In order to understand the effect of such restriction, we discuss two examples of noise models acting on the topological system and compare the amount of information that can be recovered by accessing either the whole system, or the zero modes only, with particular attention to the scaling with the size of the system and the energy gap. We explicitly discuss the case of a thermal bosonic environment inducing a parity-preserving Markovian dynamics in which the memory fidelity achievable via a read-out of the zero modes decays exponentially in time, independent from system size. We argue, however, that even in the presence of said experimental limitations, the Hamiltonian gap is still beneficial to the storage of information.

  7. Efficiency of Energy Harvesting in Ni-Mn-Ga Shape Memory Alloys

    NASA Astrophysics Data System (ADS)

    Lindquist, Paul; Hobza, Tony; Patrick, Charles; Müllner, Peter

    2018-03-01

    Many researchers have reported on the voltage and power generated while energy harvesting using Ni-Mn-Ga shape memory alloys; few researchers report on the power conversion efficiency of energy harvesting. We measured the magneto-mechanical behavior and energy harvesting of Ni-Mn-Ga shape memory alloys to quantify the efficiency of energy harvesting using the inverse magneto-plastic effect. At low frequencies, less than 150 Hz, the power conversion efficiency is less than 0.1%. Power conversion efficiency increases with (i) increasing actuation frequency, (ii) increasing actuation stroke, and (iii) decreasing twinning stress. Extrapolating the results of low-frequency experiments to the kHz actuation regime yields a power conversion factor of about 20% for 3 kHz actuation frequency, 7% actuation strain, and 0.05 MPa twinning stress.

  8. Memory and Energy Optimization Strategies for Multithreaded Operating System on the Resource-Constrained Wireless Sensor Node

    PubMed Central

    Liu, Xing; Hou, Kun Mean; de Vaulx, Christophe; Xu, Jun; Yang, Jianfeng; Zhou, Haiying; Shi, Hongling; Zhou, Peng

    2015-01-01

    Memory and energy optimization strategies are essential for the resource-constrained wireless sensor network (WSN) nodes. In this article, a new memory-optimized and energy-optimized multithreaded WSN operating system (OS) LiveOS is designed and implemented. Memory cost of LiveOS is optimized by using the stack-shifting hybrid scheduling approach. Different from the traditional multithreaded OS in which thread stacks are allocated statically by the pre-reservation, thread stacks in LiveOS are allocated dynamically by using the stack-shifting technique. As a result, memory waste problems caused by the static pre-reservation can be avoided. In addition to the stack-shifting dynamic allocation approach, the hybrid scheduling mechanism which can decrease both the thread scheduling overhead and the thread stack number is also implemented in LiveOS. With these mechanisms, the stack memory cost of LiveOS can be reduced more than 50% if compared to that of a traditional multithreaded OS. Not is memory cost optimized, but also the energy cost is optimized in LiveOS, and this is achieved by using the multi-core “context aware” and multi-core “power-off/wakeup” energy conservation approaches. By using these approaches, energy cost of LiveOS can be reduced more than 30% when compared to the single-core WSN system. Memory and energy optimization strategies in LiveOS not only prolong the lifetime of WSN nodes, but also make the multithreaded OS feasible to run on the memory-constrained WSN nodes. PMID:25545264

  9. Association between energy intake and viewing television, distractibility, and memory for advertisements12345

    PubMed Central

    Martin, Corby K; Coulon, Sandra M; Markward, Nathan; Greenway, Frank L; Anton, Stephen D

    2009-01-01

    Background: The effect of television viewing (TVV) with and without advertisements (ads) on energy intake is unclear. Objective: The objectives were to test 1) the effect of TVV, with and without ads, on energy intake compared with a control and reading condition and 2) the association of distractibility and memory for ads with energy intake and body weight. Design: Forty-eight (26 female) adults (age: 19–54 y) with a body mass index (in kg/m2) of 20–35 completed this laboratory-based study. All participants completed 4 buffet-style meals in random order in the following conditions: 1) control, 2) while reading, 3) while watching TV with food and nonfood ads (TV-ads), and 4) while watching TV with no ads (TV-no ads). Energy intake was quantified by weighing foods. Distractibility and memory for ads in the TV-ads condition were quantified with a norm-referenced test and recognition task, respectively. Results: Repeated-measures analysis of variance indicated that energy and macronutrient intake did not differ significantly among the 4 conditions (P > 0.65). Controlling for sex, memory for ads was associated with body weight (r = 0.36, P < 0.05) and energy intake but only when viewing TV (r = 0.39, P < 0.05 during the TV-no ads condition, and r = 0.29, P = 0.06 during the TV-ads condition). Controlling for sex, distractibility was associated with body weight (r = 0.36, P < 0.05) but not energy intake. Distractibility, however, accounted for 13% of the variance in men's energy intake (P = 0.11). Conclusions: TVV did not affect energy intake, but individual characteristics (memory for ads) were associated with body weight and energy intake in certain conditions. These characteristics should be considered in food intake and intervention studies. PMID:19056603

  10. Thermal energy conversion by coupled shape memory and piezoelectric effects

    NASA Astrophysics Data System (ADS)

    Zakharov, Dmitry; Lebedev, Gor; Cugat, Orphee; Delamare, Jerome; Viala, Bernard; Lafont, Thomas; Gimeno, Leticia; Shelyakov, Alexander

    2012-09-01

    This work gives experimental evidence of a promising method of thermal-to-electric energy conversion by coupling shape memory effect (SME) and direct piezoelectric effect (DPE) for harvesting quasi-static ambient temperature variations. Two original prototypes of thermal energy harvesters have been fabricated and tested experimentally. The first is a hybrid laminated composite consisting of TiNiCu shape memory alloy (SMA) and macro fiber composite piezoelectric. This composite comprises 0.1 cm3 of active materials and harvests 75 µJ of energy for each temperature variation of 60 °C. The second prototype is a SME/DPE ‘machine’ which uses the thermally induced linear strains of the SMA to bend a bulk PZT ceramic plate through a specially designed mechanical structure. The SME/DPE ‘machine’ with 0.2 cm3 of active material harvests 90 µJ over a temperature increase of 35 °C (60 µJ when cooling). In contrast to pyroelectric materials, such harvesters are also compatible with both small and slow temperature variations.

  11. A grey NGM(1,1, k) self-memory coupling prediction model for energy consumption prediction.

    PubMed

    Guo, Xiaojun; Liu, Sifeng; Wu, Lifeng; Tang, Lingling

    2014-01-01

    Energy consumption prediction is an important issue for governments, energy sector investors, and other related corporations. Although there are several prediction techniques, selection of the most appropriate technique is of vital importance. As for the approximate nonhomogeneous exponential data sequence often emerging in the energy system, a novel grey NGM(1,1, k) self-memory coupling prediction model is put forward in order to promote the predictive performance. It achieves organic integration of the self-memory principle of dynamic system and grey NGM(1,1, k) model. The traditional grey model's weakness as being sensitive to initial value can be overcome by the self-memory principle. In this study, total energy, coal, and electricity consumption of China is adopted for demonstration by using the proposed coupling prediction technique. The results show the superiority of NGM(1,1, k) self-memory coupling prediction model when compared with the results from the literature. Its excellent prediction performance lies in that the proposed coupling model can take full advantage of the systematic multitime historical data and catch the stochastic fluctuation tendency. This work also makes a significant contribution to the enrichment of grey prediction theory and the extension of its application span.

  12. A Grey NGM(1,1, k) Self-Memory Coupling Prediction Model for Energy Consumption Prediction

    PubMed Central

    Guo, Xiaojun; Liu, Sifeng; Wu, Lifeng; Tang, Lingling

    2014-01-01

    Energy consumption prediction is an important issue for governments, energy sector investors, and other related corporations. Although there are several prediction techniques, selection of the most appropriate technique is of vital importance. As for the approximate nonhomogeneous exponential data sequence often emerging in the energy system, a novel grey NGM(1,1, k) self-memory coupling prediction model is put forward in order to promote the predictive performance. It achieves organic integration of the self-memory principle of dynamic system and grey NGM(1,1, k) model. The traditional grey model's weakness as being sensitive to initial value can be overcome by the self-memory principle. In this study, total energy, coal, and electricity consumption of China is adopted for demonstration by using the proposed coupling prediction technique. The results show the superiority of NGM(1,1, k) self-memory coupling prediction model when compared with the results from the literature. Its excellent prediction performance lies in that the proposed coupling model can take full advantage of the systematic multitime historical data and catch the stochastic fluctuation tendency. This work also makes a significant contribution to the enrichment of grey prediction theory and the extension of its application span. PMID:25054174

  13. Energy-band engineering for tunable memory characteristics through controlled doping of reduced graphene oxide.

    PubMed

    Han, Su-Ting; Zhou, Ye; Yang, Qing Dan; Zhou, Li; Huang, Long-Biao; Yan, Yan; Lee, Chun-Sing; Roy, Vellaisamy A L

    2014-02-25

    Tunable memory characteristics are used in multioperational mode circuits where memory cells with various functionalities are needed in one combined device. It is always a challenge to obtain control over threshold voltage for multimode operation. On this regard, we use a strategy of shifting the work function of reduced graphene oxide (rGO) in a controlled manner through doping gold chloride (AuCl3) and obtained a gradient increase of rGO work function. By inserting doped rGO as floating gate, a controlled threshold voltage (Vth) shift has been achieved in both p- and n-type low voltage flexible memory devices with large memory window (up to 4 times for p-type and 8 times for n-type memory devices) in comparison with pristine rGO floating gate memory devices. By proper energy band engineering, we demonstrated a flexible floating gate memory device with larger memory window and controlled threshold voltage shifts.

  14. Shape memory alloy heat engines and energy harvesting systems

    DOEpatents

    Browne, Alan L; Johnson, Nancy L; Keefe, Andrew C; Alexander, Paul W; Sarosi, Peter Maxwell; Herrera, Guillermo A; Yates, James Ryan

    2013-12-17

    A heat engine includes a first rotatable pulley and a second rotatable pulled spaced from the first rotatable pulley. A shape memory alloy (SMA) element is disposed about respective portions of the pulleys at an SMA pulley ratio. The SMA element includes first spring coil and a first fiber core within the first spring coil. A timing cable is disposed about disposed about respective portions of the pulleys at a timing pulley ratio, which is different than the SMA pulley ratio. The SMA element converts a thermal energy gradient between the hot region and the cold region into mechanical energy.

  15. Energy drinks and their component modulate attention, memory, and antioxidant defences in rats.

    PubMed

    Valle, M T Costa; Couto-Pereira, N S; Lampert, C; Arcego, D M; Toniazzo, A P; Limberger, R P; Dallegrave, E; Dalmaz, C; Arbo, M D; Leal, M B

    2017-08-12

    This study aimed to evaluate the effects of the subchronic consumption of energy drinks and their constituents (caffeine and taurine) in male Wistar rats using behavioural and oxidative measures. Energy drinks (ED 5, 7.5, and 10 mL/kg) or their constituents, caffeine (3.2 mg/kg) and taurine (40 mg/kg), either separately or in combination, were administered orally to animals for 28 days. Attention was measured though the ox-maze apparatus and the object recognition memory test. Following behavioural analyses, markers of oxidative stress, including SOD, CAT, GPx, thiol content, and free radicals, were measured in the prefrontal cortex, hippocampus, and striatum. The latency time to find the first reward was lower in animals that received caffeine, taurine, or a combination of both (P = 0.003; ANOVA/Bonferroni). In addition, these animals took less time to complete the ox-maze task (P = 0.0001; ANOVA/Bonferroni), and had better short-term memory (P < 0.01, Kruskal-Wallis). The ED 10 group showed improvement in the attention task, but did not differ on other measures. In addition, there was an imbalance in enzymatic markers of oxidative stress in the prefrontal cortex, the hippocampus, and the striatum. In the group that received both caffeine and taurine, there was a significant increase in the production of free radicals in the prefrontal cortex and in the hippocampus (P < 0.0001; ANOVA/Bonferroni). Exposure to a combination of caffeine and taurine improved memory and attention, and led to an imbalance in the antioxidant defence system. These results differed from those of the group that was exposed to the energy drink. This might be related to other components contained in the energy drink, such as vitamins and minerals, which may have altered the ability of caffeine and taurine to modulate memory and attention.

  16. A novel ternary content addressable memory design based on resistive random access memory with high intensity and low search energy

    NASA Astrophysics Data System (ADS)

    Han, Runze; Shen, Wensheng; Huang, Peng; Zhou, Zheng; Liu, Lifeng; Liu, Xiaoyan; Kang, Jinfeng

    2018-04-01

    A novel ternary content addressable memory (TCAM) design based on resistive random access memory (RRAM) is presented. Each TCAM cell consists of two parallel RRAM to both store and search for ternary data. The cell size of the proposed design is 8F2, enable a ∼60× cell area reduction compared with the conventional static random access memory (SRAM) based implementation. Simulation results also show that the search delay and energy consumption of the proposed design at the 64-bit word search are 2 ps and 0.18 fJ/bit/search respectively at 22 nm technology node, where significant improvements are achieved compared to previous works. The desired characteristics of RRAM for implementation of the high performance TCAM search chip are also discussed.

  17. Protein Folding and Structure Prediction from the Ground Up: The Atomistic Associative Memory, Water Mediated, Structure and Energy Model.

    PubMed

    Chen, Mingchen; Lin, Xingcheng; Zheng, Weihua; Onuchic, José N; Wolynes, Peter G

    2016-08-25

    The associative memory, water mediated, structure and energy model (AWSEM) is a coarse-grained force field with transferable tertiary interactions that incorporates local in sequence energetic biases using bioinformatically derived structural information about peptide fragments with locally similar sequences that we call memories. The memory information from the protein data bank (PDB) database guides proper protein folding. The structural information about available sequences in the database varies in quality and can sometimes lead to frustrated free energy landscapes locally. One way out of this difficulty is to construct the input fragment memory information from all-atom simulations of portions of the complete polypeptide chain. In this paper, we investigate this approach first put forward by Kwac and Wolynes in a more complete way by studying the structure prediction capabilities of this approach for six α-helical proteins. This scheme which we call the atomistic associative memory, water mediated, structure and energy model (AAWSEM) amounts to an ab initio protein structure prediction method that starts from the ground up without using bioinformatic input. The free energy profiles from AAWSEM show that atomistic fragment memories are sufficient to guide the correct folding when tertiary forces are included. AAWSEM combines the efficiency of coarse-grained simulations on the full protein level with the local structural accuracy achievable from all-atom simulations of only parts of a large protein. The results suggest that a hybrid use of atomistic fragment memory and database memory in structural predictions may well be optimal for many practical applications.

  18. Energy-efficient writing scheme for magnetic domain-wall motion memory

    NASA Astrophysics Data System (ADS)

    Kim, Kab-Jin; Yoshimura, Yoko; Ham, Woo Seung; Ernst, Rick; Hirata, Yuushou; Li, Tian; Kim, Sanghoon; Moriyama, Takahiro; Nakatani, Yoshinobu; Ono, Teruo

    2017-04-01

    We present an energy-efficient magnetic domain-writing scheme for domain wall (DW) motion-based memory devices. A cross-shaped nanowire is employed to inject a domain into the nanowire through current-induced DW propagation. The energy required for injecting the magnetic domain is more than one order of magnitude lower than that for the conventional field-based writing scheme. The proposed scheme is beneficial for device miniaturization because the threshold current for DW propagation scales with the device size, which cannot be achieved in the conventional field-based technique.

  19. Shape memory alloy heat engines and energy harvesting systems

    DOEpatents

    Browne, Alan L; Johnson, Nancy L; Shaw, John Andrew; Churchill, Christopher Burton; Keefe, Andrew C; McKnight, Geoffrey P; Alexander, Paul W; Herrera, Guillermo A; Yates, James Ryan; Brown, Jeffrey W

    2014-09-30

    A heat engine includes a first rotatable pulley and a second rotatable pulley spaced from the first rotatable pulley. A shape memory alloy (SMA) element is disposed about respective portions of the pulleys at an SMA pulley ratio. The SMA element includes a first wire, a second wire, and a matrix joining the first wire and the second wire. The first wire and the second wire are in contact with the pulleys, but the matrix is not in contact with the pulleys. A timing cable is disposed about respective portions of the pulleys at a timing pulley ratio, which is different than the SMA pulley ratio. The SMA element converts a thermal energy gradient between the hot region and the cold region into mechanical energy.

  20. Application of source biasing technique for energy efficient DECODER circuit design: memory array application

    NASA Astrophysics Data System (ADS)

    Gupta, Neha; Parihar, Priyanka; Neema, Vaibhav

    2018-04-01

    Researchers have proposed many circuit techniques to reduce leakage power dissipation in memory cells. If we want to reduce the overall power in the memory system, we have to work on the input circuitry of memory architecture i.e. row and column decoder. In this research work, low leakage power with a high speed row and column decoder for memory array application is designed and four new techniques are proposed. In this work, the comparison of cluster DECODER, body bias DECODER, source bias DECODER, and source coupling DECODER are designed and analyzed for memory array application. Simulation is performed for the comparative analysis of different DECODER design parameters at 180 nm GPDK technology file using the CADENCE tool. Simulation results show that the proposed source bias DECODER circuit technique decreases the leakage current by 99.92% and static energy by 99.92% at a supply voltage of 1.2 V. The proposed circuit also improves dynamic power dissipation by 5.69%, dynamic PDP/EDP 65.03% and delay 57.25% at 1.2 V supply voltage.

  1. Eliminating Overerase Behavior by Designing Energy Band in High-Speed Charge-Trap Memory Based on WSe2.

    PubMed

    Liu, Chunsen; Yan, Xiao; Wang, Jianlu; Ding, Shijin; Zhou, Peng; Zhang, David Wei

    2017-05-01

    Atomic crystal charge trap memory, as a new concept of nonvolatile memory, possesses an atomic level flatness interface, which makes them promising candidates for replacing conventional FLASH memory in the future. Here, a 2D material WSe 2 and a 3D Al 2 O 3 /HfO 2 /Al 2 O 3 charge-trap stack are combined to form a charge-trap memory device with a separation of control gate and memory stack. In this device, the charges are erased/written by built-in electric field, which significantly enhances the write speed to 1 µs. More importantly, owing to the elaborate design of the energy band structure, the memory only captures electrons with a large electron memory window over 20 V and trap selectivity about 13, both of them are the state-of-the-art values ever reported in FLASH memory based on 2D materials. Therefore, it is demonstrated that high-performance charge trap memory based on WSe 2 without the fatal overerase issue in conventional FLASH memory can be realized to practical application. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Soft-error tolerance and energy consumption evaluation of embedded computer with magnetic random access memory in practical systems using computer simulations

    NASA Astrophysics Data System (ADS)

    Nebashi, Ryusuke; Sakimura, Noboru; Sugibayashi, Tadahiko

    2017-08-01

    We evaluated the soft-error tolerance and energy consumption of an embedded computer with magnetic random access memory (MRAM) using two computer simulators. One is a central processing unit (CPU) simulator of a typical embedded computer system. We simulated the radiation-induced single-event-upset (SEU) probability in a spin-transfer-torque MRAM cell and also the failure rate of a typical embedded computer due to its main memory SEU error. The other is a delay tolerant network (DTN) system simulator. It simulates the power dissipation of wireless sensor network nodes of the system using a revised CPU simulator and a network simulator. We demonstrated that the SEU effect on the embedded computer with 1 Gbit MRAM-based working memory is less than 1 failure in time (FIT). We also demonstrated that the energy consumption of the DTN sensor node with MRAM-based working memory can be reduced to 1/11. These results indicate that MRAM-based working memory enhances the disaster tolerance of embedded computers.

  3. Energy-based fatigue model for shape memory alloys including thermomechanical coupling

    NASA Astrophysics Data System (ADS)

    Zhang, Yahui; Zhu, Jihong; Moumni, Ziad; Van Herpen, Alain; Zhang, Weihong

    2016-03-01

    This paper is aimed at developing a low cycle fatigue criterion for pseudoelastic shape memory alloys to take into account thermomechanical coupling. To this end, fatigue tests are carried out at different loading rates under strain control at room temperature using NiTi wires. Temperature distribution on the specimen is measured using a high speed thermal camera. Specimens are tested to failure and fatigue lifetimes of specimens are measured. Test results show that the fatigue lifetime is greatly influenced by the loading rate: as the strain rate increases, the fatigue lifetime decreases. Furthermore, it is shown that the fatigue cracks initiate when the stored energy inside the material reaches a critical value. An energy-based fatigue criterion is thus proposed as a function of the irreversible hysteresis energy of the stabilized cycle and the loading rate. Fatigue life is calculated using the proposed model. The experimental and computational results compare well.

  4. Shape Memory Polymers for Body Motion Energy Harvesting and Self-Powered Mechanosensing.

    PubMed

    Liu, Ruiyuan; Kuang, Xiao; Deng, Jianan; Wang, Yi-Cheng; Wang, Aurelia C; Ding, Wenbo; Lai, Ying-Chih; Chen, Jun; Wang, Peihong; Lin, Zhiqun; Qi, H Jerry; Sun, Baoquan; Wang, Zhong Lin

    2018-02-01

    Growing demand in portable electronics raises a requirement to electronic devices being stretchable, deformable, and durable, for which functional polymers are ideal choices of materials. Here, the first transformable smart energy harvester and self-powered mechanosensation sensor using shape memory polymers is demonstrated. The device is based on the mechanism of a flexible triboelectric nanogenerator using the thermally triggered shape transformation of organic materials for effectively harvesting mechanical energy. This work paves a new direction for functional polymers, especially in the field of mechanosensation for potential applications in areas such as soft robotics, biomedical devices, and wearable electronics. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Carbon nanomaterials for non-volatile memories

    NASA Astrophysics Data System (ADS)

    Ahn, Ethan C.; Wong, H.-S. Philip; Pop, Eric

    2018-03-01

    Carbon can create various low-dimensional nanostructures with remarkable electronic, optical, mechanical and thermal properties. These features make carbon nanomaterials especially interesting for next-generation memory and storage devices, such as resistive random access memory, phase-change memory, spin-transfer-torque magnetic random access memory and ferroelectric random access memory. Non-volatile memories greatly benefit from the use of carbon nanomaterials in terms of bit density and energy efficiency. In this Review, we discuss sp2-hybridized carbon-based low-dimensional nanostructures, such as fullerene, carbon nanotubes and graphene, in the context of non-volatile memory devices and architectures. Applications of carbon nanomaterials as memory electrodes, interfacial engineering layers, resistive-switching media, and scalable, high-performance memory selectors are investigated. Finally, we compare the different memory technologies in terms of writing energy and time, and highlight major challenges in the manufacturing, integration and understanding of the physical mechanisms and material properties.

  6. Deviation from the law of energy equipartition in a small dynamic-random-access memory

    NASA Astrophysics Data System (ADS)

    Carles, Pierre-Alix; Nishiguchi, Katsuhiko; Fujiwara, Akira

    2015-06-01

    A small dynamic-random-access memory (DRAM) coupled with a high charge sensitivity electrometer based on a silicon field-effect transistor is used to study the law of equipartition of energy. By statistically analyzing the movement of single electrons in the DRAM at various temperature and voltage conditions in thermal equilibrium, we are able to observe a behavior that differs from what is predicted by the law of equipartition energy: when the charging energy of the capacitor of the DRAM is comparable to or smaller than the thermal energy kBT/2, random electron motion is ruled perfectly by thermal energy; on the other hand, when the charging energy becomes higher in relation to the thermal energy kBT/2, random electron motion is suppressed which indicates a deviation from the law of equipartition of energy. Since the law of equipartition is analyzed using the DRAM, one of the most familiar devices, we believe that our results are perfectly universal among all electronic devices.

  7. Energy scaling advantages of resistive memory crossbar based computation and its application to sparse coding

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Agarwal, Sapan; Quach, Tu -Thach; Parekh, Ojas

    In this study, the exponential increase in data over the last decade presents a significant challenge to analytics efforts that seek to process and interpret such data for various applications. Neural-inspired computing approaches are being developed in order to leverage the computational properties of the analog, low-power data processing observed in biological systems. Analog resistive memory crossbars can perform a parallel read or a vector-matrix multiplication as well as a parallel write or a rank-1 update with high computational efficiency. For an N × N crossbar, these two kernels can be O(N) more energy efficient than a conventional digital memory-basedmore » architecture. If the read operation is noise limited, the energy to read a column can be independent of the crossbar size (O(1)). These two kernels form the basis of many neuromorphic algorithms such as image, text, and speech recognition. For instance, these kernels can be applied to a neural sparse coding algorithm to give an O(N) reduction in energy for the entire algorithm when run with finite precision. Sparse coding is a rich problem with a host of applications including computer vision, object tracking, and more generally unsupervised learning.« less

  8. Energy scaling advantages of resistive memory crossbar based computation and its application to sparse coding

    DOE PAGES

    Agarwal, Sapan; Quach, Tu -Thach; Parekh, Ojas; ...

    2016-01-06

    In this study, the exponential increase in data over the last decade presents a significant challenge to analytics efforts that seek to process and interpret such data for various applications. Neural-inspired computing approaches are being developed in order to leverage the computational properties of the analog, low-power data processing observed in biological systems. Analog resistive memory crossbars can perform a parallel read or a vector-matrix multiplication as well as a parallel write or a rank-1 update with high computational efficiency. For an N × N crossbar, these two kernels can be O(N) more energy efficient than a conventional digital memory-basedmore » architecture. If the read operation is noise limited, the energy to read a column can be independent of the crossbar size (O(1)). These two kernels form the basis of many neuromorphic algorithms such as image, text, and speech recognition. For instance, these kernels can be applied to a neural sparse coding algorithm to give an O(N) reduction in energy for the entire algorithm when run with finite precision. Sparse coding is a rich problem with a host of applications including computer vision, object tracking, and more generally unsupervised learning.« less

  9. Topological order and memory time in marginally-self-correcting quantum memory

    NASA Astrophysics Data System (ADS)

    Siva, Karthik; Yoshida, Beni

    2017-03-01

    We examine two proposals for marginally-self-correcting quantum memory: the cubic code by Haah and the welded code by Michnicki. In particular, we prove explicitly that they are absent of topological order above zero temperature, as their Gibbs ensembles can be prepared via a short-depth quantum circuit from classical ensembles. Our proof technique naturally gives rise to the notion of free energy associated with excitations. Further, we develop a framework for an ergodic decomposition of Davies generators in CSS codes which enables formal reduction to simpler classical memory problems. We then show that memory time in the welded code is doubly exponential in inverse temperature via the Peierls argument. These results introduce further connections between thermal topological order and self-correction from the viewpoint of free energy and quantum circuit depth.

  10. Shape memory polymer medical device

    DOEpatents

    Maitland, Duncan [Pleasant Hill, CA; Benett, William J [Livermore, CA; Bearinger, Jane P [Livermore, CA; Wilson, Thomas S [San Leandro, CA; Small, IV, Ward; Schumann, Daniel L [Concord, CA; Jensen, Wayne A [Livermore, CA; Ortega, Jason M [Pacifica, CA; Marion, III, John E.; Loge, Jeffrey M [Stockton, CA

    2010-06-29

    A system for removing matter from a conduit. The system includes the steps of passing a transport vehicle and a shape memory polymer material through the conduit, transmitting energy to the shape memory polymer material for moving the shape memory polymer material from a first shape to a second and different shape, and withdrawing the transport vehicle and the shape memory polymer material through the conduit carrying the matter.

  11. Four-electron model for singlet and triplet excitation energy transfers with inclusion of coherence memory, inelastic tunneling and nuclear quantum effects

    NASA Astrophysics Data System (ADS)

    Suzuki, Yosuke; Ebina, Kuniyoshi; Tanaka, Shigenori

    2016-08-01

    A computational scheme to describe the coherent dynamics of excitation energy transfer (EET) in molecular systems is proposed on the basis of generalized master equations with memory kernels. This formalism takes into account those physical effects in electron-bath coupling system such as the spin symmetry of excitons, the inelastic electron tunneling and the quantum features of nuclear motions, thus providing a theoretical framework to perform an ab initio description of EET through molecular simulations for evaluating the spectral density and the temporal correlation function of electronic coupling. Some test calculations have then been carried out to investigate the dependence of exciton population dynamics on coherence memory, inelastic tunneling correlation time, magnitude of electronic coupling, quantum correction to temporal correlation function, reorganization energy and energy gap.

  12. Event parallelism: Distributed memory parallel computing for high energy physics experiments

    NASA Astrophysics Data System (ADS)

    Nash, Thomas

    1989-12-01

    This paper describes the present and expected future development of distributed memory parallel computers for high energy physics experiments. It covers the use of event parallel microprocessor farms, particularly at Fermilab, including both ACP multiprocessors and farms of MicroVAXES. These systems have proven very cost effective in the past. A case is made for moving to the more open environment of UNIX and RISC processors. The 2nd Generation ACP Multiprocessor System, which is based on powerful RISC system, is described. Given the promise of still more extraordinary increases in processor performance, a new emphasis on point to point, rather than bussed, communication will be required. Developments in this direction are described.

  13. Push the flash floating gate memories toward the future low energy application

    NASA Astrophysics Data System (ADS)

    Della Marca, V.; Just, G.; Regnier, A.; Ogier, J.-L.; Simola, R.; Niel, S.; Postel-Pellerin, J.; Lalande, F.; Masoero, L.; Molas, G.

    2013-01-01

    In this paper the energy consumption of flash floating gate cell, during a channel hot electron operation, is investigated. We characterize the device using different ramp and box pulses on control gate, to find the best solution to have low energy consumption and good cell performances. We use a new dynamic method to measure the drain current absorption in order to evaluate the impact of different bias conditions, and to study the cell behavior. The programming window and the energy consumption are considered as fundamental parameters. Using this dynamic technique, three zones of work are found; it is possible to optimize the drain voltage during the programming operation to minimize the energy consumption. Moreover, the cell's performances are improved using the CHISEL effect, with a reverse body bias. After the study concerning the programming pulses adjusting, we show the results obtained by increasing the channel doping dose parameter. Considering a channel hot electron programming operation, it is important to focus our attention on the bitline leakage consumption contribution. We measured it for the unselected bitline cells, and we show the effects of the lightly doped drain implantation energy on the leakage current. In this way the impact of gate induced drain leakage in band-to-band tunneling regime decreases, improving the cell's performances in a memory array.

  14. PIMS: Memristor-Based Processing-in-Memory-and-Storage.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cook, Jeanine

    Continued progress in computing has augmented the quest for higher performance with a new quest for higher energy efficiency. This has led to the re-emergence of Processing-In-Memory (PIM) ar- chitectures that offer higher density and performance with some boost in energy efficiency. Past PIM work either integrated a standard CPU with a conventional DRAM to improve the CPU- memory link, or used a bit-level processor with Single Instruction Multiple Data (SIMD) control, but neither matched the energy consumption of the memory to the computation. We originally proposed to develop a new architecture derived from PIM that more effectively addressed energymore » efficiency for high performance scientific, data analytics, and neuromorphic applications. We also originally planned to implement a von Neumann architecture with arithmetic/logic units (ALUs) that matched the power consumption of an advanced storage array to maximize energy efficiency. Implementing this architecture in storage was our original idea, since by augmenting storage (in- stead of memory), the system could address both in-memory computation and applications that accessed larger data sets directly from storage, hence Processing-in-Memory-and-Storage (PIMS). However, as our research matured, we discovered several things that changed our original direc- tion, the most important being that a PIM that implements a standard von Neumann-type archi- tecture results in significant energy efficiency improvement, but only about a O(10) performance improvement. In addition to this, the emergence of new memory technologies moved us to propos- ing a non-von Neumann architecture, called Superstrider, implemented not in storage, but in a new DRAM technology called High Bandwidth Memory (HBM). HBM is a stacked DRAM tech- nology that includes a logic layer where an architecture such as Superstrider could potentially be implemented.« less

  15. Effects of daytime food intake on memory consolidation during sleep or sleep deprivation.

    PubMed

    Herzog, Nina; Friedrich, Alexia; Fujita, Naoko; Gais, Steffen; Jauch-Chara, Kamila; Oltmanns, Kerstin M; Benedict, Christian

    2012-01-01

    Sleep enhances memory consolidation. Bearing in mind that food intake produces many metabolic signals that can influence memory processing in humans (e.g., insulin), the present study addressed the question as to whether the enhancing effect of sleep on memory consolidation is affected by the amount of energy consumed during the preceding daytime. Compared to sleep, nocturnal wakefulness has been shown to impair memory consolidation in humans. Thus, a second question was to examine whether the impaired memory consolidation associated with sleep deprivation (SD) could be compensated by increased daytime energy consumption. To these aims, 14 healthy normal-weight men learned a finger tapping sequence (procedural memory) and a list of semantically associated word pairs (declarative memory). After the learning period, standardized meals were administered, equaling either ∼50% or ∼150% of the estimated daily energy expenditure. In the morning, after sleep or wakefulness, memory consolidation was tested. Plasma glucose was measured both before learning and retrieval. Polysomnographic sleep recordings were performed by electroencephalography (EEG). Independent of energy intake, subjects recalled significantly more word pairs after sleep than they did after SD. When subjects stayed awake and received an energy oversupply, the number of correctly recalled finger sequences was equal to those seen after sleep. Plasma glucose did not differ among conditions, and sleep time in the sleep conditions was not influenced by the energy intake interventions. These data indicate that the daytime energy intake level affects neither sleep's capacity to boost the consolidation of declarative and procedural memories, nor sleep's quality. However, high energy intake was followed by an improved procedural but not declarative memory consolidation under conditions of SD. This suggests that the formation of procedural memory is not only triggered by sleep but is also sensitive to the

  16. Effects of Daytime Food Intake on Memory Consolidation during Sleep or Sleep Deprivation

    PubMed Central

    Herzog, Nina; Friedrich, Alexia; Fujita, Naoko; Gais, Steffen; Jauch-Chara, Kamila; Oltmanns, Kerstin M.; Benedict, Christian

    2012-01-01

    Sleep enhances memory consolidation. Bearing in mind that food intake produces many metabolic signals that can influence memory processing in humans (e.g., insulin), the present study addressed the question as to whether the enhancing effect of sleep on memory consolidation is affected by the amount of energy consumed during the preceding daytime. Compared to sleep, nocturnal wakefulness has been shown to impair memory consolidation in humans. Thus, a second question was to examine whether the impaired memory consolidation associated with sleep deprivation (SD) could be compensated by increased daytime energy consumption. To these aims, 14 healthy normal-weight men learned a finger tapping sequence (procedural memory) and a list of semantically associated word pairs (declarative memory). After the learning period, standardized meals were administered, equaling either ∼50% or ∼150% of the estimated daily energy expenditure. In the morning, after sleep or wakefulness, memory consolidation was tested. Plasma glucose was measured both before learning and retrieval. Polysomnographic sleep recordings were performed by electroencephalography (EEG). Independent of energy intake, subjects recalled significantly more word pairs after sleep than they did after SD. When subjects stayed awake and received an energy oversupply, the number of correctly recalled finger sequences was equal to those seen after sleep. Plasma glucose did not differ among conditions, and sleep time in the sleep conditions was not influenced by the energy intake interventions. These data indicate that the daytime energy intake level affects neither sleep’s capacity to boost the consolidation of declarative and procedural memories, nor sleep’s quality. However, high energy intake was followed by an improved procedural but not declarative memory consolidation under conditions of SD. This suggests that the formation of procedural memory is not only triggered by sleep but is also sensitive to the

  17. A comprehensive energy approach to predict fatigue life in CuAlBe shape memory alloy

    NASA Astrophysics Data System (ADS)

    Sameallah, S.; Legrand, V.; Saint-Sulpice, L.; Kadkhodaei, M.; Arbab Chirani, S.

    2015-02-01

    Stabilized dissipated energy is an effective parameter on the fatigue life of shape memory alloys (SMAs). In this study, a formula is proposed to directly evaluate the stabilized dissipated energy for different values of the maximum and minimum applied stresses, as well as the loading frequency, under cyclic tensile loadings. To this aim, a one-dimensional fully coupled thermomechanical constitutive model and a cycle-dependent phase diagram are employed to predict the uniaxial stress-strain response of an SMA in a specified cycle, including the stabilized one, with no need of obtaining the responses of the previous cycles. An enhanced phase diagram in which different slopes are defined for the start and finish of a backward transformation strip is also proposed to enable the capture of gradual transformations in a CuAlBe shape memory alloy. It is shown that the present approach is capable of reproducing the experimental responses of CuAlBe specimens under cyclic tensile loadings. An explicit formula is further presented to predict the fatigue life of CuAlBe as a function of the maximum and minimum applied stresses as well as the loading frequency. Fatigue tests are also carried out, and this formula is verified against the empirically predicted number of cycles for failure.

  18. Making Memories Matter

    PubMed Central

    Gold, Paul E.; Korol, Donna L.

    2012-01-01

    This article reviews some of the neuroendocrine bases by which emotional events regulate brain mechanisms of learning and memory. In laboratory rodents, there is extensive evidence that epinephrine influences memory processing through an inverted-U relationship, at which moderate levels enhance and high levels impair memory. These effects are, in large part, mediated by increases in blood glucose levels subsequent to epinephrine release, which then provide support for the brain processes engaged by learning and memory. These brain processes include augmentation of neurotransmitter release and of energy metabolism, the latter apparently including a key role for astrocytic glycogen. In addition to up- and down-regulation of learning and memory in general, physiological concomitants of emotion and arousal can also switch the neural system that controls learning at a particular time, at once improving some attributes of learning and impairing others in a manner that results in a change in the strategy used to solve a problem. PMID:23264764

  19. The effect of memory in the stochastic master equation analyzed using the stochastic Liouville equation of motion. Electronic energy migration transfer between reorienting donor-donor, donor-acceptor chromophores

    NASA Astrophysics Data System (ADS)

    Håkansson, Pär; Westlund, Per-Olof

    2005-01-01

    This paper discusses the process of energy migration transfer within reorientating chromophores using the stochastic master equation (SME) and the stochastic Liouville equation (SLE) of motion. We have found that the SME over-estimates the rate of the energy migration compared to the SLE solution for a case of weakly interacting chromophores. This discrepancy between SME and SLE is caused by a memory effect occurring when fluctuations in the dipole-dipole Hamiltonian ( H( t)) are on the same timescale as the intrinsic fast transverse relaxation rate characterized by (1/ T2). Thus the timescale critical for energy-transfer experiments is T2≈10 -13 s. An extended SME is constructed, accounting for the memory effect of the dipole-dipole Hamiltonian dynamics. The influence of memory on the interpretation of experiments is discussed.

  20. Ising formulation of associative memory models and quantum annealing recall

    NASA Astrophysics Data System (ADS)

    Santra, Siddhartha; Shehab, Omar; Balu, Radhakrishnan

    2017-12-01

    Associative memory models, in theoretical neuro- and computer sciences, can generally store at most a linear number of memories. Recalling memories in these models can be understood as retrieval of the energy minimizing configuration of classical Ising spins, closest in Hamming distance to an imperfect input memory, where the energy landscape is determined by the set of stored memories. We present an Ising formulation for associative memory models and consider the problem of memory recall using quantum annealing. We show that allowing for input-dependent energy landscapes allows storage of up to an exponential number of memories (in terms of the number of neurons). Further, we show how quantum annealing may naturally be used for recall tasks in such input-dependent energy landscapes, although the recall time may increase with the number of stored memories. Theoretically, we obtain the radius of attractor basins R (N ) and the capacity C (N ) of such a scheme and their tradeoffs. Our calculations establish that for randomly chosen memories the capacity of our model using the Hebbian learning rule as a function of problem size can be expressed as C (N ) =O (eC1N) , C1≥0 , and succeeds on randomly chosen memory sets with a probability of (1 -e-C2N) , C2≥0 with C1+C2=(0.5-f ) 2/(1 -f ) , where f =R (N )/N , 0 ≤f ≤0.5 , is the radius of attraction in terms of the Hamming distance of an input probe from a stored memory as a fraction of the problem size. We demonstrate the application of this scheme on a programmable quantum annealing device, the D-wave processor.

  1. Atom redistribution and multilayer structure in NiTi shape memory alloy induced by high energy proton irradiation

    NASA Astrophysics Data System (ADS)

    Wang, Haizhen; Yi, Xiaoyang; Zhu, Yingying; Yin, Yongkui; Gao, Yuan; Cai, Wei; Gao, Zhiyong

    2017-10-01

    The element distribution and surface microstructure in NiTi shape memory alloys exposed to 3 MeV proton irradiation were investigated. Redistribution of the alloying element and a clearly visible multilayer structure consisting of three layers were observed on the surface of NiTi shape memory alloys after proton irradiation. The outermost layer consists primarily of a columnar-like TiH2 phase with a tetragonal structure, and the internal layer is primarily comprised of a bcc austenite phase. In addition, the Ti2Ni phase, with an fcc structure, serves as the transition layer between the outermost and internal layer. The above-mentioned phenomenon is attributed to the preferential sputtering of high energy protons and segregation induced by irradiation.

  2. Towards self-correcting quantum memories

    NASA Astrophysics Data System (ADS)

    Michnicki, Kamil

    This thesis presents a model of self-correcting quantum memories where quantum states are encoded using topological stabilizer codes and error correction is done using local measurements and local dynamics. Quantum noise poses a practical barrier to developing quantum memories. This thesis explores two types of models for suppressing noise. One model suppresses thermalizing noise energetically by engineering a Hamiltonian with a high energy barrier between code states. Thermalizing dynamics are modeled phenomenologically as a Markovian quantum master equation with only local generators. The second model suppresses stochastic noise with a cellular automaton that performs error correction using syndrome measurements and a local update rule. Several ways of visualizing and thinking about stabilizer codes are presented in order to design ones that have a high energy barrier: the non-local Ising model, the quasi-particle graph and the theory of welded stabilizer codes. I develop the theory of welded stabilizer codes and use it to construct a code with the highest known energy barrier in 3-d for spin Hamiltonians: the welded solid code. Although the welded solid code is not fully self correcting, it has some self correcting properties. It has an increased memory lifetime for an increased system size up to a temperature dependent maximum. One strategy for increasing the energy barrier is by mediating an interaction with an external system. I prove a no-go theorem for a class of Hamiltonians where the interaction terms are local, of bounded strength and commute with the stabilizer group. Under these conditions the energy barrier can only be increased by a multiplicative constant. I develop cellular automaton to do error correction on a state encoded using the toric code. The numerical evidence indicates that while there is no threshold, the model can extend the memory lifetime significantly. While of less theoretical importance, this could be practical for real

  3. Energy-Efficient Phase-Change Memory with Graphene as a Thermal Barrier.

    PubMed

    Ahn, Chiyui; Fong, Scott W; Kim, Yongsung; Lee, Seunghyun; Sood, Aditya; Neumann, Christopher M; Asheghi, Mehdi; Goodson, Kenneth E; Pop, Eric; Wong, H-S Philip

    2015-10-14

    Phase-change memory (PCM) is an important class of data storage, yet lowering the programming current of individual devices is known to be a significant challenge. Here we improve the energy-efficiency of PCM by placing a graphene layer at the interface between the phase-change material, Ge2Sb2Te5 (GST), and the bottom electrode (W) heater. Graphene-PCM (G-PCM) devices have ∼40% lower RESET current compared to control devices without the graphene. This is attributed to the graphene as an added interfacial thermal resistance which helps confine the generated heat inside the active PCM volume. The G-PCM achieves programming up to 10(5) cycles, and the graphene could further enhance the PCM endurance by limiting atomic migration or material segregation at the bottom electrode interface.

  4. Adiabatic quantum optimization for associative memory recall

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seddiqi, Hadayat; Humble, Travis S.

    Hopfield networks are a variant of associative memory that recall patterns stored in the couplings of an Ising model. Stored memories are conventionally accessed as fixed points in the network dynamics that correspond to energetic minima of the spin state. We show that memories stored in a Hopfield network may also be recalled by energy minimization using adiabatic quantum optimization (AQO). Numerical simulations of the underlying quantum dynamics allow us to quantify AQO recall accuracy with respect to the number of stored memories and noise in the input key. We investigate AQO performance with respect to how memories are storedmore » in the Ising model according to different learning rules. Our results demonstrate that AQO recall accuracy varies strongly with learning rule, a behavior that is attributed to differences in energy landscapes. Consequently, learning rules offer a family of methods for programming adiabatic quantum optimization that we expect to be useful for characterizing AQO performance.« less

  5. Adiabatic Quantum Optimization for Associative Memory Recall

    NASA Astrophysics Data System (ADS)

    Seddiqi, Hadayat; Humble, Travis

    2014-12-01

    Hopfield networks are a variant of associative memory that recall patterns stored in the couplings of an Ising model. Stored memories are conventionally accessed as fixed points in the network dynamics that correspond to energetic minima of the spin state. We show that memories stored in a Hopfield network may also be recalled by energy minimization using adiabatic quantum optimization (AQO). Numerical simulations of the underlying quantum dynamics allow us to quantify AQO recall accuracy with respect to the number of stored memories and noise in the input key. We investigate AQO performance with respect to how memories are stored in the Ising model according to different learning rules. Our results demonstrate that AQO recall accuracy varies strongly with learning rule, a behavior that is attributed to differences in energy landscapes. Consequently, learning rules offer a family of methods for programming adiabatic quantum optimization that we expect to be useful for characterizing AQO performance.

  6. Adiabatic quantum optimization for associative memory recall

    DOE PAGES

    Seddiqi, Hadayat; Humble, Travis S.

    2014-12-22

    Hopfield networks are a variant of associative memory that recall patterns stored in the couplings of an Ising model. Stored memories are conventionally accessed as fixed points in the network dynamics that correspond to energetic minima of the spin state. We show that memories stored in a Hopfield network may also be recalled by energy minimization using adiabatic quantum optimization (AQO). Numerical simulations of the underlying quantum dynamics allow us to quantify AQO recall accuracy with respect to the number of stored memories and noise in the input key. We investigate AQO performance with respect to how memories are storedmore » in the Ising model according to different learning rules. Our results demonstrate that AQO recall accuracy varies strongly with learning rule, a behavior that is attributed to differences in energy landscapes. Consequently, learning rules offer a family of methods for programming adiabatic quantum optimization that we expect to be useful for characterizing AQO performance.« less

  7. Josephson Thermal Memory

    NASA Astrophysics Data System (ADS)

    Guarcello, Claudio; Solinas, Paolo; Braggio, Alessandro; Di Ventra, Massimiliano; Giazotto, Francesco

    2018-01-01

    We propose a superconducting thermal memory device that exploits the thermal hysteresis in a flux-controlled temperature-biased superconducting quantum-interference device (SQUID). This system reveals a flux-controllable temperature bistability, which can be used to define two well-distinguishable thermal logic states. We discuss a suitable writing-reading procedure for these memory states. The time of the memory writing operation is expected to be on the order of approximately 0.2 ns for a Nb-based SQUID in thermal contact with a phonon bath at 4.2 K. We suggest a noninvasive readout scheme for the memory states based on the measurement of the effective resonance frequency of a tank circuit inductively coupled to the SQUID. The proposed device paves the way for a practical implementation of thermal logic and computation. The advantage of this proposal is that it represents also an example of harvesting thermal energy in superconducting circuits.

  8. Theoretical potential for low energy consumption phase change memory utilizing electrostatically-induced structural phase transitions in 2D materials

    NASA Astrophysics Data System (ADS)

    Rehn, Daniel A.; Li, Yao; Pop, Eric; Reed, Evan J.

    2018-01-01

    Structural phase-change materials are of great importance for applications in information storage devices. Thermally driven structural phase transitions are employed in phase-change memory to achieve lower programming voltages and potentially lower energy consumption than mainstream nonvolatile memory technologies. However, the waste heat generated by such thermal mechanisms is often not optimized, and could present a limiting factor to widespread use. The potential for electrostatically driven structural phase transitions has recently been predicted and subsequently reported in some two-dimensional materials, providing an athermal mechanism to dynamically control properties of these materials in a nonvolatile fashion while achieving potentially lower energy consumption. In this work, we employ DFT-based calculations to make theoretical comparisons of the energy required to drive electrostatically-induced and thermally-induced phase transitions. Determining theoretical limits in monolayer MoTe2 and thin films of Ge2Sb2Te5, we find that the energy consumption per unit volume of the electrostatically driven phase transition in monolayer MoTe2 at room temperature is 9% of the adiabatic lower limit of the thermally driven phase transition in Ge2Sb2Te5. Furthermore, experimentally reported phase change energy consumption of Ge2Sb2Te5 is 100-10,000 times larger than the adiabatic lower limit due to waste heat flow out of the material, leaving the possibility for energy consumption in monolayer MoTe2-based devices to be orders of magnitude smaller than Ge2Sb2Te5-based devices.

  9. Modeling and design of a vibration energy harvester using the magnetic shape memory effect

    NASA Astrophysics Data System (ADS)

    Saren, A.; Musiienko, D.; Smith, A. R.; Tellinen, J.; Ullakko, K.

    2015-09-01

    In this study, a vibration energy harvester is investigated which uses a Ni-Mn-Ga sample that is mechanically strained between 130 and 300 Hz while in a constant biasing magnetic field. The crystallographic reorientation of the sample during mechanical actuation changes its magnetic properties due to the magnetic shape memory (MSM) effect. This leads to an oscillation of the magnetic flux in the yoke which generates electrical energy by inducing an alternating current within the pick-up coils. A power of 69.5 mW (with a corresponding power density of 1.37 mW mm-3 compared to the active volume of the MSM element) at 195 Hz was obtained by optimizing the biasing magnetic field, electrical resistance and electrical resonance. The optimization of the electrical resonance increased the energy generated by nearly a factor of four when compared to a circuit with no resonance. These results are strongly supported by a theoretical model and simulation which gives corresponding values with an error of approximately 20% of the experimental data. This model will be used in the design of future MSM energy harvesters and their optimization for specific frequencies and power outputs.

  10. The future of memory

    NASA Astrophysics Data System (ADS)

    Marinella, M.

    In the not too distant future, the traditional memory and storage hierarchy of may be replaced by a single Storage Class Memory (SCM) device integrated on or near the logic processor. Traditional magnetic hard drives, NAND flash, DRAM, and higher level caches (L2 and up) will be replaced with a single high performance memory device. The Storage Class Memory paradigm will require high speed (< 100 ns read/write), excellent endurance (> 1012), nonvolatility (retention > 10 years), and low switching energies (< 10 pJ per switch). The International Technology Roadmap for Semiconductors (ITRS) has recently evaluated several potential candidates SCM technologies, including Resistive (or Redox) RAM, Spin Torque Transfer RAM (STT-MRAM), and phase change memory (PCM). All of these devices show potential well beyond that of current flash technologies and research efforts are underway to improve the endurance, write speeds, and scalabilities to be on-par with DRAM. This progress has interesting implications for space electronics: each of these emerging device technologies show excellent resistance to the types of radiation typically found in space applications. Commercially developed, high density storage class memory-based systems may include a memory that is physically radiation hard, and suitable for space applications without major shielding efforts. This paper reviews the Storage Class Memory concept, emerging memory devices, and possible applicability to radiation hardened electronics for space.

  11. BLACKCOMB2: Hardware-software co-design for non-volatile memory in exascale systems

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mudge, Trevor

    This work was part of a larger project, Blackcomb2, centered at Oak Ridge National Labs (Jeff Vetter PI) to investigate the opportunities for replacing or supplementing DRAM main memory with nonvolatile memory (NVmemory) in Exascale memory systems. The goal was to reduce the energy consumed by in future supercomputer memory systems and to improve their resiliency. Building on the accomplishments of the original Blackcomb Project, funded in 2010, the goal for Blackcomb2 was to identify, evaluate, and optimize the most promising emerging memory technologies, architecture hardware and software technologies, which are essential to provide the necessary memory capacity, performance, resilience,more » and energy efficiency in Exascale systems. Capacity and energy are the key drivers.« less

  12. Shape-Memory-Alloy Actuator For Flight Controls

    NASA Technical Reports Server (NTRS)

    Barret, Chris

    1995-01-01

    Report proposes use of shape-memory-alloy actuators, instead of hydraulic actuators, for aerodynamic flight-control surfaces. Actuator made of shape-memory alloy converts thermal energy into mechanical work by changing shape as it makes transitions between martensitic and austenitic crystalline phase states of alloy. Because both hot exhaust gases and cryogenic propellant liquids available aboard launch rockets, shape-memory-alloy actuators exceptionally suited for use aboard such rockets.

  13. Characterization of mechanical properties of pseudoelastic shape memory alloys under harmonic excitation

    NASA Astrophysics Data System (ADS)

    Böttcher, J.; Jahn, M.; Tatzko, S.

    2017-12-01

    Pseudoelastic shape memory alloys exhibit a stress-induced phase transformation which leads to high strains during deformation of the material. The stress-strain characteristic during this thermomechanical process is hysteretic and results in the conversion of mechanical energy into thermal energy. This energy conversion allows for the use of shape memory alloys in vibration reduction. For the application of shape memory alloys as vibration damping devices a dynamic modeling of the material behavior is necessary. In this context experimentally determined material parameters which accurately represent the material behavior are essential for a reliable material model. Subject of this publication is the declaration of suitable material parameters for pseudoelastic shape memory alloys and the methodology of their identification from experimental investigations. The used test rig was specifically designed for the characterization of pseudoelastic shape memory alloys.

  14. Complex-valued Multidirectional Associative Memory

    NASA Astrophysics Data System (ADS)

    Kobayashi, Masaki; Yamazaki, Haruaki

    Hopfield model is a representative associative memory. It was improved to Bidirectional Associative Memory(BAM) by Kosko and Multidirectional Associative Memory(MAM) by Hagiwara. They have two layers or multilayers. Since they have symmetric connections between layers, they ensure to converge. MAM can deal with multiples of many patterns, such as (x1, x2,…), where xm is the pattern on layer-m. Noest, Hirose and Nemoto proposed complex-valued Hopfield model. Lee proposed complex-valued Bidirectional Associative Memory. Zemel proved the rotation invariance of complex-valued Hopfield model. It means that the rotated pattern also stored. In this paper, the complex-valued Multidirectional Associative Memory is proposed. The rotation invariance is also proved. Moreover it is shown by computer simulation that the differences of angles of given patterns are automatically reduced. At first we define complex-valued Multidirectional Associative Memory. Then we define the energy function of network. By using energy function, we prove that the network ensures to converge. Next, we define the learning law and show the characteristic of recall process. The characteristic means that the differences of angles of given patterns are automatically reduced. Especially we prove the following theorem. In case that only a multiple of patterns is stored, if patterns with different angles are given to each layer, the differences are automatically reduced. Finally, we invest that the differences of angles influence the noise robustness. It reduce the noise robustness, because input to each layer become small. We show that by computer simulations.

  15. Insulin signaling is acutely required for long-term memory in Drosophila.

    PubMed

    Chambers, Daniel B; Androschuk, Alaura; Rosenfelt, Cory; Langer, Steven; Harding, Mark; Bolduc, Francois V

    2015-01-01

    Memory formation has been shown recently to be dependent on energy status in Drosophila. A well-established energy sensor is the insulin signaling (InS) pathway. Previous studies in various animal models including human have revealed the role of insulin levels in short-term memory but its role in long-term memory remains less clear. We therefore investigated genetically the spatial and temporal role of InS using the olfactory learning and long-term memory model in Drosophila. We found that InS is involved in both learning and memory. InS in the mushroom body is required for learning and long-term memory whereas long-term memory specifically is impaired after InS signaling disruption in the ellipsoid body, where it regulates the level of p70s6k, a downstream target of InS and a marker of protein synthesis. Finally, we show also that InS is acutely required for long-term memory formation in adult flies.

  16. Memory conformity affects inaccurate memories more than accurate memories.

    PubMed

    Wright, Daniel B; Villalba, Daniella K

    2012-01-01

    After controlling for initial confidence, inaccurate memories were shown to be more easily distorted than accurate memories. In two experiments groups of participants viewed 50 stimuli and were then presented with these stimuli plus 50 fillers. During this test phase participants reported their confidence that each stimulus was originally shown. This was followed by computer-generated responses from a bogus participant. After being exposed to this response participants again rated the confidence of their memory. The computer-generated responses systematically distorted participants' responses. Memory distortion depended on initial memory confidence, with uncertain memories being more malleable than confident memories. This effect was moderated by whether the participant's memory was initially accurate or inaccurate. Inaccurate memories were more malleable than accurate memories. The data were consistent with a model describing two types of memory (i.e., recollective and non-recollective memories), which differ in how susceptible these memories are to memory distortion.

  17. Towards Terabit Memories

    NASA Astrophysics Data System (ADS)

    Hoefflinger, Bernd

    Memories have been the major yardstick for the continuing validity of Moore's law. In single-transistor-per-Bit dynamic random-access memories (DRAM), the number of bits per chip pretty much gives us the number of transistors. For decades, DRAM's have offered the largest storage capacity per chip. However, DRAM does not scale any longer, both in density and voltage, severely limiting its power efficiency to 10 fJ/b. A differential DRAM would gain four-times in density and eight-times in energy. Static CMOS RAM (SRAM) with its six transistors/cell is gaining in reputation because it scales well in cell size and operating voltage so that its fundamental advantage of speed, non-destructive read-out and low-power standby could lead to just 2.5 electrons/bit in standby and to a dynamic power efficiency of 2aJ/b. With a projected 2020 density of 16 Gb/cm², the SRAM would be as dense as normal DRAM and vastly better in power efficiency, which would mean a major change in the architecture and market scenario for DRAM versus SRAM. Non-volatile Flash memory have seen two quantum jumps in density well beyond the roadmap: Multi-Bit storage per transistor and high-density TSV (through-silicon via) technology. The number of electrons required per Bit on the storage gate has been reduced since their first realization in 1996 by more than an order of magnitude to 400 electrons/Bit in 2010 for a complexity of 32Gbit per chip at the 32 nm node. Chip stacking of eight chips with TSV has produced a 32GByte solid-state drive (SSD). A stack of 32 chips with 2 b/cell at the 16 nm node will reach a density of 2.5 Terabit/cm². Non-volatile memory with a density of 10 × 10 nm²/Bit is the target for widespread development. Phase-change memory (PCM) and resistive memory (RRAM) lead in cell density, and they will reach 20 Gb/cm² in 2D and higher with 3D chip stacking. This is still almost an order-of-magnitude less than Flash. However, their read-out speed is ~10-times faster, with as yet

  18. Tunable bandgap energy of fluorinated nanocrystals for flash memory applications produced by low-damage plasma treatment.

    PubMed

    Huang, Chi-Hsien; Lin, Chih-Ting; Wang, Jer-Chyi; Chou, Chien; Ye, Yu-Ren; Cheng, Bing-Ming; Lai, Chao-Sung

    2012-11-30

    A plasma system with a complementary filter to shield samples from damage during tetrafluoromethane (CF(4)) plasma treatment was proposed in order to incorporate fluorine atoms into gadolinium oxide nanocrystals (Gd(2)O(3)-NCs) for flash memory applications. X-ray photoelectron spectroscopy confirmed that fluorine atoms were successfully introduced into the Gd(2)O(3)-NCs despite the use of a filter in the plasma-enhanced chemical vapour deposition system to shield against several potentially damaging species. The number of incorporated fluorine atoms can be controlled by varying the treatment time. The optimized memory window of the resulting flash memory devices was twice that of devices treated by a filterless system because more fluorine atoms were incorporated into the Gd(2)O(3)-NCs film with very little damage. This enlarged the bandgap energy from 5.48 to 6.83 eV, as observed by ultraviolet absorption measurements. This bandgap expansion can provide a large built-in electric field that allows more charges to be stored in the Gd(2)O(3)-NCs. The maximum improvement in the retention characteristic was >60%. Because plasma damage during treatment is minimal, maximum fluorination can be achieved. The concept of simply adding a filter to a plasma system to prevent plasma damage exhibits great promise for functionalization or modification of nanomaterials for advanced nanoelectronics while introducing minimal defects.

  19. Working memory and attentional bias on reinforcing efficacy of food.

    PubMed

    Carr, Katelyn A; Epstein, Leonard H

    2017-09-01

    Reinforcing efficacy of food, or the relationship between food prices and purchasing, is related to obesity status and energy intake in adults. Determining how to allocate resources for food is a decision making process influenced by executive functions. Attention to appetitive cues, as well as working memory capacity, or the ability to flexibly control attention while mentally retaining information, may be important executive functions involved in food purchasing decisions. In two studies, we examined how attention bias to food and working memory capacity are related to reinforcing efficacy of both high energy-dense and low energy-dense foods. The first study examined 48 women of varying body mass index (BMI) and found that the relationship between attentional processes and reinforcing efficacy was moderated by working memory capacity. Those who avoid food cues and had high working memory capacity had the lowest reinforcing efficacy, as compared to those with low working memory capacity. Study 2 systematically replicated the methods of study 1 with assessment of maintained attention in a sample of 48 overweight/obese adults. Results showed the relationship between maintained attention to food cues and reinforcing efficacy was moderated by working memory capacity. Those with a maintained attention to food and high working memory capacity had higher reinforcing efficacy than low working memory capacity individuals. These studies suggest working memory capacity moderated the relationship between different aspects of attention and food reinforcement. Understanding how decision making process are involved in reinforcing efficacy may help to identify future intervention targets. Copyright © 2017 Elsevier Ltd. All rights reserved.

  20. Computer memory power control for the Galileo spacecraft

    NASA Technical Reports Server (NTRS)

    Detwiler, R. C.

    1983-01-01

    The developmental history, major design drives, and final topology of the computer memory power system on the Galileo spacecraft are described. A unique method of generating memory backup power directly from the fault current drawn during a spacecraft power overload or fault condition allows this system to provide continuous memory power. This concept provides a unique solution to the problem of volatile memory loss without the use of a battery of other large energy storage elements usually associated with uninterrupted power supply designs.

  1. Method and apparatus for managing access to a memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    DeBenedictis, Erik

    A method and apparatus for managing access to a memory of a computing system. A controller transforms a plurality of operations that represent a computing job into an operational memory layout that reduces a size of a selected portion of the memory that needs to be accessed to perform the computing job. The controller stores the operational memory layout in a plurality of memory cells within the selected portion of the memory. The controller controls a sequence by which a processor in the computing system accesses the memory to perform the computing job using the operational memory layout. The operationalmore » memory layout reduces an amount of energy consumed by the processor to perform the computing job.« less

  2. Reconfigurable logic in nanosecond Cu/GeTe/TiN filamentary memristors for energy-efficient in-memory computing.

    PubMed

    Jin, Miaomiao; Cheng, Long; Li, Yi; Hu, Siyu; Lu, Ke; Chen, Jia; Duan, Nian; Wang, Zhuorui; Zhou, Yaxiong; Chang, Ting-Chang; Miao, Xiangshui

    2018-06-27

    Owing to the capability of integrating the information storage and computing in the same physical location, in-memory computing with memristors has become a research hotspot as a promising route for non von Neumann architecture. However, it is still a challenge to develop high performance devices as well as optimized logic methodologies to realize energy-efficient computing. Herein, filamentary Cu/GeTe/TiN memristor is reported to show satisfactory properties with nanosecond switching speed (< 60 ns), low voltage operation (< 2 V), high endurance (>104 cycles) and good retention (>104 s @85℃). It is revealed that the charge carrier conduction mechanisms in high resistance and low resistance states are Schottky emission and hopping transport between the adjacent Cu clusters, respectively, based on the analysis of current-voltage behaviors and resistance-temperature characteristics. An intuitive picture is given to describe the dynamic processes of resistive switching. Moreover, based on the basic material implication (IMP) logic circuit, we proposed a reconfigurable logic method and experimentally implemented IMP, NOT, OR, and COPY logic functions. Design of a one-bit full adder with reduction in computational sequences and its validation in simulation further demonstrate the potential practical application. The results provide important progress towards understanding of resistive switching mechanism and realization of energy-efficient in-memory computing architecture. © 2018 IOP Publishing Ltd.

  3. Energy landscapes of a mechanical prion and their implications for the molecular mechanism of long-term memory.

    PubMed

    Chen, Mingchen; Zheng, Weihua; Wolynes, Peter G

    2016-05-03

    Aplysia cytoplasmic polyadenylation element binding (CPEB) protein, a translational regulator that recruits mRNAs and facilitates translation, has been shown to be a key component in the formation of long-term memory. Experimental data show that CPEB exists in at least a low-molecular weight coiled-coil oligomeric form and an amyloid fiber form involving the Q-rich domain (CPEB-Q). Using a coarse-grained energy landscape model, we predict the structures of the low-molecular weight oligomeric form and the dynamics of their transitions to the β-form. Up to the decamer, the oligomeric structures are predicted to be coiled coils. Free energy profiles confirm that the coiled coil is the most stable form for dimers and trimers. The structural transition from α to β is shown to be concentration dependent, with the transition barrier decreasing with increased concentration. We observe that a mechanical pulling force can facilitate the α-helix to β-sheet (α-to-β) transition by lowering the free energy barrier between the two forms. Interactome analysis of the CPEB protein suggests that its interactions with the cytoskeleton could provide the necessary mechanical force. We propose that, by exerting mechanical forces on CPEB oligomers, an active cytoskeleton can facilitate fiber formation. This mechanical catalysis makes possible a positive feedback loop that would help localize the formation of CPEB fibers to active synapse areas and mark those synapses for forming a long-term memory after the prion form is established. The functional role of the CPEB helical oligomers in this mechanism carries with it implications for targeting such species in neurodegenerative diseases.

  4. Astrocyte glycogen and lactate: New insights into learning and memory mechanisms.

    PubMed

    Alberini, Cristina M; Cruz, Emmanuel; Descalzi, Giannina; Bessières, Benjamin; Gao, Virginia

    2018-06-01

    Memory, the ability to retain learned information, is necessary for survival. Thus far, molecular and cellular investigations of memory formation and storage have mainly focused on neuronal mechanisms. In addition to neurons, however, the brain comprises other types of cells and systems, including glia and vasculature. Accordingly, recent experimental work has begun to ask questions about the roles of non-neuronal cells in memory formation. These studies provide evidence that all types of glial cells (astrocytes, oligodendrocytes, and microglia) make important contributions to the processing of encoded information and storing memories. In this review, we summarize and discuss recent findings on the critical role of astrocytes as providers of energy for the long-lasting neuronal changes that are necessary for long-term memory formation. We focus on three main findings: first, the role of glucose metabolism and the learning- and activity-dependent metabolic coupling between astrocytes and neurons in the service of long-term memory formation; second, the role of astrocytic glucose metabolism in arousal, a state that contributes to the formation of very long-lasting and detailed memories; and finally, in light of the high energy demands of the brain during early development, we will discuss the possible role of astrocytic and neuronal glucose metabolisms in the formation of early-life memories. We conclude by proposing future directions and discussing the implications of these findings for brain health and disease. Astrocyte glycogenolysis and lactate play a critical role in memory formation. Emotionally salient experiences form strong memories by recruiting astrocytic β2 adrenergic receptors and astrocyte-generated lactate. Glycogenolysis and astrocyte-neuron metabolic coupling may also play critical roles in memory formation during development, when the energy requirements of brain metabolism are at their peak. © 2017 Wiley Periodicals, Inc.

  5. A new variable-resolution associative memory for high energy physics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Annovi, A.; Amerio, S.; Beretta, M.

    2011-07-01

    We describe an important advancement for the Associative Memory device (AM). The AM is a VLSI processor for pattern recognition based on Content Addressable Memory (CAM) architecture. The AM is optimized for on-line track finding in high-energy physics experiments. Pattern matching is carried out by finding track candidates in coarse resolution 'roads'. A large AM bank stores all trajectories of interest, called 'patterns', for a given detector resolution. The AM extracts roads compatible with a given event during detector read-out. Two important variables characterize the quality of the AM bank: its 'coverage' and the level of fake roads. The coverage,more » which describes the geometric efficiency of a bank, is defined as the fraction of tracks that match at least one pattern in the bank. Given a certain road size, the coverage of the bank can be increased just adding patterns to the bank, while the number of fakes unfortunately is roughly proportional to the number of patterns in the bank. Moreover, as the luminosity increases, the fake rate increases rapidly because of the increased silicon occupancy. To counter that, we must reduce the width of our roads. If we decrease the road width using the current technology, the system will become very large and extremely expensive. We propose an elegant solution to this problem: the 'variable resolution patterns'. Each pattern and each detector layer within a pattern will be able to use the optimal width, but we will use a 'don't care' feature (inspired from ternary CAMs) to increase the width when that is more appropriate. In other words we can use patterns of variable shape. As a result we reduce the number of fake roads, while keeping the efficiency high and avoiding excessive bank size due to the reduced width. We describe the idea, the implementation in the new AM design and the implementation of the algorithm in the simulation. Finally we show the effectiveness of the 'variable resolution patterns' idea using

  6. Lightweight thermal energy recovery system based on shape memory alloys: a DOE ARPA-E initiative

    NASA Astrophysics Data System (ADS)

    Browne, Alan L.; Keefe, Andrew C.; Alexander, Paul W.; Mankame, Nilesh; Usoro, Patrick; Johnson, Nancy L.; Aase, Jan; Sarosi, Peter; McKnight, Geoffrey P.; Herrera, Guillermo; Churchill, Christopher; Shaw, John; Brown, Jeff

    2012-04-01

    Over 60% of energy that is generated is lost as waste heat with close to 90% of this waste heat being classified as low grade being at temperatures less than 200°C. Many technologies such as thermoelectrics have been proposed as means for harvesting this lost thermal energy. Among them, that of SMA (shape memory alloy) heat engines appears to be a strong candidate for converting this low grade thermal output to useful mechanical work. Unfortunately, though proposed initially in the late 60's and the subject of significant development work in the 70's, significant technical roadblocks have existed preventing this technology from moving from a scientific curiosity to a practical reality. This paper/presentation provides an overview of the work performed on SMA heat engines under the US DOE (Department of Energy) ARPA-E (Advanced Research Projects Agency - Energy) initiative. It begins with a review of the previous art, covers the identified technical roadblocks to past advancement, presents the solution path taken to remove these roadblocks, and describes significant breakthroughs during the project. The presentation concludes with details of the functioning prototypes developed, which, being able to operate in air as well as fluids, dramatically expand the operational envelop and make significant strides towards the ultimate goal of commercial viability.

  7. Neural network based feed-forward high density associative memory

    NASA Technical Reports Server (NTRS)

    Daud, T.; Moopenn, A.; Lamb, J. L.; Ramesham, R.; Thakoor, A. P.

    1987-01-01

    A novel thin film approach to neural-network-based high-density associative memory is described. The information is stored locally in a memory matrix of passive, nonvolatile, binary connection elements with a potential to achieve a storage density of 10 to the 9th bits/sq cm. Microswitches based on memory switching in thin film hydrogenated amorphous silicon, and alternatively in manganese oxide, have been used as programmable read-only memory elements. Low-energy switching has been ascertained in both these materials. Fabrication and testing of memory matrix is described. High-speed associative recall approaching 10 to the 7th bits/sec and high storage capacity in such a connection matrix memory system is also described.

  8. Significantly enhanced memory effect in metallic glass by multistep training

    NASA Astrophysics Data System (ADS)

    Li, M. X.; Luo, P.; Sun, Y. T.; Wen, P.; Bai, H. Y.; Liu, Y. H.; Wang, W. H.

    2017-11-01

    The state of metastable equilibrium glass can carry an imprint of the past and exhibit memory effect. As a hallmark of glassy dynamics, memory effect can affect glassy behavior as it evolves further upon time. Even though the physical picture of the memory effect has been well studied, it is unclear whether a glass can recall as many pieces of information as possible, and if so, how the glass will accordingly behave. We report that by fractionizing temperature interval, inserting multistep aging protocols, and optimizing the time of each temperature step, i.e., by imposing a multistep "training" on a prototypical P d40N i10C u30P20 metallic glass, the memory of the trained glass can be significantly strengthened, marked by a pronounced augment in potential energy. These findings provide a new guide for regulating the energy state of glass by enhancing the nonequilibrium behaviors of the memory effect and offer an opportunity to develop a clearer physical picture of glassy dynamics.

  9. Energy-efficient miniature-scale heat pumping based on shape memory alloys

    NASA Astrophysics Data System (ADS)

    Ossmer, Hinnerk; Wendler, Frank; Gueltig, Marcel; Lambrecht, Franziska; Miyazaki, Shuichi; Kohl, Manfred

    2016-08-01

    Cooling and thermal management comprise a major part of global energy consumption. The by far most widespread cooling technology today is vapor compression, reaching rather high efficiencies, but promoting global warming due to the use of environmentally harmful refrigerants. For widespread emerging applications using microelectronics and micro-electro-mechanical systems, thermoelectrics is the most advanced technology, which however hardly reaches coefficients of performance (COP) above 2.0. Here, we introduce a new approach for energy-efficient heat pumping using the elastocaloric effect in shape memory alloys. This development is mainly targeted at applications on miniature scales, while larger scales are envisioned by massive parallelization. Base materials are cold-rolled textured Ti49.1Ni50.5Fe0.4 foils of 30 μm thickness showing an adiabatic temperature change of +20/-16 K upon superelastic loading/unloading. Different demonstrator layouts consisting of mechanically coupled bridge structures with large surface-to-volume ratios are developed allowing for control by a single actuator as well as work recovery. Heat transfer times are in the order of 1 s, being orders of magnitude faster than for bulk geometries. Thus, first demonstrators achieve values of specific heating and cooling power of 4.5 and 2.9 W g-1, respectively. A maximum temperature difference of 9.4 K between heat source and sink is reached within 2 min. Corresponding COP on the device level are 4.9 (heating) and 3.1 (cooling).

  10. Multiple memory stores and operant conditioning: a rationale for memory's complexity.

    PubMed

    Meeter, Martijn; Veldkamp, Rob; Jin, Yaochu

    2009-02-01

    Why does the brain contain more than one memory system? Genetic algorithms can play a role in elucidating this question. Here, model animals were constructed containing a dorsal striatal layer that controlled actions, and a ventral striatal layer that controlled a dopaminergic learning signal. Both layers could gain access to three modeled memory stores, but such access was penalized as energy expenditure. Model animals were then selected on their fitness in simulated operant conditioning tasks. Results suggest that having access to multiple memory stores and their representations is important in learning to regulate dopamine release, as well as in contextual discrimination. For simple operant conditioning, as well as stimulus discrimination, hippocampal compound representations turned out to suffice, a counterintuitive result given findings that hippocampal lesions tend not to affect performance in such tasks. We argue that there is in fact evidence to support a role for compound representations and the hippocampus in even the simplest conditioning tasks.

  11. Order-memory and association-memory.

    PubMed

    Caplan, Jeremy B

    2015-09-01

    Two highly studied memory functions are memory for associations (items presented in pairs, such as SALT-PEPPER) and memory for order (a list of items whose order matters, such as a telephone number). Order- and association-memory are at the root of many forms of behaviour, from wayfinding, to language, to remembering people's names. Most researchers have investigated memory for order separately from memory for associations. Exceptions to this, associative-chaining models build an ordered list from associations between pairs of items, quite literally understanding association- and order-memory together. Alternatively, positional-coding models have been used to explain order-memory as a completely distinct function from association-memory. Both classes of model have found empirical support and both have faced serious challenges. I argue that models that combine both associative chaining and positional coding are needed. One such hybrid model, which relies on brain-activity rhythms, is promising, but remains to be tested rigourously. I consider two relatively understudied memory behaviours that demand a combination of order- and association-information: memory for the order of items within associations (is it William James or James William?) and judgments of relative order (who left the party earlier, Hermann or William?). Findings from these underexplored procedures are already difficult to reconcile with existing association-memory and order-memory models. Further work with such intermediate experimental paradigms has the potential to provide powerful findings to constrain and guide models into the future, with the aim of explaining a large range of memory functions, encompassing both association- and order-memory. (c) 2015 APA, all rights reserved).

  12. Nickel porphyrins for memory optical applications

    DOEpatents

    Shelnutt, John A.; Jia, Songling; Medforth, Craig; Holten, Dewey; Nelson, Nora Y.; Smith, Kevin M.

    2000-01-01

    The present invention relates to a nickel-porphyrin derivative in a matrix, the nickel-porphyrin derivative comprising at least two conformational isomers, a lower-energy-state conformer and a higher-energy-state conformer, such that when the higher-energy-state conformer is generated from the lower-energy-state conformer following absorption of a photon of suitable energy, the time to return to the lower-energy-state conformer is greater than 40 nanoseconds at approximately room temperature. The nickel-porphyrin derivative is useful in optical memory applications.

  13. Neural circuit mechanisms of short-term memory

    NASA Astrophysics Data System (ADS)

    Goldman, Mark

    Memory over time scales of seconds to tens of seconds is thought to be maintained by neural activity that is triggered by a memorized stimulus and persists long after the stimulus is turned off. This presents a challenge to current models of memory-storing mechanisms, because the typical time scales associated with cellular and synaptic dynamics are two orders of magnitude smaller than this. While such long time scales can easily be achieved by bistable processes that toggle like a flip-flop between a baseline and elevated-activity state, many neuronal systems have been observed experimentally to be capable of maintaining a continuum of stable states. For example, in neural integrator networks involved in the accumulation of evidence for decision making and in motor control, individual neurons have been recorded whose activity reflects the mathematical integral of their inputs; in the absence of input, these neurons sustain activity at a level proportional to the running total of their inputs. This represents an analog form of memory whose dynamics can be conceptualized through an energy landscape with a continuum of lowest-energy states. Such continuous attractor landscapes are structurally non-robust, in seeming violation of the relative robustness of biological memory systems. In this talk, I will present and compare different biologically motivated circuit motifs for the accumulation and storage of signals in short-term memory. Challenges to generating robust memory maintenance will be highlighted and potential mechanisms for ameliorating the sensitivity of memory networks to perturbations will be discussed. Funding for this work was provided by NIH R01 MH065034, NSF IIS-1208218, Simons Foundation 324260, and a UC Davis Ophthalmology Research to Prevent Blindness Grant.

  14. Ni-Mn-Ga shape memory nanoactuation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kohl, M., E-mail: manfred.kohl@kit.edu; Schmitt, M.; Krevet, B.

    2014-01-27

    To probe finite size effects in ferromagnetic shape memory nanoactuators, double-beam structures with minimum dimensions down to 100 nm are designed, fabricated, and characterized in-situ in a scanning electron microscope with respect to their coupled thermo-elastic and electro-thermal properties. Electrical resistance and mechanical beam bending tests demonstrate a reversible thermal shape memory effect down to 100 nm. Electro-thermal actuation involves large temperature gradients along the nanobeam in the order of 100 K/μm. We discuss the influence of surface and twin boundary energies and explain why free-standing nanoactuators behave differently compared to constrained geometries like films and nanocrystalline shape memory alloys.

  15. Ni-Mn-Ga shape memory nanoactuation

    NASA Astrophysics Data System (ADS)

    Kohl, M.; Schmitt, M.; Backen, A.; Schultz, L.; Krevet, B.; Fähler, S.

    2014-01-01

    To probe finite size effects in ferromagnetic shape memory nanoactuators, double-beam structures with minimum dimensions down to 100 nm are designed, fabricated, and characterized in-situ in a scanning electron microscope with respect to their coupled thermo-elastic and electro-thermal properties. Electrical resistance and mechanical beam bending tests demonstrate a reversible thermal shape memory effect down to 100 nm. Electro-thermal actuation involves large temperature gradients along the nanobeam in the order of 100 K/μm. We discuss the influence of surface and twin boundary energies and explain why free-standing nanoactuators behave differently compared to constrained geometries like films and nanocrystalline shape memory alloys.

  16. Electronic implementation of associative memory based on neural network models

    NASA Technical Reports Server (NTRS)

    Moopenn, A.; Lambe, John; Thakoor, A. P.

    1987-01-01

    An electronic embodiment of a neural network based associative memory in the form of a binary connection matrix is described. The nature of false memory errors, their effect on the information storage capacity of binary connection matrix memories, and a novel technique to eliminate such errors with the help of asymmetrical extra connections are discussed. The stability of the matrix memory system incorporating a unique local inhibition scheme is analyzed in terms of local minimization of an energy function. The memory's stability, dynamic behavior, and recall capability are investigated using a 32-'neuron' electronic neural network memory with a 1024-programmable binary connection matrix.

  17. An extended continuum model considering optimal velocity change with memory and numerical tests

    NASA Astrophysics Data System (ADS)

    Qingtao, Zhai; Hongxia, Ge; Rongjun, Cheng

    2018-01-01

    In this paper, an extended continuum model of traffic flow is proposed with the consideration of optimal velocity changes with memory. The new model's stability condition and KdV-Burgers equation considering the optimal velocities change with memory are deduced through linear stability theory and nonlinear analysis, respectively. Numerical simulation is carried out to study the extended continuum model, which explores how optimal velocity changes with memory affected velocity, density and energy consumption. Numerical results show that when considering the effects of optimal velocity changes with memory, the traffic jams can be suppressed efficiently. Both the memory step and sensitivity parameters of optimal velocity changes with memory will enhance the stability of traffic flow efficiently. Furthermore, numerical results demonstrates that the effect of optimal velocity changes with memory can avoid the disadvantage of historical information, which increases the stability of traffic flow on road, and so it improve the traffic flow stability and minimize cars' energy consumptions.

  18. Memory-Based Structured Application Specific Integrated Circuit (ASIC) Study

    DTIC Science & Technology

    2008-10-01

    memory interface, arbiter/ schedulers for rescheduling the memory requests according to some schedule policy, and memory channels for communicating...between the power-savings and the wakeup overhead with respect to both wakeup power and wakeup delay. For example, dream mode can save 50% more static...power than sleep mode, but at the expense of twice the wake delay and three times the wakeup energy. The user can specify power-gating modes for various components.

  19. Radiative bistability and thermal memory.

    PubMed

    Kubytskyi, Viacheslav; Biehs, Svend-Age; Ben-Abdallah, Philippe

    2014-08-15

    We predict the existence of a thermal bistability in many-body systems out of thermal equilibrium which exchange heat by thermal radiation using insulator-metal transition materials. We propose a writing-reading procedure and demonstrate the possibility to exploit the thermal bistability to make a volatile thermal memory. We show that this thermal memory can be used to store heat and thermal information (via an encoding temperature) for arbitrary long times. The radiative thermal bistability could find broad applications in the domains of thermal management, information processing, and energy storage.

  20. Memory operation mechanism of fullerene-containing polymer memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nakajima, Anri, E-mail: anakajima@hiroshima-u.ac.jp; Fujii, Daiki

    2015-03-09

    The memory operation mechanism in fullerene-containing nanocomposite gate insulators was investigated while varying the kind of fullerene in a polymer gate insulator. It was cleared what kind of traps and which positions in the nanocomposite the injected electrons or holes are stored in. The reason for the difference in the easiness of programming was clarified taking the role of the charging energy of an injected electron into account. The dependence of the carrier dynamics on the kind of fullerene molecule was investigated. A nonuniform distribution of injected carriers occurred after application of a large magnitude programming voltage due to themore » width distribution of the polystyrene barrier between adjacent fullerene molecules. Through the investigations, we demonstrated a nanocomposite gate with fullerene molecules having excellent retention characteristics and a programming capability. This will lead to the realization of practical organic memories with fullerene-containing polymer nanocomposites.« less

  1. Femtosecond excitation tuning and site energy memory of population transfer in poly(p-phenylenevinylene): Gated luminescence experiments and simulation

    NASA Astrophysics Data System (ADS)

    Sperling, J.; Milota, F.; Tortschanoff, A.; Warmuth, Ch.; Mollay, B.; Bässler, H.; Kauffmann, H. F.

    2002-12-01

    We present a comprehensive experimental and computational study on fs-relaxational dynamics of optical excitations in the conjugated polymer poly(p-phenylenevinylene) (PPV) under selective excitation tuning conditions into the long-wavelength, low-vibrational S1ν=0-density-of-states (DOS). The dependence of single-wavelength luminescence kinetics and time-windowed spectral transients on distinct, initial excitation boundaries at 1.4 K and at room temperature was measured applying the luminescence up-conversion technique. The typical energy-dispersive intra-DOS energy transfer was simulated by a combination of static Monte Carlo method with a dynamical algorithm for solving the energy-space transport Master-Equation in population-space. For various, selective excitations that give rise to specific S1-population distributions in distinct spatial and energetic subspaces inside the DOS, simulations confirm the experimental results and show that the subsequent, energy-dissipative, multilevel relaxation is hierarchically constrained, and reveals a pronounced site-energy memory effect with a migration-threshold, characteristic of the (dressed) excitation dynamics in the disordered PPV many-body system.

  2. Spin-transfer torque magnetoresistive random-access memory technologies for normally off computing (invited)

    NASA Astrophysics Data System (ADS)

    Ando, K.; Fujita, S.; Ito, J.; Yuasa, S.; Suzuki, Y.; Nakatani, Y.; Miyazaki, T.; Yoda, H.

    2014-05-01

    Most parts of present computer systems are made of volatile devices, and the power to supply them to avoid information loss causes huge energy losses. We can eliminate this meaningless energy loss by utilizing the non-volatile function of advanced spin-transfer torque magnetoresistive random-access memory (STT-MRAM) technology and create a new type of computer, i.e., normally off computers. Critical tasks to achieve normally off computers are implementations of STT-MRAM technologies in the main memory and low-level cache memories. STT-MRAM technology for applications to the main memory has been successfully developed by using perpendicular STT-MRAMs, and faster STT-MRAM technologies for applications to the cache memory are now being developed. The present status of STT-MRAMs and challenges that remain for normally off computers are discussed.

  3. Episodic memory, semantic memory, and amnesia.

    PubMed

    Squire, L R; Zola, S M

    1998-01-01

    Episodic memory and semantic memory are two types of declarative memory. There have been two principal views about how this distinction might be reflected in the organization of memory functions in the brain. One view, that episodic memory and semantic memory are both dependent on the integrity of medial temporal lobe and midline diencephalic structures, predicts that amnesic patients with medial temporal lobe/diencephalic damage should be proportionately impaired in both episodic and semantic memory. An alternative view is that the capacity for semantic memory is spared, or partially spared, in amnesia relative to episodic memory ability. This article reviews two kinds of relevant data: 1) case studies where amnesia has occurred early in childhood, before much of an individual's semantic knowledge has been acquired, and 2) experimental studies with amnesic patients of fact and event learning, remembering and knowing, and remote memory. The data provide no compelling support for the view that episodic and semantic memory are affected differently in medial temporal lobe/diencephalic amnesia. However, episodic and semantic memory may be dissociable in those amnesic patients who additionally have severe frontal lobe damage.

  4. A cannabinoid link between mitochondria and memory.

    PubMed

    Hebert-Chatelain, Etienne; Desprez, Tifany; Serrat, Román; Bellocchio, Luigi; Soria-Gomez, Edgar; Busquets-Garcia, Arnau; Pagano Zottola, Antonio Christian; Delamarre, Anna; Cannich, Astrid; Vincent, Peggy; Varilh, Marjorie; Robin, Laurie M; Terral, Geoffrey; García-Fernández, M Dolores; Colavita, Michelangelo; Mazier, Wilfrid; Drago, Filippo; Puente, Nagore; Reguero, Leire; Elezgarai, Izaskun; Dupuy, Jean-William; Cota, Daniela; Lopez-Rodriguez, Maria-Luz; Barreda-Gómez, Gabriel; Massa, Federico; Grandes, Pedro; Bénard, Giovanni; Marsicano, Giovanni

    2016-11-24

    Cellular activity in the brain depends on the high energetic support provided by mitochondria, the cell organelles which use energy sources to generate ATP. Acute cannabinoid intoxication induces amnesia in humans and animals, and the activation of type-1 cannabinoid receptors present at brain mitochondria membranes (mtCB 1 ) can directly alter mitochondrial energetic activity. Although the pathological impact of chronic mitochondrial dysfunctions in the brain is well established, the involvement of acute modulation of mitochondrial activity in high brain functions, including learning and memory, is unknown. Here, we show that acute cannabinoid-induced memory impairment in mice requires activation of hippocampal mtCB 1 receptors. Genetic exclusion of CB 1 receptors from hippocampal mitochondria prevents cannabinoid-induced reduction of mitochondrial mobility, synaptic transmission and memory formation. mtCB 1 receptors signal through intra-mitochondrial Gα i protein activation and consequent inhibition of soluble-adenylyl cyclase (sAC). The resulting inhibition of protein kinase A (PKA)-dependent phosphorylation of specific subunits of the mitochondrial electron transport system eventually leads to decreased cellular respiration. Hippocampal inhibition of sAC activity or manipulation of intra-mitochondrial PKA signalling or phosphorylation of the Complex I subunit NDUFS2 inhibit bioenergetic and amnesic effects of cannabinoids. Thus, the G protein-coupled mtCB 1 receptors regulate memory processes via modulation of mitochondrial energy metabolism. By directly linking mitochondrial activity to memory formation, these data reveal that bioenergetic processes are primary acute regulators of cognitive functions.

  5. Nonlinear analysis of an improved continuum model considering headway change with memory

    NASA Astrophysics Data System (ADS)

    Cheng, Rongjun; Wang, Jufeng; Ge, Hongxia; Li, Zhipeng

    2018-01-01

    Considering the effect of headway changes with memory, an improved continuum model of traffic flow is proposed in this paper. By means of linear stability theory, the new model’s linear stability with the effect of headway changes with memory is obtained. Through nonlinear analysis, the KdV-Burgers equation is derived to describe the propagating behavior of traffic density wave near the neutral stability line. Numerical simulation is carried out to study the improved traffic flow model, which explores how the headway changes with memory affected each car’s velocity, density and energy consumption. Numerical results show that when considering the effects of headway changes with memory, the traffic jams can be suppressed efficiently. Furthermore, research results demonstrate that the effect of headway changes with memory can avoid the disadvantage of historical information, which will improve the stability of traffic flow and minimize car energy consumption.

  6. Memory blindness: Altered memory reports lead to distortion in eyewitness memory.

    PubMed

    Cochran, Kevin J; Greenspan, Rachel L; Bogart, Daniel F; Loftus, Elizabeth F

    2016-07-01

    Choice blindness refers to the finding that people can often be misled about their own self-reported choices. However, little research has investigated the more long-term effects of choice blindness. We examined whether people would detect alterations to their own memory reports, and whether such alterations could influence participants' memories. Participants viewed slideshows depicting crimes, and then either reported their memories for episodic details of the event (Exp. 1) or identified a suspect from a lineup (Exp. 2). Then we exposed participants to manipulated versions of their memory reports, and later tested their memories a second time. The results indicated that the majority of participants failed to detect the misinformation, and that exposing witnesses to misleading versions of their own memory reports caused their memories to change to be consistent with those reports. These experiments have implications for eyewitness memory.

  7. The Molecular Basis of Memory

    PubMed Central

    2012-01-01

    We propose a tripartite biochemical mechanism for memory. Three physiologic components are involved, namely, the neuron (individual and circuit), the surrounding neural extracellular matrix, and the various trace metals distributed within the matrix. The binding of a metal cation affects a corresponding nanostructure (shrinking, twisting, expansion) and dielectric sensibility of the chelating node (address) within the matrix lattice, sensed by the neuron. The neural extracellular matrix serves as an electro-elastic lattice, wherein neurons manipulate multiple trace metals (n > 10) to encode, store, and decode coginive information. The proposed mechanism explains brains low energy requirements and high rates of storage capacity described in multiples of Avogadro number (NA = 6 × 1023). Supportive evidence correlates memory loss to trace metal toxicity or deficiency, or breakdown in the delivery/transport of metals to the matrix, or its degradation. Inherited diseases revolving around dysfunctional trace metal metabolism and memory dysfunction, include Alzheimer's disease (Al, Zn, Fe), Wilson’s disease (Cu), thalassemia (Fe), and autism (metallothionein). The tripartite mechanism points to the electro-elastic interactions of neurons with trace metals distributed within the neural extracellular matrix, as the molecular underpinning of “synaptic plasticity” affecting short-term memory, long-term memory, and forgetting. PMID:23050060

  8. Parameter optimization for transitions between memory states in small arrays of Josephson junctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rezac, Jacob D.; Imam, Neena; Braiman, Yehuda

    Coupled arrays of Josephson junctions possess multiple stable zero voltage states. Such states can store information and consequently can be utilized for cryogenic memory applications. Basic memory operations can be implemented by sending a pulse to one of the junctions and studying transitions between the states. In order to be suitable for memory operations, such transitions between the states have to be fast and energy efficient. Here in this article we employed simulated annealing, a stochastic optimization algorithm, to study parameter optimization of array parameters which minimizes times and energies of transitions between specifically chosen states that can be utilizedmore » for memory operations (Read, Write, and Reset). Simulation results show that such transitions occur with access times on the order of 10–100 ps and access energies on the order of 10 -19–5×10 -18 J. Numerical simulations are validated with approximate analytical results.« less

  9. Are subjective memory problems related to suggestibility, compliance, false memories, and objective memory performance?

    PubMed

    Van Bergen, Saskia; Jelicic, Marko; Merckelbach, Harald

    2009-01-01

    The relationship between subjective memory beliefs and suggestibility, compliance, false memories, and objective memory performance was studied in a community sample of young and middle-aged people (N = 142). We hypothesized that people with subjective memory problems would exhibit higher suggestibility and compliance levels and would be more susceptible to false recollections than those who are optimistic about their memory. In addition, we expected a discrepancy between subjective memory judgments and objective memory performance. We found that subjective memory judgments correlated significantly with compliance, with more negative memory judgments accompanying higher levels of compliance. Contrary to our expectation, subjective memory problems did not correlate with suggestibility or false recollections. Furthermore, participants were accurate in estimating their objective memory performance.

  10. Ameliorative effect of Noni fruit extract on streptozotocin-induced memory impairment in mice.

    PubMed

    Pachauri, Shakti D; Verma, Priya Ranjan P; Dwivedi, Anil K; Tota, Santoshkumar; Khandelwal, Kiran; Saxena, Jitendra K; Nath, Chandishwar

    2013-08-01

    This study evaluated the effects of a standardized ethyl acetate extract of Morinda citrifolia L. (Noni) fruit on impairment of memory, brain energy metabolism, and cholinergic function in intracerebral streptozotocin (STZ)-treated mice. STZ (0.5 mg/kg) was administered twice at an interval of 48 h. Noni (50 and 100 mg/kg, postoperatively) was administered for 21 days following STZ administration. Memory function was evaluated using Morris Water Maze and passive avoidance tests, and brain levels of cholinergic function, oxidative stress, energy metabolism, and brain-derived neurotrophic factor (BDNF) were estimated. STZ caused memory impairment in Morris Water Maze and passive avoidance tests along with reduced brain levels of ATP, BDNF, and acetylcholine and increased acetylcholinesterase activity and oxidative stress. Treatment with Noni extract (100 mg/kg) prevented the STZ-induced memory impairment in both behavioral tests along with reduced oxidative stress and acetylcholinesterase activity, and increased brain levels of BDNF, acetylcholine, and ATP level. The study shows the beneficial effects of Noni fruit against STZ-induced memory impairment, which may be attributed to improved brain energy metabolism, cholinergic neurotransmission, BDNF, and antioxidative action.

  11. Energy-dispersive neutron imaging and diffraction of magnetically driven twins in a Ni2MnGa single crystal magnetic shape memory alloy

    NASA Astrophysics Data System (ADS)

    Kabra, Saurabh; Kelleher, Joe; Kockelmann, Winfried; Gutmann, Matthias; Tremsin, Anton

    2016-09-01

    Single crystals of a partially twinned magnetic shape memory alloy, Ni2MnGa, were imaged using neutron diffraction and energy-resolved imaging techniques at the ISIS spallation neutron source. Single crystal neutron diffraction showed that the crystal produces two twin variants with a specific crystallographic relationship. Transmission images were captured using a time of flight MCP/Timepix neutron counting detector. The twinned and untwinned regions were clearly distinguishable in images corresponding to narrow-energy transmission images. Further, the spatially-resolved transmission spectra were used to elucidate the orientations of the crystallites in the different volumes of the crystal.

  12. Shape Memory Alloy Rock Splitters (SMARS)

    NASA Technical Reports Server (NTRS)

    Benafan, Othmane (Inventor); Noebe, Ronald D. (Inventor)

    2017-01-01

    Shape memory alloys (SMAs) may be used for static rock splitting. The SMAs may be used as high-energy multifunctional materials, which have a unique ability to recover large deformations and generate high stresses in response to thermal loads.

  13. Memory-induced acceleration and slowdown of barrier crossing

    NASA Astrophysics Data System (ADS)

    Kappler, Julian; Daldrop, Jan O.; Brünig, Florian N.; Boehle, Moritz D.; Netz, Roland R.

    2018-01-01

    We study the mean first-passage time τMFP for the barrier crossing of a single massive particle with non-Markovian memory by Langevin simulations in one dimension. In the Markovian limit of short memory time τΓ, the expected Kramers turnover between the overdamped (high-friction) and the inertial (low-friction) limits is recovered. Compared to the Markovian case, we find barrier crossing to be accelerated for intermediate memory time, while for long memory time, barrier crossing is slowed down and τMFP increases with τΓ as a power law τM F P˜τΓ2. Both effects are derived from an asymptotic propagator analysis: while barrier crossing acceleration at intermediate memory can be understood as an effective particle mass reduction, slowing down for long memory is caused by the slow kinetics of energy diffusion. A simple and globally accurate heuristic formula for τMFP in terms of all relevant time scales of the system is presented and used to establish a scaling diagram featuring the Markovian overdamped and the Markovian inertial regimes, as well as the non-Markovian intermediate memory time regime where barrier crossing is accelerated and the non-Markovian long memory time regime where barrier crossing is slowed down.

  14. Mechanical memory

    DOEpatents

    Gilkey, Jeffrey C [Albuquerque, NM; Duesterhaus, Michelle A [Albuquerque, NM; Peter, Frank J [Albuquerque, NM; Renn, Rosemarie A [Alburquerque, NM; Baker, Michael S [Albuquerque, NM

    2006-08-15

    A first-in-first-out (FIFO) microelectromechanical memory apparatus (also termed a mechanical memory) is disclosed. The mechanical memory utilizes a plurality of memory cells, with each memory cell having a beam which can be bowed in either of two directions of curvature to indicate two different logic states for that memory cell. The memory cells can be arranged around a wheel which operates as a clocking actuator to serially shift data from one memory cell to the next. The mechanical memory can be formed using conventional surface micromachining, and can be formed as either a nonvolatile memory or as a volatile memory.

  15. Mechanical memory

    DOEpatents

    Gilkey, Jeffrey C [Albuquerque, NM; Duesterhaus, Michelle A [Albuquerque, NM; Peter, Frank J [Albuquerque, NM; Renn, Rosemarie A [Albuquerque, NM; Baker, Michael S [Albuquerque, NM

    2006-05-16

    A first-in-first-out (FIFO) microelectromechanical memory apparatus (also termed a mechanical memory) is disclosed. The mechanical memory utilizes a plurality of memory cells, with each memory cell having a beam which can be bowed in either of two directions of curvature to indicate two different logic states for that memory cell. The memory cells can be arranged around a wheel which operates as a clocking actuator to serially shift data from one memory cell to the next. The mechanical memory can be formed using conventional surface micromachining, and can be formed as either a nonvolatile memory or as a volatile memory.

  16. Aging memories: differential decay of episodic memory components.

    PubMed

    Talamini, Lucia M; Gorree, Eva

    2012-05-17

    Some memories about events can persist for decades, even a lifetime. However, recent memories incorporate rich sensory information, including knowledge on the spatial and temporal ordering of event features, while old memories typically lack this "filmic" quality. We suggest that this apparent change in the nature of memories may reflect a preferential loss of hippocampus-dependent, configurational information over more cortically based memory components, including memory for individual objects. The current study systematically tests this hypothesis, using a new paradigm that allows the contemporaneous assessment of memory for objects, object pairings, and object-position conjunctions. Retention of each memory component was tested, at multiple intervals, up to 3 mo following encoding. The three memory subtasks adopted the same retrieval paradigm and were matched for initial difficulty. Results show differential decay of the tested episodic memory components, whereby memory for configurational aspects of a scene (objects' co-occurrence and object position) decays faster than memory for featured objects. Interestingly, memory requiring a visually detailed object representation decays at a similar rate as global object recognition, arguing against interpretations based on task difficulty and against the notion that (visual) detail is forgotten preferentially. These findings show that memories undergo qualitative changes as they age. More specifically, event memories become less configurational over time, preferentially losing some of the higher order associations that are dependent on the hippocampus for initial fast encoding. Implications for theories of long-term memory are discussed.

  17. Shape Memory Alloy Actuator

    NASA Technical Reports Server (NTRS)

    Baumbick, Robert J. (Inventor)

    2000-01-01

    The present invention discloses and teaches a unique, remote optically controlled micro actuator particularly suitable for aerospace vehicle applications wherein hot gas, or in the alternative optical energy, is employed as the medium by which shape memory alloy elements are activated. In gas turbine powered aircraft the source of the hot gas may be the turbine engine compressor or turbine sections.

  18. Shape Memory Alloy Actuator

    NASA Technical Reports Server (NTRS)

    Baumbick, Robert J. (Inventor)

    2002-01-01

    The present invention discloses and teaches a unique, remote optically controlled micro actuator particularly suitable for aerospace vehicle applications wherein hot gas, or in the alternative optical energy, is employed as the medium by which shape memory alloy elements are activated. In gas turbine powered aircraft the source of the hot gas may be the turbine engine compressor or turbine sections.

  19. Including Memory Friction in Single- and Two-State Quantum Dynamics Simulations.

    PubMed

    Brown, Paul A; Messina, Michael

    2016-03-03

    We present a simple computational algorithm that allows for the inclusion of memory friction in a quantum dynamics simulation of a small, quantum, primary system coupled to many atoms in the surroundings. We show how including a memory friction operator, F̂, in the primary quantum system's Hamiltonian operator builds memory friction into the dynamics of the primary quantum system. We show that, in the harmonic, semi-classical limit, this friction operator causes the classical phase-space centers of a wavepacket to evolve exactly as if it were a classical particle experiencing memory friction. We also show that this friction operator can be used to include memory friction in the quantum dynamics of an anharmonic primary system. We then generalize the algorithm so that it can be used to treat a primary quantum system that is evolving, non-adiabatically on two coupled potential energy surfaces, i.e., a model that can be used to model H atom transfer, for example. We demonstrate this approach's computational ease and flexibility by showing numerical results for both harmonic and anharmonic primary quantum systems in the single surface case. Finally, we present numerical results for a model of non-adiabatic H atom transfer between a reactant and product state that includes memory friction on one or both of the non-adiabatic potential energy surfaces and uncover some interesting dynamical effects of non-memory friction on the H atom transfer process.

  20. Random walk with memory enhancement and decay

    NASA Astrophysics Data System (ADS)

    Tan, Zhi-Jie; Zou, Xian-Wu; Huang, Sheng-You; Zhang, Wei; Jin, Zhun-Zhi

    2002-04-01

    A model of random walk with memory enhancement and decay was presented on the basis of the characteristics of the biological intelligent walks. In this model, the movement of the walker is determined by the difference between the remaining information at the jumping-out site and jumping-in site. The amount of the memory information si(t) at a site i is enhanced with the increment of visiting times to that site, and decays with time t by the rate e-βt, where β is the memory decay exponent. When β=0, there exists a transition from Brownian motion (BM) to the compact growth of walking trajectory with the density of information energy u increasing. But for β>0, this transition does not appear and the walk with memory enhancement and decay can be considered as the BM of the mass center of the cluster composed of remembered sites in the late stage.

  1. Nanogap-Engineerable Electromechanical System for Ultralow Power Memory.

    PubMed

    Zhang, Jian; Deng, Ya; Hu, Xiao; Nshimiyimana, Jean Pierre; Liu, Siyu; Chi, Xiannian; Wu, Pei; Dong, Fengliang; Chen, Peipei; Chu, Weiguo; Zhou, Haiqing; Sun, Lianfeng

    2018-02-01

    Nanogap engineering of low-dimensional nanomaterials has received considerable interest in a variety of fields, ranging from molecular electronics to memories. Creating nanogaps at a certain position is of vital importance for the repeatable fabrication of the devices. Here, a rational design of nonvolatile memories based on sub-5 nm nanogaped single-walled carbon nanotubes (SWNTs) via the electromechanical motion is reported. The nanogaps are readily realized by electroburning in a partially suspended SWNT device with nanoscale region. The SWNT memory devices are applicable for both metallic and semiconducting SWNTs, resolving the challenge of separation of semiconducting SWNTs from metallic ones. Meanwhile, the memory devices exhibit excellent performance: ultralow writing energy (4.1 × 10 -19 J bit -1 ), ON/OFF ratio of 10 5 , stable switching ON operations, and over 30 h retention time in ambient conditions.

  2. Nanogap‐Engineerable Electromechanical System for Ultralow Power Memory

    PubMed Central

    Zhang, Jian; Deng, Ya; Hu, Xiao; Nshimiyimana, Jean Pierre; Liu, Siyu; Chi, Xiannian; Wu, Pei; Dong, Fengliang; Chen, Peipei

    2017-01-01

    Abstract Nanogap engineering of low‐dimensional nanomaterials has received considerable interest in a variety of fields, ranging from molecular electronics to memories. Creating nanogaps at a certain position is of vital importance for the repeatable fabrication of the devices. Here, a rational design of nonvolatile memories based on sub‐5 nm nanogaped single‐walled carbon nanotubes (SWNTs) via the electromechanical motion is reported. The nanogaps are readily realized by electroburning in a partially suspended SWNT device with nanoscale region. The SWNT memory devices are applicable for both metallic and semiconducting SWNTs, resolving the challenge of separation of semiconducting SWNTs from metallic ones. Meanwhile, the memory devices exhibit excellent performance: ultralow writing energy (4.1 × 10−19 J bit−1), ON/OFF ratio of 105, stable switching ON operations, and over 30 h retention time in ambient conditions. PMID:29619307

  3. Memory. Engram cells retain memory under retrograde amnesia.

    PubMed

    Ryan, Tomás J; Roy, Dheeraj S; Pignatelli, Michele; Arons, Autumn; Tonegawa, Susumu

    2015-05-29

    Memory consolidation is the process by which a newly formed and unstable memory transforms into a stable long-term memory. It is unknown whether the process of memory consolidation occurs exclusively through the stabilization of memory engrams. By using learning-dependent cell labeling, we identified an increase of synaptic strength and dendritic spine density specifically in consolidated memory engram cells. Although these properties are lacking in engram cells under protein synthesis inhibitor-induced amnesia, direct optogenetic activation of these cells results in memory retrieval, and this correlates with retained engram cell-specific connectivity. We propose that a specific pattern of connectivity of engram cells may be crucial for memory information storage and that strengthened synapses in these cells critically contribute to the memory retrieval process. Copyright © 2015, American Association for the Advancement of Science.

  4. MEMORY MODULATION

    PubMed Central

    Roozendaal, Benno; McGaugh, James L.

    2011-01-01

    Our memories are not all created equally strong: Some experiences are well remembered while others are remembered poorly, if at all. Research on memory modulation investigates the neurobiological processes and systems that contribute to such differences in the strength of our memories. Extensive evidence from both animal and human research indicates that emotionally significant experiences activate hormonal and brain systems that regulate the consolidation of newly acquired memories. These effects are integrated through noradrenergic activation of the basolateral amygdala which regulates memory consolidation via interactions with many other brain regions involved in consolidating memories of recent experiences. Modulatory systems not only influence neurobiological processes underlying the consolidation of new information, but also affect other mnemonic processes, including memory extinction, memory recall and working memory. In contrast to their enhancing effects on consolidation, adrenal stress hormones impair memory retrieval and working memory. Such effects, as with memory consolidation, require noradrenergic activation of the basolateral amygdala and interactions with other brain regions. PMID:22122145

  5. The Relationships of Working Memory, Secondary Memory, and General Fluid Intelligence: Working Memory is Special

    PubMed Central

    Shelton, Jill Talley; Elliott, Emily M.; Matthews, Russell A.; Hill, B. D.; Gouvier, Wm. Drew

    2010-01-01

    Recent efforts have been made to elucidate the commonly observed link between working memory and reasoning ability. The results have been inconsistent, with some work suggesting the emphasis placed on retrieval from secondary memory by working memory tests is the driving force behind this association (Mogle, Lovett, Stawski, & Sliwinski, 2008), while other research suggests retrieval from secondary memory is only partly responsible for the observed link between working memory and reasoning (Unsworth & Engle, 2006, 2007b). The present study investigates the relationship between processing speed, working memory, secondary memory, primary memory, and fluid intelligence. Although our findings show all constructs are significantly correlated with fluid intelligence, working memory, but not secondary memory, accounts for significant unique variance in fluid intelligence. Our data support predictions made by Unsworth and Engle, and suggest that the combined need for maintenance and retrieval processes present in working memory tests makes them “special” in their prediction of higher-order cognition. PMID:20438278

  6. Detailed sensory memory, sloppy working memory.

    PubMed

    Sligte, Ilja G; Vandenbroucke, Annelinde R E; Scholte, H Steven; Lamme, Victor A F

    2010-01-01

    Visual short-term memory (VSTM) enables us to actively maintain information in mind for a brief period of time after stimulus disappearance. According to recent studies, VSTM consists of three stages - iconic memory, fragile VSTM, and visual working memory - with increasingly stricter capacity limits and progressively longer lifetimes. Still, the resolution (or amount of visual detail) of each VSTM stage has remained unexplored and we test this in the present study. We presented people with a change detection task that measures the capacity of all three forms of VSTM, and we added an identification display after each change trial that required people to identify the "pre-change" object. Accurate change detection plus pre-change identification requires subjects to have a high-resolution representation of the "pre-change" object, whereas change detection or identification only can be based on the hunch that something has changed, without exactly knowing what was presented before. We observed that people maintained 6.1 objects in iconic memory, 4.6 objects in fragile VSTM, and 2.1 objects in visual working memory. Moreover, when people detected the change, they could also identify the pre-change object on 88% of the iconic memory trials, on 71% of the fragile VSTM trials and merely on 53% of the visual working memory trials. This suggests that people maintain many high-resolution representations in iconic memory and fragile VSTM, but only one high-resolution object representation in visual working memory.

  7. Acoustically assisted spin-transfer-torque switching of nanomagnets: An energy-efficient hybrid writing scheme for non-volatile memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Biswas, Ayan K.; Bandyopadhyay, Supriyo; Atulasimha, Jayasimha

    We show that the energy dissipated to write bits in spin-transfer-torque random access memory can be reduced by an order of magnitude if a surface acoustic wave (SAW) is launched underneath the magneto-tunneling junctions (MTJs) storing the bits. The SAW-generated strain rotates the magnetization of every MTJs' soft magnet from the easy towards the hard axis, whereupon passage of a small spin-polarized current through a target MTJ selectively switches it to the desired state with > 99.99% probability at room temperature, thereby writing the bit. The other MTJs return to their original states at the completion of the SAW cycle.

  8. Static Memory Deduplication for Performance Optimization in Cloud Computing.

    PubMed

    Jia, Gangyong; Han, Guangjie; Wang, Hao; Yang, Xuan

    2017-04-27

    In a cloud computing environment, the number of virtual machines (VMs) on a single physical server and the number of applications running on each VM are continuously growing. This has led to an enormous increase in the demand of memory capacity and subsequent increase in the energy consumption in the cloud. Lack of enough memory has become a major bottleneck for scalability and performance of virtualization interfaces in cloud computing. To address this problem, memory deduplication techniques which reduce memory demand through page sharing are being adopted. However, such techniques suffer from overheads in terms of number of online comparisons required for the memory deduplication. In this paper, we propose a static memory deduplication (SMD) technique which can reduce memory capacity requirement and provide performance optimization in cloud computing. The main innovation of SMD is that the process of page detection is performed offline, thus potentially reducing the performance cost, especially in terms of response time. In SMD, page comparisons are restricted to the code segment, which has the highest shared content. Our experimental results show that SMD efficiently reduces memory capacity requirement and improves performance. We demonstrate that, compared to other approaches, the cost in terms of the response time is negligible.

  9. Static Memory Deduplication for Performance Optimization in Cloud Computing

    PubMed Central

    Jia, Gangyong; Han, Guangjie; Wang, Hao; Yang, Xuan

    2017-01-01

    In a cloud computing environment, the number of virtual machines (VMs) on a single physical server and the number of applications running on each VM are continuously growing. This has led to an enormous increase in the demand of memory capacity and subsequent increase in the energy consumption in the cloud. Lack of enough memory has become a major bottleneck for scalability and performance of virtualization interfaces in cloud computing. To address this problem, memory deduplication techniques which reduce memory demand through page sharing are being adopted. However, such techniques suffer from overheads in terms of number of online comparisons required for the memory deduplication. In this paper, we propose a static memory deduplication (SMD) technique which can reduce memory capacity requirement and provide performance optimization in cloud computing. The main innovation of SMD is that the process of page detection is performed offline, thus potentially reducing the performance cost, especially in terms of response time. In SMD, page comparisons are restricted to the code segment, which has the highest shared content. Our experimental results show that SMD efficiently reduces memory capacity requirement and improves performance. We demonstrate that, compared to other approaches, the cost in terms of the response time is negligible. PMID:28448434

  10. Declarative memory impairments following a military combat course: parallel neuropsychological and biochemical investigations.

    PubMed

    Piérard, Christophe; Béracochéa, Daniel; Pérès, Michel; Jouanin, Jean-Claude; Liscia, Pierrette; Satabin, Pascale; Martin, Serge; Testylier, Guy; Guézennec, Charles Yannick; Beaumont, Maurice

    2004-01-01

    The aim of this study was to investigate the impact on several forms of memory and metabolism of a 5-day combat course including heavy and continuous physical activities and sleep deprivation. Mnemonic performance and biochemical parameters of 21 male soldiers were examined before and at the end of the course. Our results showed that short-term memory (memory span, visual memory, audiovisual association) and long-term memory were significantly impaired, whereas short-term spatial memory and planning tasks were spared. Parallel biochemical analysis showed an adaptation of energy metabolism. The observed decrease in glycaemia may be partly responsible for the long-term memory impairment, whereas the decreases in plasma cholinesterases and choline may be involved in the short-term memory deterioration. However, there are also many other reasons for the observed memory changes, one of them being chronic sleep deprivation. Copyright 2004 S. Karger AG, Basel

  11. The Benefit of Attention-to-Memory Depends on the Interplay of Memory Capacity and Memory Load

    PubMed Central

    Lim, Sung-Joo; Wöstmann, Malte; Geweke, Frederik; Obleser, Jonas

    2018-01-01

    Humans can be cued to attend to an item in memory, which facilitates and enhances the perceptual precision in recalling this item. Here, we demonstrate that this facilitating effect of attention-to-memory hinges on the overall degree of memory load. The benefit an individual draws from attention-to-memory depends on her overall working memory performance, measured as sensitivity (d′) in a retroactive cue (retro-cue) pitch discrimination task. While listeners maintained 2, 4, or 6 auditory syllables in memory, we provided valid or neutral retro-cues to direct listeners’ attention to one, to-be-probed syllable in memory. Participants’ overall memory performance (i.e., perceptual sensitivity d′) was relatively unaffected by the presence of valid retro-cues across memory loads. However, a more fine-grained analysis using psychophysical modeling shows that valid retro-cues elicited faster pitch-change judgments and improved perceptual precision. Importantly, as memory load increased, listeners’ overall working memory performance correlated with inter-individual differences in the degree to which precision improved (r = 0.39, p = 0.029). Under high load, individuals with low working memory profited least from attention-to-memory. Our results demonstrate that retrospective attention enhances perceptual precision of attended items in memory but listeners’ optimal use of informative cues depends on their overall memory abilities. PMID:29520246

  12. Low-level light therapy improves cortical metabolic capacity and memory retention.

    PubMed

    Rojas, Julio C; Bruchey, Aleksandra K; Gonzalez-Lima, Francisco

    2012-01-01

    Cerebral hypometabolism characterizes mild cognitive impairment and Alzheimer's disease. Low-level light therapy (LLLT) enhances the metabolic capacity of neurons in culture through photostimulation of cytochrome oxidase, the mitochondrial enzyme that catalyzes oxygen consumption in cellular respiration. Growing evidence supports that neuronal metabolic enhancement by LLLT positively impacts neuronal function in vitro and in vivo. Based on its effects on energy metabolism, it is proposed that LLLT will also affect the cerebral cortex in vivo and modulate higher-order cognitive functions such as memory. In vivo effects of LLLT on brain and behavior are poorly characterized. We tested the hypothesis that in vivo LLLT facilitates cortical oxygenation and metabolic energy capacity and thereby improves memory retention. Specifically, we tested this hypothesis in rats using fear extinction memory, a form of memory modulated by prefrontal cortex activation. Effects of LLLT on brain metabolism were determined through measurement of prefrontal cortex oxygen concentration with fluorescent quenching oximetry and by quantitative cytochrome oxidase histochemistry. Experiment 1 verified that LLLT increased the rate of oxygen consumption in the prefrontal cortex in vivo. Experiment 2 showed that LLLT-treated rats had an enhanced extinction memory as compared to controls. Experiment 3 showed that LLLT reduced fear renewal and prevented the reemergence of extinguished conditioned fear responses. Experiment 4 showed that LLLT induced hormetic dose-response effects on the metabolic capacity of the prefrontal cortex. These data suggest that LLLT can enhance cortical metabolic capacity and retention of extinction memories, and implicate LLLT as a novel intervention to improve memory.

  13. Structural energy dissipation in extreme loading events using shape memory alloys

    NASA Astrophysics Data System (ADS)

    Angioni, Stefano L.

    It is well known that composite materials have a poor resistance to the damage caused by the impact of foreign objects on their outer surface. There are various methods for improving the impact damage tolerance of composite materials, such as: fibre toughening, matrix toughening, interface toughening, through the thickness reinforcements and selective interlayers and hybrids. Hybrid composites with improved impact resistance would be particularly useful in military and commercial civil applications. Hybridizing composites using shape memory alloys (SMAs) is one solution since SMA materials can absorb the energy of impact through superelastic deformation or recovery stress reducing the effects of the impact on the composite structure. The SMA material may be embedded in the hybrid composites (SMAHC) in many different forms and also the characteristics of the fibre reinforcements may vary, such as SMA wires in unidirectional laminates or SMA foils in unidirectional laminates only to cite two examples. Recently SMA fibres have been embedded in 2-D woven composites. As part of this work, the existing theoretical models for woven composites have been extended to the case of woven SMAHC using a multiscale methodology in order to predict the mechanical properties and failure behaviour of SMAHC plates. Also several parts of the model have been coded in MATLAB and validated against results extracted from the literature, showing good correlation..

  14. The Importance of Memory Specificity and Memory Coherence for the Self: Linking Two Characteristics of Autobiographical Memory

    PubMed Central

    Vanderveren, Elien; Bijttebier, Patricia; Hermans, Dirk

    2017-01-01

    Autobiographical memory forms a network of memories about personal experiences that defines and supports well-being and effective functioning of the self in various ways. During the last three decades, there have been two characteristics of autobiographical memory that have received special interest regarding their role in psychological well-being and psychopathology, namely memory specificity and memory coherence. Memory specificity refers to the extent to which retrieved autobiographical memories are specific (i.e., memories about a particular experience that happened on a particular day). Difficulty retrieving specific memories interferes with effective functioning of the self and is related to depression and post-traumatic stress disorder. Memory coherence refers to the narrative expression of the overall structure of autobiographical memories. It has likewise been related to psychological well-being and the occurrence of psychopathology. Research on memory specificity and memory coherence has developed as two largely independent research domains, even though they show much overlap. This raises some important theoretical questions. How do these two characteristics of autobiographical memory relate to each other, both theoretically and empirically? Additionally, how can the integration of these two facilitate our understanding of the importance of autobiographical memory for the self? In this article, we give a critical overview of memory specificity and memory coherence and their relation to the self. We link both features of autobiographical memory by describing some important similarities and by formulating hypotheses about how they might relate to each other. By situating both memory specificity and memory coherence within Conway and Pleydell-Pearce’s Self-Memory System, we make a first attempt at a theoretical integration. Finally, we suggest some new and exciting research possibilities and explain how both research fields could benefit from integration

  15. Memory

    MedlinePlus

    ... it has to decide what is worth remembering. Memory is the process of storing and then remembering this information. There are different types of memory. Short-term memory stores information for a few ...

  16. Behavioural memory reconsolidation of food and fear memories

    PubMed Central

    Flavell, Charlotte R.; Barber, David J.; Lee, Jonathan L. C.

    2012-01-01

    The reactivation of a memory through retrieval can render it subject to disruption or modification through the process of memory reconsolidation. In both humans and rodents, briefly reactivating a fear memory results in effective erasure by subsequent extinction training. Here we show that a similar strategy is equally effective in the disruption of appetitive pavlovian cue–food memories. However, systemic administration of the NMDA receptor partial agonist D-cycloserine under the same behavioural conditions did not potentiate appetitive memory extinction, suggesting that reactivation does not enhance subsequent extinction learning. To confirm that reactivation followed by extinction reflects a behavioural analog of memory reconsolidation, we show that prevention of contextual fear memory reactivation by the LVGCC blocker nimodipine interferes with the amnestic outcome. Therefore, the reconsolidation process can be manipulated behaviourally to disrupt both aversive and appetitive memories. PMID:22009036

  17. Cognitive memory.

    PubMed

    Widrow, Bernard; Aragon, Juan Carlos

    2013-05-01

    Regarding the workings of the human mind, memory and pattern recognition seem to be intertwined. You generally do not have one without the other. Taking inspiration from life experience, a new form of computer memory has been devised. Certain conjectures about human memory are keys to the central idea. The design of a practical and useful "cognitive" memory system is contemplated, a memory system that may also serve as a model for many aspects of human memory. The new memory does not function like a computer memory where specific data is stored in specific numbered registers and retrieval is done by reading the contents of the specified memory register, or done by matching key words as with a document search. Incoming sensory data would be stored at the next available empty memory location, and indeed could be stored redundantly at several empty locations. The stored sensory data would neither have key words nor would it be located in known or specified memory locations. Sensory inputs concerning a single object or subject are stored together as patterns in a single "file folder" or "memory folder". When the contents of the folder are retrieved, sights, sounds, tactile feel, smell, etc., are obtained all at the same time. Retrieval would be initiated by a query or a prompt signal from a current set of sensory inputs or patterns. A search through the memory would be made to locate stored data that correlates with or relates to the prompt input. The search would be done by a retrieval system whose first stage makes use of autoassociative artificial neural networks and whose second stage relies on exhaustive search. Applications of cognitive memory systems have been made to visual aircraft identification, aircraft navigation, and human facial recognition. Concerning human memory, reasons are given why it is unlikely that long-term memory is stored in the synapses of the brain's neural networks. Reasons are given suggesting that long-term memory is stored in DNA or RNA

  18. Evaluating architecture impact on system energy efficiency

    PubMed Central

    Yu, Shijie; Wang, Rui; Luan, Zhongzhi; Qian, Depei

    2017-01-01

    As the energy consumption has been surging in an unsustainable way, it is important to understand the impact of existing architecture designs from energy efficiency perspective, which is especially valuable for High Performance Computing (HPC) and datacenter environment hosting tens of thousands of servers. One obstacle hindering the advance of comprehensive evaluation on energy efficiency is the deficient power measuring approach. Most of the energy study relies on either external power meters or power models, both of these two methods contain intrinsic drawbacks in their practical adoption and measuring accuracy. Fortunately, the advent of Intel Running Average Power Limit (RAPL) interfaces has promoted the power measurement ability into next level, with higher accuracy and finer time resolution. Therefore, we argue it is the exact time to conduct an in-depth evaluation of the existing architecture designs to understand their impact on system energy efficiency. In this paper, we leverage representative benchmark suites including serial and parallel workloads from diverse domains to evaluate the architecture features such as Non Uniform Memory Access (NUMA), Simultaneous Multithreading (SMT) and Turbo Boost. The energy is tracked at subcomponent level such as Central Processing Unit (CPU) cores, uncore components and Dynamic Random-Access Memory (DRAM) through exploiting the power measurement ability exposed by RAPL. The experiments reveal non-intuitive results: 1) the mismatch between local compute and remote memory node caused by NUMA effect not only generates dramatic power and energy surge but also deteriorates the energy efficiency significantly; 2) for multithreaded application such as the Princeton Application Repository for Shared-Memory Computers (PARSEC), most of the workloads benefit a notable increase of energy efficiency using SMT, with more than 40% decline in average power consumption; 3) Turbo Boost is effective to accelerate the workload execution

  19. Evaluating architecture impact on system energy efficiency.

    PubMed

    Yu, Shijie; Yang, Hailong; Wang, Rui; Luan, Zhongzhi; Qian, Depei

    2017-01-01

    As the energy consumption has been surging in an unsustainable way, it is important to understand the impact of existing architecture designs from energy efficiency perspective, which is especially valuable for High Performance Computing (HPC) and datacenter environment hosting tens of thousands of servers. One obstacle hindering the advance of comprehensive evaluation on energy efficiency is the deficient power measuring approach. Most of the energy study relies on either external power meters or power models, both of these two methods contain intrinsic drawbacks in their practical adoption and measuring accuracy. Fortunately, the advent of Intel Running Average Power Limit (RAPL) interfaces has promoted the power measurement ability into next level, with higher accuracy and finer time resolution. Therefore, we argue it is the exact time to conduct an in-depth evaluation of the existing architecture designs to understand their impact on system energy efficiency. In this paper, we leverage representative benchmark suites including serial and parallel workloads from diverse domains to evaluate the architecture features such as Non Uniform Memory Access (NUMA), Simultaneous Multithreading (SMT) and Turbo Boost. The energy is tracked at subcomponent level such as Central Processing Unit (CPU) cores, uncore components and Dynamic Random-Access Memory (DRAM) through exploiting the power measurement ability exposed by RAPL. The experiments reveal non-intuitive results: 1) the mismatch between local compute and remote memory node caused by NUMA effect not only generates dramatic power and energy surge but also deteriorates the energy efficiency significantly; 2) for multithreaded application such as the Princeton Application Repository for Shared-Memory Computers (PARSEC), most of the workloads benefit a notable increase of energy efficiency using SMT, with more than 40% decline in average power consumption; 3) Turbo Boost is effective to accelerate the workload execution

  20. A hybrid ferroelectric-flash memory cells

    NASA Astrophysics Data System (ADS)

    Park, Jae Hyo; Byun, Chang Woo; Seok, Ki Hwan; Kim, Hyung Yoon; Chae, Hee Jae; Lee, Sol Kyu; Son, Se Wan; Ahn, Donghwan; Joo, Seung Ki

    2014-09-01

    A ferroelectric-flash (F-flash) memory cells having a metal-ferroelectric-nitride-oxynitride-silicon structure are demonstrated, and the ferroelectric materials were perovskite-dominated Pb(Zr,Ti)O3 (PZT) crystallized by Pt gate electrode. The PZT thin-film as a blocking layer improves electrical and memorial performance where programming and erasing mechanism are different from the metal-ferroelectric-insulator-semiconductor device or the conventional silicon-oxide-nitride-oxide-silicon device. F-flash cells exhibit not only the excellent electrical transistor performance, having 442.7 cm2 V-1 s-1 of field-effect mobility, 190 mV dec-1 of substhreshold slope, and 8 × 105 on/off drain current ratio, but also a high reliable memory characteristics, having a large memory window (6.5 V), low-operating voltage (0 to -5 V), faster P/E switching speed (50/500 μs), long retention time (>10 years), and excellent fatigue P/E cycle (>105) due to the boosting effect, amplification effect, and energy band distortion of nitride from the large polarization. All these characteristics correspond to the best performances among conventional flash cells reported so far.

  1. The contributions of handedness and working memory to episodic memory.

    PubMed

    Sahu, Aparna; Christman, Stephen D; Propper, Ruth E

    2016-11-01

    Past studies have independently shown associations of working memory and degree of handedness with episodic memory retrieval. The current study takes a step ahead by examining whether handedness and working memory independently predict episodic memory. In agreement with past studies, there was an inconsistent-handed advantage for episodic memory; however, this advantage was absent for working memory tasks. Furthermore, regression analyses showed handedness, and complex working memory predicted episodic memory performance at different times. Results are discussed in light of theories of episodic memory and hemispheric interaction.

  2. Memory Dysfunction

    PubMed Central

    Matthews, Brandy R.

    2015-01-01

    Purpose of Review: This article highlights the dissociable human memory systems of episodic, semantic, and procedural memory in the context of neurologic illnesses known to adversely affect specific neuroanatomic structures relevant to each memory system. Recent Findings: Advances in functional neuroimaging and refinement of neuropsychological and bedside assessment tools continue to support a model of multiple memory systems that are distinct yet complementary and to support the potential for one system to be engaged as a compensatory strategy when a counterpart system fails. Summary: Episodic memory, the ability to recall personal episodes, is the subtype of memory most often perceived as dysfunctional by patients and informants. Medial temporal lobe structures, especially the hippocampal formation and associated cortical and subcortical structures, are most often associated with episodic memory loss. Episodic memory dysfunction may present acutely, as in concussion; transiently, as in transient global amnesia (TGA); subacutely, as in thiamine deficiency; or chronically, as in Alzheimer disease. Semantic memory refers to acquired knowledge about the world. Anterior and inferior temporal lobe structures are most often associated with semantic memory loss. The semantic variant of primary progressive aphasia (svPPA) is the paradigmatic disorder resulting in predominant semantic memory dysfunction. Working memory, associated with frontal lobe function, is the active maintenance of information in the mind that can be potentially manipulated to complete goal-directed tasks. Procedural memory, the ability to learn skills that become automatic, involves the basal ganglia, cerebellum, and supplementary motor cortex. Parkinson disease and related disorders result in procedural memory deficits. Most memory concerns warrant bedside cognitive or neuropsychological evaluation and neuroimaging to assess for specific neuropathologies and guide treatment. PMID:26039844

  3. An energy efficient and high speed architecture for convolution computing based on binary resistive random access memory

    NASA Astrophysics Data System (ADS)

    Liu, Chen; Han, Runze; Zhou, Zheng; Huang, Peng; Liu, Lifeng; Liu, Xiaoyan; Kang, Jinfeng

    2018-04-01

    In this work we present a novel convolution computing architecture based on metal oxide resistive random access memory (RRAM) to process the image data stored in the RRAM arrays. The proposed image storage architecture shows performances of better speed-device consumption efficiency compared with the previous kernel storage architecture. Further we improve the architecture for a high accuracy and low power computing by utilizing the binary storage and the series resistor. For a 28 × 28 image and 10 kernels with a size of 3 × 3, compared with the previous kernel storage approach, the newly proposed architecture shows excellent performances including: 1) almost 100% accuracy within 20% LRS variation and 90% HRS variation; 2) more than 67 times speed boost; 3) 71.4% energy saving.

  4. Developmental dissociation between the maturation of procedural memory and declarative memory.

    PubMed

    Finn, Amy S; Kalra, Priya B; Goetz, Calvin; Leonard, Julia A; Sheridan, Margaret A; Gabrieli, John D E

    2016-02-01

    Declarative memory and procedural memory are known to be two fundamentally different kinds of memory that are dissociable in their psychological characteristics and measurement (explicit vs. implicit) and in the neural systems that subserve each kind of memory. Declarative memory abilities are known to improve from childhood through young adulthood, but the developmental maturation of procedural memory is largely unknown. We compared 10-year-old children and young adults on measures of declarative memory and working memory capacity and on four measures of procedural memory that have been strongly dissociated from declarative memory (mirror tracing, rotary pursuit, probabilistic classification, and artificial grammar). Children had lesser declarative memory ability and lesser working memory capacity than adults, but children exhibited learning equivalent to adults on all four measures of procedural memory. Therefore, declarative memory and procedural memory are developmentally dissociable, with procedural memory being adult-like by age 10years and declarative memory continuing to mature into young adulthood. Copyright © 2015 Elsevier Inc. All rights reserved.

  5. Emerging memories

    NASA Astrophysics Data System (ADS)

    Baldi, Livio; Bez, Roberto; Sandhu, Gurtej

    2014-12-01

    Memory is a key component of any data processing system. Following the classical Turing machine approach, memories hold both the data to be processed and the rules for processing them. In the history of microelectronics, the distinction has been rather between working memory, which is exemplified by DRAM, and storage memory, exemplified by NAND. These two types of memory devices now represent 90% of all memory market and 25% of the total semiconductor market, and have been the technology drivers in the last decades. Even if radically different in characteristics, they are however based on the same storage mechanism: charge storage, and this mechanism seems to be near to reaching its physical limits. The search for new alternative memory approaches, based on more scalable mechanisms, has therefore gained new momentum. The status of incumbent memory technologies and their scaling limitations will be discussed. Emerging memory technologies will be analyzed, starting from the ones that are already present for niche applications, and which are getting new attention, thanks to recent technology breakthroughs. Maturity level, physical limitations and potential for scaling will be compared to existing memories. At the end the possible future composition of memory systems will be discussed.

  6. Nitrogen-doped partially reduced graphene oxide rewritable nonvolatile memory.

    PubMed

    Seo, Sohyeon; Yoon, Yeoheung; Lee, Junghyun; Park, Younghun; Lee, Hyoyoung

    2013-04-23

    As memory materials, two-dimensional (2D) carbon materials such as graphene oxide (GO)-based materials have attracted attention due to a variety of advantageous attributes, including their solution-processability and their potential for highly scalable device fabrication for transistor-based memory and cross-bar memory arrays. In spite of this, the use of GO-based materials has been limited, primarily due to uncontrollable oxygen functional groups. To induce the stable memory effect by ionic charges of a negatively charged carboxylic acid group of partially reduced graphene oxide (PrGO), a positively charged pyridinium N that served as a counterion to the negatively charged carboxylic acid was carefully introduced on the PrGO framework. Partially reduced N-doped graphene oxide (PrGODMF) in dimethylformamide (DMF) behaved as a semiconducting nonvolatile memory material. Its optical energy band gap was 1.7-2.1 eV and contained a sp2 C═C framework with 45-50% oxygen-functionalized carbon density and 3% doped nitrogen atoms. In particular, rewritable nonvolatile memory characteristics were dependent on the proportion of pyridinum N, and as the proportion of pyridinium N atom decreased, the PrGODMF film lost memory behavior. Polarization of charged PrGODMF containing pyridinium N and carboxylic acid under an electric field produced N-doped PrGODMF memory effects that followed voltage-driven rewrite-read-erase-read processes.

  7. Central acylated ghrelin improves memory function and hippocampal AMPK activation and partly reverses the impairment of energy and glucose metabolism in rats infused with β-amyloid.

    PubMed

    Kang, Suna; Moon, Na Rang; Kim, Da Sol; Kim, Sung Hoon; Park, Sunmin

    2015-09-01

    Ghrelin is a gastric hormone released during the fasting state that targets the hypothalamus where it induces hunger; however, emerging evidence suggests it may also affect memory function. We examined the effect of central acylated-ghrelin and DES-acetylated ghrelin (native ghrelin) on memory function and glucose metabolism in an experimentally induced Alzheimer's disease (AD) rat model. AD rats were divided into 3 groups and Non-AD rats were used as a normal-control group. Each rat in the AD groups had intracerebroventricular (ICV) infusion of β-amyloid (25-35; 16.8nmol/day) into the lateral ventricle for 3 days, and then the pumps were changed to infuse either acylated-ghrelin (0.2nmol/h; AD-G), DES-acylated ghrelin (0.2nmol/h; AD-DES-G), or saline (control; AD-C) for 3 weeks. The Non-AD group had ICV infusion of β-amyloid (35-25) which does not deposit in the hippocampus. During the next 3 weeks memory function, food intake, body weight gain, body fat composition, and glucose metabolism were measured. AD-C exhibited greater β-amyloid deposition compared to Non-AD-C, and AD-G suppressed the increased β-amyloid deposition and potentiated the phosphorylation AMPK. In addition, AD-G increased the phosphorylation GSK and decreased the phosphorylation of Tau in comparison to AD-C and AD-DES-G. Cognitive function, measured by passive avoidance and water maze tests, was much lower in AD-C than Non-AD-C whereas AD-G but not AD-DES-G prevented the decrease (p<0.021). Body weight gain was lower in AD-C group than Non-AD-C group without changing epididymal fat mass. AD-G reversed the decrease in body weight which was due to increased energy intake and decreased energy expenditure. The AD-G group exhibited a decrease in the second part of serum glucose levels during an oral glucose tolerance test (OGTT) compared to the AD-C and AD-DES-G group (p<0.009). However, area under the curve of insulin during the first part of OGTT was higher in AD-DES-G than other groups

  8. AMPK Signaling in the Dorsal Hippocampus Negatively Regulates Contextual Fear Memory Formation

    PubMed Central

    Han, Ying; Luo, Yixiao; Sun, Jia; Ding, Zengbo; Liu, Jianfeng; Yan, Wei; Jian, Min; Xue, Yanxue; Shi, Jie; Wang, Ji-Shi; Lu, Lin

    2016-01-01

    Both the formation of long-term memory (LTM) and dendritic spine growth that serves as a physical basis for the long-term storage of information require de novo protein synthesis. Memory formation also critically depends on transcription. Adenosine monophosphate-activated protein kinase (AMPK) is a transcriptional regulator that has emerged as a major energy sensor that maintains cellular energy homeostasis. However, still unknown is its role in memory formation. In the present study, we found that AMPK is primarily expressed in neurons in the hippocampus, and then we demonstrated a time-dependent decrease in AMPK activity and increase in mammalian target of rapamycin complex 1 (mTORC1) activity after contextual fear conditioning in the CA1 but not CA3 area of the dorsal hippocampus. Using pharmacological methods and adenovirus gene transfer to bidirectionally regulate AMPK activity, we found that increasing AMPK activity in the CA1 impaired the formation of long-term fear memory, and decreasing AMPK activity enhanced fear memory formation. These findings were associated with changes in the phosphorylation of AMPK and p70s6 kinase (p70s6k) and expression of BDNF and membrane GluR1 and GluR2 in the CA1. Furthermore, the prior administration of an mTORC1 inhibitor blocked the enhancing effect of AMPK inhibition on fear memory formation, suggesting that this negative regulation of contextual fear memory by AMPK in the CA1 depends on the mTORC1 signaling pathway. Finally, we found that AMPK activity regulated hippocampal spine growth associated with memory formation. In summary, our results indicate that AMPK is a key negative regulator of plasticity and fear memory formation. PMID:26647974

  9. Developmental Dissociation Between the Maturation of Procedural Memory and Declarative Memory

    PubMed Central

    Finn, Amy S.; Kalra, Priya B.; Goetz, Calvin; Leonard, Julia A.; Sheridan, Margaret A.; Gabrieli, John D. E.

    2015-01-01

    Declarative memory and procedural memory are known to be two fundamentally different kinds of memory that are dissociable in their psychological characteristics and measurement (explicit versus implicit) and in the neural systems that subserve each kind of memory. Declarative memory abilities are known to improve from childhood through young adulthood, but the developmental maturation of procedural memory is largely unknown. We compared 10-year-old children and young adults on measures of declarative memory, working memory capacity, and four measures of procedural memory that have been strongly dissociated from declarative memory (mirror tracing, rotary pursuit, probabilistic classification, and artificial grammar). Children had lesser declarative memory ability and lesser working memory capacity than the adults, but exhibited learning equivalent to adults on all four measures of procedural memory. Declarative and procedural memory are, therefore, developmentally dissociable, with procedural memory being adult-like by age 10 and declarative memory continuing to mature into young adulthood. PMID:26560675

  10. Molecular implementation of molecular shift register memories

    NASA Technical Reports Server (NTRS)

    Beratan, David N. (Inventor); Onuchic, Jose N. (Inventor)

    1991-01-01

    An electronic shift register memory (20) at the molecular level is described. The memory elements are based on a chain of electron transfer molecules (22) and the information is shifted by photoinduced (26) electron transfer reactions. Thus, multi-step sequences of charge transfer reactions are used to move charge with high efficiency down a molecular chain. The device integrates compositions of the invention onto a VLSI substrate (36), providing an example of a molecular electronic device which may be fabricated. Three energy level schemes, molecular implementation of these schemes, optical excitation strategies, charge amplification strategies, and error correction strategies are described.

  11. Episodic memories.

    PubMed

    Conway, Martin A

    2009-09-01

    An account of episodic memories is developed that focuses on the types of knowledge they represent, their properties, and the functions they might serve. It is proposed that episodic memories consist of episodic elements, summary records of experience often in the form of visual images, associated to a conceptual frame that provides a conceptual context. Episodic memories are embedded in a more complex conceptual system in which they can become the basis of autobiographical memories. However, the function of episodic memories is to keep a record of progress with short-term goals and access to most episodic memories is lost soon after their formation. Finally, it is suggested that developmentally episodic memories form the basis of the conceptual system and it is from sets of episodic memories that early non-verbal conceptual knowledge is abstracted.

  12. Aging Memories: Differential Decay of Episodic Memory Components

    ERIC Educational Resources Information Center

    Talamini, Lucia M.; Gorree, Eva

    2012-01-01

    Some memories about events can persist for decades, even a lifetime. However, recent memories incorporate rich sensory information, including knowledge on the spatial and temporal ordering of event features, while old memories typically lack this "filmic" quality. We suggest that this apparent change in the nature of memories may reflect a…

  13. Working memory, long-term memory, and medial temporal lobe function

    PubMed Central

    Jeneson, Annette; Squire, Larry R.

    2012-01-01

    Early studies of memory-impaired patients with medial temporal lobe (MTL) damage led to the view that the hippocampus and related MTL structures are involved in the formation of long-term memory and that immediate memory and working memory are independent of these structures. This traditional idea has recently been revisited. Impaired performance in patients with MTL lesions on tasks with short retention intervals, or no retention interval, and neuroimaging findings with similar tasks have been interpreted to mean that the MTL is sometimes needed for working memory and possibly even for visual perception itself. We present a reappraisal of this interpretation. Our main conclusion is that, if the material to be learned exceeds working memory capacity, if the material is difficult to rehearse, or if attention is diverted, performance depends on long-term memory even when the retention interval is brief. This fundamental notion is better captured by the terms subspan memory and supraspan memory than by the terms short-term memory and long-term memory. We propose methods for determining when performance on short-delay tasks must depend on long-term (supraspan) memory and suggest that MTL lesions impair performance only when immediate memory and working memory are insufficient to support performance. In neuroimaging studies, MTL activity during encoding is influenced by the memory load and correlates positively with long-term retention of the material that was presented. The most parsimonious and consistent interpretation of all the data is that subspan memoranda are supported by immediate memory and working memory and are independent of the MTL. PMID:22180053

  14. Memory systems interaction in the pigeon: working and reference memory.

    PubMed

    Roberts, William A; Strang, Caroline; Macpherson, Krista

    2015-04-01

    Pigeons' performance on a working memory task, symbolic delayed matching-to-sample, was used to examine the interaction between working memory and reference memory. Reference memory was established by training pigeons to discriminate between the comparison cues used in delayed matching as S+ and S- stimuli. Delayed matching retention tests then measured accuracy when working and reference memory were congruent and incongruent. In 4 experiments, it was shown that the interaction between working and reference memory is reciprocal: Strengthening either type of memory leads to a decrease in the influence of the other type of memory. A process dissociation procedure analysis of the data from Experiment 4 showed independence of working and reference memory, and a model of working memory and reference memory interaction was shown to predict the findings reported in the 4 experiments. (PsycINFO Database Record (c) 2015 APA, all rights reserved).

  15. Declarative memory.

    PubMed

    Riedel, Wim J; Blokland, Arjan

    2015-01-01

    Declarative Memory consists of memory for events (episodic memory) and facts (semantic memory). Methods to test declarative memory are key in investigating effects of potential cognition-enhancing substances--medicinal drugs or nutrients. A number of cognitive performance tests assessing declarative episodic memory tapping verbal learning, logical memory, pattern recognition memory, and paired associates learning are described. These tests have been used as outcome variables in 34 studies in humans that have been described in the literature in the past 10 years. Also, the use of episodic tests in animal research is discussed also in relation to the drug effects in these tasks. The results show that nutritional supplementation of polyunsaturated fatty acids has been investigated most abundantly and, in a number of cases, but not all, show indications of positive effects on declarative memory, more so in elderly than in young subjects. Studies investigating effects of registered anti-Alzheimer drugs, cholinesterase inhibitors in mild cognitive impairment, show positive and negative effects on declarative memory. Studies mainly carried out in healthy volunteers investigating the effects of acute dopamine stimulation indicate enhanced memory consolidation as manifested specifically by better delayed recall, especially at time points long after learning and more so when drug is administered after learning and if word lists are longer. The animal studies reveal a different picture with respect to the effects of different drugs on memory performance. This suggests that at least for episodic memory tasks, the translational value is rather poor. For the human studies, detailed parameters of the compositions of word lists for declarative memory tests are discussed and it is concluded that tailored adaptations of tests to fit the hypothesis under study, rather than "off-the-shelf" use of existing tests, are recommended.

  16. False memories and memory confidence in borderline patients.

    PubMed

    Schilling, Lisa; Wingenfeld, Katja; Spitzer, Carsten; Nagel, Matthias; Moritz, Steffen

    2013-12-01

    Mixed results have been obtained regarding memory in patients with borderline personality disorder (BPD). Prior reports and anecdotal evidence suggests that patients with BPD are prone to false memories but this assumption has to been put to firm empirical test, yet. Memory accuracy and confidence was assessed in 20 BPD patients and 22 healthy controls using a visual variant of the false memory (Deese-Roediger-McDermott) paradigm which involved a negative and a positive-valenced picture. Groups did not differ regarding veridical item recognition. Importantly, patients did not display more false memories than controls. At trend level, borderline patients rated more items as new with high confidence compared to healthy controls. The results tentatively suggest that borderline patients show uncompromised visual memory functions and display no increased susceptibility for distorted memories. Copyright © 2013 Elsevier Ltd. All rights reserved.

  17. False memories in highly superior autobiographical memory individuals

    PubMed Central

    Patihis, Lawrence; Frenda, Steven J.; LePort, Aurora K. R.; Petersen, Nicole; Nichols, Rebecca M.; Stark, Craig E. L.; McGaugh, James L.; Loftus, Elizabeth F.

    2013-01-01

    The recent identification of highly superior autobiographical memory (HSAM) raised the possibility that there may be individuals who are immune to memory distortions. We measured HSAM participants’ and age- and sex-matched controls’ susceptibility to false memories using several research paradigms. HSAM participants and controls were both susceptible to false recognition of nonpresented critical lure words in an associative word-list task. In a misinformation task, HSAM participants showed higher overall false memory compared with that of controls for details in a photographic slideshow. HSAM participants were equally as likely as controls to mistakenly report they had seen nonexistent footage of a plane crash. Finding false memories in a superior-memory group suggests that malleable reconstructive mechanisms may be fundamental to episodic remembering. Paradoxically, HSAM individuals may retrieve abundant and accurate autobiographical memories using fallible reconstructive processes. PMID:24248358

  18. Stochastic memory: getting memory out of noise

    NASA Astrophysics Data System (ADS)

    Stotland, Alexander; di Ventra, Massimiliano

    2011-03-01

    Memory circuit elements, namely memristors, memcapacitors and meminductors, can store information without the need of a power source. These systems are generally defined in terms of deterministic equations of motion for the state variables that are responsible for memory. However, in real systems noise sources can never be eliminated completely. One would then expect noise to be detrimental for memory. Here, we show that under specific conditions on the noise intensity memory can actually be enhanced. We illustrate this phenomenon using a physical model of a memristor in which the addition of white noise into the state variable equation improves the memory and helps the operation of the system. We discuss under which conditions this effect can be realized experimentally, discuss its implications on existing memory systems discussed in the literature, and also analyze the effects of colored noise. Work supported in part by NSF.

  19. CMOS compatible electrode materials selection in oxide-based memory devices

    NASA Astrophysics Data System (ADS)

    Zhuo, V. Y.-Q.; Li, M.; Guo, Y.; Wang, W.; Yang, Y.; Jiang, Y.; Robertson, J.

    2016-07-01

    Electrode materials selection guidelines for oxide-based memory devices are constructed from the combined knowledge of observed device operation characteristics, ab-initio calculations, and nano-material characterization. It is demonstrated that changing the top electrode material from Ge to Cr to Ta in the Ta2O5-based memory devices resulted in a reduction of the operation voltages and current. Energy Dispersed X-ray (EDX) Spectrometer analysis clearly shows that the different top electrode materials scavenge oxygen ions from the Ta2O5 memory layer at various degrees, leading to different oxygen vacancy concentrations within the Ta2O5, thus the observed trends in the device performance. Replacing the Pt bottom electrode material with CMOS compatible materials (Ru and Ir) further reduces the power consumption and can be attributed to the modification of the Schottky barrier height and oxygen vacancy concentration at the electrode/oxide interface. Both trends in the device performance and EDX results are corroborated by the ab-initio calculations which reveal that the electrode material tunes the oxygen vacancy concentration via the oxygen chemical potential and defect formation energy. This experimental-theoretical approach strongly suggests that the proper selection of CMOS compatible electrode materials will create the critical oxygen vacancy concentration to attain low power memory performance.

  20. [Memory and brain--neurobiological correlates of memory disturbances].

    PubMed

    Calabrese, P; Markowitsch, H J

    2003-04-01

    A differentiation of memory is possible on the basis of chronological and contents-related aspects. Furthermore, it is possible to make process-specific subdivisions (encoding, transfer, consolidation, retrieval). The time-related division on the one hand refers to the general differentiation into short-term and long-term memory, and, on the other, to that between anterograde and retrograde memory ("new" and "old memory"; measured from a given time point, usually that when brain damage occurred). Anterograde memory means the successful encoding and storing of new information; retrograde the ability to retrieve successfully acquired and/or stored information. On the contents-based level, memory can be divided into five basic long-term systems--episodic memory, the knowledge system, perceptual, procedural and the priming form of memory. Neural correlates for these divisions are discussed with special emphasis of the episodic and the knowledge systems, based both on normal individuals and brain-damaged subjects. It is argued that structures of the limbic system are important for encoding of information and for its transfer into long-term memory. For this, two independent, but interacting memory circuits are proposed--one of them controlling and integrating primarily the emotional, and the other primarily the cognitive components of newly incoming information. For information storage principally neocortical structures are regarded as important and for the recall of information from the episodic and semantic memory systems the combined action of portions of prefrontal and anterior temporal regions is regarded as essential. Within this fronto-temporal agglomerate, a moderate hemispheric-specificity is assumed to exist with the right-hemispheric combination being mainly engaged in episodic memory retrieval and the left-hemispheric in that of semantic information. Evidence for this specialization comes from the results from focally brain-damaged patients as well as from

  1. A room-temperature non-volatile CNT-based molecular memory cell

    NASA Astrophysics Data System (ADS)

    Ye, Senbin; Jing, Qingshen; Han, Ray P. S.

    2013-04-01

    Recent experiments with a carbon nanotube (CNT) system confirmed that the innertube can oscillate back-and-forth even under a room-temperature excitation. This demonstration of relative motion suggests that it is now feasible to build a CNT-based molecular memory cell (MC), and the key to bring the concept to reality is the precision control of the moving tube for sustained and reliable read/write (RW) operations. Here, we show that by using a 2-section outertube design, we are able to suitably recalibrate the system energetics and obtain the designed performance characteristics of a MC. Further, the resulting energy modification enables the MC to operate as a non-volatile memory element at room temperatures. Our paper explores a fundamental understanding of a MC and its response at the molecular level to roadmap a novel approach in memory technologies that can be harnessed to overcome the miniaturization limit and memory volatility in memory technologies.

  2. Memory expression is independent of memory labilization/reconsolidation.

    PubMed

    Barreiro, Karina A; Suárez, Luis D; Lynch, Victoria M; Molina, Víctor A; Delorenzi, Alejandro

    2013-11-01

    There is growing evidence that certain reactivation conditions restrict the onset of both the destabilization phase and the restabilization process or reconsolidation. However, it is not yet clear how changes in memory expression during the retrieval experience can influence the emergence of the labilization/reconsolidation process. To address this issue, we used the context-signal memory model of Chasmagnathus. In this paradigm a short reminder that does not include reinforcement allows us to evaluate memory labilization and reconsolidation, whereas a short but reinforced reminder restricts the onset of such a process. The current study investigated the effects of the glutamate antagonists, APV (0.6 or 1.5 μg/g) and CNQX (1 μg/g), prior to the reminder session on both behavioral expression and the reconsolidation process. Under conditions where the reminder does not initiate the labilization/reconsolidation process, APV prevented memory expression without affecting long-term memory retention. In contrast, APV induced amnesic effects in the long-term when administered before a reminder session that triggers reconsolidation. Under the present parametric conditions, the administration of CNQX prior to the reminder that allows memory to enter reconsolidation impairs this process without disrupting memory expression. Overall, the present findings suggest that memory reactivation--but not memory expression--is necessary for labilization and reconsolidation. Retrieval and memory expression therefore appear not to be interchangeable concepts. Copyright © 2013 Elsevier Inc. All rights reserved.

  3. A model of memory impairment in schizophrenia: cognitive and clinical factors associated with memory efficiency and memory errors.

    PubMed

    Brébion, Gildas; Bressan, Rodrigo A; Ohlsen, Ruth I; David, Anthony S

    2013-12-01

    Memory impairments in patients with schizophrenia have been associated with various cognitive and clinical factors. Hallucinations have been more specifically associated with errors stemming from source monitoring failure. We conducted a broad investigation of verbal memory and visual memory as well as source memory functioning in a sample of patients with schizophrenia. Various memory measures were tallied, and we studied their associations with processing speed, working memory span, and positive, negative, and depressive symptoms. Superficial and deep memory processes were differentially associated with processing speed, working memory span, avolition, depression, and attention disorders. Auditory/verbal and visual hallucinations were differentially associated with specific types of source memory error. We integrated all the results into a revised version of a previously published model of memory functioning in schizophrenia. The model describes the factors that affect memory efficiency, as well as the cognitive underpinnings of hallucinations within the source monitoring framework. © 2013.

  4. Memory of myself: autobiographical memory and identity in Alzheimer's disease.

    PubMed

    Addis, Donna Rose; Tippett, Lynette J

    2004-01-01

    A number of theories posit a relationship between autobiographical memory and identity. To test this we assessed the status of autobiographical memory and identity in 20 individuals with Alzheimer's disease (AD) and 20 age-matched controls, and investigated whether degree of autobiographical memory impairment was associated with changes in identity. Two tests of autobiographical memory (Autobiographical Memory Interview, autobiographical fluency) and two measures of identity (Twenty Statements Test, identity items of the Tennessee Self Concept Scale) were administered. AD participants exhibited significant impairments on both memory tests, and changes in the strength, quality, and direction of identity relative to controls. Impairments of some components of autobiographical memory, particularly autobiographical memory for childhood and early adulthood, were related to changes in the strength and quality of identity. These findings support the critical role of early adulthood autobiographical memories (16-25 years) in identity, and suggest autobiographical memory loss affects identity.

  5. Visual working memory buffers information retrieved from visual long-term memory.

    PubMed

    Fukuda, Keisuke; Woodman, Geoffrey F

    2017-05-16

    Human memory is thought to consist of long-term storage and short-term storage mechanisms, the latter known as working memory. Although it has long been assumed that information retrieved from long-term memory is represented in working memory, we lack neural evidence for this and need neural measures that allow us to watch this retrieval into working memory unfold with high temporal resolution. Here, we show that human electrophysiology can be used to track information as it is brought back into working memory during retrieval from long-term memory. Specifically, we found that the retrieval of information from long-term memory was limited to just a few simple objects' worth of information at once, and elicited a pattern of neurophysiological activity similar to that observed when people encode new information into working memory. Our findings suggest that working memory is where information is buffered when being retrieved from long-term memory and reconcile current theories of memory retrieval with classic notions about the memory mechanisms involved.

  6. Working memory capacity and controlled serial memory search.

    PubMed

    Mızrak, Eda; Öztekin, Ilke

    2016-08-01

    The speed-accuracy trade-off (SAT) procedure was used to investigate the relationship between working memory capacity (WMC) and the dynamics of temporal order memory retrieval. High- and low-span participants (HSs, LSs) studied sequentially presented five-item lists, followed by two probes from the study list. Participants indicated the more recent probe. Overall, accuracy was higher for HSs compared to LSs. Crucially, in contrast to previous investigations that observed no impact of WMC on speed of access to item information in memory (e.g., Öztekin & McElree, 2010), recovery of temporal order memory was slower for LSs. While accessing an item's representation in memory can be direct, recovery of relational information such as temporal order information requires a more controlled serial memory search. Collectively, these data indicate that WMC effects are particularly prominent during high demands of cognitive control, such as serial search operations necessary to access temporal order information from memory. Copyright © 2016 Elsevier B.V. All rights reserved.

  7. Working memory and the memory distortion component of hindsight bias.

    PubMed

    Calvillo, Dustin P

    2012-01-01

    One component of hindsight bias is memory distortion: Individuals' recollections of their predictions are biased towards known outcomes. The present study examined the role of working memory in the memory distortion component of hindsight bias. Participants answered almanac-like questions, completed a measure of working memory capacity, were provided with the correct answers, and attempted to recollect their original judgements in two conditions: with and without a concurrent working memory load. Participants' recalled judgements were more biased by feedback when they recalled these judgements with a concurrent memory load and working memory capacity was negatively correlated with memory distortion. These findings are consistent with reconstruction accounts of the memory distortion component of hindsight bias and, more generally, with dual process theories of cognition. These results also relate the memory distortion component of hindsight bias with other cognitive errors, such as source monitoring errors, the belief bias in syllogistic reasoning and anchoring effects. Implications for the separate components view of hindsight bias are discussed.

  8. Thermal response of novel shape memory polymer-shape memory alloy hybrids

    NASA Astrophysics Data System (ADS)

    Rossiter, Jonathan; Takashima, Kazuto; Mukai, Toshiharu

    2014-03-01

    Shape memory polymers (SMP) and shape memory alloys (SMA) have both been proven important smart materials in their own fields. Shape memory polymers can be formed into complex three-dimensional structures and can undergo shape programming and large strain recovery. These are especially important for deployable structures including those for space applications and micro-structures such as stents. Shape memory alloys on the other hand are readily exploitable in a range of applications where simple, silent, light-weight and low-cost repeatable actuation is required. These include servos, valves and mobile robotic artificial muscles. Despite their differences, one important commonality between SMPs and SMAs is that they are both typically activated by thermal energy. Given this common characteristic it is important to consider how these two will behave when in close environmental proximity, and hence exposed to the same thermal stimulus, and when they are incorporated into a hybrid SMA-SMP structure. In this paper we propose and examine the operation of SMA-SMP hybrids. The relationship between the two temperatures Tg, the glass transition temperature of the polymer, and Ta, the nominal austenite to martensite transition temperature of the alloy is considered. We examine how the choice of these two temperatures affects the thermal response of the hybrid. Electrical stimulation of the SMA is also considered as a method not only of actuating the SMA but also of inducing heating in the surrounding polymer, with consequent effects on actuator behaviour. Likewise by varying the rate and degree of thermal stimulation of the SMA significantly different actuation and structural stiffness can be achieved. Novel SMP-SMA hybrid actuators and structures have many ready applications in deployable structures, robotics and tuneable engineering systems.

  9. Visual working memory buffers information retrieved from visual long-term memory

    PubMed Central

    Fukuda, Keisuke; Woodman, Geoffrey F.

    2017-01-01

    Human memory is thought to consist of long-term storage and short-term storage mechanisms, the latter known as working memory. Although it has long been assumed that information retrieved from long-term memory is represented in working memory, we lack neural evidence for this and need neural measures that allow us to watch this retrieval into working memory unfold with high temporal resolution. Here, we show that human electrophysiology can be used to track information as it is brought back into working memory during retrieval from long-term memory. Specifically, we found that the retrieval of information from long-term memory was limited to just a few simple objects’ worth of information at once, and elicited a pattern of neurophysiological activity similar to that observed when people encode new information into working memory. Our findings suggest that working memory is where information is buffered when being retrieved from long-term memory and reconcile current theories of memory retrieval with classic notions about the memory mechanisms involved. PMID:28461479

  10. Memory Retrieval and Interference: Working Memory Issues

    ERIC Educational Resources Information Center

    Radvansky, Gabriel A.; Copeland, David E.

    2006-01-01

    Working memory capacity has been suggested as a factor that is involved in long-term memory retrieval, particularly when that retrieval involves a need to overcome some sort of interference (Bunting, Conway, & Heitz, 2004; Cantor & Engle, 1993). Previous work has suggested that working memory is related to the acquisition of information during…

  11. The cortical basis of true memory and false memory for motion.

    PubMed

    Karanian, Jessica M; Slotnick, Scott D

    2014-02-01

    Behavioral evidence indicates that false memory, like true memory, can be rich in sensory detail. By contrast, there is fMRI evidence that true memory for visual information produces greater activity in earlier visual regions than false memory, which suggests true memory is associated with greater sensory detail. However, false memory in previous fMRI paradigms may have lacked sufficient sensory detail to recruit earlier visual processing regions. To investigate this possibility in the present fMRI study, we employed a paradigm that produced feature-specific false memory with a high degree of visual detail. During the encoding phase, moving or stationary abstract shapes were presented to the left or right of fixation. During the retrieval phase, shapes from encoding were presented at fixation and participants classified each item as previously "moving" or "stationary" within each visual field. Consistent with previous fMRI findings, true memory but not false memory for motion activated motion processing region MT+, while both true memory and false memory activated later cortical processing regions. In addition, false memory but not true memory for motion activated language processing regions. The present findings indicate that true memory activates earlier visual regions to a greater degree than false memory, even under conditions of detailed retrieval. Thus, the dissociation between previous behavioral findings and fMRI findings do not appear to be task dependent. Future work will be needed to assess whether the same pattern of true memory and false memory activity is observed for different sensory modalities. Copyright © 2013 Elsevier Ltd. All rights reserved.

  12. A compact superconducting nanowire memory element operated by nanowire cryotrons

    NASA Astrophysics Data System (ADS)

    Zhao, Qing-Yuan; Toomey, Emily A.; Butters, Brenden A.; McCaughan, Adam N.; Dane, Andrew E.; Nam, Sae-Woo; Berggren, Karl K.

    2018-07-01

    A superconducting loop stores persistent current without any ohmic loss, making it an ideal platform for energy efficient memories. Conventional superconducting memories use an architecture based on Josephson junctions (JJs) and have demonstrated access times less than 10 ps and power dissipation as low as 10-19 J. However, their scalability has been slow to develop due to the challenges in reducing the dimensions of JJs and minimizing the area of the superconducting loops. In addition to the memory itself, complex readout circuits require additional JJs and inductors for coupling signals, increasing the overall area. Here, we have demonstrated a superconducting memory based solely on lithographic nanowires. The small dimensions of the nanowire ensure that the device can be fabricated in a dense area in multiple layers, while the high kinetic inductance makes the loop essentially independent of geometric inductance, allowing it to be scaled down without sacrificing performance. The memory is operated by a group of nanowire cryotrons patterned alongside the storage loop, enabling us to reduce the entire memory cell to 3 μm × 7 μm in our proof-of-concept device. In this work we present the operation principles of a superconducting nanowire memory (nMem) and characterize its bit error rate, speed, and power dissipation.

  13. Aging accelerates memory extinction and impairs memory restoration in Drosophila.

    PubMed

    Chen, Nannan; Guo, Aike; Li, Yan

    2015-05-15

    Age-related memory impairment (AMI) is a phenomenon observed from invertebrates to human. Memory extinction is proposed to be an active inhibitory modification of memory, however, whether extinction is affected in aging animals remains to be elucidated. Employing a modified paradigm for studying memory extinction in fruit flies, we found that only the stable, but not the labile memory component was suppressed by extinction, thus effectively resulting in higher memory loss in aging flies. Strikingly, young flies were able to fully restore the stable memory component 3 h post extinction, while aging flies failed to do so. In conclusion, our findings reveal that both accelerated extinction and impaired restoration contribute to memory impairment in aging animals. Copyright © 2015 Elsevier Inc. All rights reserved.

  14. Achievement of two logical states through a polymer/silicon interface for organic-inorganic hybrid memory

    NASA Astrophysics Data System (ADS)

    Chen, Jianhui; Chen, Bingbing; Shen, Yanjiao; Guo, Jianxin; Liu, Baoting; Dai, Xiuhong; Xu, Ying; Mai, Yaohua

    2017-11-01

    A hysteresis loop of minority carrier lifetime vs voltage is found in polystyrenesulfonate (PSS)/Si organic-inorganic hybrid heterojunctions, implying an interfacial memory effect. Capacitance-voltage and conductance-voltage hysteresis loops are observed and reveal a memory window. A switchable interface state, which can be controlled by charge transfer based on an electrochemical oxidation/deoxidation process, is suggested to be responsible for this hysteresis effect. We perform first-principle total-energy calculations on the influence of external electric fields and electrons or holes, which are injected into interface states on the adsorption energy of PSS on Si. It is demonstrated that the dependence of the interface adsorption energy difference on the electric field is the origin of this two-state switching. These results offer a concept of organic-inorganic hybrid interface memory being optically or electrically readable, low-cost, and compatible with the flexible organic electronics.

  15. System and method for memory allocation in a multiclass memory system

    DOEpatents

    Loh, Gabriel; Meswani, Mitesh; Ignatowski, Michael; Nutter, Mark

    2016-06-28

    A system for memory allocation in a multiclass memory system includes a processor coupleable to a plurality of memories sharing a unified memory address space, and a library store to store a library of software functions. The processor identifies a type of a data structure in response to a memory allocation function call to the library for allocating memory to the data structure. Using the library, the processor allocates portions of the data structure among multiple memories of the multiclass memory system based on the type of the data structure.

  16. Working memory predicts the rejection of false memories.

    PubMed

    Leding, Juliana K

    2012-01-01

    The relationship between working memory capacity (WMC) and false memories in the memory conjunction paradigm was explored. Previous research using other paradigms has shown that individuals high in WMC are not as likely to experience false memories as low-WMC individuals, the explanation being that high-WMC individuals are better able to engage in source monitoring. In the memory conjunction paradigm participants are presented at study with parent words (e.g., eyeglasses, whiplash). At test, in addition to being presented with targets and foils, participants are presented with lures that are composed of previously studied features (e.g., eyelash). It was found that high-WMC individuals had lower levels of false recognition than low-WMC individuals. Furthermore, recall-to-reject responses were analysed (e.g., "I know I didn't see eyelash because I remember seeing eyeglasses") and it was found that high-WMC individuals were more likely to utilise this memory editing strategy, providing direct evidence that one reason that high-WMC individuals are not as prone to false memories is because they are better able to engage in source monitoring.

  17. Memory Palaces

    ERIC Educational Resources Information Center

    Wood, Marianne

    2007-01-01

    This article presents a lesson called Memory Palaces. A memory palace is a memory tool used to remember information, usually as visual images, in a sequence that is logical to the person remembering it. In his book, "In the Palaces of Memory", George Johnson calls them "...structure(s) for arranging knowledge. Lots of connections to language arts,…

  18. Memory skills mediating superior memory in a world-class memorist.

    PubMed

    Ericsson, K Anders; Cheng, Xiaojun; Pan, Yafeng; Ku, Yixuan; Ge, Yi; Hu, Yi

    2017-10-01

    Laboratory studies have investigated how individuals with normal memory spans attained digit spans over 80 digits after hundreds of hours of practice. Experimental analyses of their memory skills suggested that their attained memory spans were constrained by the encoding time, for the time needed will increase if the length of digit sequences to be memorised becomes longer. These constraints seemed to be violated by a world-class memorist, Feng Wang (FW), who won the World Memory Championship by recalling 300 digits presented at 1 digit/s. In several studies we examined FW's memory skills underlying his exceptional performance. First FW reproduced his superior memory span of 200 digits under laboratory condition, and we obtained his retrospective reports describing his encoding/retrieval processes (Experiment 1). Further experiments used self-paced memorisation to identify temporal characteristics of encoding of digits in 4-digit clusters (Experiment 2), and explored memory encoding at presentation speeds much faster than 1 digit/s (Experiment 3). FW's superiority over previous digit span experts is explained by his acquisition of well-known mnemonic techniques and his training that focused on rapid memorisation. His memory performance supports the feasibility of acquiring memory skills for improved working memory based on storage in long-term memory.

  19. Experimental test of Landauer’s principle in single-bit operations on nanomagnetic memory bits

    PubMed Central

    Hong, Jeongmin; Lambson, Brian; Dhuey, Scott; Bokor, Jeffrey

    2016-01-01

    Minimizing energy dissipation has emerged as the key challenge in continuing to scale the performance of digital computers. The question of whether there exists a fundamental lower limit to the energy required for digital operations is therefore of great interest. A well-known theoretical result put forward by Landauer states that any irreversible single-bit operation on a physical memory element in contact with a heat bath at a temperature T requires at least kBT ln(2) of heat be dissipated from the memory into the environment, where kB is the Boltzmann constant. We report an experimental investigation of the intrinsic energy loss of an adiabatic single-bit reset operation using nanoscale magnetic memory bits, by far the most ubiquitous digital storage technology in use today. Through sensitive, high-precision magnetometry measurements, we observed that the amount of dissipated energy in this process is consistent (within 2 SDs of experimental uncertainty) with the Landauer limit. This result reinforces the connection between “information thermodynamics” and physical systems and also provides a foundation for the development of practical information processing technologies that approach the fundamental limit of energy dissipation. The significance of the result includes insightful direction for future development of information technology. PMID:26998519

  20. A study on carbon nanotube bridge as a electromechanical memory device

    NASA Astrophysics Data System (ADS)

    Kang, Jeong Won; Ha Lee, Jun; Joo Lee, Hoong; Hwang, Ho Jung

    2005-04-01

    A nanoelectromechanical (NEM) nanotube random access memory (NRAM) device based on carbon nanotube (CNT) was investigated using atomistic simulations. For the CNT-based NEM memory, the mechanical properties of the CNT-bridge and van der Waals interactions between the CNT-bridge and substrate were very important. The critical amplitude of the CNT-bridge was 16% of the length of the CNT-bridge. As molecular dynamics time increased, the CNT-bridge went to the steady state under the electrostatic force with the damping of the potential and the kinetic energies of the CNT-bridge. The interatomic interaction between the CNT-bridge and substrate, value of the CNT-bridge slack, and damping rate of the CNT-bridge were very important for the operation of the NEM memory device as a nonvolatile memory.

  1. Flashbulb Memories

    PubMed Central

    Hirst, William; Phelps, Elizabeth A.

    2015-01-01

    We review and analyze the key theories, debates, findings, and omissions of the existing literature on flashbulb memories (FBMs), including what factors affect their formation, retention, and degree of confidence. We argue that FBMs do not require special memory mechanisms and are best characterized as involving both forgetting and mnemonic distortions, despite a high level of confidence. Factual memories for FBM-inducing events generally follow a similar pattern. Although no necessary and sufficient factors straightforwardly account for FBM retention, media attention particularly shapes memory for the events themselves. FBMs are best characterized in term of repetitions, even of mnemonic distortions, whereas event memories evidence corrections. The bearing of this literature on social identity and traumatic memories is also discussed. PMID:26997762

  2. Memory protection

    NASA Technical Reports Server (NTRS)

    Denning, Peter J.

    1988-01-01

    Accidental overwriting of files or of memory regions belonging to other programs, browsing of personal files by superusers, Trojan horses, and viruses are examples of breakdowns in workstations and personal computers that would be significantly reduced by memory protection. Memory protection is the capability of an operating system and supporting hardware to delimit segments of memory, to control whether segments can be read from or written into, and to confine accesses of a program to its segments alone. The absence of memory protection in many operating systems today is the result of a bias toward a narrow definition of performance as maximum instruction-execution rate. A broader definition, including the time to get the job done, makes clear that cost of recovery from memory interference errors reduces expected performance. The mechanisms of memory protection are well understood, powerful, efficient, and elegant. They add to performance in the broad sense without reducing instruction execution rate.

  3. The ferromagnetic shape-memory effect in Ni Mn Ga

    NASA Astrophysics Data System (ADS)

    Marioni, M. A.; O'Handley, R. C.; Allen, S. M.; Hall, S. R.; Paul, D. I.; Richard, M. L.; Feuchtwanger, J.; Peterson, B. W.; Chambers, J. M.; Techapiesancharoenkij, R.

    2005-04-01

    Active materials have long been used in the construction of sensors and devices. Examples are piezo-electric ceramics and shape memory alloys. The more recently developed ferromagnetic shape-memory alloys (FSMAs) have received considerable attention due to their large magnetic field-induced, reversible strains (up to 10%). In this article, we review the basic physical characteristics of the FSMA Ni-Mn-Ga (crystallography, thermal, mechanical and magnetic behavior). Also, we present some of the works currently under way in the areas of pulse-field and acoustic-assisted actuation, and vibration energy absorption.

  4. The contribution of epigenetic memory to immunologic memory.

    PubMed

    Zediak, Valerie P; Wherry, E John; Berger, Shelley L

    2011-04-01

    Memory T lymphocytes are distinct from antigen-inexperienced naïve T cells in that memory T cells can respond more rapidly when they re-encounter a pathogen. Work over the past decade has begun to define the epigenetic underpinnings of the transcriptional component of the memory T cell response. An emerging theme is the persistence of an active chromatin signature at relevant gene loci in resting memory T cells, even when those genes are transcriptionally inactive. This gives strength to the concept of gene poising, and has shown that memory T lymphocytes are an ideal model in which to further define various mechanisms of epigenetic poising. Copyright © 2011 Elsevier Ltd. All rights reserved.

  5. Direct evidence of detwinning in polycrystalline Ni-Mn-Ga ferromagnetic shape memory alloys during deformation.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nie, Z. H.; Lin Peng, R.; Johansson, S.

    2008-01-01

    In situ time-of-flight neutron diffraction and high-energy x-ray diffraction techniques were used to reveal the preferred reselection of martensite variants through a detwinning process in polycrystalline Ni-Mn-Ga ferromagnetic shape memory alloys under uniaxial compressive stress. The variant reorientation via detwinning during loading can be explained by considering the influence of external stress on the grain/variant orientation-dependent distortion energy. These direct observations of detwinning provide a good understanding of the deformation mechanisms in shape memory alloys.

  6. Associative Memory Synthesis, Performance, Storage Capacity And Updating: New Heteroassociative Memory Results

    NASA Astrophysics Data System (ADS)

    Casasent, David; Telfer, Brian

    1988-02-01

    The storage capacity, noise performance, and synthesis of associative memories for image analysis are considered. Associative memory synthesis is shown to be very similar to that of linear discriminant functions used in pattern recognition. These lead to new associative memories and new associative memory synthesis and recollection vector encodings. Heteroassociative memories are emphasized in this paper, rather than autoassociative memories, since heteroassociative memories provide scene analysis decisions, rather than merely enhanced output images. The analysis of heteroassociative memories has been given little attention. Heteroassociative memory performance and storage capacity are shown to be quite different from those of autoassociative memories, with much more dependence on the recollection vectors used and less dependence on M/N. This allows several different and preferable synthesis techniques to be considered for associative memories. These new associative memory synthesis techniques and new techniques to update associative memories are included. We also introduce a new SNR performance measure that is preferable to conventional noise standard deviation ratios.

  7. Memory effects on mechanically stimulated electric signal; diversification of stimuli impact on material memory and comments on the observed features

    NASA Astrophysics Data System (ADS)

    Kyriazis, Panagiotis; Stavrakas, Ilias; Anastasiadis, Cimon; Triantis, Dimos; Stonham, John

    2010-05-01

    severely. (c) The short memory has temporary influence on the PSC signal and the impacts on the signal are milder. The main properties of the PSC signal, which are affected by the existence of memory, converge to an inertial attitude of the material to the same stimuli and they are quite common with the properties of other fracture induced signals (i.e. AE). Namely, they are the following: (a) The PSC peak evolution over loading cycles is a changing signal property either in the case of permanent or of temporary memory, with respect to the time interval between events, especially in the latter case. (b) The decrease of the dissipated electric energy during cyclic loading tests. (c) The PSC slower relaxation in each loading, quantified by the relaxation process parameters evolution. (d) The PSC signal response delay in each loading cycle increase The existence of memory effects on the mechanically stimulated electric signal is an indication that information about the deformation history (paleostresses) of the material reside inside the material. Under certain conditions such information can be revealed by analysis of the PSC signal response to specific external mechanical triggering.

  8. Energy reduction through voltage scaling and lightweight checking

    NASA Astrophysics Data System (ADS)

    Kadric, Edin

    As the semiconductor roadmap reaches smaller feature sizes and the end of Dennard Scaling, design goals change, and managing the power envelope often dominates delay minimization. Voltage scaling remains a powerful tool to reduce energy. We find that it results in about 60% geomean energy reduction on top of other common low-energy optimizations with 22nm CMOS technology. However, when voltage is reduced, it becomes easier for noise and particle strikes to upset a node, potentially causing Silent Data Corruption (SDC). The 60% energy reduction, therefore, comes with a significant drop in reliability. Duplication with checking and triple-modular redundancy are traditional approaches used to combat transient errors, but spending 2--3x the energy for redundant computation can diminish or reverse the benefits of voltage scaling. As an alternative, we explore the opportunity to use checking operations that are cheaper than the base computation they are guarding. We devise a classification system for applications and their lightweight checking characteristics. In particular, we identify and evaluate the effectiveness of lightweight checks in a broad set of common tasks in scientific computing and signal processing. We find that the lightweight checks cost only a fraction of the base computation (0-25%) and allow us to recover the reliability losses from voltage scaling. Overall, we show about 50% net energy reduction without compromising reliability compared to operation at the nominal voltage. We use FPGAs (Field-Programmable Gate Arrays) in our work, although the same ideas can be applied to different systems. On top of voltage scaling, we explore other common low-energy techniques for FPGAs: transmission gates, gate boosting, power gating, low-leakage (high-Vth) processes, and dual-V dd architectures. We do not scale voltage for memories, so lower voltages help us reduce logic and interconnect energy, but not memory energy. At lower voltages, memories become dominant

  9. Declarative memory: sleep protects new memories from interference.

    PubMed

    Norman, Kenneth A

    2006-08-08

    Interference is one of the most fundamental phenomena in memory research: acquiring new memories causes forgetting of other, related memories. A new study shows that sleep, interposed between learning episodes, can mitigate the extent to which new (post-sleep) learning interferes with recall of previously acquired knowledge.

  10. Effects of emotionally valenced working memory taxation on negative memories.

    PubMed

    Tsai, Cynthia; McNally, Richard J

    2014-03-01

    Memories enter a labile state during recollection. Thus, memory changes that occur during recollection can affect future instances of its activation. Having subjects perform a secondary task that taxes working memory while they recall a negative emotional memory often reduces its vividness and emotional intensity during subsequent recollections. However, researchers have not manipulated the emotional valence of the secondary task itself. Subjects viewed a video depicting the aftermath of three fatal road traffic accidents, establishing the same negative emotional memory for all subjects. We then tested their memory for the video after randomly assigning them to no secondary task or a delayed match-to-sample secondary task involving photographs of positive, negative, or neutral emotional valence. The positive secondary task reduced memory for details about the video, whereas negative and neutral tasks did not. We did not assess the vividness and emotionality of the subjects' memory of the video. Having subjects recall a stressful experience while performing a positively valent secondary task can decrement details of the memory and perhaps its emotionality. Copyright © 2013 Elsevier Ltd. All rights reserved.

  11. Working memory affects false memory production for emotional events.

    PubMed

    Mirandola, Chiara; Toffalini, Enrico; Ciriello, Alfonso; Cornoldi, Cesare

    2017-01-01

    Whereas a link between working memory (WM) and memory distortions has been demonstrated, its influence on emotional false memories is unclear. In two experiments, a verbal WM task and a false memory paradigm for negative, positive or neutral events were employed. In Experiment 1, we investigated individual differences in verbal WM and found that the interaction between valence and WM predicted false recognition, with negative and positive material protecting high WM individuals against false remembering; the beneficial effect of negative material disappeared in low WM participants. In Experiment 2, we lowered the WM capacity of half of the participants with a double task request, which led to an overall increase in false memories; furthermore, consistent with Experiment 1, the increase in negative false memories was larger than that of neutral or positive ones. It is concluded that WM plays a critical role in determining false memory production, specifically influencing the processing of negative material.

  12. A shared resource between declarative memory and motor memory.

    PubMed

    Keisler, Aysha; Shadmehr, Reza

    2010-11-03

    The neural systems that support motor adaptation in humans are thought to be distinct from those that support the declarative system. Yet, during motor adaptation changes in motor commands are supported by a fast adaptive process that has important properties (rapid learning, fast decay) that are usually associated with the declarative system. The fast process can be contrasted to a slow adaptive process that also supports motor memory, but learns gradually and shows resistance to forgetting. Here we show that after people stop performing a motor task, the fast motor memory can be disrupted by a task that engages declarative memory, but the slow motor memory is immune from this interference. Furthermore, we find that the fast/declarative component plays a major role in the consolidation of the slow motor memory. Because of the competitive nature of declarative and nondeclarative memory during consolidation, impairment of the fast/declarative component leads to improvements in the slow/nondeclarative component. Therefore, the fast process that supports formation of motor memory is not only neurally distinct from the slow process, but it shares critical resources with the declarative memory system.

  13. A shared resource between declarative memory and motor memory

    PubMed Central

    Keisler, Aysha; Shadmehr, Reza

    2010-01-01

    The neural systems that support motor adaptation in humans are thought to be distinct from those that support the declarative system. Yet, during motor adaptation changes in motor commands are supported by a fast adaptive process that has important properties (rapid learning, fast decay) that are usually associated with the declarative system. The fast process can be contrasted to a slow adaptive process that also supports motor memory, but learns gradually and shows resistance to forgetting. Here we show that after people stop performing a motor task, the fast motor memory can be disrupted by a task that engages declarative memory, but the slow motor memory is immune from this interference. Furthermore, we find that the fast/declarative component plays a major role in the consolidation of the slow motor memory. Because of the competitive nature of declarative and non-declarative memory during consolidation, impairment of the fast/declarative component leads to improvements in the slow/non-declarative component. Therefore, the fast process that supports formation of motor memory is not only neurally distinct from the slow process, but it shares critical resources with the declarative memory system. PMID:21048140

  14. Memory access in shared virtual memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Berrendorf, R.

    1992-01-01

    Shared virtual memory (SVM) is a virtual memory layer with a single address space on top of a distributed real memory on parallel computers. We examine the behavior and performance of SVM running a parallel program with medium-grained, loop-level parallelism on top of it. A simulator for the underlying parallel architecture can be used to examine the behavior of SVM more deeply. The influence of several parameters, such as the number of processors, page size, cold or warm start, and restricted page replication, is studied.

  15. Memory access in shared virtual memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Berrendorf, R.

    1992-09-01

    Shared virtual memory (SVM) is a virtual memory layer with a single address space on top of a distributed real memory on parallel computers. We examine the behavior and performance of SVM running a parallel program with medium-grained, loop-level parallelism on top of it. A simulator for the underlying parallel architecture can be used to examine the behavior of SVM more deeply. The influence of several parameters, such as the number of processors, page size, cold or warm start, and restricted page replication, is studied.

  16. A Content-Addressable Memory structure using quantum cells in nanotechnology with energy dissipation analysis

    NASA Astrophysics Data System (ADS)

    Sadoghifar, Ali; Heikalabad, Saeed Rasouli

    2018-05-01

    Quantum-dot cellular automata is one of the recent new technologies at the nanoscale that can be a suitable replacement for CMOS technology. The circuits constructed in QCA technology have desirable features such as low power consumption, high speed and small size. These features can be more distinct in memory structures. In this paper, we design a new structure for content addressable memory cell in QCA. For this purpose, first, a unique gate is introduced for mask operation in QCA and then this gate is used to improve the performance of CAM. These structures are evaluated with QCADesigner simulator.

  17. Negative affect impairs associative memory but not item memory.

    PubMed

    Bisby, James A; Burgess, Neil

    2013-12-17

    The formation of associations between items and their context has been proposed to rely on mechanisms distinct from those supporting memory for a single item. Although emotional experiences can profoundly affect memory, our understanding of how it interacts with different aspects of memory remains unclear. We performed three experiments to examine the effects of emotion on memory for items and their associations. By presenting neutral and negative items with background contexts, Experiment 1 demonstrated that item memory was facilitated by emotional affect, whereas memory for an associated context was reduced. In Experiment 2, arousal was manipulated independently of the memoranda, by a threat of shock, whereby encoding trials occurred under conditions of threat or safety. Memory for context was equally impaired by the presence of negative affect, whether induced by threat of shock or a negative item, relative to retrieval of the context of a neutral item in safety. In Experiment 3, participants were presented with neutral and negative items as paired associates, including all combinations of neutral and negative items. The results showed both above effects: compared to a neutral item, memory for the associate of a negative item (a second item here, context in Experiments 1 and 2) is impaired, whereas retrieval of the item itself is enhanced. Our findings suggest that negative affect impairs associative memory while recognition of a negative item is enhanced. They support dual-processing models in which negative affect or stress impairs hippocampal-dependent associative memory while the storage of negative sensory/perceptual representations is spared or even strengthened.

  18. The Hurst exponent in energy futures prices

    NASA Astrophysics Data System (ADS)

    Serletis, Apostolos; Rosenberg, Aryeh Adam

    2007-07-01

    This paper extends the work in Elder and Serletis [Long memory in energy futures prices, Rev. Financial Econ., forthcoming, 2007] and Serletis et al. [Detrended fluctuation analysis of the US stock market, Int. J. Bifurcation Chaos, forthcoming, 2007] by re-examining the empirical evidence for random walk type behavior in energy futures prices. In doing so, it uses daily data on energy futures traded on the New York Mercantile Exchange, over the period from July 2, 1990 to November 1, 2006, and a statistical physics approach-the ‘detrending moving average’ technique-providing a reliable framework for testing the information efficiency in financial markets as shown by Alessio et al. [Second-order moving average and scaling of stochastic time series, Eur. Phys. J. B 27 (2002) 197-200] and Carbone et al. [Time-dependent hurst exponent in financial time series. Physica A 344 (2004) 267-271; Analysis of clusters formed by the moving average of a long-range correlated time series. Phys. Rev. E 69 (2004) 026105]. The results show that energy futures returns display long memory and that the particular form of long memory is anti-persistence.

  19. "Brain-specific" nutrients: a memory cure?

    PubMed

    McDaniel, Mark A; Maier, Steven F; Einstein, Gilles O

    2003-01-01

    benefit of piracetam. Vinpocetine increases blood circulation and metabolism in the brain. Animal studies have shown that vinpocetine can reduce the loss of neurons due to decreased blood flow. In three studies of older adults with memory problems associated with poor brain circulation or dementia-related disease, vinpocetine produced significantly more improvement than a placebo in performance on global cognitive tests reflecting attention, concentration, and memory. Effects on episodic memory per se have been tested minimally, if at all. ALC participates in cellular energy production, a process especially important in neurons, and in removal of toxic accumulation of fatty acids. Animal studies show that ALC reverses the age-related decline in the number of neuron membrane receptors. Studies of patients with probable Alzheimer's disease have reported nominal advantages over a range of memory tests for ALC-treated patients relative to placebo groups. Significant differences have been reported rarely, however. Whether ALC would have mnemonic benefits for aging adults without brain disease is untested as far as we know. Antioxidants help neutralize tissue-damaging free radicals, which become more prevalent as organisms age. It is hypothesized that increasing antioxidant levels in the organism might retard or reverse the damaging effects of free radicals on neurons. Thus far, however, studies have found that vitamin E does not significantly slow down memory decline for Alzheimer's patients and does not produce significant memory benefits among early Parkinson's patients. Neither did a combination of vitamins E and C significantly improve college students' performance on several cognitive tasks. In sum, for most of the "brain-specific" nutrients we review, some mildly suggestive effects have been found in preliminary controlled studies using standard psychometric memory assessments or more general tests designed to reveal cognitive impairment. We suggest that future

  20. Material Engineering for Phase Change Memory

    NASA Astrophysics Data System (ADS)

    Cabrera, David M.

    As semiconductor devices continue to scale downward, and portable consumer electronics become more prevalent there is a need to develop memory technology that will scale with devices and use less energy, while maintaining performance. One of the leading prototypical memories that is being investigated is phase change memory. Phase change memory (PCM) is a non-volatile memory composed of 1 transistor and 1 resistor. The resistive structure includes a memory material alloy which can change between amorphous and crystalline states repeatedly using current/voltage pulses of different lengths and magnitudes. The most widely studied PCM materials are chalcogenides - Germanium-Antimony-Tellerium (GST) with Ge2Sb2Te3 and Germanium-Tellerium (GeTe) being some of the most popular stochiometries. As these cells are scaled downward, the current/voltage needed to switch these materials becomes comparable to the voltage needed to sense the cell's state. The International Roadmap for Semiconductors aims to raise the threshold field of these devices from 66.6 V/mum to be at least 375 V/mum for the year 2024. These cells are also prone to resistance drift between states, leading to bit corruption and memory loss. Phase change material properties are known to influence PCM device performance such as crystallization temperature having an effect on data retention and litetime, while resistivity values in the amorphous and crystalline phases have an effect on the current/voltage needed to write/erase the cell. Addition of dopants is also known to modify the phase change material parameters. The materials G2S2T5, GeTe, with dopants - nitrogen, silicon, titanium, and aluminum oxide and undoped Gallium-Antimonide (GaSb) are studied for these desired characteristics. Thin films of these compositions are deposited via physical vapor deposition at IBM Watson Research Center. Crystallization temperatures are investigated using time resolved x-ray diffraction at Brookhaven National Laboratory

  1. Christodoulou Memory of GW150914 - Prospects of Detection in LIGO and Future Detectors

    NASA Astrophysics Data System (ADS)

    Johnson, Aaron; Kapadia, Shasvath; Kennefick, Daniel

    2017-01-01

    The event GW150914 produced strains of the order 10-21 in the two instruments comprising the Laser Interferometric Gravitational Wave Observatory (LIGO). The event has been interpreted as originating in a coalescing black hole binary, with individual components of about 30 solar masses each. A striking aspect of the coalescence deduced from the signal is the emission of 3 solar masses of energy in the oscillating gravitational wave. Theory predicts a DC component of the gravitational signal associated with the emission of such large amounts of gravitational wave energy known as the Christodoulou memory. The memory, as a non-linear component of the signal, is expected to be an order of magnitude smaller than the amplitude of the primary AC component of the gravitational waves. We discuss the prospects of detecting the Christodoulou memory in similar future signals, both with LIGO and with other detectors, including future space-based instruments.

  2. Memory lane and morality: how childhood memories promote prosocial behavior.

    PubMed

    Gino, Francesca; Desai, Sreedhari D

    2012-04-01

    Although research has established that autobiographical memory affects one's self-concept, little is known about how it affects moral behavior. We focus on a specific type of autobiographical memory: childhood memories. Drawing on research on memory and moral psychology, we propose that childhood memories elicit moral purity, which we define as a psychological state of feeling morally clean and innocent. In turn, heightened moral purity leads to greater prosocial behavior. In Experiment 1, participants instructed to recall childhood memories were more likely to help the experimenter with a supplementary task than were participants in a control condition, and this effect was mediated by moral purity. In Experiment 2, the same manipulation increased the amount of money participants donated to a good cause, and both implicit and explicit measures of moral purity mediated the effect. Experiment 3 provides further support for the process linking childhood memories and prosocial behavior through moderation. In Experiment 4, we found that childhood memories led to punishment of others' ethically questionable actions. Finally, in Experiment 5, both positively valenced and negatively valenced childhood memories increased helping compared to a control condition.

  3. Electronic shift register memory based on molecular electron-transfer reactions

    NASA Technical Reports Server (NTRS)

    Hopfield, J. J.; Onuchic, Jose Nelson; Beratan, David N.

    1989-01-01

    The design of a shift register memory at the molecular level is described in detail. The memory elements are based on a chain of electron-transfer molecules incorporated on a very large scale integrated (VLSI) substrate, and the information is shifted by photoinduced electron-transfer reactions. The design requirements for such a system are discussed, and several realistic strategies for synthesizing these systems are presented. The immediate advantage of such a hybrid molecular/VLSI device would arise from the possible information storage density. The prospect of considerable savings of energy per bit processed also exists. This molecular shift register memory element design solves the conceptual problems associated with integrating molecular size components with larger (micron) size features on a chip.

  4. Short-term exposure to a diet high in fat and sugar, or liquid sugar, selectively impairs hippocampal-dependent memory, with differential impacts on inflammation.

    PubMed

    Beilharz, J E; Maniam, J; Morris, M J

    2016-06-01

    Chronic high-energy diets are known to induce obesity and impair memory; these changes have been associated with inflammation in brain areas crucial for memory. In this study, we investigated whether inflammation could also be related to diet-induced memory deficits, prior to obesity. We exposed rats to chow, chow supplemented with a 10% sucrose solution (Sugar) or a diet high in fat and sugar (Caf+Sugar) and assessed hippocampal-dependent and perirhinal-dependent memory at 1 week. Both high-energy diet groups displayed similar, selective hippocampal-dependent memory deficits despite the Caf+Sugar rats consuming 4-5 times more energy, and weighing significantly more than the other groups. Extreme weight gain and excessive energy intake are therefore not necessary for deficits in memory. Weight gain across the diet period however, was correlated with the memory deficits, even in the Chow rats. The Sugar rats had elevated expression of a number of inflammatory genes in the hippocampus and WAT compared to Chow and Caf+Sugar rats but not in the perirhinal cortex or hypothalamus. Blood glucose concentrations were also elevated in the Sugar rats, and were correlated with the hippocampal inflammatory markers. Together, these results indicate that liquid sugar can rapidly elevate markers of central and peripheral inflammation, in association with hyperglycemia, and this may be related to the memory deficits in the Sugar rats. Copyright © 2016 Elsevier B.V. All rights reserved.

  5. Subjective memory complaints are associated with brain activation supporting successful memory encoding.

    PubMed

    Hayes, Jessica M; Tang, Lingfei; Viviano, Raymond P; van Rooden, Sanneke; Ofen, Noa; Damoiseaux, Jessica S

    2017-12-01

    Subjective memory complaints, the perceived decline in cognitive abilities in the absence of clinical deficits, may precede Alzheimer's disease. Individuals with subjective memory complaints show differential brain activation during memory encoding; however, whether such differences contribute to successful memory formation remains unclear. Here, we investigated how subsequent memory effects, activation which is greater for hits than misses during an encoding task, differed between healthy older adults aged 50 to 85 years with (n = 23) and without (n = 41) memory complaints. Older adults with memory complaints, compared to those without, showed lower subsequent memory effects in the occipital lobe, superior parietal lobe, and posterior cingulate cortex. In addition, older adults with more memory complaints showed a more negative subsequent memory effects in areas of the default mode network, including the posterior cingulate cortex, precuneus, and ventromedial prefrontal cortex. Our findings suggest that for successful memory formation, older adults with subjective memory complaints rely on distinct neural mechanisms which may reflect an overall decreased task-directed attention. Copyright © 2017 Elsevier Inc. All rights reserved.

  6. Sleep enhances false memories depending on general memory performance.

    PubMed

    Diekelmann, Susanne; Born, Jan; Wagner, Ullrich

    2010-04-02

    Memory is subject to dynamic changes, sometimes giving rise to the formation of false memories due to biased processes of consolidation or retrieval. Sleep is known to benefit memory consolidation through an active reorganization of representations whereas acute sleep deprivation impairs retrieval functions. Here, we investigated whether sleep after learning and sleep deprivation at retrieval enhance the generation of false memories in a free recall test. According to the Deese, Roediger, McDermott (DRM) false memory paradigm, subjects learned lists of semantically associated words (e.g., "night", "dark", "coal", etc.), lacking the strongest common associate or theme word (here: "black"). Free recall was tested after 9h following a night of sleep, a night of wakefulness (sleep deprivation) or daytime wakefulness. Compared with memory performance after a retention period of daytime wakefulness, both post-learning nocturnal sleep as well as acute sleep deprivation at retrieval significantly enhanced false recall of theme words. However, these effects were only observed in subjects with low general memory performance. These data point to two different ways in which sleep affects false memory generation through semantic generalization: one acts during consolidation on the memory trace per se, presumably by active reorganization of the trace in the post-learning sleep period. The other is related to the recovery function of sleep and affects cognitive control processes of retrieval. Both effects are unmasked when the material is relatively weakly encoded. Crown Copyright 2009. Published by Elsevier B.V. All rights reserved.

  7. Data-driven Techniques to Estimate Parameters in the Homogenized Energy Model for Shape Memory Alloys

    DTIC Science & Technology

    2011-11-01

    sensor. volume 79781K. Proceedings of the SPIE 7978, 2011. [9] D.J. Hartl , D.C. Lagoudas, F.T. Calkins, and J.H. Mabe . Use of a ni60ti shape memory...alloy for active jet engine chevron application: I. thermomechanical characterization. Smart Materials and Structures, 19:1–14, 2010. [10] D.J. Hartl ...D.C. Lagoudas, F.T. Calkins, and J.H. Mabe . Use of a ni60ti shape memory alloy for active jet engine chevron application: II. experimentally validated

  8. Shaping memory consolidation via targeted memory reactivation during sleep.

    PubMed

    Cellini, Nicola; Capuozzo, Alessandra

    2018-05-15

    Recent studies have shown that the reactivation of specific memories during sleep can be modulated using external stimulation. Specifically, it has been reported that matching a sensory stimulus (e.g., odor or sound cue) with target information (e.g., pairs of words, pictures, and motor sequences) during wakefulness, and then presenting the cue alone during sleep, facilitates memory of the target information. Thus, presenting learned cues while asleep may reactivate related declarative, procedural, and emotional material, and facilitate the neurophysiological processes underpinning memory consolidation in humans. This paradigm, which has been named targeted memory reactivation, has been successfully used to improve visuospatial and verbal memories, strengthen motor skills, modify implicit social biases, and enhance fear extinction. However, these studies also show that results depend on the type of memory investigated, the task employed, the sensory cue used, and the specific sleep stage of stimulation. Here, we present a review of how memory consolidation may be shaped using noninvasive sensory stimulation during sleep. © 2018 New York Academy of Sciences.

  9. Designing shape-memory Heusler alloys from first-principles

    NASA Astrophysics Data System (ADS)

    Siewert, M.; Gruner, M. E.; Dannenberg, A.; Chakrabarti, A.; Herper, H. C.; Wuttig, M.; Barman, S. R.; Singh, S.; Al-Zubi, A.; Hickel, T.; Neugebauer, J.; Gillessen, M.; Dronskowski, R.; Entel, P.

    2011-11-01

    The phase diagrams of magnetic shape-memory Heusler alloys, in particular, ternary Ni-Mn-Z and quarternary (Pt, Ni)-Mn-Z alloys with Z = Ga, Sn, have been addressed by density functional theory and Monte Carlo simulations. Finite temperature free energy calculations show that the phonon contribution stabilizes the high-temperature austenite structure while at low temperatures magnetism and the band Jahn-Teller effect favor the modulated monoclinic 14M or the nonmodulated tetragonal structure. The substitution of Ni by Pt leads to a series of magnetic shape-memory alloys with very similar properties to Ni-Mn-Ga but with a maximal eigenstrain of 14%.

  10. The Benefits of Targeted Memory Reactivation for Consolidation in Sleep are Contingent on Memory Accuracy and Direct Cue-Memory Associations

    PubMed Central

    Cairney, Scott A.; Lindsay, Shane; Sobczak, Justyna M.; Paller, Ken A.; Gaskell, M. Gareth

    2016-01-01

    Study Objectives: To investigate how the effects of targeted memory reactivation (TMR) are influenced by memory accuracy prior to sleep and the presence or absence of direct cue-memory associations. Methods: 30 participants associated each of 50 pictures with an unrelated word and then with a screen location in two separate tasks. During picture-location training, each picture was also presented with a semantically related sound. The sounds were therefore directly associated with the picture locations but indirectly associated with the words. During a subsequent nap, half of the sounds were replayed in slow wave sleep (SWS). The effect of TMR on memory for the picture locations (direct cue-memory associations) and picture-word pairs (indirect cue-memory associations) was then examined. Results: TMR reduced overall memory decay for recall of picture locations. Further analyses revealed a benefit of TMR for picture locations recalled with a low degree of accuracy prior to sleep, but not those recalled with a high degree of accuracy. The benefit of TMR for low accuracy memories was predicted by time spent in SWS. There was no benefit of TMR for memory of the picture-word pairs, irrespective of memory accuracy prior to sleep. Conclusions: TMR provides the greatest benefit to memories recalled with a low degree of accuracy prior to sleep. The memory benefits of TMR may also be contingent on direct cue-memory associations. Citation: Cairney SA, Lindsay S, Sobczak JM, Paller KA, Gaskell MG. The benefits of targeted memory reactivation for consolidation in sleep are contingent on memory accuracy and direct cue-memory associations. SLEEP 2016;39(5):1139–1150. PMID:26856905

  11. Working Memory, Long-Term Memory, and Medial Temporal Lobe Function

    ERIC Educational Resources Information Center

    Jeneson, Annette; Squire, Larry R.

    2012-01-01

    Early studies of memory-impaired patients with medial temporal lobe (MTL) damage led to the view that the hippocampus and related MTL structures are involved in the formation of long-term memory and that immediate memory and working memory are independent of these structures. This traditional idea has recently been revisited. Impaired performance…

  12. The public's preparedness: self-reliance, flashbulb memories, and conservative values.

    PubMed

    Greenberg, Michael R; Dyen, Susannah; Elliott, Stacey

    2013-06-01

    We surveyed how many US residents engaged in 6 preparedness activities and measured the relationship between engagement and personal experience in hazard events, flashbulb memories of major events, self-reliance, and other indicators of a conservative philosophy. We used random digit dialing for national landline (75%) and cell phone (25%) surveys of 1930 US residents from July 6, 2011, to September 9, 2011; 1080 of the sample lived near 6 US Department of Energy nuclear waste management facilities and 850 were a national random sample. The median respondent engaged in 3 of the 6 activities; those who disproportionately engaged in 4 or more had experienced a hazard event, had distressing and strong flashbulb memories of major hazard events, and had strong feelings about the need for greater self-reliance. The results for the national and US Department of Energy site-specific surveys were almost identical. A cadre of US residents are disproportionately engaged in disaster preparedness, and they typically have stronger negative memories of past disasters and tend to be self-reliant. How their efforts can or should be integrated into local preparedness efforts is unclear.

  13. Cache directory look-up re-use as conflict check mechanism for speculative memory requests

    DOEpatents

    Ohmacht, Martin

    2013-09-10

    In a cache memory, energy and other efficiencies can be realized by saving a result of a cache directory lookup for sequential accesses to a same memory address. Where the cache is a point of coherence for speculative execution in a multiprocessor system, with directory lookups serving as the point of conflict detection, such saving becomes particularly advantageous.

  14. The Relationships of Working Memory, Secondary Memory, and General Fluid Intelligence: Working Memory Is Special

    ERIC Educational Resources Information Center

    Shelton, Jill Talley; Elliott, Emily M.; Matthews, Russell A.; Hill, B. D.; Gouvier, Wm. Drew

    2010-01-01

    Recent efforts have been made to elucidate the commonly observed link between working memory and reasoning ability. The results have been inconsistent, with some work suggesting that the emphasis placed on retrieval from secondary memory by working memory tests is the driving force behind this association (Mogle, Lovett, Stawski, & Sliwinski,…

  15. When Delays Improve Memory: Stabilizing Memory in Children May Require Time.

    PubMed

    Darby, Kevin P; Sloutsky, Vladimir M

    2015-12-01

    Memory is critical for learning, cognition, and cognitive development. Recent work has suggested that preschool-age children are vulnerable to catastrophic levels of memory interference, in which new learning dramatically attenuates memory for previously acquired knowledge. In the work reported here, we investigated the effects of consolidation on children's memory by introducing a 48-hr delay between learning and testing. In Experiment 1, the delay improved children's memory and eliminated interference. Results of Experiment 2 suggest that the benefit of this delay is limited to situations in which children are given enough information to form complex memory structures. These findings have important implications for understanding consolidation processes and memory development. © The Author(s) 2015.

  16. The Nature of Individual Differences in Working Memory Capacity: Active Maintenance in Primary Memory and Controlled Search from Secondary Memory

    ERIC Educational Resources Information Center

    Unsworth, Nash; Engle, Randall W.

    2007-01-01

    Studies examining individual differences in working memory capacity have suggested that individuals with low working memory capacities demonstrate impaired performance on a variety of attention and memory tasks compared with individuals with high working memory capacities. This working memory limitation can be conceived of as arising from 2…

  17. Characteristics of Near-Death Experiences Memories as Compared to Real and Imagined Events Memories

    PubMed Central

    Brédart, Serge; Dehon, Hedwige; Ledoux, Didier; Laureys, Steven; Vanhaudenhuyse, Audrey

    2013-01-01

    Since the dawn of time, Near-Death Experiences (NDEs) have intrigued and, nowadays, are still not fully explained. Since reports of NDEs are proposed to be imagined events, and since memories of imagined events have, on average, fewer phenomenological characteristics than real events memories, we here compared phenomenological characteristics of NDEs reports with memories of imagined and real events. We included three groups of coma survivors (8 patients with NDE as defined by the Greyson NDE scale, 6 patients without NDE but with memories of their coma, 7 patients without memories of their coma) and a group of 18 age-matched healthy volunteers. Five types of memories were assessed using Memory Characteristics Questionnaire (MCQ – Johnson et al., 1988): target memories (NDE for NDE memory group, coma memory for coma memory group, and first childhood memory for no memory and control groups), old and recent real event memories and old and recent imagined event memories. Since NDEs are known to have high emotional content, participants were requested to choose the most emotionally salient memories for both real and imagined recent and old event memories. Results showed that, in NDE memories group, NDE memories have more characteristics than memories of imagined and real events (p<0.02). NDE memories contain more self-referential and emotional information and have better clarity than memories of coma (all ps<0.02). The present study showed that NDE memories contained more characteristics than real event memories and coma memories. Thus, this suggests that they cannot be considered as imagined event memories. On the contrary, their physiological origins could lead them to be really perceived although not lived in the reality. Further work is needed to better understand this phenomenon. PMID:23544039

  18. Cue-independent memory impairment by reactivation-coupled interference in human declarative memory.

    PubMed

    Zhu, Zijian; Wang, Yingying; Cao, Zhijun; Chen, Biqing; Cai, Huaqian; Wu, Yanhong; Rao, Yi

    2016-10-01

    Memory is a dynamic process. While memory becomes increasingly resistant to interference after consolidation, a brief reactivation renders it unstable again. Previous studies have shown that interference, when applied upon reactivation, impairs the consolidated memory, presumably by disrupting the reconsolidation of the memory. However, attempts have failed in disrupting human declarative memory, raising a question about whether declarative memory becomes unstable upon reactivation. Here, we used a double-cue/one-target paradigm, which associated the same target with two different cues in initial memory formation. Only one cue/target association was later reactivated and treated with behavioral interference. Our results showed, for the first time, that reactivation-coupled interference caused cue-independent memory impairment that generalized to other cues associated with the memory. Critically, such memory impairment appeared immediately after interference, before the reconsolidation process was completed, suggesting that common manipulations of reactivation-coupled interference procedures might disrupt other processes in addition to the reconsolidation process in human declarative memory. Copyright © 2016. Published by Elsevier B.V.

  19. Recent life stress exposure is associated with poorer long-term memory, working memory, and self-reported memory.

    PubMed

    Shields, Grant S; Doty, Dominique; Shields, Rebecca H; Gower, Garrett; Slavich, George M; Yonelinas, Andrew P

    2017-11-01

    Although substantial research has examined the effects of stress on cognition, much of this research has focused on acute stress (e.g. manipulated in the laboratory) or chronic stress (e.g. persistent interpersonal or financial difficulties). In contrast, the effects of recent life stress on cognition have been relatively understudied. To address this issue, we examined how recent life stress is associated with long-term, working memory, and self-reported memory in a sample of 142 healthy young adults who were assessed at two time points over a two-week period. Recent life stress was measured using the newly-developed Stress and Adversity Inventory for Daily Stress (Daily STRAIN), which assesses the frequency of relatively common stressful life events and difficulties over the preceding two weeks. To assess memory performance, participants completed both long-term and working memory tasks. Participants also provided self-reports of memory problems. As hypothesized, greater recent life stress exposure was associated with worse performance on measures of long-term and working memory, as well as more self-reported memory problems. These associations were largely robust while controlling for possible confounds, including participants' age, sex, and negative affect. The findings indicate that recent life stress exposure is broadly associated with worse memory. Future studies should thus consider assessing recent life stress as a potential predictor, moderator, or covariate of memory performance.

  20. Addiction memory as a specific, individually learned memory imprint.

    PubMed

    Böning, J

    2009-05-01

    The construct of "addiction memory" (AM) and its importance for relapse occurrence has been the subject of discussion for the past 30 years. Neurobiological findings from "social neuroscience" and biopsychological learning theory, in conjunction with construct-valid behavioral pharmacological animal models, can now also provide general confirmation of addiction memory as a pathomorphological correlate of addiction disorders. Under multifactorial influences, experience-driven neuronal learning and memory processes of emotional and cognitive processing patterns in the specific individual "set" and "setting" play an especially pivotal role in this connection. From a neuropsychological perspective, the episodic (biographical) memory, located at the highest hierarchical level, is of central importance for the formation of the AM in certain structural and functional areas of the brain and neuronal networks. Within this context, neuronal learning and conditioning processes take place more or less unconsciously and automatically in the preceding long-term-memory systems (in particular priming and perceptual memory). They then regulate the individually programmed addiction behavior implicitly and thus subsequently stand for facilitated recollection of corresponding, previously stored cues or context situations. This explains why it is so difficult to treat an addiction memory, which is embedded above all in the episodic memory, from the molecular carrier level via the neuronal pattern level through to the psychological meaning level, and has thus meanwhile become a component of personality.

  1. Emotional memory: No source memory without old-new recognition.

    PubMed

    Bell, Raoul; Mieth, Laura; Buchner, Axel

    2017-02-01

    Findings reported in the memory literature suggest that the emotional components of an encoding episode can be dissociated from nonemotional memory. In particular, it has been found that the previous association with threatening events can be retrieved in aversive conditioning even in the absence of item identification. In the present study, we test whether emotional source memory can be independent of item recognition. Participants saw pictures of snakes paired with threatening and nonthreatening context information (poisonousness or nonpoisonousness). In the source memory test, participants were required to remember whether a snake was associated with poisonousness or nonpoisonousness. A simple extension of a well-established multinomial source monitoring model was used to measure source memory for unrecognized items. By using this model, it was possible to assess directly whether participants were able to associate a previously seen snake with poisonousness or nonpoisonousness even if the snake itself was not recognized as having been presented during the experiment. In 3 experiments, emotional source memory was only found for recognized items. While source memory for recognized items differed between emotional and nonemotional information, source memory for unrecognized items was equally absent for emotional and nonemotional information. We conclude that emotional context information is bound to item representations and cannot be retrieved in the absence of item recognition. (PsycINFO Database Record (c) 2017 APA, all rights reserved).

  2. Experimental Effects of Acute Exercise on Prospective Memory and False Memory.

    PubMed

    Green, David; Loprinzi, Paul D

    2018-01-01

    Research demonstrates that acute exercise can enhance retrospective episodic memory performance. However, limited research has examined the effects of acute exercise on prospective memory, and no studies have examined the effects of exercise on false memory performance. This study examined the potential effects of acute exercise on prospective memory and false memory performance. A between-group randomized controlled trial was employed, with participants (college students; M age  = 20 years) randomized into an exercise group (15-minute acute bout of treadmill walking; N = 25) or a control group (15 minutes of sitting; N = 26). Prospective memory was assessed from two laboratory and two naturalistic assessments outside the lab. False memory was assessed using a word-list trial. There were no statistically significant differences in prospective memory based on group allocation (F Group×Time  = 1.17; P = 0.32; η 2  = 0.06). However, the control group recalled more false words and had a higher rate of false memory recognition (F Group×Time  = 3.15; P = 0.01; η 2  = 0.26). These findings indicate that acute moderate-intensity aerobic exercise is not associated with prospective memory performance but provides some suggestive evidence that acute exercise may reduce the rate of false memories.

  3. Cue generation and memory construction in direct and generative autobiographical memory retrieval.

    PubMed

    Harris, Celia B; O'Connor, Akira R; Sutton, John

    2015-05-01

    Theories of autobiographical memory emphasise effortful, generative search processes in memory retrieval. However recent research suggests that memories are often retrieved directly, without effortful search. We investigated whether direct and generative retrieval differed in the characteristics of memories recalled, or only in terms of retrieval latency. Participants recalled autobiographical memories in response to cue words. For each memory, they reported whether it was retrieved directly or generatively, rated its visuo-spatial perspective, and judged its accompanying recollective experience. Our results indicated that direct retrieval was commonly reported and was faster than generative retrieval, replicating recent findings. The characteristics of directly retrieved memories differed from generatively retrieved memories: directly retrieved memories had higher field perspective ratings and lower observer perspective ratings. However, retrieval mode did not influence recollective experience. We discuss our findings in terms of cue generation and content construction, and the implication for reconstructive models of autobiographical memory. Copyright © 2015 Elsevier Inc. All rights reserved.

  4. Facing the Language-Memory Problem in the Study of Autobiographical Memory.

    PubMed

    Bartoli, Eleonora; Smorti, Andrea

    2018-05-16

    This paper discusses the problem of the role of language in autobiographical memory, that is barely considered in studies on autobiographical memories and narratives. As a matter of fact, most of the current studies on autobiographical memory confounded memory and narrative together. The present paper focuses on two main issues. Firstly, it debates how narratives contribute to the construction of autobiographical memories through self-other communication. Secondly, it reflects on how language and communication should be manipulated in studies about autobiographical memory. This paper is made of three sections: the first section discusses the role of language, particularly in the form of narrative, as a social tool by which autobiographical memories can be organised in a life story; the second section examines previous methods of investigation used in the study of autobiographical memories; finally, the third section proposes different methodological alternatives to overcome the problems emerging from our analysis of literature.

  5. The design and testing of a memory metal actuated boom release mechanism

    NASA Technical Reports Server (NTRS)

    Powley, D. G.; Brook, G. B.

    1979-01-01

    A boom latch and release mechanism was designed, manufactured and tested, based on a specification for the ISEE-B satellite mechanism. From experimental results obtained, it is possible to calculate the energy available and the operating torques which can be achieved from a torsional shape memory element in terms of the reversible strain induced by prior working. Some guidelines to be followed when designing mechanisms actuated by shape memory elements are included.

  6. The Benefits of Targeted Memory Reactivation for Consolidation in Sleep are Contingent on Memory Accuracy and Direct Cue-Memory Associations.

    PubMed

    Cairney, Scott A; Lindsay, Shane; Sobczak, Justyna M; Paller, Ken A; Gaskell, M Gareth

    2016-05-01

    To investigate how the effects of targeted memory reactivation (TMR) are influenced by memory accuracy prior to sleep and the presence or absence of direct cue-memory associations. 30 participants associated each of 50 pictures with an unrelated word and then with a screen location in two separate tasks. During picture-location training, each picture was also presented with a semantically related sound. The sounds were therefore directly associated with the picture locations but indirectly associated with the words. During a subsequent nap, half of the sounds were replayed in slow wave sleep (SWS). The effect of TMR on memory for the picture locations (direct cue-memory associations) and picture-word pairs (indirect cue-memory associations) was then examined. TMR reduced overall memory decay for recall of picture locations. Further analyses revealed a benefit of TMR for picture locations recalled with a low degree of accuracy prior to sleep, but not those recalled with a high degree of accuracy. The benefit of TMR for low accuracy memories was predicted by time spent in SWS. There was no benefit of TMR for memory of the picture-word pairs, irrespective of memory accuracy prior to sleep. TMR provides the greatest benefit to memories recalled with a low degree of accuracy prior to sleep. The memory benefits of TMR may also be contingent on direct cue-memory associations. © 2016 Associated Professional Sleep Societies, LLC.

  7. Everyday memory and working memory in adolescents with mild intellectual disability.

    PubMed

    Van der Molen, M J; Van Luit, J E H; Van der Molen, Maurits W; Jongmans, Marian J

    2010-05-01

    Everyday memory and its relationship to working memory was investigated in adolescents with mild intellectual disability and compared to typically developing adolescents of the same age (CA) and younger children matched on mental age (MA). Results showed a delay on almost all memory measures for the adolescents with mild intellectual disability compared to the CA control adolescents. Compared to the MA control children, the adolescents with mild intellectual disability performed less well on a general everyday memory index. Only some significant associations were found between everyday memory and working memory for the mild intellectual disability group. These findings were interpreted to suggest that adolescents with mild intellectual disability have difficulty in making optimal use of their working memory when new or complex situations tax their abilities.

  8. Binary Associative Memories as a Benchmark for Spiking Neuromorphic Hardware

    PubMed Central

    Stöckel, Andreas; Jenzen, Christoph; Thies, Michael; Rückert, Ulrich

    2017-01-01

    Large-scale neuromorphic hardware platforms, specialized computer systems for energy efficient simulation of spiking neural networks, are being developed around the world, for example as part of the European Human Brain Project (HBP). Due to conceptual differences, a universal performance analysis of these systems in terms of runtime, accuracy and energy efficiency is non-trivial, yet indispensable for further hard- and software development. In this paper we describe a scalable benchmark based on a spiking neural network implementation of the binary neural associative memory. We treat neuromorphic hardware and software simulators as black-boxes and execute exactly the same network description across all devices. Experiments on the HBP platforms under varying configurations of the associative memory show that the presented method allows to test the quality of the neuron model implementation, and to explain significant deviations from the expected reference output. PMID:28878642

  9. Shifting visual perspective during memory retrieval reduces the accuracy of subsequent memories.

    PubMed

    Marcotti, Petra; St Jacques, Peggy L

    2018-03-01

    Memories for events can be retrieved from visual perspectives that were never experienced, reflecting the dynamic and reconstructive nature of memories. Characteristics of memories can be altered when shifting from an own eyes perspective, the way most events are initially experienced, to an observer perspective, in which one sees oneself in the memory. Moreover, recent evidence has linked these retrieval-related effects of visual perspective to subsequent changes in memories. Here we examine how shifting visual perspective influences the accuracy of subsequent memories for complex events encoded in the lab. Participants performed a series of mini-events that were experienced from their own eyes, and were later asked to retrieve memories for these events while maintaining the own eyes perspective or shifting to an alternative observer perspective. We then examined how shifting perspective during retrieval modified memories by influencing the accuracy of recall on a final memory test. Across two experiments, we found that shifting visual perspective reduced the accuracy of subsequent memories and that reductions in vividness when shifting visual perspective during retrieval predicted these changes in the accuracy of memories. Our findings suggest that shifting from an own eyes to an observer perspective influences the accuracy of long-term memories.

  10. Keeping memories at an arm's length: vantage point of trauma memories.

    PubMed

    Kenny, Lucy M; Bryant, Richard A

    2007-08-01

    This study investigated the relationship between memory vantage point and avoidance following trauma. Sixty trauma survivors with differing levels of avoidance were interviewed about the vantage point of their memory for trauma, a positive memory, and a neutral memory. Avoidant individuals were more likely to remember their trauma from an observer perspective than individuals with a lower level of avoidance. Avoidance did not influence vantage point for positive or neutral memories. These data support the proposal that adoption of the observer vantage point for trauma memories may serve an avoidant function for people affected by trauma.

  11. Individual differences in susceptibility to false memories: The effect of memory specificity.

    PubMed

    Dewhurst, Stephen A; Anderson, Rachel J; Berry, Donna M; Garner, Sarah R

    2017-06-25

    Previous research has highlighted the wide individual variability in susceptibility to the false memories produced by the Deese/Roediger-McDermott (DRM) procedure [Deese, J. (1959). On the prediction of occurrence of particular verbal intrusions in immediate recall. Journal of Experimental Psychology, 58, 17-22; Roediger, H. L., III, & McDermott, K. B. (1995). Creating false memories: Remembering words not presented in lists. Journal of Experimental Psychology: Learning, Memory, & Cognition, 21, 803-814]. The current study investigated whether susceptibility to false memories is influenced by individual differences in the specificity of autobiographical memory retrieval. Memory specificity was measured using the Sentence Completion for Events from the Past Test (SCEPT) [Raes, F., Hermans, D., Williams, J. M. G., & Eelen, P. (2007). A sentence completion procedure as an alternative to the Autobiographical Memory Test for assessing overgeneral memory in non-clinical populations. Memory, 15, 495-507]. Memory specificity did not correlate with correct recognition, but a specific retrieval style was positively correlated with levels of false recognition. It is proposed that the contextual details that frequently accompany false memories of nonstudied lures are more accessible in individuals with specific retrieval styles.

  12. The origin of children's implanted false memories: memory traces or compliance?

    PubMed

    Otgaar, Henry; Verschuere, Bruno; Meijer, Ewout H; van Oorsouw, Kim

    2012-03-01

    A longstanding question in false memory research is whether children's implanted false memories represent actual memory traces or merely result from compliance. The current study examined this question using a response latency based deception task. Forty-five 8-year-old children received narratives about a true (first day at school) and false event (hot air balloon ride). Across two interviews, 58/32% of the participants developed a partial/full false memory. Interestingly, these children also showed higher false recall on an unrelated DRM paradigm compared to children without a false memory. The crucial finding, however, was that the results of the deception task revealed that children with partial and full false memories were faster to confirm than to deny statements relating to the false event. This indicates that children's implanted false memories reflect actual memory traces, and are unlikely to be explained by mere compliance. Copyright © 2012 Elsevier B.V. All rights reserved.

  13. Manipulations of attention dissociate fragile visual short-term memory from visual working memory.

    PubMed

    Vandenbroucke, Annelinde R E; Sligte, Ilja G; Lamme, Victor A F

    2011-05-01

    People often rely on information that is no longer in view, but maintained in visual short-term memory (VSTM). Traditionally, VSTM is thought to operate on either a short time-scale with high capacity - iconic memory - or a long time scale with small capacity - visual working memory. Recent research suggests that in addition, an intermediate stage of memory in between iconic memory and visual working memory exists. This intermediate stage has a large capacity and a lifetime of several seconds, but is easily overwritten by new stimulation. We therefore termed it fragile VSTM. In previous studies, fragile VSTM has been dissociated from iconic memory by the characteristics of the memory trace. In the present study, we dissociated fragile VSTM from visual working memory by showing a differentiation in their dependency on attention. A decrease in attention during presentation of the stimulus array greatly reduced the capacity of visual working memory, while this had only a small effect on the capacity of fragile VSTM. We conclude that fragile VSTM is a separate memory store from visual working memory. Thus, a tripartite division of VSTM appears to be in place, comprising iconic memory, fragile VSTM and visual working memory. Copyright © 2011 Elsevier Ltd. All rights reserved.

  14. Blurring of emotional and non-emotional memories by taxing working memory during recall.

    PubMed

    van den Hout, Marcel A; Eidhof, Marloes B; Verboom, Jesse; Littel, Marianne; Engelhard, Iris M

    2014-01-01

    Memories that are recalled while working memory (WM) is taxed, e.g., by making eye movements (EM), become blurred during the recall + EM and later recall, without EM. This may help to explain the effects of Eye Movement and Desensitisation and Reprocessing (EMDR) in the treatment of post-traumatic stress disorder (PTSD) in which patients make EM during trauma recall. Earlier experimental studies on recall + EM have focused on emotional memories. WM theory suggests that recall + EM is superior to recall only but is silent about effects of memory emotionality. Based on the emotion and memory literature, we examined whether recall + EM has superior effects in blurring emotional memories relative to neutral memories. Healthy volunteers recalled negative or neutral memories, matched for vividness, while visually tracking a dot that moved horizontally ("recall + EM") or remained stationary ("recall only"). Compared to a pre-test, a post-test (without concentrating on the dot) replicated earlier findings: negative memories are rated as less vivid after "recall + EM" but not after "recall only". This was not found for neutral memories. Emotional memories are more taxing than neutral memories, which may explain the findings. Alternatively, transient arousal induced by recall of aversive memories may promote reconsolidation of the blurred memory image that is provoked by EM.

  15. Overlapping memory trace indispensable for linking, but not recalling, individual memories.

    PubMed

    Yokose, Jun; Okubo-Suzuki, Reiko; Nomoto, Masanori; Ohkawa, Noriaki; Nishizono, Hirofumi; Suzuki, Akinobu; Matsuo, Mina; Tsujimura, Shuhei; Takahashi, Yukari; Nagase, Masashi; Watabe, Ayako M; Sasahara, Masakiyo; Kato, Fusao; Inokuchi, Kaoru

    2017-01-27

    Memories are not stored in isolation from other memories but are integrated into associative networks. However, the mechanisms underlying memory association remain elusive. Using two amygdala-dependent behavioral paradigms-conditioned taste aversion (CTA) and auditory-cued fear conditioning (AFC)-in mice, we found that presenting the conditioned stimulus used for the CTA task triggered the conditioned response of the AFC task after natural coreactivation of the memories. This was accompanied through an increase in the overlapping neuronal ensemble in the basolateral amygdala. Silencing of the overlapping ensemble suppressed CTA retrieval-induced freezing. However, retrieval of the original CTA or AFC memory was not affected. A small population of coshared neurons thus mediates the link between memories. They are not necessary for recalling individual memories. Copyright © 2017, American Association for the Advancement of Science.

  16. The effects of autobiographical memory and visual perspective on working memory.

    PubMed

    Cheng, Zenghu; She, Yugui

    2018-08-01

    The present research aims to explore whether recalling and writing about autobiographical memory from different perspectives (first-person perspective vs. third-person perspective) could affect cognitive function. The participants first performed a working memory task to evaluate their working memory capacity as a baseline and then were instructed to recall (Study 1) or write about (Study 2) personal events (failures vs. successes) from the first-person perspective or the third-person perspective. Finally, they performed the working memory task again. The results suggested that autobiographical memory and perspective influence working memory interactively. When recalling a success, the participants who recalled from the third-person perspective performed better than those who recalled from the first-person perspective on the working memory capacity task; when recalling a failure, the opposite was true.

  17. Externalising the autobiographical self: sharing personal memories online facilitated memory retention.

    PubMed

    Wang, Qi; Lee, Dasom; Hou, Yubo

    2017-07-01

    Internet technology provides a new means of recalling and sharing personal memories in the digital age. What is the mnemonic consequence of posting personal memories online? Theories of transactive memory and autobiographical memory would make contrasting predictions. In the present study, college students completed a daily diary for a week, listing at the end of each day all the events that happened to them on that day. They also reported whether they posted any of the events online. Participants received a surprise memory test after the completion of the diary recording and then another test a week later. At both tests, events posted online were significantly more likely than those not posted online to be recalled. It appears that sharing memories online may provide unique opportunities for rehearsal and meaning-making that facilitate memory retention.

  18. Negative Affect Impairs Associative Memory but Not Item Memory

    ERIC Educational Resources Information Center

    Bisby, James A.; Burgess, Neil

    2014-01-01

    The formation of associations between items and their context has been proposed to rely on mechanisms distinct from those supporting memory for a single item. Although emotional experiences can profoundly affect memory, our understanding of how it interacts with different aspects of memory remains unclear. We performed three experiments to examine…

  19. Memory consolidation reconfigures neural pathways involved in the suppression of emotional memories

    PubMed Central

    Liu, Yunzhe; Lin, Wanjun; Liu, Chao; Luo, Yuejia; Wu, Jianhui; Bayley, Peter J.; Qin, Shaozheng

    2016-01-01

    The ability to suppress unwanted emotional memories is crucial for human mental health. Through consolidation over time, emotional memories often become resistant to change. However, how consolidation impacts the effectiveness of emotional memory suppression is still unknown. Using event-related fMRI while concurrently recording skin conductance, we investigated the neurobiological processes underlying the suppression of aversive memories before and after overnight consolidation. Here we report that consolidated aversive memories retain their emotional reactivity and become more resistant to suppression. Suppression of consolidated memories involves higher prefrontal engagement, and less concomitant hippocampal and amygdala disengagement. In parallel, we show a shift away from hippocampal-dependent representational patterns to distributed neocortical representational patterns in the suppression of aversive memories after consolidation. These findings demonstrate rapid changes in emotional memory organization with overnight consolidation, and suggest possible neurobiological bases underlying the resistance to suppression of emotional memories in affective disorders. PMID:27898050

  20. Selective memory retrieval can impair and improve retrieval of other memories.

    PubMed

    Bäuml, Karl-Heinz T; Samenieh, Anuscheh

    2012-03-01

    Research from the past decades has shown that retrieval of a specific memory (e.g., retrieving part of a previous vacation) typically attenuates retrieval of other memories (e.g., memories for other details of the event), causing retrieval-induced forgetting. More recently, however, it has been shown that retrieval can both attenuate and aid recall of other memories (K.-H. T. Bäuml & A. Samenieh, 2010). To identify the circumstances under which retrieval aids recall, the authors examined retrieval dynamics in listwise directed forgetting, context-dependent forgetting, proactive interference, and in the absence of any induced memory impairment. They found beneficial effects of selective retrieval in listwise directed forgetting and context-dependent forgetting but detrimental effects in all the other conditions. Because context-dependent forgetting and listwise directed forgetting arguably reflect impaired context access, the results suggest that memory retrieval aids recall of memories that are subject to impaired context access but attenuates recall in the absence of such circumstances. The findings are consistent with a 2-factor account of memory retrieval and suggest the existence of 2 faces of memory retrieval. 2012 APA, all rights reserved

  1. Retinotopic memory is more precise than spatiotopic memory.

    PubMed

    Golomb, Julie D; Kanwisher, Nancy

    2012-01-31

    Successful visually guided behavior requires information about spatiotopic (i.e., world-centered) locations, but how accurately is this information actually derived from initial retinotopic (i.e., eye-centered) visual input? We conducted a spatial working memory task in which subjects remembered a cued location in spatiotopic or retinotopic coordinates while making guided eye movements during the memory delay. Surprisingly, after a saccade, subjects were significantly more accurate and precise at reporting retinotopic locations than spatiotopic locations. This difference grew with each eye movement, such that spatiotopic memory continued to deteriorate, whereas retinotopic memory did not accumulate error. The loss in spatiotopic fidelity is therefore not a generic consequence of eye movements, but a direct result of converting visual information from native retinotopic coordinates. Thus, despite our conscious experience of an effortlessly stable spatiotopic world and our lifetime of practice with spatiotopic tasks, memory is actually more reliable in raw retinotopic coordinates than in ecologically relevant spatiotopic coordinates.

  2. CLONAL MEMORY

    PubMed Central

    McMichael, A. J.; Williamson, A. R.

    1974-01-01

    A single clone of B cells producing anti-DNP antibody recognizable by the isoelectric-focusing spectrum has been used, in a double transfer system, to study clonal memory. Trasnsferable B memory develops between 4 and 7 days after the first transfer with antigen. B-memory cells thus proliferate before or concomitantly with antibody-forming cells. PMID:4545165

  3. User Preference-Based Dual-Memory Neural Model With Memory Consolidation Approach.

    PubMed

    Nasir, Jauwairia; Yoo, Yong-Ho; Kim, Deok-Hwa; Kim, Jong-Hwan; Nasir, Jauwairia; Yong-Ho Yoo; Deok-Hwa Kim; Jong-Hwan Kim; Nasir, Jauwairia; Yoo, Yong-Ho; Kim, Deok-Hwa; Kim, Jong-Hwan

    2018-06-01

    Memory modeling has been a popular topic of research for improving the performance of autonomous agents in cognition related problems. Apart from learning distinct experiences correctly, significant or recurring experiences are expected to be learned better and be retrieved easier. In order to achieve this objective, this paper proposes a user preference-based dual-memory adaptive resonance theory network model, which makes use of a user preference to encode memories with various strengths and to learn and forget at various rates. Over a period of time, memories undergo a consolidation-like process at a rate proportional to the user preference at the time of encoding and the frequency of recall of a particular memory. Consolidated memories are easier to recall and are more stable. This dual-memory neural model generates distinct episodic memories and a flexible semantic-like memory component. This leads to an enhanced retrieval mechanism of experiences through two routes. The simulation results are presented to evaluate the proposed memory model based on various kinds of cues over a number of trials. The experimental results on Mybot are also presented. The results verify that not only are distinct experiences learned correctly but also that experiences associated with higher user preference and recall frequency are consolidated earlier. Thus, these experiences are recalled more easily relative to the unconsolidated experiences.

  4. EDITORIAL: Non-volatile memory based on nanostructures Non-volatile memory based on nanostructures

    NASA Astrophysics Data System (ADS)

    Kalinin, Sergei; Yang, J. Joshua; Demming, Anna

    2011-06-01

    Non-volatile memory refers to the crucial ability of computers to store information once the power source has been removed. Traditionally this has been achieved through flash, magnetic computer storage and optical discs, and in the case of very early computers paper tape and punched cards. While computers have advanced considerably from paper and punched card memory devices, there are still limits to current non-volatile memory devices that restrict them to use as secondary storage from which data must be loaded and carefully saved when power is shut off. Denser, faster, low-energy non-volatile memory is highly desired and nanostructures are the critical enabler. This special issue on non-volatile memory based on nanostructures describes some of the new physics and technology that may revolutionise future computers. Phase change random access memory, which exploits the reversible phase change between crystalline and amorphous states, also holds potential for future memory devices. The chalcogenide Ge2Sb2Te5 (GST) is a promising material in this field because it combines a high activation energy for crystallization and a relatively low crystallization temperature, as well as a low melting temperature and low conductivity, which accommodates localized heating. Doping is often used to lower the current required to activate the phase change or 'reset' GST but this often aggravates other problems. Now researchers in Korea report in-depth studies of SiO2-doped GST and identify ways of optimising the material's properties for phase-change random access memory [1]. Resistance switching is an area that has attracted a particularly high level of interest for non-volatile memory technology, and a great deal of research has focused on the potential of TiO2 as a model system in this respect. Researchers at HP labs in the US have made notable progress in this field, and among the work reported in this special issue they describe means to control the switch resistance and show

  5. Overgeneral memory and suppression of trauma memories in post-traumatic stress disorder.

    PubMed

    Schönfeld, Sabine; Ehlers, Anke; Böllinghaus, Inga; Rief, Winfried

    2007-04-01

    The study investigated the relationship between the suppression of trauma memories and overgeneral memory in 42 assault survivors with and without PTSD. Overgeneral memory (OGM) was assessed with a standard autobiographical memory test (AMT). Participants completed two further AMTs under the instructions to either suppress or not suppress assault memories, in counterbalanced order. Participants with PTSD retrieved fewer and more general memories when following the suppression instruction than participants without PTSD, but not under the control instruction. OGM correlated with PTSD symptom severity, and measures of cognitive avoidance. The results are discussed with reference to current theories of overgeneral memory and its possible relationship with PTSD.

  6. Energy efficient and fast reversal of a fixed skyrmion two-terminal memory with spin current assisted by voltage controlled magnetic anisotropy

    NASA Astrophysics Data System (ADS)

    Bhattacharya, Dhritiman; Mamun Al-Rashid, Md; Atulasimha, Jayasimha

    2017-10-01

    Recent work (P-H Jang et al 2015 Appl. Phys. Lett. 107 202401, J. Sampaio et al 2016 Appl. Phys. Lett. 108 112403) suggests that ferromagnetic reversal with spin transfer torque (STT) requires more current in a system in the presence of Dzyaloshinskii-Moriya interaction (DMI) than switching a typical ferromagnet of the same dimensions and perpendicular magnetic anisotropy (PMA). However, DMI promotes the stabilization of skyrmions and we report that when perpendicular anisotropy is modulated (reduced) for both the skyrmion and ferromagnet, it takes a much smaller current to reverse the fixed skyrmion than to reverse the ferromagnet in the same amount of time, or the skyrmion reverses much faster than the ferromagnet at similar levels of current. We show with rigorous micromagnetic simulations that skyrmion switching proceeds along a different path at very low PMA, which results in a significant reduction in the spin current or time required for reversal. This can offer potential for memory applications where a relatively simple modification of the standard STT-RAM (to include a heavy metal adjacent to the soft magnetic layer and with appropriate design of the tunnel barrier) can lead to an energy efficient and fast magnetic memory device based on the reversal of fixed skyrmions.

  7. Epigenetic Priming of Memory Updating during Reconsolidation to Attenuate Remote Fear Memories

    PubMed Central

    Gräff, Johannes; Joseph, Nadine F.; Horn, Meryl E.; Samiei, Alireza; Meng, Jia; Seo, Jinsoo; Rei, Damien; Bero, Adam W.; Phan, Trongha X.; Wagner, Florence; Holson, Edward; Xu, Jinbin; Sun, Jianjun; Neve, Rachael L.; Mach, Robert H.; Haggarty, Stephen J.; Tsai, Li-Huei

    2014-01-01

    Summary Traumatic events generate some of the most enduring forms of memories. Despite the elevated lifetime prevalence of anxiety disorders, effective strategies to attenuate long-term traumatic memories are scarce. The most efficacious treatments to diminish recent (i.e., day-old) traumata capitalize on memory updating mechanisms during reconsolidation that are initiated upon memory recall. Here, we show that, in mice, successful reconsolidation-updating paradigms for recent memories fail to attenuate remote (i.e., month-old) ones. We find that, whereas recent memory recall induces a limited period of hippocampal neuroplasticity mediated, in part, by S-nitrosylation of HDAC2 and histone acetylation, such plasticity is absent for remote memories. However, by using an HDAC2-targeting inhibitor (HDACi) during reconsolidation, even remote memories can be persistently attenuated. This intervention epigenetically primes the expression of neuroplasticity-related genes, which is accompanied by higher metabolic, synaptic, and structural plasticity. Thus, applying HDACis during memory reconsolidation might constitute a treatment option for remote traumata. PMID:24439381

  8. Working memory capacity and overgeneral autobiographical memory in young and older adults.

    PubMed

    Ros, Laura; Latorre, José Miguel; Serrano, Juan Pedro

    2010-01-01

    The objectives of this study are to compare the Autobiographical Memory Test (AMT) performance of two healthy samples of younger and older adults and to analyse the relationship between overgeneral memory (OGM) and working memory executive processes (WMEP) using a structural equation modelling with latent variables. The AMT and sustained attention, short-term memory and working memory tasks were administered to a group of young adults (N = 50) and a group of older adults (N = 46). On the AMT, the older adults recalled a greater number of categorical memories (p = .000) and fewer specific memories (p = .000) than the young adults, confirming that OGM occurs in the normal population and increases with age. WMEP was measured by reading span and a working memory with sustained attention load task. Structural equation modelling reflects that WMEP shows a strong relationship with OGM: lower scores on WMEP reflect an OGM phenomenon characterized by higher categorical and lower specific memories.

  9. On the susceptibility of adaptive memory to false memory illusions.

    PubMed

    Howe, Mark L; Derbish, Mary H

    2010-05-01

    Previous research has shown that survival-related processing of word lists enhances retention for that material. However, the claim that survival-related memories are more accurate has only been examined when true recall and recognition of neutral material has been measured. In the current experiments, we examined the adaptive memory superiority effect for different types of processing and material, measuring accuracy more directly by comparing true and false recollection rates. Survival-related information and processing was examined using word lists containing backward associates of neutral, negative, and survival-related critical lures and type of processing (pleasantness, moving, survival) was varied using an incidental memory paradigm. Across four experiments, results showed that survival-related words were more susceptible than negative and neutral words to the false memory illusion and that processing information in terms of its relevance to survival independently increased this susceptibility to the false memory illusion. Overall, although survival-related processing and survival-related information resulted in poorer, not more accurate, memory, such inaccuracies may have adaptive significance. These findings are discussed in the context of false memory research and recent theories concerning the importance of survival processing and the nature of adaptive memory. Copyright 2009 Elsevier B.V. All rights reserved.

  10. Visual perspective in autobiographical memories: reliability, consistency, and relationship to objective memory performance.

    PubMed

    Siedlecki, Karen L

    2015-01-01

    Visual perspective in autobiographical memories was examined in terms of reliability, consistency, and relationship to objective memory performance in a sample of 99 individuals. Autobiographical memories may be recalled from two visual perspectives--a field perspective in which individuals experience the memory through their own eyes, or an observer perspective in which individuals experience the memory from the viewpoint of an observer in which they can see themselves. Participants recalled nine word-cued memories that differed in emotional valence (positive, negative and neutral) and rated their memories on 18 scales. Results indicate that visual perspective was the most reliable memory characteristic overall and is consistently related to emotional intensity at the time of recall and amount of emotion experienced during the memory. Visual perspective is unrelated to memory for words, stories, abstract line drawings or faces.

  11. Short-term memory to long-term memory transition in a nanoscale memristor.

    PubMed

    Chang, Ting; Jo, Sung-Hyun; Lu, Wei

    2011-09-27

    "Memory" is an essential building block in learning and decision-making in biological systems. Unlike modern semiconductor memory devices, needless to say, human memory is by no means eternal. Yet, forgetfulness is not always a disadvantage since it releases memory storage for more important or more frequently accessed pieces of information and is thought to be necessary for individuals to adapt to new environments. Eventually, only memories that are of significance are transformed from short-term memory into long-term memory through repeated stimulation. In this study, we show experimentally that the retention loss in a nanoscale memristor device bears striking resemblance to memory loss in biological systems. By stimulating the memristor with repeated voltage pulses, we observe an effect analogous to memory transition in biological systems with much improved retention time accompanied by additional structural changes in the memristor. We verify that not only the shape or the total number of stimuli is influential, but also the time interval between stimulation pulses (i.e., the stimulation rate) plays a crucial role in determining the effectiveness of the transition. The memory enhancement and transition of the memristor device was explained from the microscopic picture of impurity redistribution and can be qualitatively described by the same equations governing biological memories. © 2011 American Chemical Society

  12. Memory dynamics under stress.

    PubMed

    Quaedflieg, Conny W E M; Schwabe, Lars

    2018-03-01

    Stressful events have a major impact on memory. They modulate memory formation in a time-dependent manner, closely linked to the temporal profile of action of major stress mediators, in particular catecholamines and glucocorticoids. Shortly after stressor onset, rapidly acting catecholamines and fast, non-genomic glucocorticoid actions direct cognitive resources to the processing and consolidation of the ongoing threat. In parallel, control of memory is biased towards rather rigid systems, promoting habitual forms of memory allowing efficient processing under stress, at the expense of "cognitive" systems supporting memory flexibility and specificity. In this review, we discuss the implications of this shift in the balance of multiple memory systems for the dynamics of the memory trace. Specifically, stress appears to hinder the incorporation of contextual details into the memory trace, to impede the integration of new information into existing knowledge structures, to impair the flexible generalisation across past experiences, and to hamper the modification of memories in light of new information. Delayed, genomic glucocorticoid actions might reverse the control of memory, thus restoring homeostasis and "cognitive" control of memory again.

  13. Accessing memory

    DOEpatents

    Yoon, Doe Hyun; Muralimanohar, Naveen; Chang, Jichuan; Ranganthan, Parthasarathy

    2017-09-26

    A disclosed example method involves performing simultaneous data accesses on at least first and second independently selectable logical sub-ranks to access first data via a wide internal data bus in a memory device. The memory device includes a translation buffer chip, memory chips in independently selectable logical sub-ranks, a narrow external data bus to connect the translation buffer chip to a memory controller, and the wide internal data bus between the translation buffer chip and the memory chips. A data access is performed on only the first independently selectable logical sub-rank to access second data via the wide internal data bus. The example method also involves locating a first portion of the first data, a second portion of the first data, and the second data on the narrow external data bus during separate data transfers.

  14. Working memory training improves visual short-term memory capacity.

    PubMed

    Schwarb, Hillary; Nail, Jayde; Schumacher, Eric H

    2016-01-01

    Since antiquity, philosophers, theologians, and scientists have been interested in human memory. However, researchers today are still working to understand the capabilities, boundaries, and architecture. While the storage capabilities of long-term memory are seemingly unlimited (Bahrick, J Exp Psychol 113:1-2, 1984), working memory, or the ability to maintain and manipulate information held in memory, seems to have stringent capacity limits (e.g., Cowan, Behav Brain Sci 24:87-185, 2001). Individual differences, however, do exist and these differences can often predict performance on a wide variety of tasks (cf. Engle What is working-memory capacity? 297-314, 2001). Recently, researchers have promoted the enticing possibility that simple behavioral training can expand the limits of working memory which indeed may also lead to improvements on other cognitive processes as well (cf. Morrison and Chein, Psychol Bull Rev 18:46-60 2011). However, initial investigations across a wide variety of cognitive functions have produced mixed results regarding the transferability of training-related improvements. Across two experiments, the present research focuses on the benefit of working memory training on visual short-term memory capacity-a cognitive process that has received little attention in the training literature. Data reveal training-related improvement of global measures of visual short-term memory as well as of measures of the independent sub-processes that contribute to capacity (Awh et al., Psychol Sci 18(7):622-628, 2007). These results suggest that the ability to inhibit irrelevant information within and between trials is enhanced via n-back training allowing for selective improvement on untrained tasks. Additionally, we highlight a potential limitation of the standard adaptive training procedure and propose a modified design to ensure variability in the training environment.

  15. Targeted Memory Reactivation during Sleep Adaptively Promotes the Strengthening or Weakening of Overlapping Memories.

    PubMed

    Oyarzún, Javiera P; Morís, Joaquín; Luque, David; de Diego-Balaguer, Ruth; Fuentemilla, Lluís

    2017-08-09

    System memory consolidation is conceptualized as an active process whereby newly encoded memory representations are strengthened through selective memory reactivation during sleep. However, our learning experience is highly overlapping in content (i.e., shares common elements), and memories of these events are organized in an intricate network of overlapping associated events. It remains to be explored whether and how selective memory reactivation during sleep has an impact on these overlapping memories acquired during awake time. Here, we test in a group of adult women and men the prediction that selective memory reactivation during sleep entails the reactivation of associated events and that this may lead the brain to adaptively regulate whether these associated memories are strengthened or pruned from memory networks on the basis of their relative associative strength with the shared element. Our findings demonstrate the existence of efficient regulatory neural mechanisms governing how complex memory networks are shaped during sleep as a function of their associative memory strength. SIGNIFICANCE STATEMENT Numerous studies have demonstrated that system memory consolidation is an active, selective, and sleep-dependent process in which only subsets of new memories become stabilized through their reactivation. However, the learning experience is highly overlapping in content and thus events are encoded in an intricate network of related memories. It remains to be explored whether and how memory reactivation has an impact on overlapping memories acquired during awake time. Here, we show that sleep memory reactivation promotes strengthening and weakening of overlapping memories based on their associative memory strength. These results suggest the existence of an efficient regulatory neural mechanism that avoids the formation of cluttered memory representation of multiple events and promotes stabilization of complex memory networks. Copyright © 2017 the authors 0270-6474/17/377748-11$15.00/0.

  16. Memory vs memory-like: The different facets of CD8+ T-cell memory in HCV infection.

    PubMed

    Hofmann, Maike; Wieland, Dominik; Pircher, Hanspeter; Thimme, Robert

    2018-05-01

    Memory CD8 + T cells are essential in orchestrating protection from re-infection. Hallmarks of virus-specific memory CD8 + T cells are the capacity to mount recall responses with rapid induction of effector cell function and antigen-independent survival. Growing evidence reveals that even chronic infection does not preclude virus-specific CD8 + T-cell memory formation. However, whether this kind of CD8 + T-cell memory that is established during chronic infection is indeed functional and provides protection from re-infection is still unclear. Human chronic hepatitis C virus infection represents a unique model system to study virus-specific CD8 + T-cell memory formation during and after cessation of persisting antigen stimulation. © 2018 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  17. A ferrofluid-based neural network: design of an analogue associative memory

    NASA Astrophysics Data System (ADS)

    Palm, R.; Korenivski, V.

    2009-02-01

    We analyse an associative memory based on a ferrofluid, consisting of a system of magnetic nano-particles suspended in a carrier fluid of variable viscosity subject to patterns of magnetic fields from an array of input and output magnetic pads. The association relies on forming patterns in the ferrofluid during a training phase, in which the magnetic dipoles are free to move and rotate to minimize the total energy of the system. Once equilibrated in energy for a given input-output magnetic field pattern pair, the particles are fully or partially immobilized by cooling the carrier liquid. Thus produced particle distributions control the memory states, which are read out magnetically using spin-valve sensors incorporated into the output pads. The actual memory consists of spin distributions that are dynamic in nature, realized only in response to the input patterns that the system has been trained for. Two training algorithms for storing multiple patterns are investigated. Using Monte Carlo simulations of the physical system, we demonstrate that the device is capable of storing and recalling two sets of images, each with an accuracy approaching 100%.

  18. The Public’s Preparedness: Self-Reliance, Flashbulb Memories, and Conservative Values

    PubMed Central

    Dyen, Susannah; Elliott, Stacey

    2013-01-01

    Objectives. We surveyed how many US residents engaged in 6 preparedness activities and measured the relationship between engagement and personal experience in hazard events, flashbulb memories of major events, self-reliance, and other indicators of a conservative philosophy. Methods. We used random digit dialing for national landline (75%) and cell phone (25%) surveys of 1930 US residents from July 6, 2011, to September 9, 2011; 1080 of the sample lived near 6 US Department of Energy nuclear waste management facilities and 850 were a national random sample. Results. The median respondent engaged in 3 of the 6 activities; those who disproportionately engaged in 4 or more had experienced a hazard event, had distressing and strong flashbulb memories of major hazard events, and had strong feelings about the need for greater self-reliance. The results for the national and US Department of Energy site–specific surveys were almost identical. Conclusions. A cadre of US residents are disproportionately engaged in disaster preparedness, and they typically have stronger negative memories of past disasters and tend to be self-reliant. How their efforts can or should be integrated into local preparedness efforts is unclear. PMID:23597367

  19. Optical mass memories

    NASA Technical Reports Server (NTRS)

    Bailey, G. A.

    1976-01-01

    Optical and magnetic variants in the design of trillion-bit read/write memories are compared and tabulated. Components and materials suitable for a random access read/write nonmoving memory system are examined, with preference given to holography and photoplastic materials. Advantages and deficiencies of photoplastics are reviewed. Holographic page composer design, essential features of an optical memory with no moving parts, fiche-oriented random access memory design, and materials suitable for an efficient photoplastic fiche are considered. The optical variants offer advantages in lower volume and weight at data transfer rates near 1 Mbit/sec, but power drain is of the same order as for the magnetic variants (tape memory, disk memory). The mechanical properties of photoplastic film materials still leave much to be desired.

  20. Reducing unwanted trauma memories by imaginal exposure or autobiographical memory elaboration: An analogue study of memory processes

    PubMed Central

    Ehlers, Anke; Mauchnik, Jana; Handley, Rachel

    2012-01-01

    Unwanted memories of traumatic events are a core symptom of post-traumatic stress disorder. A range of interventions including imaginal exposure and elaboration of the trauma memory in its autobiographical context are effective in reducing such unwanted memories. This study explored whether priming for stimuli that occur in the context of trauma and evaluative conditioning may play a role in the therapeutic effects of these procedures. Healthy volunteers (N = 122) watched analogue traumatic and neutral picture stories. They were then randomly allocated to 20 min of either imaginal exposure, autobiographical memory elaboration, or a control condition designed to prevent further processing of the picture stories. A blurred picture identification task showed that neutral objects that preceded traumatic pictures in the stories were subsequently more readily identified than those that had preceded neutral stories, indicating enhanced priming. There was also an evaluative conditioning effect in that participants disliked neutral objects that had preceded traumatic pictures more. Autobiographical memory elaboration reduced the enhanced priming effect. Both interventions reduced the evaluative conditioning effect. Imaginal exposure and autobiographical memory elaboration both reduced the frequency of subsequent unwanted memories of the picture stories. PMID:21227404

  1. Flexible Kernel Memory

    PubMed Central

    Nowicki, Dimitri; Siegelmann, Hava

    2010-01-01

    This paper introduces a new model of associative memory, capable of both binary and continuous-valued inputs. Based on kernel theory, the memory model is on one hand a generalization of Radial Basis Function networks and, on the other, is in feature space, analogous to a Hopfield network. Attractors can be added, deleted, and updated on-line simply, without harming existing memories, and the number of attractors is independent of input dimension. Input vectors do not have to adhere to a fixed or bounded dimensionality; they can increase and decrease it without relearning previous memories. A memory consolidation process enables the network to generalize concepts and form clusters of input data, which outperforms many unsupervised clustering techniques; this process is demonstrated on handwritten digits from MNIST. Another process, reminiscent of memory reconsolidation is introduced, in which existing memories are refreshed and tuned with new inputs; this process is demonstrated on series of morphed faces. PMID:20552013

  2. Visual Memories Bypass Normalization.

    PubMed

    Bloem, Ilona M; Watanabe, Yurika L; Kibbe, Melissa M; Ling, Sam

    2018-05-01

    How distinct are visual memory representations from visual perception? Although evidence suggests that briefly remembered stimuli are represented within early visual cortices, the degree to which these memory traces resemble true visual representations remains something of a mystery. Here, we tested whether both visual memory and perception succumb to a seemingly ubiquitous neural computation: normalization. Observers were asked to remember the contrast of visual stimuli, which were pitted against each other to promote normalization either in perception or in visual memory. Our results revealed robust normalization between visual representations in perception, yet no signature of normalization occurring between working memory stores-neither between representations in memory nor between memory representations and visual inputs. These results provide unique insight into the nature of visual memory representations, illustrating that visual memory representations follow a different set of computational rules, bypassing normalization, a canonical visual computation.

  3. A Cerebellar-model Associative Memory as a Generalized Random-access Memory

    NASA Technical Reports Server (NTRS)

    Kanerva, Pentti

    1989-01-01

    A versatile neural-net model is explained in terms familiar to computer scientists and engineers. It is called the sparse distributed memory, and it is a random-access memory for very long words (for patterns with thousands of bits). Its potential utility is the result of several factors: (1) a large pattern representing an object or a scene or a moment can encode a large amount of information about what it represents; (2) this information can serve as an address to the memory, and it can also serve as data; (3) the memory is noise tolerant--the information need not be exact; (4) the memory can be made arbitrarily large and hence an arbitrary amount of information can be stored in it; and (5) the architecture is inherently parallel, allowing large memories to be fast. Such memories can become important components of future computers.

  4. Some Improvements in Utilization of Flash Memory Devices

    NASA Technical Reports Server (NTRS)

    Gender, Thomas K.; Chow, James; Ott, William E.

    2009-01-01

    Two developments improve the utilization of flash memory devices in the face of the following limitations: (1) a flash write element (page) differs in size from a flash erase element (block), (2) a block must be erased before its is rewritten, (3) lifetime of a flash memory is typically limited to about 1,000,000 erases, (4) as many as 2 percent of the blocks of a given device may fail before the expected end of its life, and (5) to ensure reliability of reading and writing, power must not be interrupted during minimum specified reading and writing times. The first development comprises interrelated software components that regulate reading, writing, and erasure operations to minimize migration of data and unevenness in wear; perform erasures during idle times; quickly make erased blocks available for writing; detect and report failed blocks; maintain the overall state of a flash memory to satisfy real-time performance requirements; and detect and initialize a new flash memory device. The second development is a combination of hardware and software that senses the failure of a main power supply and draws power from a capacitive storage circuit designed to hold enough energy to sustain operation until reading or writing is completed.

  5. A learnable parallel processing architecture towards unity of memory and computing

    NASA Astrophysics Data System (ADS)

    Li, H.; Gao, B.; Chen, Z.; Zhao, Y.; Huang, P.; Ye, H.; Liu, L.; Liu, X.; Kang, J.

    2015-08-01

    Developing energy-efficient parallel information processing systems beyond von Neumann architecture is a long-standing goal of modern information technologies. The widely used von Neumann computer architecture separates memory and computing units, which leads to energy-hungry data movement when computers work. In order to meet the need of efficient information processing for the data-driven applications such as big data and Internet of Things, an energy-efficient processing architecture beyond von Neumann is critical for the information society. Here we show a non-von Neumann architecture built of resistive switching (RS) devices named “iMemComp”, where memory and logic are unified with single-type devices. Leveraging nonvolatile nature and structural parallelism of crossbar RS arrays, we have equipped “iMemComp” with capabilities of computing in parallel and learning user-defined logic functions for large-scale information processing tasks. Such architecture eliminates the energy-hungry data movement in von Neumann computers. Compared with contemporary silicon technology, adder circuits based on “iMemComp” can improve the speed by 76.8% and the power dissipation by 60.3%, together with a 700 times aggressive reduction in the circuit area.

  6. A learnable parallel processing architecture towards unity of memory and computing.

    PubMed

    Li, H; Gao, B; Chen, Z; Zhao, Y; Huang, P; Ye, H; Liu, L; Liu, X; Kang, J

    2015-08-14

    Developing energy-efficient parallel information processing systems beyond von Neumann architecture is a long-standing goal of modern information technologies. The widely used von Neumann computer architecture separates memory and computing units, which leads to energy-hungry data movement when computers work. In order to meet the need of efficient information processing for the data-driven applications such as big data and Internet of Things, an energy-efficient processing architecture beyond von Neumann is critical for the information society. Here we show a non-von Neumann architecture built of resistive switching (RS) devices named "iMemComp", where memory and logic are unified with single-type devices. Leveraging nonvolatile nature and structural parallelism of crossbar RS arrays, we have equipped "iMemComp" with capabilities of computing in parallel and learning user-defined logic functions for large-scale information processing tasks. Such architecture eliminates the energy-hungry data movement in von Neumann computers. Compared with contemporary silicon technology, adder circuits based on "iMemComp" can improve the speed by 76.8% and the power dissipation by 60.3%, together with a 700 times aggressive reduction in the circuit area.

  7. Multilevel characteristics and memory mechanisms for nonvolatile memory devices based on CuInS{sub 2} quantum dot-polymethylmethacrylate nanocomposites

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhou, Yang; Yun, Dong Yeol; Kim, Tae Whan, E-mail: twk@hanyang.ac.kr

    2014-12-08

    Nonvolatile memory devices based on CuInS{sub 2} (CIS) quantum dots (QDs) embedded in a polymethylmethacrylate (PMMA) layer were fabricated using spin-coating method. The memory window widths of the capacitance-voltage (C-V) curves for the Al/CIS QDs embedded in PMMA layer/p-Si devices were 0.3, 0.6, and 1.0 V for sweep voltages of ±3, ±5, and ±7 V, respectively. Capacitance-cycle data demonstrated that the charge-trapping capability of the devices with an ON/OFF ratio value of 2.81 × 10{sup −10} was maintained for 8 × 10{sup 3} cycles without significant degradation and that the extrapolation of the ON/OFF ratio value to 1 × 10{sup 6} cycles converged to 2.40 × 10{sup −10}, indicative ofmore » the good stability of the devices. The memory mechanisms for the devices are described on the basis of the C-V curves and the energy-band diagrams.« less

  8. Autobiographical Memory for Stressful Events: The Role of Autobiographical Memory in Posttraumatic Stress Disorder

    PubMed Central

    Rubin, David C.; Dennis, Michelle F.; Beckham, Jean C.

    2011-01-01

    To provide the three-way comparisons needed to test existing theories, we compared 1) most-stressful memories to other memories and 2) involuntary to voluntary memories 3) in 75 community dwelling adults with and 42 without a current diagnosis of posttraumatic stress disorder (PTSD). Each rated their 3 most-stressful, 3 most-positive, 7 most-important and 15 word-cued autobiographical memories, and completed tests of personality and mood. Involuntary memories were then recorded and rated as they occurred for two weeks. Standard mechanisms of cognition and affect applied to extreme events accounted for the properties of stressful memories. Involuntary memories had greater emotional intensity than voluntary memories, but were not more frequently related to traumatic events. The emotional intensity, rehearsal, and centrality to the life story of both voluntary and involuntary memories, rather than incoherence of voluntary traumatic memories and enhanced availability of involuntary traumatic memories, were the properties of autobiographical memories associated with PTSD. PMID:21489820

  9. Virtual memory

    NASA Technical Reports Server (NTRS)

    Denning, P. J.

    1986-01-01

    Virtual memory was conceived as a way to automate overlaying of program segments. Modern computers have very large main memories, but need automatic solutions to the relocation and protection problems. Virtual memory serves this need as well and is thus useful in computers of all sizes. The history of the idea is traced, showing how it has become a widespread, little noticed feature of computers today.

  10. Working memory consolidation: insights from studies on attention and working memory.

    PubMed

    Ricker, Timothy J; Nieuwenstein, Mark R; Bayliss, Donna M; Barrouillet, Pierre

    2018-04-10

    Working memory, the system that maintains a limited set of representations for immediate use in cognition, is a central part of human cognition. Three processes have recently been proposed to govern information storage in working memory: consolidation, refreshing, and removal. Here, we discuss in detail the theoretical construct of working memory consolidation, a process critical to the creation of a stable working memory representation. We present a brief overview of the research that indicated the need for a construct such as working memory consolidation and the subsequent research that has helped to define the parameters of the construct. We then move on to explicitly state the points of agreement as to what processes are involved in working memory consolidation. © 2018 New York Academy of Sciences.

  11. Visual Memories Bypass Normalization

    PubMed Central

    Bloem, Ilona M.; Watanabe, Yurika L.; Kibbe, Melissa M.; Ling, Sam

    2018-01-01

    How distinct are visual memory representations from visual perception? Although evidence suggests that briefly remembered stimuli are represented within early visual cortices, the degree to which these memory traces resemble true visual representations remains something of a mystery. Here, we tested whether both visual memory and perception succumb to a seemingly ubiquitous neural computation: normalization. Observers were asked to remember the contrast of visual stimuli, which were pitted against each other to promote normalization either in perception or in visual memory. Our results revealed robust normalization between visual representations in perception, yet no signature of normalization occurring between working memory stores—neither between representations in memory nor between memory representations and visual inputs. These results provide unique insight into the nature of visual memory representations, illustrating that visual memory representations follow a different set of computational rules, bypassing normalization, a canonical visual computation. PMID:29596038

  12. Dynamic memory searches: Selective output interference for the memory of facts.

    PubMed

    Aue, William R; Criss, Amy H; Prince, Melissa A

    2015-12-01

    The benefits of testing on later memory performance are well documented; however, the manner in which testing harms memory performance is less well understood. This research is concerned with the finding that accuracy decreases over the course of testing, a phenomena termed "output interference" (OI). OI has primarily been investigated with episodic memory, but there is limited research investigating OI in measures of semantic memory (i.e., knowledge). In the current study, participants were twice tested for their knowledge of factual questions; they received corrective feedback during the first test. No OI was observed during the first test, when participants presumably searched semantic memory to answer the general-knowledge questions. During the second test, OI was observed. Conditional analyses of Test 2 performance revealed that OI was largely isolated to questions answered incorrectly during Test 1. These were questions for which participants needed to rely on recent experience (i.e., the feedback in episodic memory) to respond correctly. One possible explanation is that episodic memory is more susceptible to the sort of interference generated during testing (e.g., gradual changes in context, encoding/updating of items) relative to semantic memory. Alternative explanations are considered.

  13. Conscious and Unconscious Memory Systems

    PubMed Central

    Squire, Larry R.; Dede, Adam J.O.

    2015-01-01

    The idea that memory is not a single mental faculty has a long and interesting history but became a topic of experimental and biologic inquiry only in the mid-20th century. It is now clear that there are different kinds of memory, which are supported by different brain systems. One major distinction can be drawn between working memory and long-term memory. Long-term memory can be separated into declarative (explicit) memory and a collection of nondeclarative (implicit) forms of memory that include habits, skills, priming, and simple forms of conditioning. These memory systems depend variously on the hippocampus and related structures in the parahippocampal gyrus, as well as on the amygdala, the striatum, cerebellum, and the neocortex. This work recounts the discovery of declarative and nondeclarative memory and then describes the nature of declarative memory, working memory, nondeclarative memory, and the relationship between memory systems. PMID:25731765

  14. Optical waveguides with memory effect using photochromic material for neural network

    NASA Astrophysics Data System (ADS)

    Tanimoto, Keisuke; Amemiya, Yoshiteru; Yokoyama, Shin

    2018-04-01

    An optical neural network using a waveguide with a memory effect, a photodiode, CMOS circuits and LEDs was proposed. To realize the neural network, optical waveguides with a memory effect were fabricated using a cladding layer containing the photochromic material “diarylethene”. The transmittance of green light was decreased by UV light irradiation and recovered by the passage of green light through the waveguide. It was confirmed that the transmittance versus total energy of the green light that passed through the waveguide well fit the universal exponential curve.

  15. Kinetics of Magnetoelastic Twin-Boundary Motion in Ferromagnetic Shape-Memory Alloys

    NASA Astrophysics Data System (ADS)

    Pramanick, A.; Wang, X.-L.; Stoica, A. D.; Yu, C.; Ren, Y.; Tang, S.; Gai, Z.

    2014-05-01

    We report the kinetics of twin-boundary motion in the ferromagnetic shape-memory alloy of Ni-Mn-Ga as measured by in situ high energy synchrotron diffraction. The temporal evolution of twin reorientation during the application of a magnetic field is described by thermally activated creep motion of twin boundaries over a distribution of energy barriers. The dynamical creep exponent μ was found to be ˜0.5, suggesting that the distribution of energy barriers is a result of short-range disorders.

  16. Memory Aging Knowledge and Memory Self-Appraisal in Younger and Older Adults

    ERIC Educational Resources Information Center

    Cherry, Katie E.; Brigman, Susan; Reese-Melancon, Celinda; Burton-Chase, Allison; Holland, Kayla

    2013-01-01

    The purpose of this study was to examine relationships among memory aging knowledge and memory self-appraisal in college students and community-dwelling older adults. Participants completed the Knowledge of Memory Aging Questionnaire ([KMAQ] Cherry, Brigman, Hawley, & Reese, 2003) and the Memory Functioning Questionnaire ([MFQ] Gilewski,…

  17. Emotional Memory Persists Longer than Event Memory

    ERIC Educational Resources Information Center

    Kuriyama, Kenichi; Soshi, Takahiro; Fujii, Takeshi; Kim, Yoshiharu

    2010-01-01

    The interaction between amygdala-driven and hippocampus-driven activities is expected to explain why emotion enhances episodic memory recognition. However, overwhelming behavioral evidence regarding the emotion-induced enhancement of immediate and delayed episodic memory recognition has not been obtained in humans. We found that the recognition…

  18. The aftermath of memory retrieval for recycling visual working memory representations.

    PubMed

    Park, Hyung-Bum; Zhang, Weiwei; Hyun, Joo-Seok

    2017-07-01

    We examined the aftermath of accessing and retrieving a subset of information stored in visual working memory (VWM)-namely, whether detection of a mismatch between memory and perception can impair the original memory of an item while triggering recognition-induced forgetting for the remaining, untested items. For this purpose, we devised a consecutive-change detection task wherein two successive testing probes were displayed after a single set of memory items. Across two experiments utilizing different memory-testing methods (whole vs. single probe), we observed a reliable pattern of poor performance in change detection for the second test when the first test had exhibited a color change. The impairment after a color change was evident even when the same memory item was repeatedly probed; this suggests that an attention-driven, salient visual change made it difficult to reinstate the previously remembered item. The second change detection, for memory items untested during the first change detection, was also found to be inaccurate, indicating that recognition-induced forgetting had occurred for the unprobed items in VWM. In a third experiment, we conducted a task that involved change detection plus continuous recall, wherein a memory recall task was presented after the change detection task. The analyses of the distributions of recall errors with a probabilistic mixture model revealed that the memory impairments from both visual changes and recognition-induced forgetting are explained better by the stochastic loss of memory items than by their degraded resolution. These results indicate that attention-driven visual change and recognition-induced forgetting jointly influence the "recycling" of VWM representations.

  19. Memory Effects of Benzodiazepines: Memory Stages and Types Versus Binding-Site Subtypes

    PubMed Central

    Savić, Miroslav M.; Obradović, Dragan I.; Ugrešić, Nenad D.; Bokonjić, Dubravko R.

    2005-01-01

    Benzodiazepines are well established as inhibitory modulators of memory processing. This effect is especially prominent when applied before the acquisition phase of a memory task. This minireview concentrates on the putative subtype selectivity of the acquisition-impairing action of benzodiazepines. Namely, recent genetic studies and standard behavioral tests employing subtype-selective ligands pointed to the predominant involvement of two subtypes of benzodiazepine binding sites in memory modulation. Explicit memory learning seems to be affected through the GABAA receptors containing the α1 and α1 subunits, whereas the effects on procedural memory can be mainly mediated by the α1 subunit. The pervading involvement of the α1 subunit in memory modulation is not at all unexpected because this subunit is the major subtype, present in 60% of all GABAA receptors. On the other hand, the role of α5 subunits, mainly expressed in the hippocampus, in modulating distinct forms of memory gives promise of selective pharmacological coping with certain memory deficit states. PMID:16444900

  20. Experimental realization of a multiplexed quantum memory with 225 individually accessible memory cells

    PubMed Central

    Pu, Y-F; Jiang, N.; Chang, W.; Yang, H-X; Li, C.; Duan, L-M

    2017-01-01

    To realize long-distance quantum communication and quantum network, it is required to have multiplexed quantum memory with many memory cells. Each memory cell needs to be individually addressable and independently accessible. Here we report an experiment that realizes a multiplexed DLCZ-type quantum memory with 225 individually accessible memory cells in a macroscopic atomic ensemble. As a key element for quantum repeaters, we demonstrate that entanglement with flying optical qubits can be stored into any neighboring memory cells and read out after a programmable time with high fidelity. Experimental realization of a multiplexed quantum memory with many individually accessible memory cells and programmable control of its addressing and readout makes an important step for its application in quantum information technology. PMID:28480891

  1. Experimental realization of a multiplexed quantum memory with 225 individually accessible memory cells.

    PubMed

    Pu, Y-F; Jiang, N; Chang, W; Yang, H-X; Li, C; Duan, L-M

    2017-05-08

    To realize long-distance quantum communication and quantum network, it is required to have multiplexed quantum memory with many memory cells. Each memory cell needs to be individually addressable and independently accessible. Here we report an experiment that realizes a multiplexed DLCZ-type quantum memory with 225 individually accessible memory cells in a macroscopic atomic ensemble. As a key element for quantum repeaters, we demonstrate that entanglement with flying optical qubits can be stored into any neighboring memory cells and read out after a programmable time with high fidelity. Experimental realization of a multiplexed quantum memory with many individually accessible memory cells and programmable control of its addressing and readout makes an important step for its application in quantum information technology.

  2. Working Memory and Short-Term Memory Abilities in Accomplished Multilinguals

    ERIC Educational Resources Information Center

    Biedron, Adriana; Szczepaniak, Anna

    2012-01-01

    The role of short-term memory and working memory in accomplished multilinguals was investigated. Twenty-eight accomplished multilinguals were compared to 36 mainstream philology students. The following instruments were used in the study: three memory subtests of the Wechsler Intelligence Scale (Digit Span, Digit-Symbol Coding, and Arithmetic,…

  3. Emotional memory is perceptual.

    PubMed

    Arntz, Arnoud; de Groot, Corlijn; Kindt, Merel

    2005-03-01

    In two experiments it was investigated which aspects of memory are influenced by emotion. Using a framework proposed by Roediger (American Psychologist 45 (1990) 1043-1056), two dimensions relevant for memory were distinguished the implicit-explicit distinction, and the perceptual versus conceptual distinction. In week 1, subjects viewed a series of slides accompanied with a spoken story in either of the two versions, a neutral version, or a version with an emotional mid-phase. In week 2, memory performance for the slides and story was assessed unexpectedly. A free recall test revealed superior memory in the emotional condition for the story's mid-phase stimuli as compared to the neutral condition, replicating earlier findings. Furthermore, memory performance was assessed using tests that systematically assessed all combinations of implicit versus explicit and perceptual versus conceptual memory. Subjects who had listened to the emotional story had superior perceptual memory, on both implicit and explicit level, compared to those who had listened to the neutral story. Conceptual memory was not superior in the emotional condition. The results suggest that emotion specifically promotes perceptual memory, probably by better encoding of perceptual aspects of emotional experiences. This might be related to the prominent position of perceptual memories in traumatic memory, manifest in intrusions, nightmares and reliving experiences.

  4. Effect of Major Royal Jelly Proteins on Spatial Memory in Aged Rats: Metabolomics Analysis in Urine.

    PubMed

    Chen, Di; Liu, Fang; Wan, Jian-Bo; Lai, Chao-Qiang; Shen, Li-Rong

    2017-04-19

    Royal jelly (RJ) produced by worker honeybees is the sole food for the queen bee throughout her life as well as the larvae of worker bees for the first 3 days after hatching. Supplementation of RJ in the diet has been shown to increase spatial memory in rodents. However, the key constituents in RJ responsible for improvement of cognitive function are unknown. Our objective was to determine if the major royal jelly proteins (MRJPs) extracted from RJ can improve the spatial memory of aged rats. The spatial memory assay using the Morris water maze test was administered once to rats after a 14-week feeding. Metabolomics analysis based on quadrupole time-of-flight mass spectrometry was conducted to examine the differences in compounds from urine. Aged male rats fed MRJPs showed improved spatial memory up to 48.5% when compared to the control male aged rats fed distilled water. The metabolite pattern of the MRJPs-fed aged rats was regressed to that of the young rats. Compounds altered by MRJPs were mapped to nicotinate and nicotinamide metabolism, cysteine taurine metabolism, and energy metabolism pathways. In summary, MRJPs may improve spatial memory and possess the potential for prevention of cognitive impairment via the cysteine and taurine metabolism and energy metabolism pathways in aged rats.

  5. Production of False Memories in Collaborative Memory Tasks Using the DRM Paradigm

    ERIC Educational Resources Information Center

    Saraiva, Magda; Albuquerque, Pedro B.; Arantes, Joana

    2017-01-01

    Studies on collaborative memory have revealed an interesting phenomenon called collaborative inhibition (CI) (i.e., nominal groups recall more information than collaborative groups). However, the results of studies on false memories in collaborative memory tasks are controversial. This study aimed to understand the production of false memories in…

  6. Shape memory polymers

    DOEpatents

    Wilson, Thomas S.; Bearinger, Jane P.

    2017-08-29

    New shape memory polymer compositions, methods for synthesizing new shape memory polymers, and apparatus comprising an actuator and a shape memory polymer wherein the shape memory polymer comprises at least a portion of the actuator. A shape memory polymer comprising a polymer composition which physically forms a network structure wherein the polymer composition has shape-memory behavior and can be formed into a permanent primary shape, re-formed into a stable secondary shape, and controllably actuated to recover the permanent primary shape. Polymers have optimal aliphatic network structures due to minimization of dangling chains by using monomers that are symmetrical and that have matching amine and hydroxl groups providing polymers and polymer foams with clarity, tight (narrow temperature range) single transitions, and high shape recovery and recovery force that are especially useful for implanting in the human body.

  7. Shape memory polymers

    DOEpatents

    Wilson, Thomas S.; Bearinger, Jane P.

    2015-06-09

    New shape memory polymer compositions, methods for synthesizing new shape memory polymers, and apparatus comprising an actuator and a shape memory polymer wherein the shape memory polymer comprises at least a portion of the actuator. A shape memory polymer comprising a polymer composition which physically forms a network structure wherein the polymer composition has shape-memory behavior and can be formed into a permanent primary shape, re-formed into a stable secondary shape, and controllably actuated to recover the permanent primary shape. Polymers have optimal aliphatic network structures due to minimization of dangling chains by using monomers that are symmetrical and that have matching amine and hydroxyl groups providing polymers and polymer foams with clarity, tight (narrow temperature range) single transitions, and high shape recovery and recovery force that are especially useful for implanting in the human body.

  8. Are the "memory wars" over? A scientist-practitioner gap in beliefs about repressed memory.

    PubMed

    Patihis, Lawrence; Ho, Lavina Y; Tingen, Ian W; Lilienfeld, Scott O; Loftus, Elizabeth F

    2014-02-01

    The "memory wars" of the 1990s refers to the controversy between some clinicians and memory scientists about the reliability of repressed memories. To investigate whether such disagreement persists, we compared various groups' beliefs about memory and compared their current beliefs with beliefs expressed in past studies. In Study 1, we found high rates of belief in repressed memory among undergraduates. We also found that greater critical-thinking ability was associated with more skepticism about repressed memories. In Study 2, we found less belief in repressed memory among mainstream clinicians today compared with the 1990s. Groups that contained research-oriented psychologists and memory experts expressed more skepticism about the validity of repressed memories relative to other groups. Thus, a substantial gap between the memory beliefs of clinical-psychology researchers and those of practitioners persists today. These results hold implications for the potential resolution of the science-practice gap and for the dissemination of memory research in the training of mental-health professionals.

  9. Earliest Memories and Recent Memories of Highly Salient Events--Are They Similar?

    ERIC Educational Resources Information Center

    Peterson, Carole; Fowler, Tania; Brandeau, Katherine M.

    2015-01-01

    Four- to 11-year-old children were interviewed about 2 different sorts of memories in the same home visit: recent memories of highly salient and stressful events--namely, injuries serious enough to require hospital emergency room treatment--and their earliest memories. Injury memories were scored for amount of unique information, completeness…

  10. Attending to auditory memory.

    PubMed

    Zimmermann, Jacqueline F; Moscovitch, Morris; Alain, Claude

    2016-06-01

    Attention to memory describes the process of attending to memory traces when the object is no longer present. It has been studied primarily for representations of visual stimuli with only few studies examining attention to sound object representations in short-term memory. Here, we review the interplay of attention and auditory memory with an emphasis on 1) attending to auditory memory in the absence of related external stimuli (i.e., reflective attention) and 2) effects of existing memory on guiding attention. Attention to auditory memory is discussed in the context of change deafness, and we argue that failures to detect changes in our auditory environments are most likely the result of a faulty comparison system of incoming and stored information. Also, objects are the primary building blocks of auditory attention, but attention can also be directed to individual features (e.g., pitch). We review short-term and long-term memory guided modulation of attention based on characteristic features, location, and/or semantic properties of auditory objects, and propose that auditory attention to memory pathways emerge after sensory memory. A neural model for auditory attention to memory is developed, which comprises two separate pathways in the parietal cortex, one involved in attention to higher-order features and the other involved in attention to sensory information. This article is part of a Special Issue entitled SI: Auditory working memory. Copyright © 2015 Elsevier B.V. All rights reserved.

  11. Psychophysiology of prospective memory.

    PubMed

    Rothen, Nicolas; Meier, Beat

    2014-01-01

    Prospective memory involves the self-initiated retrieval of an intention upon an appropriate retrieval cue. Cue identification can be considered as an orienting reaction and may thus trigger a psychophysiological response. Here we present two experiments in which skin conductance responses (SCRs) elicited by prospective memory cues were compared to SCRs elicited by aversive stimuli to test whether a single prospective memory cue triggers a similar SCR as an aversive stimulus. In Experiment 2 we also assessed whether cue specificity had a differential influence on prospective memory performance and on SCRs. We found that detecting a single prospective memory cue is as likely to elicit a SCR as an aversive stimulus. Missed prospective memory cues also elicited SCRs. On a behavioural level, specific intentions led to better prospective memory performance. However, on a psychophysiological level specificity had no influence. More generally, the results indicate reliable SCRs for prospective memory cues and point to psychophysiological measures as valuable approach, which offers a new way to study one-off prospective memory tasks. Moreover, the findings are consistent with a theory that posits multiple prospective memory retrieval stages.

  12. Reconsolidation of drug memories

    PubMed Central

    Sorg, Barbara A.

    2012-01-01

    Persistent, unwanted memories are believed to be key contributors to drug addiction and the chronic relapse problem over the lifetime of the addict. Contrary to the long-held idea that memories are static and fixed, new studies in the last decade have shown that memories are dynamic and changeable. However, they are changeable only under specific conditions. When a memory is retrieved (reactivated), it becomes labile for a period of minutes to hours and then is reconsolidated to maintain long-term memory. Recent findings indicate that even well-established long-term memories may be susceptible to disruption by interfering with reconsolidation through delivery of certain amnestic agents during memory retrieval. Here I review the growing literature on memory reconsolidation in animal models of addiction, including sensitization, conditioned place preference and self-administration. I also discuss (a) several issues that need to be considered in interpreting the findings from reconsolidation studies and (b) future challenges and directions for memory reconsolidation studies in the field of addiction. The findings indicate promise for using this approach as a therapy for disrupting the long-lasting memories that can trigger relapse. PMID:22342780

  13. The Sensory Components of High-Capacity Iconic Memory and Visual Working Memory

    PubMed Central

    Bradley, Claire; Pearson, Joel

    2012-01-01

    Early visual memory can be split into two primary components: a high-capacity, short-lived iconic memory followed by a limited-capacity visual working memory that can last many seconds. Whereas a large number of studies have investigated visual working memory for low-level sensory features, much research on iconic memory has used more “high-level” alphanumeric stimuli such as letters or numbers. These two forms of memory are typically examined separately, despite an intrinsic overlap in their characteristics. Here, we used a purely sensory paradigm to examine visual short-term memory for 10 homogeneous items of three different visual features (color, orientation and motion) across a range of durations from 0 to 6 s. We found that the amount of information stored in iconic memory is smaller for motion than for color or orientation. Performance declined exponentially with longer storage durations and reached chance levels after ∼2 s. Further experiments showed that performance for the 10 items at 1 s was contingent on unperturbed attentional resources. In addition, for orientation stimuli, performance was contingent on the location of stimuli in the visual field, especially for short cue delays. Overall, our results suggest a smooth transition between an automatic, high-capacity, feature-specific sensory-iconic memory, and an effortful “lower-capacity” visual working memory. PMID:23055993

  14. The sensory components of high-capacity iconic memory and visual working memory.

    PubMed

    Bradley, Claire; Pearson, Joel

    2012-01-01

    EARLY VISUAL MEMORY CAN BE SPLIT INTO TWO PRIMARY COMPONENTS: a high-capacity, short-lived iconic memory followed by a limited-capacity visual working memory that can last many seconds. Whereas a large number of studies have investigated visual working memory for low-level sensory features, much research on iconic memory has used more "high-level" alphanumeric stimuli such as letters or numbers. These two forms of memory are typically examined separately, despite an intrinsic overlap in their characteristics. Here, we used a purely sensory paradigm to examine visual short-term memory for 10 homogeneous items of three different visual features (color, orientation and motion) across a range of durations from 0 to 6 s. We found that the amount of information stored in iconic memory is smaller for motion than for color or orientation. Performance declined exponentially with longer storage durations and reached chance levels after ∼2 s. Further experiments showed that performance for the 10 items at 1 s was contingent on unperturbed attentional resources. In addition, for orientation stimuli, performance was contingent on the location of stimuli in the visual field, especially for short cue delays. Overall, our results suggest a smooth transition between an automatic, high-capacity, feature-specific sensory-iconic memory, and an effortful "lower-capacity" visual working memory.

  15. More than a feeling: Emotional cues impact the access and experience of autobiographical memories.

    PubMed

    Sheldon, Signy; Donahue, Julia

    2017-07-01

    Remembering is impacted by several factors of retrieval, including the emotional content of a memory cue. Here we tested how musical retrieval cues that differed on two dimensions of emotion-valence (positive and negative) and arousal (high and low)-impacted the following aspects of autobiographical memory recall: the response time to access a past personal event, the experience of remembering (ratings of memory vividness), the emotional content of a cued memory (ratings of event arousal and valence), and the type of event recalled (ratings of event energy, socialness, and uniqueness). We further explored how cue presentation affected autobiographical memory retrieval by administering cues of similar arousal and valence levels in a blocked fashion to one half of the tested participants, and randomly to the other half. We report three main findings. First, memories were accessed most quickly in response to musical cues that were highly arousing and positive in emotion. Second, we observed a relation between a cue and the elicited memory's emotional valence but not arousal; however, both the cue valence and arousal related to the nature of the recalled event. Specifically, high cue arousal led to lower memory vividness and uniqueness ratings, but cues with both high arousal and positive valence were associated with memories rated as more social and energetic. Finally, cue presentation impacted both how quickly and specifically memories were accessed and how cue valence affected the memory vividness ratings. The implications of these findings for views of how emotion directs the access to memories and the experience of remembering are discussed.

  16. Variational prediction of the mechanical behavior of shape memory alloys based on thermal experiments

    NASA Astrophysics Data System (ADS)

    Junker, Philipp; Jaeger, Stefanie; Kastner, Oliver; Eggeler, Gunther; Hackl, Klaus

    2015-07-01

    In this work, we present simulations of shape memory alloys which serve as first examples demonstrating the predicting character of energy-based material models. We begin with a theoretical approach for the derivation of the caloric parts of the Helmholtz free energy. Afterwards, experimental results for DSC measurements are presented. Then, we recall a micromechanical model based on the principle of the minimum of the dissipation potential for the simulation of polycrystalline shape memory alloys. The previously determined caloric parts of the Helmholtz free energy close the set of model parameters without the need of parameter fitting. All quantities are derived directly from experiments. Finally, we compare finite element results for tension tests to experimental data and show that the model identified by thermal measurements can predict mechanically induced phase transformations and thus rationalize global material behavior without any further assumptions.

  17. Stochastic memory: Memory enhancement due to noise

    NASA Astrophysics Data System (ADS)

    Stotland, Alexander; di Ventra, Massimiliano

    2012-01-01

    There are certain classes of resistors, capacitors, and inductors that, when subject to a periodic input of appropriate frequency, develop hysteresis loops in their characteristic response. Here we show that the hysteresis of such memory elements can also be induced by white noise of appropriate intensity even at very low frequencies of the external driving field. We illustrate this phenomenon using a physical model of memory resistor realized by TiO2 thin films sandwiched between metallic electrodes and discuss under which conditions this effect can be observed experimentally. We also discuss its implications on existing memory systems described in the literature and the role of colored noise.

  18. [Neuroscience and collective memory: memory schemas linking brain, societies and cultures].

    PubMed

    Legrand, Nicolas; Gagnepain, Pierre; Peschanski, Denis; Eustache, Francis

    2015-01-01

    During the last two decades, the effect of intersubjective relationships on cognition has been an emerging topic in cognitive neurosciences leading through a so-called "social turn" to the formation of new domains integrating society and cultures to this research area. Such inquiry has been recently extended to collective memory studies. Collective memory refers to shared representations that are constitutive of the identity of a group and distributed among all its members connected by a common history. After briefly describing those evolutions in the study of human brain and behaviors, we review recent researches that have brought together cognitive psychology, neuroscience and social sciences into collective memory studies. Using the reemerging concept of memory schema, we propose a theoretical framework allowing to account for collective memories formation with a specific focus on the encoding process of historical events. We suggest that (1) if the concept of schema has been mainly used to describe rather passive framework of knowledge, such structure may also be implied in more active fashions in the understanding of significant collective events. And, (2) if some schema researches have restricted themselves to the individual level of inquiry, we describe a strong coherence between memory and cultural frameworks. Integrating the neural basis and properties of memory schema to collective memory studies may pave the way toward a better understanding of the reciprocal interaction between individual memories and cultural resources such as media or education. © Société de Biologie, 2016.

  19. PERK Regulates Working Memory and Protein Synthesis-Dependent Memory Flexibility

    PubMed Central

    Zhu, Siying; Henninger, Keely; McGrath, Barbara C.; Cavener, Douglas R.

    2016-01-01

    PERK (EIF2AK3) is an ER-resident eIF2α kinase required for memory flexibility and metabotropic glutamate receptor-dependent long-term depression, processes known to be dependent on new protein synthesis. Here we investigated PERK’s role in working memory, a cognitive ability that is independent of new protein synthesis, but instead is dependent on cellular Ca2+ dynamics. We found that working memory is impaired in forebrain-specific Perk knockout and pharmacologically PERK-inhibited mice. Moreover, inhibition of PERK in wild-type mice mimics the fear extinction impairment observed in forebrain-specific Perk knockout mice. Our findings reveal a novel role of PERK in cognitive functions and suggest that PERK regulates both Ca2+ -dependent working memory and protein synthesis-dependent memory flexibility. PMID:27627766

  20. Goal-Directed Modulation of Neural Memory Patterns: Implications for fMRI-Based Memory Detection.

    PubMed

    Uncapher, Melina R; Boyd-Meredith, J Tyler; Chow, Tiffany E; Rissman, Jesse; Wagner, Anthony D

    2015-06-03

    Remembering a past event elicits distributed neural patterns that can be distinguished from patterns elicited when encountering novel information. These differing patterns can be decoded with relatively high diagnostic accuracy for individual memories using multivoxel pattern analysis (MVPA) of fMRI data. Brain-based memory detection--if valid and reliable--would have clear utility beyond the domain of cognitive neuroscience, in the realm of law, marketing, and beyond. However, a significant boundary condition on memory decoding validity may be the deployment of "countermeasures": strategies used to mask memory signals. Here we tested the vulnerability of fMRI-based memory detection to countermeasures, using a paradigm that bears resemblance to eyewitness identification. Participants were scanned while performing two tasks on previously studied and novel faces: (1) a standard recognition memory task; and (2) a task wherein they attempted to conceal their true memory state. Univariate analyses revealed that participants were able to strategically modulate neural responses, averaged across trials, in regions implicated in memory retrieval, including the hippocampus and angular gyrus. Moreover, regions associated with goal-directed shifts of attention and thought substitution supported memory concealment, and those associated with memory generation supported novelty concealment. Critically, whereas MVPA enabled reliable classification of memory states when participants reported memory truthfully, the ability to decode memory on individual trials was compromised, even reversing, during attempts to conceal memory. Together, these findings demonstrate that strategic goal states can be deployed to mask memory-related neural patterns and foil memory decoding technology, placing a significant boundary condition on their real-world utility. Copyright © 2015 the authors 0270-6474/15/358531-15$15.00/0.

  1. Declarative and nondeclarative memory: multiple brain systems supporting learning and memory.

    PubMed

    Squire, L R

    1992-01-01

    Abstract The topic of multiple forms of memory is considered from a biological point of view. Fact-and-event (declarative, explicit) memory is contrasted with a collection of non conscious (non-declarative, implicit) memory abilities including skills and habits, priming, and simple conditioning. Recent evidence is reviewed indicating that declarative and non declarative forms of memory have different operating characteristics and depend on separate brain systems. A brain-systems framework for understanding memory phenomena is developed in light of lesion studies involving rats, monkeys, and humans, as well as recent studies with normal humans using the divided visual field technique, event-related potentials, and positron emission tomography (PET).

  2. Practicing What Is Preached: Self-Reflections on Memory in a Memory Course

    ERIC Educational Resources Information Center

    Conrad, Nicole J.

    2013-01-01

    To apply several principles of memory covered in a first-year university memory course, I developed a series of one-page self-reflection papers on memory that require students to engage with the material in a meaningful way. These short papers cover topics related to memory, and the assignment itself applies these same principles, reinforcing…

  3. Data Movement Dominates: Advanced Memory Technology to Address the Real Exascale Power Problem

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bergman, Keren

    Energy is the fundamental barrier to Exascale supercomputing and is dominated by the cost of moving data from one point to another, not computation. Similarly, performance is dominated by data movement, not computation. The solution to this problem requires three critical technologies: 3D integration, optical chip-to-chip communication, and a new communication model. The central goal of the Sandia led "Data Movement Dominates" project aimed to develop memory systems and new architectures based on these technologies that have the potential to lower the cost of local memory accesses by orders of magnitude and provide substantially more bandwidth. Only through these transformationalmore » advances can future systems reach the goals of Exascale computing with a manageable power budgets. The Sandia led team included co-PIs from Columbia University, Lawrence Berkeley Lab, and the University of Maryland. The Columbia effort of Data Movement Dominates focused on developing a physically accurate simulation environment and experimental verification for optically-connected memory (OCM) systems that can enable continued performance scaling through high-bandwidth capacity, energy-efficient bit-rate transparency, and time-of-flight latency. With OCM, memory device parallelism and total capacity can scale to match future high-performance computing requirements without sacrificing data-movement efficiency. When we consider systems with integrated photonics, links to memory can be seamlessly integrated with the interconnection network-in a sense, memory becomes a primary aspect of the interconnection network. At the core of the Columbia effort, toward expanding our understanding of OCM enabled computing we have created an integrated modeling and simulation environment that uniquely integrates the physical behavior of the optical layer. The PhoenxSim suite of design and software tools developed under this effort has enabled the co-design of and performance evaluation photonics

  4. Modulation of working memory updating: Does long-term memory lexical association matter?

    PubMed

    Artuso, Caterina; Palladino, Paola

    2016-02-01

    The aim of the present study was to investigate how working memory updating for verbal material is modulated by enduring properties of long-term memory. Two coexisting perspectives that account for the relation between long-term representation and short-term performance were addressed. First, evidence suggests that performance is more closely linked to lexical properties, that is, co-occurrences within the language. Conversely, other evidence suggests that performance is linked more to long-term representations which do not entail lexical/linguistic representations. Our aim was to investigate how these two kinds of long-term memory associations (i.e., lexical or nonlexical) modulate ongoing working memory activity. Therefore, we manipulated (between participants) the strength of the association in letters based on either frequency of co-occurrences (lexical) or contiguity along the sequence of the alphabet (nonlexical). Results showed a cost in working memory updating for strongly lexically associated stimuli only. Our findings advance knowledge of how lexical long-term memory associations between consonants affect working memory updating and, in turn, contribute to the study of factors which impact the updating process across memory systems.

  5. Maltreatment increases spontaneous false memories but decreases suggestion-induced false memories in children.

    PubMed

    Otgaar, Henry; Howe, Mark L; Muris, Peter

    2017-09-01

    We examined the creation of spontaneous and suggestion-induced false memories in maltreated and non-maltreated children. Maltreated and non-maltreated children were involved in a Deese-Roediger-McDermott false memory paradigm where they studied and remembered negative and neutral word lists. Suggestion-induced false memories were created using a misinformation procedure during which both maltreated and non-maltreated children viewed a negative video (i.e., bank robbery) and later received suggestive misinformation concerning the event. Our results showed that maltreated children had higher levels of spontaneous negative false memories but lower levels of suggestion-induced false memories as compared to non-maltreated children. Collectively, our study demonstrates that maltreatment both increases and decreases susceptibility to memory illusions depending on the type of false memory being induced. Statement of contribution What is already known on this subject? Trauma affects memory. It is unclear how trauma affects false memory. What does this study add? This study focuses on two types of false memories. © 2017 The Authors. British Journal of Developmental Psychology published by John Wiley & Sons Ltd on behalf of British Psychological Society.

  6. Thin film memory matrix using amorphous and high resistive layers

    NASA Technical Reports Server (NTRS)

    Thakoor, Anilkumar P. (Inventor); Lambe, John (Inventor); Moopen, Alexander (Inventor)

    1989-01-01

    Memory cells in a matrix are provided by a thin film of amorphous semiconductor material overlayed by a thin film of resistive material. An array of parallel conductors on one side perpendicular to an array of parallel conductors on the other side enable the amorphous semiconductor material to be switched in addressed areas to be switched from a high resistance state to a low resistance state with a predetermined level of electrical energy applied through selected conductors, and thereafter to be read out with a lower level of electrical energy. Each cell may be fabricated in the channel of an MIS field-effect transistor with a separate common gate over each section to enable the memory matrix to be selectively blanked in sections during storing or reading out of data. This allows for time sharing of addressing circuitry for storing and reading out data in a synaptic network, which may be under control of a microprocessor.

  7. Immunological memory is associative

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Smith, D.J.; Forrest, S.; Perelson, A.S.

    1996-12-31

    The purpose of this paper is to show that immunological memory is an associative and robust memory that belongs to the class of sparse distributed memories. This class of memories derives its associative and robust nature by sparsely sampling the input space and distributing the data among many independent agents. Other members of this class include a model of the cerebellar cortex and Sparse Distributed Memory (SDM). First we present a simplified account of the immune response and immunological memory. Next we present SDM, and then we show the correlations between immunological memory and SDM. Finally, we show how associativemore » recall in the immune response can be both beneficial and detrimental to the fitness of an individual.« less

  8. Human learning and memory.

    PubMed

    Johnson, M K; Hasher, L

    1987-01-01

    There have been several notable recent trends in the area of learning and memory. Problems with the episodic/semantic distinction have become more apparent, and new efforts have been made (exemplar models, distributed-memory models) to represent general knowledge without assuming a separate semantic system. Less emphasis is being placed on stable, prestored prototypes and more emphasis on a flexible memory system that provides the basis for a multitude of categories or frames of reference, derived on the spot as tasks demand. There is increasing acceptance of the idea that mental models are constructed and stored in memory in addition to, rather than instead of, memorial representations that are more closely tied to perceptions. This gives rise to questions concerning the conditions that permit inferences to be drawn and mental models to be constructed, and to questions concerning the similarities and differences in the nature of the representations in memory of perceived and generated information and in their functions. There has also been a swing from interest in deliberate strategies to interest in automatic, unconscious (even mechanistic!) processes, reflecting an appreciation that certain situations (e.g. recognition, frequency judgements, savings in indirect tasks, aspects of skill acquisition, etc) seem not to depend much on the products of strategic, effortful or reflective processes. There is a lively interest in relations among memory measures and attempts to characterize memory representations and/or processes that could give rise to dissociations among measures. Whether the pattern of results reflects the operation of functional subsystems of memory and, if so, what the "modules" are is far from clear. This issue has been fueled by work with amnesics and has contributed to a revival of interaction between researchers studying learning and memory in humans and those studying learning and memory in animals. Thus, neuroscience rivals computer science as a

  9. A generalized memory test algorithm

    NASA Technical Reports Server (NTRS)

    Milner, E. J.

    1982-01-01

    A general algorithm for testing digital computer memory is presented. The test checks that (1) every bit can be cleared and set in each memory work, and (2) bits are not erroneously cleared and/or set elsewhere in memory at the same time. The algorithm can be applied to any size memory block and any size memory word. It is concise and efficient, requiring the very few cycles through memory. For example, a test of 16-bit-word-size memory requries only 384 cycles through memory. Approximately 15 seconds were required to test a 32K block of such memory, using a microcomputer having a cycle time of 133 nanoseconds.

  10. Increased functional connectivity within memory networks following memory rehabilitation in multiple sclerosis.

    PubMed

    Leavitt, Victoria M; Wylie, Glenn R; Girgis, Peter A; DeLuca, John; Chiaravalloti, Nancy D

    2014-09-01

    Identifying effective behavioral treatments to improve memory in persons with learning and memory impairment is a primary goal for neurorehabilitation researchers. Memory deficits are the most common cognitive symptom in multiple sclerosis (MS), and hold negative professional and personal consequences for people who are often in the prime of their lives when diagnosed. A 10-session behavioral treatment, the modified Story Memory Technique (mSMT), was studied in a randomized, placebo-controlled clinical trial. Behavioral improvements and increased fMRI activation were shown after treatment. Here, connectivity within the neural networks underlying memory function was examined with resting-state functional connectivity (RSFC) in a subset of participants from the clinical trial. We hypothesized that the treatment would result in increased integrity of connections within two primary memory networks of the brain, the hippocampal memory network, and the default network (DN). Seeds were placed in left and right hippocampus, and the posterior cingulate cortex. Increased connectivity was found between left hippocampus and cortical regions specifically involved in memory for visual imagery, as well as among critical hubs of the DN. These results represent the first evidence for efficacy of a behavioral intervention to impact the integrity of neural networks subserving memory functions in persons with MS.

  11. Improved performance of Ta2O5-x resistive switching memory by Gd-doping: Ultralow power operation, good data retention, and multilevel storage

    NASA Astrophysics Data System (ADS)

    Shi, K. X.; Xu, H. Y.; Wang, Z. Q.; Zhao, X. N.; Liu, W. Z.; Ma, J. G.; Liu, Y. C.

    2017-11-01

    Resistive-switching memory with ultralow-power consumption is very promising technology for next-generation data storage and high-energy-efficiency neurosynaptic chips. Herein, Ta2O5-x-based multilevel memories with ultralow-power consumption and good data retention were achieved by simple Gd-doping. The introduction of a Gd ion, as an oxygen trapper, not only suppresses the generation of oxygen vacancy defects and greatly increases the Ta2O5-x resistance but also increases the oxygen-ion migration barrier. As a result, the memory cells can operate at an ultralow current of 1 μA with the extrapolated retention time of >10 years at 85 °C and the high switching speeds of 10 ns/40 ns for SET/RESET processes. The energy consumption of the device is as low as 60 fJ/bit, which is comparable to emerging ultralow-energy consumption (<100 fJ/bit) memory devices.

  12. Revealing past memories: proactive interference and ketamine-induced memory deficits.

    PubMed

    Chrobak, James J; Hinman, James R; Sabolek, Helen R

    2008-04-23

    Memories of events that occur often are sensitive to interference from memories of similar events. Proactive interference plays an important and often unexamined role in memory testing for spatially and temporally unique events ("episodes"). Ketamine (NMDA receptor antagonist) treatment in humans and other mammals induces a constellation of cognitive deficits, including impairments in working and episodic memory. We examined the effects of the ketamine (2.5-100 mg/kg) on the acquisition, retrieval, and retention of memory in a delayed-match-to-place radial water maze task that can be used to assess proactive interference. Ketamine (2.5-25 mg/kg, i.p.) given 20 min before the sample trial, impaired encoding. The first errors made during the test trial were predominantly to arms located spatially adjacent to the goal arm, suggesting an established albeit weakened representation. Ketamine (25-100 mg/kg) given immediately after the sample trial had no effect on retention. Ketamine given before the test trial impaired retrieval. First errors under the influence of ketamine were predominantly to the goal location of the previous session. Thus, ketamine treatment promoted proactive interference. These memory deficits were not state dependent, because ketamine treatment at both encoding and retrieval only increased the number of errors during the test session. These data demonstrate the competing influence of distinct memory representations during the performance of a memory task in the rat. Furthermore, they demonstrate the subtle disruptive effects of the NMDA antagonist ketamine on both encoding and retrieval. Specifically, ketamine treatment disrupted retrieval by promoting proactive interference from previous episodic representations.

  13. Impact of emotionality on memory and meta-memory in schizophrenia using video sequences.

    PubMed

    Peters, Maarten J V; Hauschildt, Marit; Moritz, Steffen; Jelinek, Lena

    2013-03-01

    A vast amount of memory and meta-memory research in schizophrenia shows that these patients perform worse on memory accuracy and hold false information with strong conviction compared to healthy controls. So far, studies investigating these effects mainly used traditional static stimulus material like word lists or pictures. The question remains whether these memory and meta-memory effects are also present in (1) more near-life dynamic situations (i.e., using standardized videos) and (2) whether emotionality has an influence on memory and meta-memory deficits (i.e., response confidence) in schizophrenia compared to healthy controls. Twenty-seven schizophrenia patients and 24 healthy controls were administered a newly developed emotional video paradigm with five videos differing in emotionality (positive, two negative, neutral, and delusional related). After each video, a recognition task required participants to make old-new discriminations along with confidence ratings, investigating memory accuracy and meta-memory deficits in more dynamic settings. For all but the positively valenced video, patients recognized fewer correct items compared to healthy controls, and did not differ with regard to the number of false memories for related items. In line with prior findings, schizophrenia patients showed more high-confident responses for misses and false memories for related items but displayed underconfidence for hits when compared to healthy controls, independent of emotionality. Limited sample size and control group; combined valence and arousal indicator for emotionality; general psychopathology indicator. Emotionality differentially moderated memory accuracy, biases in schizophrenia patients compared to controls. Moreover, the meta-memory deficits identified in static paradigms also manifest in more dynamic settings near-life settings and seem to be independent of emotionality. Copyright © 2012 Elsevier Ltd. All rights reserved.

  14. Music evokes vivid autobiographical memories.

    PubMed

    Belfi, Amy M; Karlan, Brett; Tranel, Daniel

    2016-08-01

    Music is strongly intertwined with memories-for example, hearing a song from the past can transport you back in time, triggering the sights, sounds, and feelings of a specific event. This association between music and vivid autobiographical memory is intuitively apparent, but the idea that music is intimately tied with memories, seemingly more so than other potent memory cues (e.g., familiar faces), has not been empirically tested. Here, we compared memories evoked by music to those evoked by famous faces, predicting that music-evoked autobiographical memories (MEAMs) would be more vivid. Participants listened to 30 songs, viewed 30 faces, and reported on memories that were evoked. Memories were transcribed and coded for vividness as in Levine, B., Svoboda, E., Hay, J. F., Winocur, G., & Moscovitch, M. [2002. Aging and autobiographical memory: Dissociating episodic from semantic retrieval. Psychology and Aging, 17, 677-689]. In support of our hypothesis, MEAMs were more vivid than autobiographical memories evoked by faces. MEAMs contained a greater proportion of internal details and a greater number of perceptual details, while face-evoked memories contained a greater number of external details. Additionally, we identified sex differences in memory vividness: for both stimulus categories, women retrieved more vivid memories than men. The results show that music not only effectively evokes autobiographical memories, but that these memories are more vivid than those evoked by famous faces.

  15. Involuntary memory chaining versus event cueing: Which is a better indicator of autobiographical memory organisation?

    PubMed

    Mace, John H; Clevinger, Amanda M; Martin, Cody

    2010-11-01

    Involuntary memory chains are spontaneous recollections of the past that occur in a sequence. Much like semantic memory priming, this memory phenomenon has provided some insights into the nature of associations in autobiographical memory. The event-cueing procedure (a laboratory-based memory sequencing task) has also provided some insights into the nature of autobiographical memory organisation. However, while both of these memory-sequencing phenomena have exhibited the same types of memory associations (conceptual associations and general-event or temporal associations), both have also produced discrepant results with respect to the relative proportions of such associations. This study investigated the possibility that the results from event cueing are artefacts of various memory production responses. Using a number of different approaches we demonstrated that these memory production responses cause overestimates of general-event association. We conclude that for this reason, the data from involuntary memory chains provide a better picture of the organisation of autobiographical memory.

  16. Does overgeneral autobiographical memory result from poor memory for task instructions?

    PubMed

    Yanes, Paula K; Roberts, John E; Carlos, Erica L

    2008-10-01

    Considerable previous research has shown that retrieval of overgeneral autobiographical memories (OGM) is elevated among individuals suffering from various emotional disorders and those with a history of trauma. Although previous theories suggest that OGM serves the function of regulating acute negative affect, it is also possible that OGM results from difficulties in keeping the instruction set for the Autobiographical Memory Test (AMT) in working memory, or what has been coined "secondary goal neglect" (Dalgleish, 2004). The present study tested whether OGM is associated with poor memory for the task's instruction set, and whether an instruction set reminder would improve memory specificity over repeated trials. Multilevel modelling data-analytic techniques demonstrated a significant relationship between poor recall of instruction set and probability of retrieving OGMs. Providing an instruction set reminder for the AMT relative to a control task's instruction set improved memory specificity immediately afterward.

  17. Kanerva's sparse distributed memory: An associative memory algorithm well-suited to the Connection Machine

    NASA Technical Reports Server (NTRS)

    Rogers, David

    1988-01-01

    The advent of the Connection Machine profoundly changes the world of supercomputers. The highly nontraditional architecture makes possible the exploration of algorithms that were impractical for standard Von Neumann architectures. Sparse distributed memory (SDM) is an example of such an algorithm. Sparse distributed memory is a particularly simple and elegant formulation for an associative memory. The foundations for sparse distributed memory are described, and some simple examples of using the memory are presented. The relationship of sparse distributed memory to three important computational systems is shown: random-access memory, neural networks, and the cerebellum of the brain. Finally, the implementation of the algorithm for sparse distributed memory on the Connection Machine is discussed.

  18. Near-memory data reorganization engine

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gokhale, Maya; Lloyd, G. Scott

    A memory subsystem package is provided that has processing logic for data reorganization within the memory subsystem package. The processing logic is adapted to reorganize data stored within the memory subsystem package. In some embodiments, the memory subsystem package includes memory units, a memory interconnect, and a data reorganization engine ("DRE"). The data reorganization engine includes a stream interconnect and DRE units including a control processor and a load-store unit. The control processor is adapted to execute instructions to control a data reorganization. The load-store unit is adapted to process data move commands received from the control processor via themore » stream interconnect for loading data from a load memory address of a memory unit and storing data to a store memory address of a memory unit.« less

  19. Avalanche atomic switching in strain engineered Sb2Te3-GeTe interfacial phase-change memory cells

    NASA Astrophysics Data System (ADS)

    Zhou, Xilin; Behera, Jitendra K.; Lv, Shilong; Wu, Liangcai; Song, Zhitang; Simpson, Robert E.

    2017-09-01

    By confining phase transitions to the nanoscale interface between two different crystals, interfacial phase change memory heterostructures represent the state of the art for energy efficient data storage. We present the effect of strain engineering on the electrical switching performance of the {{Sb}}2{{Te}}3-GeTe superlattice van der Waals devices. Multiple Ge atoms switching through a two-dimensional Te layer reduces the activation barrier for further atoms to switch; an effect that can be enhanced by biaxial strain. The out-of-plane phonon mode of the GeTe crystal remains active in the superlattice heterostructures. The large in-plane biaxial strain imposed by the {{Sb}}2{{Te}}3 layers on the GeTe layers substantially improves the switching speed, reset energy, and cyclability of the superlattice memory devices. Moreover, carefully controlling residual stress in the layers of {{Sb}}2{{Te}}3-GeTe interfacial phase change memories provides a new degree of freedom to design the properties of functional superlattice structures for memory and photonics applications.

  20. Endogenous-cue prospective memory involving incremental updating of working memory: an fMRI study.

    PubMed

    Halahalli, Harsha N; John, John P; Lukose, Ammu; Jain, Sanjeev; Kutty, Bindu M

    2015-11-01

    Prospective memory paradigms are conventionally classified on the basis of event-, time-, or activity-based intention retrieval. In the vast majority of such paradigms, intention retrieval is provoked by some kind of external event. However, prospective memory retrieval cues that prompt intention retrieval in everyday life are commonly endogenous, i.e., linked to a specific imagined retrieval context. We describe herein a novel prospective memory paradigm wherein the endogenous cue is generated by incremental updating of working memory, and investigated the hemodynamic correlates of this task. Eighteen healthy adult volunteers underwent functional magnetic resonance imaging while they performed a prospective memory task where the delayed intention was triggered by an endogenous cue generated by incremental updating of working memory. Working memory and ongoing task control conditions were also administered. The 'endogenous-cue prospective memory condition' with incremental working memory updating was associated with maximum activations in the right rostral prefrontal cortex, and additional activations in the brain regions that constitute the bilateral fronto-parietal network, central and dorsal salience networks as well as cerebellum. In the working memory control condition, maximal activations were noted in the left dorsal anterior insula. Activation of the bilateral dorsal anterior insula, a component of the central salience network, was found to be unique to this 'endogenous-cue prospective memory task' in comparison to previously reported exogenous- and endogenous-cue prospective memory tasks without incremental working memory updating. Thus, the findings of the present study highlight the important role played by the dorsal anterior insula in incremental working memory updating that is integral to our endogenous-cue prospective memory task.

  1. Autobiographical memory functioning among abused, neglected, and nonmaltreated children: the overgeneral memory effect.

    PubMed

    Valentino, Kristin; Toth, Sheree L; Cicchetti, Dante

    2009-08-01

    This investigation addresses whether there are differences in the form and content of autobiographical memory recall as a function of maltreatment, and examines the roles of self-system functioning and psychopathology in autobiographical memory processes. Autobiographical memory for positive and negative nontraumatic events was evaluated among abused, neglected, and nonmaltreated school-aged children. Abused children's memories were more overgeneral and contained more negative self-representations than did those of the nonmaltreated children. Negative self-representations and depression were significantly related to overgeneral memory, but did not mediate the relation between abuse and overgeneral memory. The meaning of these findings for models of memory and for the development of overgenerality is emphasized. Moreover, the clinical implications of the current research are discussed.

  2. Intervention strength does not differentially affect memory reconsolidation of strong memories.

    PubMed

    van Schie, Kevin; van Veen, Suzanne C; Hendriks, Yanniek R; van den Hout, Marcel A; Engelhard, Iris M

    2017-10-01

    Recently, it has become clear that retrieval (i.e., reactivation) of consolidated memories may return these memories into a labile state before they are restored into long-term memory ('reconsolidation'). Using behavioral manipulations, reactivated memories can be disrupted via the mechanism of novel learning. In the present study, we investigated whether changing a strong memory during reconsolidation depends on the strength of novel learning. To test this, participants (N=144) in six groups acquired a relatively strong memory on Day 1 by viewing and recalling a series of pictures three times. On Day 8, these pictures were reactivated in three groups, and they were not reactivated in the other three groups. Then, participants viewed and recalled new pictures once (weak new learning) or three times (strong new learning), or they did not learn any new pictures. On Day 9, participants performed a recognition test in which their memory for Day 1 pictures was assessed. Two main results are noted. First, the groups that reactivated pictures from Day 1 and received weak or strong new learning did not differ in memory performance. Second, these two groups consistently performed similar to groups that controlled for new learning without reactivation. Because these results contradict what was expected based on the reconsolidation hypothesis, we discuss possible explanations and implications. Copyright © 2017 Elsevier Inc. All rights reserved.

  3. Atomic memory access hardware implementations

    DOEpatents

    Ahn, Jung Ho; Erez, Mattan; Dally, William J

    2015-02-17

    Atomic memory access requests are handled using a variety of systems and methods. According to one example method, a data-processing circuit having an address-request generator that issues requests to a common memory implements a method of processing the requests using a memory-access intervention circuit coupled between the generator and the common memory. The method identifies a current atomic-memory access request from a plurality of memory access requests. A data set is stored that corresponds to the current atomic-memory access request in a data storage circuit within the intervention circuit. It is determined whether the current atomic-memory access request corresponds to at least one previously-stored atomic-memory access request. In response to determining correspondence, the current request is implemented by retrieving data from the common memory. The data is modified in response to the current request and at least one other access request in the memory-access intervention circuit.

  4. About sleep's role in memory.

    PubMed

    Rasch, Björn; Born, Jan

    2013-04-01

    Over more than a century of research has established the fact that sleep benefits the retention of memory. In this review we aim to comprehensively cover the field of "sleep and memory" research by providing a historical perspective on concepts and a discussion of more recent key findings. Whereas initial theories posed a passive role for sleep enhancing memories by protecting them from interfering stimuli, current theories highlight an active role for sleep in which memories undergo a process of system consolidation during sleep. Whereas older research concentrated on the role of rapid-eye-movement (REM) sleep, recent work has revealed the importance of slow-wave sleep (SWS) for memory consolidation and also enlightened some of the underlying electrophysiological, neurochemical, and genetic mechanisms, as well as developmental aspects in these processes. Specifically, newer findings characterize sleep as a brain state optimizing memory consolidation, in opposition to the waking brain being optimized for encoding of memories. Consolidation originates from reactivation of recently encoded neuronal memory representations, which occur during SWS and transform respective representations for integration into long-term memory. Ensuing REM sleep may stabilize transformed memories. While elaborated with respect to hippocampus-dependent memories, the concept of an active redistribution of memory representations from networks serving as temporary store into long-term stores might hold also for non-hippocampus-dependent memory, and even for nonneuronal, i.e., immunological memories, giving rise to the idea that the offline consolidation of memory during sleep represents a principle of long-term memory formation established in quite different physiological systems.

  5. A general model for memory interference in a multiprocessor system with memory hierarchy

    NASA Technical Reports Server (NTRS)

    Taha, Badie A.; Standley, Hilda M.

    1989-01-01

    The problem of memory interference in a multiprocessor system with a hierarchy of shared buses and memories is addressed. The behavior of the processors is represented by a sequence of memory requests with each followed by a determined amount of processing time. A statistical queuing network model for determining the extent of memory interference in multiprocessor systems with clusters of memory hierarchies is presented. The performance of the system is measured by the expected number of busy memory clusters. The results of the analytic model are compared with simulation results, and the correlation between them is found to be very high.

  6. Ultralow-power switching via defect engineering in germanium telluride phase-change memory devices.

    PubMed

    Nukala, Pavan; Lin, Chia-Chun; Composto, Russell; Agarwal, Ritesh

    2016-01-25

    Crystal-amorphous transformation achieved via the melt-quench pathway in phase-change memory involves fundamentally inefficient energy conversion events; and this translates to large switching current densities, responsible for chemical segregation and device degradation. Alternatively, introducing defects in the crystalline phase can engineer carrier localization effects enhancing carrier-lattice coupling; and this can efficiently extract work required to introduce bond distortions necessary for amorphization from input electrical energy. Here, by pre-inducing extended defects and thus carrier localization effects in crystalline GeTe via high-energy ion irradiation, we show tremendous improvement in amorphization current densities (0.13-0.6 MA cm(-2)) compared with the melt-quench strategy (∼50 MA cm(-2)). We show scaling behaviour and good reversibility on these devices, and explore several intermediate resistance states that are accessible during both amorphization and recrystallization pathways. Existence of multiple resistance states, along with ultralow-power switching and scaling capabilities, makes this approach promising in context of low-power memory and neuromorphic computation.

  7. Preserved memory-based orienting of attention with impaired explicit memory in healthy ageing

    PubMed Central

    Salvato, Gerardo; Patai, Eva Z.; Nobre, Anna C.

    2016-01-01

    It is increasingly recognised that spatial contextual long-term memory (LTM) prepares neural activity for guiding visuo-spatial attention in a proactive manner. In the current study, we investigated whether the decline in explicit memory observed in healthy ageing would compromise this mechanism. We compared the behavioural performance of younger and older participants on learning new contextual memories, on orienting visual attention based on these learnt contextual associations, and on explicit recall of contextual memories. We found a striking dissociation between older versus younger participants in the relationship between the ability to retrieve contextual memories versus the ability to use these to guide attention to enhance performance on a target-detection task. Older participants showed significant deficits in the explicit retrieval task, but their behavioural benefits from memory-based orienting of attention were equivalent to those in young participants. Furthermore, memory-based orienting correlated significantly with explicit contextual LTM in younger adults but not in older adults. These results suggest that explicit memory deficits in ageing might not compromise initial perception and encoding of events. Importantly, the results also shed light on the mechanisms of memory-guided attention, suggesting that explicit contextual memories are not necessary. PMID:26649914

  8. True memory, false memory, and subjective recollection deficits after focal parietal lobe lesions.

    PubMed

    Drowos, David B; Berryhill, Marian; André, Jessica M; Olson, Ingrid R

    2010-07-01

    There is mounting evidence that the posterior parietal cortex (PPC) plays an important role in episodic memory. We previously found that patients with PPC damage exhibit retrieval-related episodic memory deficits. Here we assess whether parietal lobe damage affects episodic memory on a different task: the Deese-Roediger-McDermott (DRM) false-memory paradigm. Two patients with bilateral PPC damage and a group of matched controls were tested. In Experiment 1, the task was to remember words; in Experiment 2 the task was to remember pictures of common objects. Prior studies have shown that normal participants have high levels of false memory to words, low levels to pictures. The patients exhibited significantly lower levels of false memory to words. One patient showed significantly elevated levels of false memory to pictures. The patients' false memories were accompanied by reduced levels of recollection, as tested by a Remember/Know procedure. PPC damage causes decreased levels of false memories and an abnormal Remember/Know profile. Their false memory rate is similar to the rate exhibited by patients with medial temporal lobe damage. These results support the view that portions of the PPC play a critical role in objective and subjective aspects of recollection.

  9. Preserved memory-based orienting of attention with impaired explicit memory in healthy ageing.

    PubMed

    Salvato, Gerardo; Patai, Eva Z; Nobre, Anna C

    2016-01-01

    It is increasingly recognised that spatial contextual long-term memory (LTM) prepares neural activity for guiding visuo-spatial attention in a proactive manner. In the current study, we investigated whether the decline in explicit memory observed in healthy ageing would compromise this mechanism. We compared the behavioural performance of younger and older participants on learning new contextual memories, on orienting visual attention based on these learnt contextual associations, and on explicit recall of contextual memories. We found a striking dissociation between older versus younger participants in the relationship between the ability to retrieve contextual memories versus the ability to use these to guide attention to enhance performance on a target-detection task. Older participants showed significant deficits in the explicit retrieval task, but their behavioural benefits from memory-based orienting of attention were equivalent to those in young participants. Furthermore, memory-based orienting correlated significantly with explicit contextual LTM in younger adults but not in older adults. These results suggest that explicit memory deficits in ageing might not compromise initial perception and encoding of events. Importantly, the results also shed light on the mechanisms of memory-guided attention, suggesting that explicit contextual memories are not necessary. Copyright © 2015 The Authors. Published by Elsevier Ltd.. All rights reserved.

  10. The sensory timecourses associated with conscious visual item memory and source memory.

    PubMed

    Thakral, Preston P; Slotnick, Scott D

    2015-09-01

    Previous event-related potential (ERP) findings have suggested that during visual item and source memory, nonconscious and conscious sensory (occipital-temporal) activity onsets may be restricted to early (0-800 ms) and late (800-1600 ms) temporal epochs, respectively. In an ERP experiment, we tested this hypothesis by separately assessing whether the onset of conscious sensory activity was restricted to the late epoch during source (location) memory and item (shape) memory. We found that conscious sensory activity had a late (>800 ms) onset during source memory and an early (<200 ms) onset during item memory. In a follow-up fMRI experiment, conscious sensory activity was localized to BA17, BA18, and BA19. Of primary importance, the distinct source memory and item memory ERP onsets contradict the hypothesis that there is a fixed temporal boundary separating nonconscious and conscious processing during all forms of visual conscious retrieval. Copyright © 2015 Elsevier B.V. All rights reserved.

  11. Long-term memory following transient global amnesia: an investigation of episodic and semantic memory.

    PubMed

    Guillery-Girard, B; Quinette, P; Desgranges, B; Piolino, P; Viader, F; de la Sayette, V; Eustache, F

    2006-11-01

    Several studies noted persistence of memory impairment following an episode of transient global amnesia (TGA) with standard tests. To specify long-term memory impairments in a group of patients selected with stringent criteria. Both retrograde and anterograde memory were investigated in 32 patients 13-67 months after a TGA episode with original tasks encompassing retrograde semantic memory (academic, public and personal knowledge), retrograde episodic memory (autobiographical events) and anterograde episodic memory. Patients had preserved academic and public knowledge. Pathological scores were obtained in personal verbal fluency for the two most recent periods, and patients produced less autobiographical events than controls. However, when they were provided time to detail, memories were as episodic as in controls regardless of their remoteness. Anterograde episodic tasks revealed a mild but significant impairment of the capacity of re-living the condition of encoding, i.e. the moment at which words were presented. Patients who have suffered from an episode of TGA manifest deficits of memory focused on the retrieval of both recent semantic information and episodic memories and especially the capacity of re-living. These deficits may not result from a deterioration of memory per se but rather from difficulties in accessing memories.

  12. Extending the Memory of Microcomputers

    NASA Technical Reports Server (NTRS)

    Wiker, G. A.

    1984-01-01

    Memory increased while retaining real-time capabilities. Extra memory capacity added to microprocessor without increasing memory address length and special transfer instructions by dedicating block of space in main memory to hold addresses of locations in extra memory.

  13. Transistor and memory devices based on novel organic and biomaterials

    NASA Astrophysics Data System (ADS)

    Tseng, Jia-Hung

    Organic semiconductor devices have aroused considerable interest because of the enormous potential in many technological applications. Organic electroluminescent devices have been extensively applied in display technology. Rapid progress has also been made in transistor and memory devices. This thesis considers aspects of the transistor based on novel organic single crystals and memory devices using hybrid nanocomposites comprising polymeric/inorganic nanoparticles, and biomolecule/quantum dots. Organic single crystals represent highly ordered structures with much less imperfections compared to amorphous thin films for probing the intrinsic charge transport in transistor devices. We demonstrate that free-standing, thin organic single crystals with natural flexing ability can be fabricated as flexible transistors. We study the surface properties of the organic crystals to determine a nearly perfect surface leading to high performance transistors. The flexible transistors can maintain high performance under reversible bending conditions. Because of the high quality crystal technique, we further develop applications on organic complementary circuits and organic single crystal photovoltaics. In the second part, two aspects of memory devices are studied. We examine the charge transfer process between conjugated polymers and metal nanoparticles. This charge transfer process is essential for the conductance switching in nanoseconds to induce the memory effect. Under the reduction condition, the charge transfer process is eliminated as well as the memory effect, raising the importance of coupling between conjugated systems and nanoparticle accepters. The other aspect of memory devices focuses on the interaction of virus biomolecules with quantum dots or metal nanoparticles in the devices. We investigate the impact of memory function on the hybrid bio-inorganic system. We perform an experimental analysis of the charge storage activation energy in tobacco mosaic virus with

  14. What kind of memory has evolution wrought? Introductory article for the special issue of memory: adaptive memory: the emergence and nature of proximate mechanisms.

    PubMed

    Otgaar, Henry; Howe, Mark L

    2014-01-01

    It is without question that our memory system evolved through a process of natural selection. However, basic research into the evolutionary foundations of memory has begun in earnest only recently. This is quite peculiar as the majority, perhaps even all, of memory research relates to whether memory is adaptive or not. In this Special Issue of Memory we have assembled a variety of papers that represent the cutting edge in research on the evolution of memory. These papers are centred on issues about the ultimate and proximate explanations of memory, the development of the adaptive functions of memory, as well as the positive consequences that arise from the current evolutionary form that our memory has taken. In this introductory article we briefly outline these different areas and indicate why they are vital for a more complete theory of memory. Further we argue that, by adopting a more applied stance in the area of the evolution of memory, one of the many future directions in this field could be a new branch of psychology that addresses questions in evolutionary legal psychology.

  15. Astrocyte-neuron lactate transport is required for long-term memory formation.

    PubMed

    Suzuki, Akinobu; Stern, Sarah A; Bozdagi, Ozlem; Huntley, George W; Walker, Ruth H; Magistretti, Pierre J; Alberini, Cristina M

    2011-03-04

    We report that, in the rat hippocampus, learning leads to a significant increase in extracellular lactate levels that derive from glycogen, an energy reserve selectively localized in astrocytes. Astrocytic glycogen breakdown and lactate release are essential for long-term but not short-term memory formation, and for the maintenance of long-term potentiation (LTP) of synaptic strength elicited in vivo. Disrupting the expression of the astrocytic lactate transporters monocarboxylate transporter 4 (MCT4) or MCT1 causes amnesia, which, like LTP impairment, is rescued by L-lactate but not equicaloric glucose. Disrupting the expression of the neuronal lactate transporter MCT2 also leads to amnesia that is unaffected by either L-lactate or glucose, suggesting that lactate import into neurons is necessary for long-term memory. Glycogenolysis and astrocytic lactate transporters are also critical for the induction of molecular changes required for memory formation, including the induction of phospho-CREB, Arc, and phospho-cofilin. We conclude that astrocyte-neuron lactate transport is required for long-term memory formation. Copyright © 2011 Elsevier Inc. All rights reserved.

  16. Astrocyte-neuron lactate transport is required for long-term memory formation

    PubMed Central

    Suzuki, Akinobu; Stern, Sarah A.; Bozdagi, Ozlem; Huntley, George W.; Walker, Ruth H.; Magistretti, Pierre J.; Alberini, Cristina M.

    2011-01-01

    SUMMARY We report that in the rat hippocampus learning leads to a significant increase in extracellular lactate levels, which derive from glycogen, an energy reserve selectively localized in astrocytes. Astrocytic glycogen breakdown and lactate release are essential for long-term but not short-term memory formation, and for the maintenance of long-term potentiation (LTP) of synaptic strength elicited in-vivo. Disrupting the expression of the astrocytic lactate transporters monocarboxylate transporter 4 (MCT4) or MCT1 causes amnesia, which, like LTP impairment, is rescued by lactate but not equicaloric glucose. Disrupting the expression of the neuronal lactate transporter MCT2 also leads to amnesia that is unaffected by either L-lactate or glucose, suggesting that lactate import into neurons is necessary for long-term memory. Glycogenolysis and astrocytic lactate transporters are also critical for the induction of molecular changes required for memory formation, including the induction of phospho-CREB, Arc and phospho-cofilin. We conclude that astrocyte-neuron lactate transport is required for long-term memory formation. PMID:21376239

  17. The Effect of Rehearsal Rate and Memory Load on Verbal Working Memory

    PubMed Central

    Fegen, David; Buchsbaum, Bradley R.; D’Esposito, Mark

    2014-01-01

    While many neuroimaging studies have investigated verbal working memory (WM) by manipulating memory load, the subvocal rehearsal rate at these various memory loads has generally been left uncontrolled. Therefore, the goal of this study was to investigate how mnemonic load and the rate of subvocal rehearsal modulate patterns of activity in the core neural circuits underlying verbal working memory. Using fMRI in healthy subjects, we orthogonally manipulated subvocal rehearsal rate and memory load in a verbal WM task with long 45-second delay periods. We found that middle frontal gyrus (MFG) and superior parietal lobule (SPL) exhibited memory load effects primarily early in the delay period and did not exhibit rehearsal rate effects. In contrast, we found that inferior frontal gyrus (IFG), premotor cortex (PM) and Sylvian-parietal-temporal region (area Spt) exhibited approximately linear memory load and rehearsal rate effects, with rehearsal rate effects lasting through the entire delay period. These results indicate that IFG, PM and area Spt comprise the core articulatory rehearsal areas involved in verbal WM, while MFG and SPL are recruited in a general supervisory role once a memory load threshold in the core rehearsal network has been exceeded. PMID:25467303

  18. Infant Visual Recognition Memory

    ERIC Educational Resources Information Center

    Rose, Susan A.; Feldman, Judith F.; Jankowski, Jeffery J.

    2004-01-01

    Visual recognition memory is a robust form of memory that is evident from early infancy, shows pronounced developmental change, and is influenced by many of the same factors that affect adult memory; it is surprisingly resistant to decay and interference. Infant visual recognition memory shows (a) modest reliability, (b) good discriminant…

  19. Soft magnetic memory of silk cocoon membrane

    NASA Astrophysics Data System (ADS)

    Roy, Manas; Dubey, Amarish; Singh, Sushil Kumar; Bhargava, Kalpana; Sethy, Niroj Kumar; Philip, Deepu; Sarkar, Sabyasachi; Bajpai, Alok; Das, Mainak

    2016-07-01

    Silk cocoon membrane (SCM), a solid matrix of protein fiber, responds to light, heat and moisture and converts these energies to electrical signals. Essentially it exhibits photo-electric and thermo-electric properties; making it a natural electro-magnetic sensor, which may influence the pupal development. This raises the question: ‘is it only electricity?’, or ‘it also posses some kind of magnetic memory?’ This work attempted to explore the magnetic memory of SCM and confirm its soft magnetism. Fe, Co, Ni, Mn, Gd were found in SCM, in traces, through energy dispersive X-ray analysis (EDX), X-ray photoelectron spectroscopy (XPS) and inductively coupled plasma mass spectrometry (ICP-MS). Presence of iron was ascertained by electron paramagnetic resonance (EPR). In addition, EPR-spectra showed the presence of a stable pool of carbon-centric free radical in the cocoon structure. Carbon-centric free radicals behaves as a soft magnet inherently. Magnetic-Hysteresis (M-H) of SCM confirmed its soft magnetism. It can be concluded that the soft bio-magnetic feature of SCM is due to the entrapment of ferromagnetic elements in a stable pool of carbon centric radicals occurring on the super-coiled protein structure. Natural soft magnets like SCM provide us with models for developing eco-friendly, protein-based biological soft magnets.

  20. Soft magnetic memory of silk cocoon membrane.

    PubMed

    Roy, Manas; Dubey, Amarish; Singh, Sushil Kumar; Bhargava, Kalpana; Sethy, Niroj Kumar; Philip, Deepu; Sarkar, Sabyasachi; Bajpai, Alok; Das, Mainak

    2016-07-04

    Silk cocoon membrane (SCM), a solid matrix of protein fiber, responds to light, heat and moisture and converts these energies to electrical signals. Essentially it exhibits photo-electric and thermo-electric properties; making it a natural electro-magnetic sensor, which may influence the pupal development. This raises the question: 'is it only electricity?', or 'it also posses some kind of magnetic memory?' This work attempted to explore the magnetic memory of SCM and confirm its soft magnetism. Fe, Co, Ni, Mn, Gd were found in SCM, in traces, through energy dispersive X-ray analysis (EDX), X-ray photoelectron spectroscopy (XPS) and inductively coupled plasma mass spectrometry (ICP-MS). Presence of iron was ascertained by electron paramagnetic resonance (EPR). In addition, EPR-spectra showed the presence of a stable pool of carbon-centric free radical in the cocoon structure. Carbon-centric free radicals behaves as a soft magnet inherently. Magnetic-Hysteresis (M-H) of SCM confirmed its soft magnetism. It can be concluded that the soft bio-magnetic feature of SCM is due to the entrapment of ferromagnetic elements in a stable pool of carbon centric radicals occurring on the super-coiled protein structure. Natural soft magnets like SCM provide us with models for developing eco-friendly, protein-based biological soft magnets.

  1. How Does Knowledge Promote Memory? The Distinctiveness Theory of Skilled Memory

    ERIC Educational Resources Information Center

    Rawson, Katherine A.; Van Overschelde, James P.

    2008-01-01

    The robust effects of knowledge on memory for domain-relevant information reported in previous research have largely been attributed to improved organizational processing. The present research proposes the distinctiveness theory of skilled memory, which states that knowledge improves memory not only through improved organizational processing but…

  2. Memory Systems Do Not Divide on Consciousness: Reinterpreting Memory in Terms of Activation and Binding

    PubMed Central

    Reder, Lynne M.; Park, Heekyeong; Kieffaber, Paul D.

    2009-01-01

    There is a popular hypothesis that performance on implicit and explicit memory tasks reflects 2 distinct memory systems. Explicit memory is said to store those experiences that can be consciously recollected, and implicit memory is said to store experiences and affect subsequent behavior but to be unavailable to conscious awareness. Although this division based on awareness is a useful taxonomy for memory tasks, the authors review the evidence that the unconscious character of implicit memory does not necessitate that it be treated as a separate system of human memory. They also argue that some implicit and explicit memory tasks share the same memory representations and that the important distinction is whether the task (implicit or explicit) requires the formation of a new association. The authors review and critique dissociations from the behavioral, amnesia, and neuroimaging literatures that have been advanced in support of separate explicit and implicit memory systems by highlighting contradictory evidence and by illustrating how the data can be accounted for using a simple computational memory model that assumes the same memory representation for those disparate tasks. PMID:19210052

  3. Memory accuracy predicts hippocampal mTOR pathway activation following retrieval of contextual fear memory.

    PubMed

    Gafford, Georgette M; Parsons, Ryan G; Helmstetter, Fred J

    2013-09-01

    Prior work suggests that hippocampus-dependent memory undergoes a systems consolidation process such that recent memories are stored in the hippocampus, while older memories are independent of the hippocampus and instead dependent on cortical areas. One problem with interpreting these studies is that memory for the contextual stimuli weakens as time passes between the training event and testing and older memories are often less detailed, making it difficult to determine if memory storage in the hippocampus is related to the age or to the accuracy of the memory. Activity of the mammalian target of rapamycin (mTOR) signaling pathway is known to be important for controlling protein translation necessary for both memory consolidation after initial learning and for the reconsolidation of memory after retrieval. We tested whether p70s6 kinase (p70s6K), a key component of the mTOR signaling pathway, is activated following retrieval of context fear memory in the dorsal hippocampus (DH) and anterior cingulate cortex (ACC) at 1, 10, or 36 days after context fear conditioning. We also tested whether strengthening memory for the contextual stimuli changed p70s6K phosphorylation in these structures 36 days after training. We show that under standard training conditions retrieval of a recently formed memory is initially precise and involves the DH. Over time it loses detail, becomes independent of the DH and depends on the ACC. In a subsequent experiment, we preserved the accuracy of older memories through pre-exposure to the training context. We show that remote memory still involved the DH in animals given pre-exposure. These data support the notion that detailed memories depend on the DH regardless of their age. Copyright © 2013 Wiley Periodicals, Inc.

  4. Long-term memory for the terrorist attack of September 11: Flashbulb memories, event memories, and the factors that influence their retention

    PubMed Central

    Hirst, William; Phelps, Elizabeth A.; Buckner, Randy L.; Budson, Andrew E.; Cuc, Alexandru; Gabrieli, John D. E.; Johnson, Marcia K.; Lyle, Keith B.; Lustig, Cindy; Mather, Mara; Meksin, Robert; Mitchell, Karen J.; Ochsner, Kevin N.; Schacter, Daniel L.; Simons, Jon S.; Vaidya, Chandan J.

    2010-01-01

    More than 3,000 individuals from seven US cities reported on their memories of learning of the terrorist attacks of September 11, as well as details about the attack, one week, 11 months, and/or 35 months after the assault. Some studies of flashbulb memories examining long-term retention show slowing in the rate of forgetting after a year, whereas others demonstrate accelerated forgetting. The present paper indicates that (1) the rate of forgetting for flashbulb memories and event memory (memory for details about the event itself) slows after a year, (2) the strong emotional reactions elicited by flashbulb events are remembered poorly, worse than non-emotional features such as where and from whom one learned of the attack, and (3) the content of flashbulb and event memories stabilizes after a year. The results are discussed in terms of community memory practices. PMID:19397377

  5. Age, memory type, and the phenomenology of autobiographical memory: findings from an Italian sample.

    PubMed

    Montebarocci, Ornella; Luchetti, Martina; Sutin, Angelina R

    2014-01-01

    The present research explored differences in phenomenology between two types of memories, a general self-defining memory and an earliest childhood memory. A sample of 76 Italian participants were selected and categorised into two age groups: 20-30 years and 31-40 years. The Memory Experiences Questionnaire (MEQ) was administered, taking note of latency and duration times of the narratives. Consistent with the literature, the self-defining memory differed significantly from the earliest childhood memory in terms of phenomenology, with the recency of the memory associated with more intense phenomenological experience. The self-defining memory took longer to retrieve and narrate than the earliest childhood memory. Meaningful differences also emerged between the two age groups: Participants in their 30s rated their self-defining memory as more vivid, coherent, and accessible than participants in their 20s. According to latency findings, these differences suggest an expanded period of identity consolidation for younger adults. Further applications of the MEQ should be carried out to replicate these results with other samples of young adults.

  6. Active counter electrode in a-SiC electrochemical metallization memory

    NASA Astrophysics Data System (ADS)

    Morgan, K. A.; Fan, J.; Huang, R.; Zhong, L.; Gowers, R.; Ou, J. Y.; Jiang, L.; De Groot, C. H.

    2017-08-01

    Cu/amorphous-SiC (a-SiC) electrochemical metallization memory cells have been fabricated with two different counter electrode (CE) materials, W and Au, in order to investigate the role of CEs in a non-oxide semiconductor switching matrix. In a positive bipolar regime with Cu filaments forming and rupturing, the CE influences the OFF state resistance and minimum current compliance. Nevertheless, a similarity in SET kinetics is seen for both CEs, which differs from previously published SiO2 memories, confirming that CE effects are dependent on the switching layer material or type. Both a-SiC memories are able to switch in the negative bipolar regime, indicating Au and W filaments. This confirms that CEs can play an active role in a non-oxide semiconducting switching matrix, such as a-SiC. By comparing both Au and W CEs, this work shows that W is superior in terms of a higher R OFF/R ON ratio, along with the ability to switch at lower current compliances making it a favourable material for future low energy applications. With its CMOS compatibility, a-SiC/W is an excellent choice for future resistive memory applications.

  7. Single-Event Effect Performance of a Conductive-Bridge Memory EEPROM

    NASA Technical Reports Server (NTRS)

    Chen, Dakai; Wilcox, Edward; Berg, Melanie; Kim, Hak; Phan, Anthony; Figueiredo, Marco; Seidleck, Christina; LaBel, Kenneth

    2015-01-01

    We investigated the heavy ion single-event effect (SEE) susceptibility of the industry’s first stand-alone memory based on conductive-bridge memory (CBRAM) technology. The device is available as an electrically erasable programmable read-only memory (EEPROM). We found that single-event functional interrupt (SEFI) is the dominant SEE type for each operational mode (standby, dynamic read, and dynamic write/read). SEFIs occurred even while the device is statically biased in standby mode. Worst case SEFIs resulted in errors that filled the entire memory space. Power cycle did not always clear the errors. Thus the corrupted cells had to be reprogrammed in some cases. The device is also vulnerable to bit upsets during dynamic write/read tests, although the frequency of the upsets are relatively low. The linear energy transfer threshold for cell upset is between 10 and 20 megaelectron volts per square centimeter per milligram, with an upper limit cross section of 1.6 times 10(sup -11) square centimeters per bit (95 percent confidence level) at 10 megaelectronvolts per square centimeter per milligram. In standby mode, the CBRAM array appears invulnerable to bit upsets.

  8. Shape Memory Alloys and Their Applications in Power Generation and Refrigeration

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cui, Jun

    The shape memory effect is closely related to the reversible martensitic phase transformation, which is diffusionless and involves shear deformation. The recoverable transformation between the two phases with different crystalline symmetry results in reversible changes in physical properties such as electrical conductivity, magnetization, and elasticity. Accompanying the transformation is a change of entropy. Fascinating applications are developed based on these changes. In this paper, the history, fundamentals and technical challenges of both thermoelastic and ferromagnetic shape memory alloys are briefly reviewed; applications related to energy conversion such as power generation and refrigeration as well as recent developments will be discussed.

  9. Shape Memory Alloys and their Applications in Power Generation and Refrigeration

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cui, Jun

    The shape memory effect is closely related to the reversible martensitic phase transformation, which is diffusionless and involves shear deformation. The recoverable transformation between the two phases with different crystalline symmetry results in reversible changes in physical properties such as electrical conductivity, magnetization, and elasticity. Accompanying the transformation is a change of entropy. Fascinating applications are developed based on these changes. In this paper, the history, fundamentals and technical challenges of both thermoelastic and ferromagnetic shape memory alloys are briefly reviewed; applications related to energy conversion such as power generation and refrigeration as well as recent developments will be discussed.

  10. Autobiographical memory functioning among abused, neglected, and nonmaltreated children: The overgeneral memory effect

    PubMed Central

    Valentino, Kristin; Toth, Sheree L.; Cicchetti, Dante

    2012-01-01

    Background This investigation addresses whether there are differences in the form and content of autobiographical memory recall as a function of maltreatment, and examines the roles of self-system functioning and psychopathology in autobiographical memory processes. Methods Autobiographical memory for positive and negative nontraumatic events was evaluated among abused, neglected, and nonmaltreated school-aged children. Results Abused children’s memories were more overgeneral and contained more negative self-representations than did those of the nonmaltreated children. Negative self-representations and depression were significantly related to overgeneral memory, but did not mediate the relation between abuse and overgeneral memory. Conclusions The meaning of these findings for models of memory and for the development of overgenerality is emphasized. Moreover, the clinical implications of the current research are discussed. PMID:19490313

  11. Working memory training may increase working memory capacity but not fluid intelligence.

    PubMed

    Harrison, Tyler L; Shipstead, Zach; Hicks, Kenny L; Hambrick, David Z; Redick, Thomas S; Engle, Randall W

    2013-12-01

    Working memory is a critical element of complex cognition, particularly under conditions of distraction and interference. Measures of working memory capacity correlate positively with many measures of real-world cognition, including fluid intelligence. There have been numerous attempts to use training procedures to increase working memory capacity and thereby performance on the real-world tasks that rely on working memory capacity. In the study reported here, we demonstrated that training on complex working memory span tasks leads to improvement on similar tasks with different materials but that such training does not generalize to measures of fluid intelligence.

  12. Locating Temporal Functional Dynamics of Visual Short-Term Memory Binding using Graph Modular Dirichlet Energy

    NASA Astrophysics Data System (ADS)

    Smith, Keith; Ricaud, Benjamin; Shahid, Nauman; Rhodes, Stephen; Starr, John M.; Ibáñez, Augustin; Parra, Mario A.; Escudero, Javier; Vandergheynst, Pierre

    2017-02-01

    Visual short-term memory binding tasks are a promising early marker for Alzheimer’s disease (AD). To uncover functional deficits of AD in these tasks it is meaningful to first study unimpaired brain function. Electroencephalogram recordings were obtained from encoding and maintenance periods of tasks performed by healthy young volunteers. We probe the task’s transient physiological underpinnings by contrasting shape only (Shape) and shape-colour binding (Bind) conditions, displayed in the left and right sides of the screen, separately. Particularly, we introduce and implement a novel technique named Modular Dirichlet Energy (MDE) which allows robust and flexible analysis of the functional network with unprecedented temporal precision. We find that connectivity in the Bind condition is less integrated with the global network than in the Shape condition in occipital and frontal modules during the encoding period of the right screen condition. Using MDE we are able to discern driving effects in the occipital module between 100-140 ms, coinciding with the P100 visually evoked potential, followed by a driving effect in the frontal module between 140-180 ms, suggesting that the differences found constitute an information processing difference between these modules. This provides temporally precise information over a heterogeneous population in promising tasks for the detection of AD.

  13. Is random access memory random?

    NASA Technical Reports Server (NTRS)

    Denning, P. J.

    1986-01-01

    Most software is contructed on the assumption that the programs and data are stored in random access memory (RAM). Physical limitations on the relative speeds of processor and memory elements lead to a variety of memory organizations that match processor addressing rate with memory service rate. These include interleaved and cached memory. A very high fraction of a processor's address requests can be satified from the cache without reference to the main memory. The cache requests information from main memory in blocks that can be transferred at the full memory speed. Programmers who organize algorithms for locality can realize the highest performance from these computers.

  14. [A new assessment for episodic memory. Episodic memory test and caregiver's episodic memory test].

    PubMed

    Ojea Ortega, T; González Álvarez de Sotomayor, M M; Pérez González, O; Fernández Fernández, O

    2013-10-01

    The purpose of the episodic memory test and the caregiver's episodic memory test is to evaluate episodic memory according to its definition in a way that is feasible for families and achieves high degrees of sensitivity and specificity. We administered a test consisting of 10 questions about episodic events to 332 subjects, of whom 65 had Alzheimer's disease (AD), 115 had amnestic MCI (aMCI) and 152 showed no cognitive impairment according to Reisberg's global deterioration scale (GDS). We calculated the test's sensitivity and specificity to distinguish AD from episodic aMCI and from normal ageing. The area under the ROC curve for the diagnosis of aMCI was 0.94 and the best cut-off value was 20; for that value, sensitivity was 89% and specificity was 82%. For a diagnosis of AD, the area under the ROC curve was 0.99 and the best cut-off point was 17, with a sensitivity of 98% and a specificity of 91%. A subsequent study using similar methodology yielded similar results when the test was administered directly by the caregiver. The episodic memory test and the caregiver's episodic memory test are useful as brief screening tools for identifying patients with early-stage AD. It is suitable for use by primary care medical staff and in the home, since it can be administered by a caregiver. The test's limitations are that it must be administered by a reliable caregiver and the fact that it measures episodic memory only. Copyright © 2012 Sociedad Española de Neurología. Published by Elsevier Espana. All rights reserved.

  15. Working memory, short-term memory and reading proficiency in school-age children with cochlear implants.

    PubMed

    Bharadwaj, Sneha V; Maricle, Denise; Green, Laura; Allman, Tamby

    2015-10-01

    The objective of the study was to examine short-term memory and working memory through both visual and auditory tasks in school-age children with cochlear implants. The relationship between the performance on these cognitive skills and reading as well as language outcomes were examined in these children. Ten children between the ages of 7 and 11 years with early-onset bilateral severe-profound hearing loss participated in the study. Auditory and visual short-term memory, auditory and visual working memory subtests and verbal knowledge measures were assessed using the Woodcock Johnson III Tests of Cognitive Abilities, the Wechsler Intelligence Scale for Children-IV Integrated and the Kaufman Assessment Battery for Children II. Reading outcomes were assessed using the Woodcock Reading Mastery Test III. Performance on visual short-term memory and visual working memory measures in children with cochlear implants was within the average range when compared to the normative mean. However, auditory short-term memory and auditory working memory measures were below average when compared to the normative mean. Performance was also below average on all verbal knowledge measures. Regarding reading outcomes, children with cochlear implants scored below average for listening and passage comprehension tasks and these measures were positively correlated to visual short-term memory, visual working memory and auditory short-term memory. Performance on auditory working memory subtests was not related to reading or language outcomes. The children with cochlear implants in this study demonstrated better performance in visual (spatial) working memory and short-term memory skills than in auditory working memory and auditory short-term memory skills. Significant positive relationships were found between visual working memory and reading outcomes. The results of the study provide support for the idea that WM capacity is modality specific in children with hearing loss. Based on these

  16. Efficiency Enhancement in DC Pulsed Gas Discharge Memory Panel

    NASA Astrophysics Data System (ADS)

    Okamoto, Yukio

    1983-01-01

    Much improvement in the luminous efficiency of a dc pulsed gas discharge memory panel for color TV display was achieved by shortening the sustaining pulse duration. High energy electrons can thus be produced in the pulsed discharge with fast rise times. Calculated optimum value of E/P in a Xe gas discharge is 7-8 V/cm\\cdotTorr.

  17. About Sleep's Role in Memory

    PubMed Central

    2013-01-01

    Over more than a century of research has established the fact that sleep benefits the retention of memory. In this review we aim to comprehensively cover the field of “sleep and memory” research by providing a historical perspective on concepts and a discussion of more recent key findings. Whereas initial theories posed a passive role for sleep enhancing memories by protecting them from interfering stimuli, current theories highlight an active role for sleep in which memories undergo a process of system consolidation during sleep. Whereas older research concentrated on the role of rapid-eye-movement (REM) sleep, recent work has revealed the importance of slow-wave sleep (SWS) for memory consolidation and also enlightened some of the underlying electrophysiological, neurochemical, and genetic mechanisms, as well as developmental aspects in these processes. Specifically, newer findings characterize sleep as a brain state optimizing memory consolidation, in opposition to the waking brain being optimized for encoding of memories. Consolidation originates from reactivation of recently encoded neuronal memory representations, which occur during SWS and transform respective representations for integration into long-term memory. Ensuing REM sleep may stabilize transformed memories. While elaborated with respect to hippocampus-dependent memories, the concept of an active redistribution of memory representations from networks serving as temporary store into long-term stores might hold also for non-hippocampus-dependent memory, and even for nonneuronal, i.e., immunological memories, giving rise to the idea that the offline consolidation of memory during sleep represents a principle of long-term memory formation established in quite different physiological systems. PMID:23589831

  18. Working Memory Systems in the Rat.

    PubMed

    Bratch, Alexander; Kann, Spencer; Cain, Joshua A; Wu, Jie-En; Rivera-Reyes, Nilda; Dalecki, Stefan; Arman, Diana; Dunn, Austin; Cooper, Shiloh; Corbin, Hannah E; Doyle, Amanda R; Pizzo, Matthew J; Smith, Alexandra E; Crystal, Jonathon D

    2016-02-08

    A fundamental feature of memory in humans is the ability to simultaneously work with multiple types of information using independent memory systems. Working memory is conceptualized as two independent memory systems under executive control [1, 2]. Although there is a long history of using the term "working memory" to describe short-term memory in animals, it is not known whether multiple, independent memory systems exist in nonhumans. Here, we used two established short-term memory approaches to test the hypothesis that spatial and olfactory memory operate as independent working memory resources in the rat. In the olfactory memory task, rats chose a novel odor from a gradually incrementing set of old odors [3]. In the spatial memory task, rats searched for a depleting food source at multiple locations [4]. We presented rats with information to hold in memory in one domain (e.g., olfactory) while adding a memory load in the other domain (e.g., spatial). Control conditions equated the retention interval delay without adding a second memory load. In a further experiment, we used proactive interference [5-7] in the spatial domain to compromise spatial memory and evaluated the impact of adding an olfactory memory load. Olfactory and spatial memory are resistant to interference from the addition of a memory load in the other domain. Our data suggest that olfactory and spatial memory draw on independent working memory systems in the rat. Copyright © 2016 Elsevier Ltd. All rights reserved.

  19. Dreams are made of memories, but maybe not for memory.

    PubMed

    Blagrove, Mark; Ruby, Perrine; Eichenlaub, Jean-Baptiste

    2013-12-01

    Llewellyn's claim that rapid eye movement (REM) dream imagery may be related to the processes involved in memory consolidation during sleep is plausible. However, whereas there is voluntary and deliberate intention behind the construction of images in the ancient art of memory (AAOM) method, there is a lack of intentionality in producing dream images. The memory for dreams is also fragile, and dependent on encoding once awake.

  20. Children's episodic memory.

    PubMed

    Ghetti, Simona; Lee, Joshua

    2011-07-01

    Episodic memory develops during childhood and adolescence. This trajectory depends on several underlying processes. In this article, we first discuss the development of the basic binding processes (e.g., the processes by which elements are bound together to form a memory episode) and control processes (e.g., reasoning and metamemory processes) involved in episodic remembering. Then, we discuss the role of these processes in false-memory formation. In the subsequent sections, we examine the neural substrates of the development of episodic memory. Finally, we discuss atypical development of episodic memory. As we proceed through the article, we suggest potential avenues for future research. WIREs Cogni Sci 2011 2 365-373 DOI: 10.1002/wcs.114 For further resources related to this article, please visit the WIREs website. Copyright © 2010 John Wiley & Sons, Ltd.

  1. False memories for aggressive acts.

    PubMed

    Laney, Cara; Takarangi, Melanie K T

    2013-06-01

    Can people develop false memories for committing aggressive acts? How does this process compare to developing false memories for victimhood? In the current research we used a simple false feedback procedure to implant false memories for committing aggressive acts (causing a black eye or spreading malicious gossip) or for victimhood (receiving a black eye). We then compared these false memories to other subjects' true memories for equivalent events. False aggressive memories were all too easy to implant, particularly in the minds of individuals with a proclivity towards aggression. Once implanted, the false memories were indistinguishable from true memories for the same events, on several dimensions, including emotional content. Implications for aggression-related memory more generally as well as false confessions are discussed. Copyright © 2013 Elsevier B.V. All rights reserved.

  2. Memory engram storage and retrieval.

    PubMed

    Tonegawa, Susumu; Pignatelli, Michele; Roy, Dheeraj S; Ryan, Tomás J

    2015-12-01

    A great deal of experimental investment is directed towards questions regarding the mechanisms of memory storage. Such studies have traditionally been restricted to investigation of the anatomical structures, physiological processes, and molecular pathways necessary for the capacity of memory storage, and have avoided the question of how individual memories are stored in the brain. Memory engram technology allows the labeling and subsequent manipulation of components of specific memory engrams in particular brain regions, and it has been established that cell ensembles labeled by this method are both sufficient and necessary for memory recall. Recent research has employed this technology to probe fundamental questions of memory consolidation, differentiating between mechanisms of memory retrieval from the true neurobiology of memory storage. Copyright © 2015 The Authors. Published by Elsevier Ltd.. All rights reserved.

  3. Design of a memory-access controller with 3.71-times-enhanced energy efficiency for Internet-of-Things-oriented nonvolatile microcontroller unit

    NASA Astrophysics Data System (ADS)

    Natsui, Masanori; Hanyu, Takahiro

    2018-04-01

    In realizing a nonvolatile microcontroller unit (MCU) for sensor nodes in Internet-of-Things (IoT) applications, it is important to solve the data-transfer bottleneck between the central processing unit (CPU) and the nonvolatile memory constituting the MCU. As one circuit-oriented approach to solving this problem, we propose a memory access minimization technique for magnetoresistive-random-access-memory (MRAM)-embedded nonvolatile MCUs. In addition to multiplexing and prefetching of memory access, the proposed technique realizes efficient instruction fetch by eliminating redundant memory access while considering the code length of the instruction to be fetched and the transition of the memory address to be accessed. As a result, the performance of the MCU can be improved while relaxing the performance requirement for the embedded MRAM, and compact and low-power implementation can be performed as compared with the conventional cache-based one. Through the evaluation using a system consisting of a general purpose 32-bit CPU and embedded MRAM, it is demonstrated that the proposed technique increases the peak efficiency of the system up to 3.71 times, while a 2.29-fold area reduction is achieved compared with the cache-based one.

  4. Gender differences in episodic memory and visual working memory including the effects of age.

    PubMed

    Pauls, Franz; Petermann, Franz; Lepach, Anja Christina

    2013-01-01

    Analysing the relationship between gender and memory, and examining the effects of age on the overall memory-related functioning, are the ongoing goals of psychological research. The present study examined gender and age group differences in episodic memory with respect to the type of task. In addition, these subgroup differences were also analysed in visual working memory. A sample of 366 women and 330 men, aged between 16 and 69 years of age, participated in the current study. Results indicate that women outperformed men on auditory memory tasks, whereas male adolescents and older male adults showed higher level performances on visual episodic and visual working memory measures. However, the size of gender-linked effects varied somewhat across age groups. Furthermore, results partly support a declining performance on episodic memory and visual working memory measures with increasing age. Although age-related losses in episodic memory could not be explained by a decreasing verbal and visuospatial ability with age, women's advantage in auditory episodic memory could be explained by their advantage in verbal ability. Men's higher level visual episodic memory performance was found to result from their advantage in visuospatial ability. Finally, possible methodological, biological, and cognitive explanations for the current findings are discussed.

  5. Everyday Memory and Working Memory in Adolescents with Mild Intellectual Disability

    ERIC Educational Resources Information Center

    Van der Molen, M. J.; Van Luit, J. E. H.; Van der Molen, Maurits W.; Jongmans, Marian J.

    2010-01-01

    Everyday memory and its relationship to working memory was investigated in adolescents with mild intellectual disability and compared to typically developing adolescents of the same age (CA) and younger children matched on mental age (MA). Results showed a delay on almost all memory measures for the adolescents with mild intellectual disability…

  6. How Does the Sparse Memory "Engram" Neurons Encode the Memory of a Spatial-Temporal Event?

    PubMed

    Guan, Ji-Song; Jiang, Jun; Xie, Hong; Liu, Kai-Yuan

    2016-01-01

    Episodic memory in human brain is not a fixed 2-D picture but a highly dynamic movie serial, integrating information at both the temporal and the spatial domains. Recent studies in neuroscience reveal that memory storage and recall are closely related to the activities in discrete memory engram (trace) neurons within the dentate gyrus region of hippocampus and the layer 2/3 of neocortex. More strikingly, optogenetic reactivation of those memory trace neurons is able to trigger the recall of naturally encoded memory. It is still unknown how the discrete memory traces encode and reactivate the memory. Considering a particular memory normally represents a natural event, which consists of information at both the temporal and spatial domains, it is unknown how the discrete trace neurons could reconstitute such enriched information in the brain. Furthermore, as the optogenetic-stimuli induced recall of memory did not depend on firing pattern of the memory traces, it is most likely that the spatial activation pattern, but not the temporal activation pattern of the discrete memory trace neurons encodes the memory in the brain. How does the neural circuit convert the activities in the spatial domain into the temporal domain to reconstitute memory of a natural event? By reviewing the literature, here we present how the memory engram (trace) neurons are selected and consolidated in the brain. Then, we will discuss the main challenges in the memory trace theory. In the end, we will provide a plausible model of memory trace cell network, underlying the conversion of neural activities between the spatial domain and the temporal domain. We will also discuss on how the activation of sparse memory trace neurons might trigger the replay of neural activities in specific temporal patterns.

  7. No effect of odor-induced memory reactivation during REM sleep on declarative memory stability

    PubMed Central

    Cordi, Maren J.; Diekelmann, Susanne; Born, Jan; Rasch, Björn

    2014-01-01

    Memory reactivations in hippocampal brain areas are critically involved in memory consolidation processes during sleep. In particular, specific firing patterns of hippocampal place cells observed during learning are replayed during subsequent sleep and rest in rodents. In humans, experimentally inducing hippocampal memory reactivations during slow-wave sleep (but not during wakefulness) benefits consolidation and immediately stabilizes declarative memories against future interference. Importantly, spontaneous hippocampal replay activity can also be observed during rapid eye movement (REM) sleep and some authors have suggested that replay during REM sleep is related to processes of memory consolidation. However, the functional role of reactivations during REM sleep for memory stability is still unclear. Here, we reactivated memories during REM sleep and examined its consequences for the stability of declarative memories. After 3 h of early, slow-wave sleep (SWS) rich sleep, 16 healthy young adults learned a 2-D object location task in the presence of a contextual odor. During subsequent REM sleep, participants were either re-exposed to the odor or to an odorless vehicle, in a counterbalanced within subject design. Reactivation was followed by an interference learning task to probe memory stability after awakening. We show that odor-induced memory reactivation during REM sleep does not stabilize memories against future interference. We propose that the beneficial effect of reactivation during sleep on memory stability might be critically linked to processes characterizing SWS including, e.g., slow oscillatory activity, sleep spindles, or low cholinergic tone, which are required for a successful redistribution of memories from medial temporal lobe regions to neocortical long-term stores. PMID:25225474

  8. Nanoscale superconducting memory based on the kinetic inductance of asymmetric nanowire loops

    NASA Astrophysics Data System (ADS)

    Murphy, Andrew; Averin, Dmitri V.; Bezryadin, Alexey

    2017-06-01

    The demand for low-dissipation nanoscale memory devices is as strong as ever. As Moore’s law is staggering, and the demand for a low-power-consuming supercomputer is high, the goal of making information processing circuits out of superconductors is one of the central goals of modern technology and physics. So far, digital superconducting circuits could not demonstrate their immense potential. One important reason for this is that a dense superconducting memory technology is not yet available. Miniaturization of traditional superconducting quantum interference devices is difficult below a few micrometers because their operation relies on the geometric inductance of the superconducting loop. Magnetic memories do allow nanometer-scale miniaturization, but they are not purely superconducting (Baek et al 2014 Nat. Commun. 5 3888). Our approach is to make nanometer scale memory cells based on the kinetic inductance (and not geometric inductance) of superconducting nanowire loops, which have already shown many fascinating properties (Aprili 2006 Nat. Nanotechnol. 1 15; Hopkins et al 2005 Science 308 1762). This allows much smaller devices and naturally eliminates magnetic-field cross-talk. We demonstrate that the vorticity, i.e., the winding number of the order parameter, of a closed superconducting loop can be used for realizing a nanoscale nonvolatile memory device. We demonstrate how to alter the vorticity in a controlled fashion by applying calibrated current pulses. A reliable read-out of the memory is also demonstrated. We present arguments that such memory can be developed to operate without energy dissipation.

  9. Associative Memories for Supercomputers

    DTIC Science & Technology

    1992-12-01

    the Si/PLZT technology. Finally, the associative memory system design is presented. 14. SUBJECT TERMS IS NUMBER OF PAGES 60 Memory, Associative Memory...Hybrid lens design ...................................................................... 3 3. ASSOCIATIVE MEMORY STUDY...of California, san Diego 1. OBJECTIVES Our objective during the funding period, July 14 1989 to January 13 1991, was to design and study the

  10. Sparse distributed memory overview

    NASA Technical Reports Server (NTRS)

    Raugh, Mike

    1990-01-01

    The Sparse Distributed Memory (SDM) project is investigating the theory and applications of massively parallel computing architecture, called sparse distributed memory, that will support the storage and retrieval of sensory and motor patterns characteristic of autonomous systems. The immediate objectives of the project are centered in studies of the memory itself and in the use of the memory to solve problems in speech, vision, and robotics. Investigation of methods for encoding sensory data is an important part of the research. Examples of NASA missions that may benefit from this work are Space Station, planetary rovers, and solar exploration. Sparse distributed memory offers promising technology for systems that must learn through experience and be capable of adapting to new circumstances, and for operating any large complex system requiring automatic monitoring and control. Sparse distributed memory is a massively parallel architecture motivated by efforts to understand how the human brain works. Sparse distributed memory is an associative memory, able to retrieve information from cues that only partially match patterns stored in the memory. It is able to store long temporal sequences derived from the behavior of a complex system, such as progressive records of the system's sensory data and correlated records of the system's motor controls.

  11. Generation and context memory.

    PubMed

    Mulligan, Neil W; Lozito, Jeffrey P; Rosner, Zachary A

    2006-07-01

    Generation enhances memory for occurrence but may not enhance other aspects of memory. The present study further delineates the negative generation effect in context memory reported in N. W. Mulligan (2004). First, the negative generation effect occurred for perceptual attributes of the target item (its color and font) but not for extratarget aspects of context (location and background color). Second, nonvisual generation tasks with either semantic or nonsemantic generation rules (antonym and rhyme generation, respectively) produced the same pattern of results. In contrast, a visual (or data-driven) generation task (letter transposition) did not disrupt context memory for color. Third, generating nonwords produced no effect on item memory but persisted in producing a negative effect on context memory for target attributes, implying that (a) the negative generation effect in context memory is not mediated by semantic encoding, and (b) the negative effect on context memory can be dissociated from the positive effect on item memory. The results are interpreted in terms of the processing account of generation. The original, perceptual-conceptual version of this account is too narrow, but a modified processing account, based on a more generic visual versus nonvisual processing distinction, accommodates the results. Copyright 2006 APA, all rights reserved.

  12. Hypnosis, memory and amnesia.

    PubMed Central

    Kihlstrom, J F

    1997-01-01

    Hypnotized subjects respond to suggestions from the hypnotist for imaginative experiences involving alterations in perception and memory. Individual differences in hypnotizability are only weakly related to other forms of suggestibility. Neuropsychological speculations about hypnosis focus on the right hemisphere and/or the frontal lobes. Posthypnotic amnesia refers to subjects' difficulty in remembering, after hypnosis, the events and experiences that transpired while they were hypnotized. Posthypnotic amnesia is not an instance of state-dependent memory, but it does seem to involve a disruption of retrieval processes similar to the functional amnesias observed in clinical dissociative disorders. Implicit memory, however, is largely spared, and may underlie subjects' ability to recognize events that they cannot recall. Hypnotic hypermnesia refers to improved memory for past events. However, such improvements are illusory: hypermnesia suggestions increase false recollection, as well as subjects' confidence in both true and false memories. Hypnotic age regression can be subjectively compelling, but does not involve the ablation of adult memory, or the reinstatement of childlike modes of mental functioning, or the revivification of memory. The clinical and forensic use of hypermnesia and age regression to enhance memory in patients, victims and witnesses (e.g. recovered memory therapy for child sexual abuse) should be discouraged. PMID:9415925

  13. Memory Consolidation

    PubMed Central

    Squire, Larry R.; Genzel, Lisa; Wixted, John T.; Morris, Richard G.

    2015-01-01

    Conscious memory for a new experience is initially dependent on information stored in both the hippocampus and neocortex. Systems consolidation is the process by which the hippocampus guides the reorganization of the information stored in the neocortex such that it eventually becomes independent of the hippocampus. Early evidence for systems consolidation was provided by studies of retrograde amnesia, which found that damage to the hippocampus-impaired memories formed in the recent past, but typically spared memories formed in the more remote past. Systems consolidation has been found to occur for both episodic and semantic memories and for both spatial and nonspatial memories, although empirical inconsistencies and theoretical disagreements remain about these issues. Recent work has begun to characterize the neural mechanisms that underlie the dialogue between the hippocampus and neocortex (e.g., “neural replay,” which occurs during sharp wave ripple activity). New work has also identified variables, such as the amount of preexisting knowledge, that affect the rate of consolidation. The increasing use of molecular genetic tools (e.g., optogenetics) can be expected to further improve understanding of the neural mechanisms underlying consolidation. PMID:26238360

  14. Memory and Self–Neuroscientific Landscapes

    PubMed Central

    Markowitsch, Hans J.

    2013-01-01

    Relations between memory and the self are framed from a number of perspectives—developmental aspects, forms of memory, interrelations between memory and the brain, and interactions between the environment and memory. The self is seen as dividable into more rudimentary and more advanced aspects. Special emphasis is laid on memory systems and within them on episodic autobiographical memory which is seen as a pure human form of memory that is dependent on a proper ontogenetic development and shaped by the social environment, including culture. Self and episodic autobiographical memory are seen as interlocked in their development and later manifestation. Aside from content-based aspects of memory, time-based aspects are seen along two lines—the division between short-term and long-term memory and anterograde—future-oriented—and retrograde—past-oriented memory. The state dependency of episodic autobiographical is stressed and implications of it—for example, with respect to the occurrence of false memories and forensic aspects—are outlined. For the brain level, structural networks for encoding, consolidation, storage, and retrieval are discussed both by referring to patient data and to data obtained in normal participants with functional brain imaging methods. It is elaborated why descriptions from patients with functional or dissociative amnesia are particularly apt to demonstrate the facets in which memory, self, and personal temporality are interwoven. PMID:24967303

  15. Brain-behavior relationships in source memory: Effects of age and memory ability.

    PubMed

    Meusel, Liesel-Ann; Grady, Cheryl L; Ebert, Patricia E; Anderson, Nicole D

    2017-06-01

    There is considerable evidence for age-related decrements in source memory retrieval, but the literature on the neural correlates of these impairments is mixed. In this study, we used functional magnetic resonance imaging to examine source memory retrieval-related brain activity, and the monotonic relationship between retrieval-related brain activity and source memory accuracy, as a function of both healthy aging (younger vs older) and memory ability within the older adult group (Hi-Old vs Lo-Old). Participants studied lists of word pairs, half visually, half aurally; these were re-presented visually in a scanned test phase and participants indicated if the pair was 'seen' or 'heard' in the study phase. The Lo-Old, but not the Hi-Old, showed source memory performance decrements compared to the Young. During retrieval of source memories, younger and older adults engaged lateral and medial prefrontal cortex (PFC) and medial posterior parietal (and occipital) cortices. The groups differed in how brain activity related to source memory accuracy in dorsal anterior cingulate cortex, precuneus/cuneus, and the inferior parietal cortex; in each of these areas, greater activity was associated with poorer accuracy in the Young, but with higher accuracy in the Hi-Old (anterior cingulate and precuneus/cuneus) and Lo-Old (inferior parietal lobe). Follow-up pairwise group interaction analyses revealed that greater activity in right parahippocampal gyrus was associated with better source memory in the Hi-Old, but not in the Lo-Old. We conclude that older adults recruit additional brain regions to compensate for age-related decline in source memory, but the specific regions involved differ depending on their episodic memory ability. Copyright © 2017 Elsevier Ltd. All rights reserved.

  16. Self-imagining enhances recognition memory in memory-impaired individuals with neurological damage.

    PubMed

    Grilli, Matthew D; Glisky, Elizabeth L

    2010-11-01

    The ability to imagine an elaborative event from a personal perspective relies on several cognitive processes that may potentially enhance subsequent memory for the event, including visual imagery, semantic elaboration, emotional processing, and self-referential processing. In an effort to find a novel strategy for enhancing memory in memory-impaired individuals with neurological damage, we investigated the mnemonic benefit of a method we refer to as self-imagining-the imagining of an event from a realistic, personal perspective. Fourteen individuals with neurologically based memory deficits and 14 healthy control participants intentionally encoded neutral and emotional sentences under three instructions: structural-baseline processing, semantic processing, and self-imagining. Findings revealed a robust "self-imagination effect (SIE)," as self-imagination enhanced recognition memory relative to deep semantic elaboration in both memory-impaired individuals, F(1, 13) = 32.11, p < .001, η2 = .71; and healthy controls, F(1, 13) = 5.57, p < .05, η2 = .30. In addition, results indicated that mnemonic benefits of self-imagination were not limited by severity of the memory disorder nor were they related to self-reported vividness of visual imagery, semantic processing, or emotional content of the materials. The findings suggest that the SIE may depend on unique mnemonic mechanisms possibly related to self-referential processing and that imagining an event from a personal perspective makes that event particularly memorable even for those individuals with severe memory deficits. Self-imagining may thus provide an effective rehabilitation strategy for individuals with memory impairment.

  17. Neural bases of orthographic long-term memory and working memory in dysgraphia

    PubMed Central

    Purcell, Jeremy; Hillis, Argye E.; Capasso, Rita; Miceli, Gabriele

    2016-01-01

    Spelling a word involves the retrieval of information about the word’s letters and their order from long-term memory as well as the maintenance and processing of this information by working memory in preparation for serial production by the motor system. While it is known that brain lesions may selectively affect orthographic long-term memory and working memory processes, relatively little is known about the neurotopographic distribution of the substrates that support these cognitive processes, or the lesions that give rise to the distinct forms of dysgraphia that affect these cognitive processes. To examine these issues, this study uses a voxel-based mapping approach to analyse the lesion distribution of 27 individuals with dysgraphia subsequent to stroke, who were identified on the basis of their behavioural profiles alone, as suffering from deficits only affecting either orthographic long-term or working memory, as well as six other individuals with deficits affecting both sets of processes. The findings provide, for the first time, clear evidence of substrates that selectively support orthographic long-term and working memory processes, with orthographic long-term memory deficits centred in either the left posterior inferior frontal region or left ventral temporal cortex, and orthographic working memory deficits primarily arising from lesions of the left parietal cortex centred on the intraparietal sulcus. These findings also contribute to our understanding of the relationship between the neural instantiation of written language processes and spoken language, working memory and other cognitive skills. PMID:26685156

  18. The effect of rehearsal rate and memory load on verbal working memory.

    PubMed

    Fegen, David; Buchsbaum, Bradley R; D'Esposito, Mark

    2015-01-15

    While many neuroimaging studies have investigated verbal working memory (WM) by manipulating memory load, the subvocal rehearsal rate at these various memory loads has generally been left uncontrolled. Therefore, the goal of this study was to investigate how mnemonic load and the rate of subvocal rehearsal modulate patterns of activity in the core neural circuits underlying verbal working memory. Using fMRI in healthy subjects, we orthogonally manipulated subvocal rehearsal rate and memory load in a verbal WM task with long 45-s delay periods. We found that middle frontal gyrus (MFG) and superior parietal lobule (SPL) exhibited memory load effects primarily early in the delay period and did not exhibit rehearsal rate effects. In contrast, we found that inferior frontal gyrus (IFG), premotor cortex (PM) and Sylvian-parietal-temporal region (area Spt) exhibited approximately linear memory load and rehearsal rate effects, with rehearsal rate effects lasting through the entire delay period. These results indicate that IFG, PM and area Spt comprise the core articulatory rehearsal areas involved in verbal WM, while MFG and SPL are recruited in a general supervisory role once a memory load threshold in the core rehearsal network has been exceeded. Copyright © 2014 Elsevier Inc. All rights reserved.

  19. Temporal Clustering and Sequencing in Short-Term Memory and Episodic Memory

    ERIC Educational Resources Information Center

    Farrell, Simon

    2012-01-01

    A model of short-term memory and episodic memory is presented, with the core assumptions that (a) people parse their continuous experience into episodic clusters and (b) items are clustered together in memory as episodes by binding information within an episode to a common temporal context. Along with the additional assumption that information…

  20. Associative Memory Acceptors.

    ERIC Educational Resources Information Center

    Card, Roger

    The properties of an associative memory are examined in this paper from the viewpoint of automata theory. A device called an associative memory acceptor is studied under real-time operation. The family "L" of languages accepted by real-time associative memory acceptors is shown to properly contain the family of languages accepted by one-tape,…

  1. Emotion strengthens high priority memory traces but weakens low priority memory traces

    PubMed Central

    Sakaki, Michiko; Fryer, Kellie; Mather, Mara

    2014-01-01

    When encountering emotional events, memory for those events is typically enhanced. But it has been unclear how emotionally arousing events influence memory for preceding information. Does emotional arousal induce retrograde amnesia or retrograde enhancement? The current study revealed that this depends on the top-down goal relevance of the preceding information. Across three studies, we found that emotional arousal induced by one image facilitated memory for the preceding neutral item when people prioritized that neutral item. In contrast, an emotional image impaired memory for the preceding neutral item when people did not prioritize that neutral item. Emotional arousal elicited by negative and positive pictures both showed this pattern of enhancing or impairing memory for the preceding stimulus depending on its priority. These results indicate that emotional arousal amplifies the effects of top-down priority in memory formation. PMID:24311478

  2. Emotion strengthens high-priority memory traces but weakens low-priority memory traces.

    PubMed

    Sakaki, Michiko; Fryer, Kellie; Mather, Mara

    2014-02-01

    When people encounter emotional events, their memory for those events is typically enhanced. But it has been unclear how emotionally arousing events influence memory for preceding information. Does emotional arousal induce retrograde amnesia or retrograde enhancement? The current study revealed that this depends on the top-down goal relevance of the preceding information. Across three studies, we found that emotional arousal induced by one image facilitated memory for the preceding neutral item when people prioritized that neutral item. In contrast, an emotionally arousing image impaired memory for the preceding neutral item when people did not prioritize that neutral item. Emotional arousal elicited by both negative and positive pictures showed this pattern of enhancing or impairing memory for the preceding stimulus depending on its priority. These results indicate that emotional arousal amplifies the effects of top-down priority in memory formation.

  3. Alpha-band rhythm suppression during memory recall reflecting memory performance.

    PubMed

    Yokosawa, Koichi; Kimura, Keisuke; Chitose, Ryota; Momiki, Takuya; Kuriki, Shinya

    2016-08-01

    Alpha-band rhythm is thought to be involved in memory processes, similarly to other spontaneous brain rhythms. Ten right-handed healthy volunteers participated in our proposed sequential short-term memory task that provides a serial position effect in accuracy rate. We recorded alpha-band rhythms by magnetoencephalography during performance of the task and observed that the amplitude of the rhythm was suppressed dramatically in the memory recall period. The suppressed region was estimated to be in the occipital lobe, suggesting that alpha-band rhythm is suppressed by activation of the occipital attentional network. Additionally, the alpha-band suppression reflected accuracy rate, that is, the amplitude was suppressed more when recalling items with higher accuracy rate. The sensors with a significant correlation between alpha-band amplitude and accuracy rate were located widely from the frontal to occipital regions mainly in the right hemisphere. The results suggests that alpha-band rhythm is involved in memory recall and can be index of memory performance.

  4. Detrimental effect of interfacial Dzyaloshinskii-Moriya interaction on perpendicular spin-transfer-torque magnetic random access memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jang, Peong-Hwa; Lee, Seo-Won, E-mail: swlee-sci@korea.ac.kr, E-mail: kj-lee@korea.ac.kr; Song, Kyungmi

    2015-11-16

    Interfacial Dzyaloshinskii-Moriya interaction in ferromagnet/heavy metal bilayers is recently of considerable interest as it offers an efficient control of domain walls and the stabilization of magnetic skyrmions. However, its effect on the performance of perpendicular spin transfer torque memory has not been explored yet. We show based on numerical studies that the interfacial Dzyaloshinskii-Moriya interaction decreases the thermal energy barrier while increases the switching current. As high thermal energy barrier as well as low switching current is required for the commercialization of spin torque memory, our results suggest that the interfacial Dzyaloshinskii-Moriya interaction should be minimized for spin torque memorymore » applications.« less

  5. Overview of emerging nonvolatile memory technologies

    PubMed Central

    2014-01-01

    Nonvolatile memory technologies in Si-based electronics date back to the 1990s. Ferroelectric field-effect transistor (FeFET) was one of the most promising devices replacing the conventional Flash memory facing physical scaling limitations at those times. A variant of charge storage memory referred to as Flash memory is widely used in consumer electronic products such as cell phones and music players while NAND Flash-based solid-state disks (SSDs) are increasingly displacing hard disk drives as the primary storage device in laptops, desktops, and even data centers. The integration limit of Flash memories is approaching, and many new types of memory to replace conventional Flash memories have been proposed. Emerging memory technologies promise new memories to store more data at less cost than the expensive-to-build silicon chips used by popular consumer gadgets including digital cameras, cell phones and portable music players. They are being investigated and lead to the future as potential alternatives to existing memories in future computing systems. Emerging nonvolatile memory technologies such as magnetic random-access memory (MRAM), spin-transfer torque random-access memory (STT-RAM), ferroelectric random-access memory (FeRAM), phase-change memory (PCM), and resistive random-access memory (RRAM) combine the speed of static random-access memory (SRAM), the density of dynamic random-access memory (DRAM), and the nonvolatility of Flash memory and so become very attractive as another possibility for future memory hierarchies. Many other new classes of emerging memory technologies such as transparent and plastic, three-dimensional (3-D), and quantum dot memory technologies have also gained tremendous popularity in recent years. Subsequently, not an exaggeration to say that computer memory could soon earn the ultimate commercial validation for commercial scale-up and production the cheap plastic knockoff. Therefore, this review is devoted to the rapidly developing new

  6. Overview of emerging nonvolatile memory technologies.

    PubMed

    Meena, Jagan Singh; Sze, Simon Min; Chand, Umesh; Tseng, Tseung-Yuen

    2014-01-01

    Nonvolatile memory technologies in Si-based electronics date back to the 1990s. Ferroelectric field-effect transistor (FeFET) was one of the most promising devices replacing the conventional Flash memory facing physical scaling limitations at those times. A variant of charge storage memory referred to as Flash memory is widely used in consumer electronic products such as cell phones and music players while NAND Flash-based solid-state disks (SSDs) are increasingly displacing hard disk drives as the primary storage device in laptops, desktops, and even data centers. The integration limit of Flash memories is approaching, and many new types of memory to replace conventional Flash memories have been proposed. Emerging memory technologies promise new memories to store more data at less cost than the expensive-to-build silicon chips used by popular consumer gadgets including digital cameras, cell phones and portable music players. They are being investigated and lead to the future as potential alternatives to existing memories in future computing systems. Emerging nonvolatile memory technologies such as magnetic random-access memory (MRAM), spin-transfer torque random-access memory (STT-RAM), ferroelectric random-access memory (FeRAM), phase-change memory (PCM), and resistive random-access memory (RRAM) combine the speed of static random-access memory (SRAM), the density of dynamic random-access memory (DRAM), and the nonvolatility of Flash memory and so become very attractive as another possibility for future memory hierarchies. Many other new classes of emerging memory technologies such as transparent and plastic, three-dimensional (3-D), and quantum dot memory technologies have also gained tremendous popularity in recent years. Subsequently, not an exaggeration to say that computer memory could soon earn the ultimate commercial validation for commercial scale-up and production the cheap plastic knockoff. Therefore, this review is devoted to the rapidly developing new

  7. Thermal annealing and temperature dependences of memory effect in organic memory transistor

    NASA Astrophysics Data System (ADS)

    Ren, X. C.; Wang, S. M.; Leung, C. W.; Yan, F.; Chan, P. K. L.

    2011-07-01

    We investigate the annealing and thermal effects of organic non-volatile memory with floating silver nanoparticles by real-time transfer curve measurements. During annealing, the memory window shows shrinkage of 23% due to structural variation of the nanoparticles. However, by increasing the device operating temperature from 20 to 90 °C after annealing, the memory window demonstrates an enlargement up to 100%. The differences in the thermal responses are explained and confirmed by the co-existence of electron and hole traps. Our findings provide a better understanding of organic memory performances under various operating temperatures and validate their applications for temperature sensing or thermal memories.

  8. Synaptic Orb2A Bridges Memory Acquisition and Late Memory Consolidation in Drosophila

    PubMed Central

    Krüttner, Sebastian; Traunmüller, Lisa; Dag, Ugur; Jandrasits, Katharina; Stepien, Barbara; Iyer, Nirmala; Fradkin, Lee G.; Noordermeer, Jasprina N.; Mensh, Brett D.; Keleman, Krystyna

    2015-01-01

    Summary To adapt to an ever-changing environment, animals consolidate some, but not all, learning experiences to long-term memory. In mammals, long-term memory consolidation often involves neural pathway reactivation hours after memory acquisition. It is not known whether this delayed-reactivation schema is common across the animal kingdom or how information is stored during the delay period. Here, we show that, during courtship suppression learning, Drosophila exhibits delayed long-term memory consolidation. We also show that the same class of dopaminergic neurons engaged earlier in memory acquisition is also both necessary and sufficient for delayed long-term memory consolidation. Furthermore, we present evidence that, during learning, the translational regulator Orb2A tags specific synapses of mushroom body neurons for later consolidation. Consolidation involves the subsequent recruitment of Orb2B and the activity-dependent synthesis of CaMKII. Thus, our results provide evidence for the role of a neuromodulated, synapse-restricted molecule bridging memory acquisition and long-term memory consolidation in a learning animal. PMID:26095367

  9. Comparison of memory and meta-memory abilities of children with cochlear implant and normal hearing peers.

    PubMed

    Engel-Yeger, Batya; Durr, Doris H; Josman, Naomi

    2011-01-01

    This study aimed (1) to compare visual memory and meta-memory abilities, including the use of strategies as context, of children with cochlear implant (CI) and children with normal hearing; (2) to examine the concurrent and construct validity of 'The Contextual Memory Test for Children' (CMT-CH). Twenty children with CI and 20 children with normal hearing, aged 8-10 years, participated in this study. Memory abilities were measured by two subtests of the Children's Memory Scale (CMS) and by CMT-CH, which also measures meta-memory abilities. Children with CI scored significantly lower in both tests of memory and meta-memory and showed less efficient use of context to memorise. Significant positive correlations were found between CMS and CMT-CH memory tests in both groups. Visual memory and meta-memory abilities may be impaired in children with CI. Evaluation and intervention for children with CI should refer to their memory and meta-memory abilities in order to measure the outcomes of CIs, and enhance language development academic achievements. Although more studies on CMT-CH should be performed, the CMT-CH may be used for the evaluation of visual memory of children with CI.

  10. Networks of Memories

    DTIC Science & Technology

    2013-03-01

    2000). The construction of  autobiographical   memories in the self­memory system. Psychological Review, 107(2), 261­288. Dennis, S., & Chapman, A. (2010...AFRL-OSR-VA-TR-2013-0131 Networks of Memories Simon Dennis, Mikhail Belkin Ohio State University March 2013 Final...Back (Rev. 8/98) 1 Networks of  Memories FA9550­09­1­0614 Professor Jay Myung PI: Simon Dennis Ohio State University February 15, 2013 2 Introduction

  11. Generation-based memory synchronization in a multiprocessor system with weakly consistent memory accesses

    DOEpatents

    Ohmacht, Martin

    2017-08-15

    In a multiprocessor system, a central memory synchronization module coordinates memory synchronization requests responsive to memory access requests in flight, a generation counter, and a reclaim pointer. The central module communicates via point-to-point communication. The module includes a global OR reduce tree for each memory access requesting device, for detecting memory access requests in flight. An interface unit is implemented associated with each processor requesting synchronization. The interface unit includes multiple generation completion detectors. The generation count and reclaim pointer do not pass one another.

  12. Generation-based memory synchronization in a multiprocessor system with weakly consistent memory accesses

    DOEpatents

    Ohmacht, Martin

    2014-09-09

    In a multiprocessor system, a central memory synchronization module coordinates memory synchronization requests responsive to memory access requests in flight, a generation counter, and a reclaim pointer. The central module communicates via point-to-point communication. The module includes a global OR reduce tree for each memory access requesting device, for detecting memory access requests in flight. An interface unit is implemented associated with each processor requesting synchronization. The interface unit includes multiple generation completion detectors. The generation count and reclaim pointer do not pass one another.

  13. [Evaluation of memory in acquired brain injury: a comparison between the Wechsler Memory Scale and the Rivermead Behaviour Memory Test].

    PubMed

    Guinea-Hidalgo, A; Luna-Lario, P; Tirapu-Ustárroz, J

    Learning processes and memory are frequently compromised in acquired brain injury (ABI), while at the same time such involvement is often heterogeneous and a source of deficits in other cognitive capacities and significant functional limitations. A good neuropsychological evaluation of memory is designed to study not only the type, intensity and nature of the problems, but also the way they manifest in daily life. This study examines the correlation between a traditional memory test, the Wechsler Memory Scale-III (WMS-III), and a memory test that is considered to be functional, the Rivermead Behavioural Memory Test (RBMT), in a sample of 60 patients with ABI. All the correlations that were observed were moderate. Greater correlations were found among the verbal memory subtests than among the visual memory tests. An important number of subjects with below-normal scalar scores on the WMS-III correctly performed (either fully or partially) the corresponding test in the RBMT. The joint use of the WMS-III and RBMT in evaluation can provide a more comprehensive analysis of the memory deficits and their rehabilitation. The lower scores obtained in the WMS-III compared to those of the RBMT indicate greater sensitivity of the former. Nevertheless, further testing needs to be carried out in the future to compare the performance in the tests after the patients and those around them have subjectively assessed their functional limitations. This would make it possible to determine which of the two tests offers the best balance between sensitivity and specificity, as well as a higher predictive value.

  14. Discrete Fluctuations in Memory Erasure without Energy Cost

    NASA Astrophysics Data System (ADS)

    Croucher, Toshio; Bedkihal, Salil; Vaccaro, Joan A.

    2017-02-01

    According to Landauer's principle, erasing one bit of information incurs a minimum energy cost. Recently, Vaccaro and Barnett (VB) explored information erasure within the context of generalized Gibbs ensembles and demonstrated that for energy-degenerate spin reservoirs the cost of erasure can be solely in terms of a minimum amount of spin angular momentum and no energy. As opposed to the Landauer case, the cost of erasure in this case is associated with an intrinsically discrete degree of freedom. Here we study the discrete fluctuations in this cost and the probability of violation of the VB bound. We also obtain a Jarzynski-like equality for the VB erasure protocol. We find that the fluctuations below the VB bound are exponentially suppressed at a far greater rate and more tightly than for an equivalent Jarzynski expression for VB erasure. We expose a trade-off between the size of the fluctuations and the cost of erasure. We find that the discrete nature of the fluctuations is pronounced in the regime where reservoir spins are maximally polarized. We also state the first laws of thermodynamics corresponding to the conservation of spin angular momentum for this particular erasure protocol. Our work will be important for novel heat engines based on information erasure schemes that do not incur an energy cost.

  15. Verbal and non-verbal memory and hippocampal volumes in a memory clinic population.

    PubMed

    Bonner-Jackson, Aaron; Mahmoud, Shamseldeen; Miller, Justin; Banks, Sarah J

    2015-10-15

    Better characterization of the relationship between episodic memory and hippocampal volumes is crucial in early detection of neurodegenerative disease. We examined these relationships in a memory clinic population. Participants (n = 226) underwent structural magnetic resonance imaging and tests of verbal (Hopkins Verbal Learning Test-Revised, HVLT-R) and non-verbal (Brief Visuospatial Memory Test-Revised, BVMT-R) memory. Correlational analyses were performed, and analyses on clinical subgroups (i.e., amnestic Mild Cognitive Impairment, non-amnestic Mild Cognitive Impairment, probable Alzheimer's disease, intact memory) were conducted. Positive associations were identified between bilateral hippocampal volumes and both memory measures, and BVMT-R learning slope was more strongly positively associated with hippocampal volumes than HVLT-R learning slope. Amnestic Mild Cognitive Impairment (aMCI) participants showed specific positive associations between BVMT-R performance and hippocampal volumes bilaterally. Additionally, analyses of the aMCI group showed trend-level evidence of material-specific lateralization, such that retention of verbal information was positively associated with left hippocampal volume, whereas learning curve and retention of non-verbal information was positively associated with right hippocampal volume. Findings support the link between episodic memory and hippocampal volumes in a memory clinic population. Non-verbal memory measures also may have higher diagnostic value, particularly in individuals at elevated risk for Alzheimer's disease.

  16. Increased plasma d-lactic acid associated with impaired memory in rats.

    PubMed

    Hanstock, T L; Mallet, P E; Clayton, E H

    2010-12-02

    d-Lactic acidosis is associated with memory impairment in humans. Recent research indicates that d-lactic acid may inhibit the supply of energy from astrocytes to neurons involved with memory formation. However, little is known about the effects of increased hind-gut fermentation due to changes in diet on circulating lactic acid concentrations and memory. Thirty-six male Wistar rats were fed three dietary treatments: a commercial rat and mouse chow, a soluble carbohydrate based diet or a fermentable carbohydrate based diet. The parameters estimating memory were examined by employing the object recognition test. Physical parameters of fermentation including hind-gut and plasma lactic acid concentrations were examined after sacrifice, either 3 or 21h after feeding. Increased fermentation in the hind-gut of rats, indicated by lower caecum pH, was associated with increased plasma l-lactic acid (r=-0.41, p=0.020) and d-lactic acid (r=-0.33, p=0.087). Memory, being able to discriminate between a familiar and a novel object during the object recognition test, was reduced with increasing plasma d-lactic acid (r=-0.51, p=0.021). Memory impairment was associated with alterations in plasma d-lactic acid following the fermentation of carbohydrate in the hind-gut. Further work is still required to determine whether these effects are mediated centrally or via direct connections through the enteric nervous system. Copyright © 2010 Elsevier Inc. All rights reserved.

  17. False memory for face in short-term memory and neural activity in human amygdala.

    PubMed

    Iidaka, Tetsuya; Harada, Tokiko; Sadato, Norihiro

    2014-12-03

    Human memory is often inaccurate. Similar to words and figures, new faces are often recognized as seen or studied items in long- and short-term memory tests; however, the neural mechanisms underlying this false memory remain elusive. In a previous fMRI study using morphed faces and a standard false memory paradigm, we found that there was a U-shaped response curve of the amygdala to old, new, and lure items. This indicates that the amygdala is more active in response to items that are salient (hit and correct rejection) compared to items that are less salient (false alarm), in terms of memory retrieval. In the present fMRI study, we determined whether the false memory for faces occurs within the short-term memory range (a few seconds), and assessed which neural correlates are involved in veridical and illusory memories. Nineteen healthy participants were scanned by 3T MRI during a short-term memory task using morphed faces. The behavioral results indicated that the occurrence of false memories was within the short-term range. We found that the amygdala displayed a U-shaped response curve to memory items, similar to those observed in our previous study. These results suggest that the amygdala plays a common role in both long- and short-term false memory for faces. We made the following conclusions: First, the amygdala is involved in detecting the saliency of items, in addition to fear, and supports goal-oriented behavior by modulating memory. Second, amygdala activity and response time might be related with a subject's response criterion for similar faces. Copyright © 2014 Elsevier B.V. All rights reserved.

  18. Prospective memory: A comparative perspective

    PubMed Central

    Crystal, Jonathon D.; Wilson, A. George

    2014-01-01

    Prospective memory consists of forming a representation of a future action, temporarily storing that representation in memory, and retrieving it at a future time point. Here we review the recent development of animal models of prospective memory. We review experiments using rats that focus on the development of time-based and event-based prospective memory. Next, we review a number of prospective-memory approaches that have been used with a variety of non-human primates. Finally, we review selected approaches from the human literature on prospective memory to identify targets for development of animal models of prospective memory. PMID:25101562

  19. A Comprehensive Study on Energy Efficiency and Performance of Flash-based SSD

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Seon-Yeon; Kim, Youngjae; Urgaonkar, Bhuvan

    2011-01-01

    Use of flash memory as a storage medium is becoming popular in diverse computing environments. However, because of differences in interface, flash memory requires a hard-disk-emulation layer, called FTL (flash translation layer). Although the FTL enables flash memory storages to replace conventional hard disks, it induces significant computational and space overhead. Despite the low power consumption of flash memory, this overhead leads to significant power consumption in an overall storage system. In this paper, we analyze the characteristics of flash-based storage devices from the viewpoint of power consumption and energy efficiency by using various methodologies. First, we utilize simulation tomore » investigate the interior operation of flash-based storage of flash-based storages. Subsequently, we measure the performance and energy efficiency of commodity flash-based SSDs by using microbenchmarks to identify the block-device level characteristics and macrobenchmarks to reveal their filesystem level characteristics.« less

  20. Feature-based memory-driven attentional capture: visual working memory content affects visual attention.

    PubMed

    Olivers, Christian N L; Meijer, Frank; Theeuwes, Jan

    2006-10-01

    In 7 experiments, the authors explored whether visual attention (the ability to select relevant visual information) and visual working memory (the ability to retain relevant visual information) share the same content representations. The presence of singleton distractors interfered more strongly with a visual search task when it was accompanied by an additional memory task. Singleton distractors interfered even more when they were identical or related to the object held in memory, but only when it was difficult to verbalize the memory content. Furthermore, this content-specific interaction occurred for features that were relevant to the memory task but not for irrelevant features of the same object or for once-remembered objects that could be forgotten. Finally, memory-related distractors attracted more eye movements but did not result in longer fixations. The results demonstrate memory-driven attentional capture on the basis of content-specific representations. Copyright 2006 APA.

  1. Episodic memory and appetite regulation in humans.

    PubMed

    Brunstrom, Jeffrey M; Burn, Jeremy F; Sell, Nicola R; Collingwood, Jane M; Rogers, Peter J; Wilkinson, Laura L; Hinton, Elanor C; Maynard, Olivia M; Ferriday, Danielle

    2012-01-01

    Psychological and neurobiological evidence implicates hippocampal-dependent memory processes in the control of hunger and food intake. In humans, these have been revealed in the hyperphagia that is associated with amnesia. However, it remains unclear whether 'memory for recent eating' plays a significant role in neurologically intact humans. In this study we isolated the extent to which memory for a recently consumed meal influences hunger and fullness over a three-hour period. Before lunch, half of our volunteers were shown 300 ml of soup and half were shown 500 ml. Orthogonal to this, half consumed 300 ml and half consumed 500 ml. This process yielded four separate groups (25 volunteers in each). Independent manipulation of the 'actual' and 'perceived' soup portion was achieved using a computer-controlled peristaltic pump. This was designed to either refill or draw soup from a soup bowl in a covert manner. Immediately after lunch, self-reported hunger was influenced by the actual and not the perceived amount of soup consumed. However, two and three hours after meal termination this pattern was reversed - hunger was predicted by the perceived amount and not the actual amount. Participants who thought they had consumed the larger 500-ml portion reported significantly less hunger. This was also associated with an increase in the 'expected satiation' of the soup 24-hours later. For the first time, this manipulation exposes the independent and important contribution of memory processes to satiety. Opportunities exist to capitalise on this finding to reduce energy intake in humans.

  2. Episodic Memory and Appetite Regulation in Humans

    PubMed Central

    Brunstrom, Jeffrey M.; Burn, Jeremy F.; Sell, Nicola R.; Collingwood, Jane M.; Rogers, Peter J.; Wilkinson, Laura L.; Hinton, Elanor C.; Maynard, Olivia M.; Ferriday, Danielle

    2012-01-01

    Psychological and neurobiological evidence implicates hippocampal-dependent memory processes in the control of hunger and food intake. In humans, these have been revealed in the hyperphagia that is associated with amnesia. However, it remains unclear whether ‘memory for recent eating’ plays a significant role in neurologically intact humans. In this study we isolated the extent to which memory for a recently consumed meal influences hunger and fullness over a three-hour period. Before lunch, half of our volunteers were shown 300 ml of soup and half were shown 500 ml. Orthogonal to this, half consumed 300 ml and half consumed 500 ml. This process yielded four separate groups (25 volunteers in each). Independent manipulation of the ‘actual’ and ‘perceived’ soup portion was achieved using a computer-controlled peristaltic pump. This was designed to either refill or draw soup from a soup bowl in a covert manner. Immediately after lunch, self-reported hunger was influenced by the actual and not the perceived amount of soup consumed. However, two and three hours after meal termination this pattern was reversed - hunger was predicted by the perceived amount and not the actual amount. Participants who thought they had consumed the larger 500-ml portion reported significantly less hunger. This was also associated with an increase in the ‘expected satiation’ of the soup 24-hours later. For the first time, this manipulation exposes the independent and important contribution of memory processes to satiety. Opportunities exist to capitalise on this finding to reduce energy intake in humans. PMID:23227200

  3. Traces of Drosophila Memory

    PubMed Central

    Davis, Ronald L.

    2012-01-01

    Summary Studies using functional cellullar imaging of living flies have identified six memory traces that form in the olfactory nervous system after conditioning with odors. These traces occur in distinct nodes of the olfactory nervous system, form and disappear across different windows of time, and are detected in the imaged neurons as increased calcium influx or synaptic release in response to the conditioned odor. Three traces form at, or near acquisition and co-exist with short-term behavioral memory. One trace forms with a delay after learning and co-exists with intermediate-term behavioral memory. Two traces form many hours after acquisition and co-exist with long-term behavioral memory. The transient memory traces may support behavior across the time-windows of their existence. The experimental approaches for dissecting memory formation in the fly, ranging from the molecular to the systems, make it an ideal system for dissecting the logic by which the nervous system organizes and stores different temporal forms of memory. PMID:21482352

  4. A ten-year follow-up of a study of memory for the attack of September 11, 2001: Flashbulb memories and memories for flashbulb events.

    PubMed

    Hirst, William; Phelps, Elizabeth A; Meksin, Robert; Vaidya, Chandan J; Johnson, Marcia K; Mitchell, Karen J; Buckner, Randy L; Budson, Andrew E; Gabrieli, John D E; Lustig, Cindy; Mather, Mara; Ochsner, Kevin N; Schacter, Daniel; Simons, Jon S; Lyle, Keith B; Cuc, Alexandru F; Olsson, Andreas

    2015-06-01

    Within a week of the attack of September 11, 2001, a consortium of researchers from across the United States distributed a survey asking about the circumstances in which respondents learned of the attack (their flashbulb memories) and the facts about the attack itself (their event memories). Follow-up surveys were distributed 11, 25, and 119 months after the attack. The study, therefore, examines retention of flashbulb memories and event memories at a substantially longer retention interval than any previous study using a test-retest methodology, allowing for the study of such memories over the long term. There was rapid forgetting of both flashbulb and event memories within the first year, but the forgetting curves leveled off after that, not significantly changing even after a 10-year delay. Despite the initial rapid forgetting, confidence remained high throughout the 10-year period. Five putative factors affecting flashbulb memory consistency and event memory accuracy were examined: (a) attention to media, (b) the amount of discussion, (c) residency, (d) personal loss and/or inconvenience, and (e) emotional intensity. After 10 years, none of these factors predicted flashbulb memory consistency; media attention and ensuing conversation predicted event memory accuracy. Inconsistent flashbulb memories were more likely to be repeated rather than corrected over the 10-year period; inaccurate event memories, however, were more likely to be corrected. The findings suggest that even traumatic memories and those implicated in a community's collective identity may be inconsistent over time and these inconsistencies can persist without the corrective force of external influences. (c) 2015 APA, all rights reserved).

  5. Autobiographical memory and hyperassociativity in the dreaming brain: implications for memory consolidation in sleep

    PubMed Central

    Horton, Caroline L.; Malinowski, Josie E.

    2015-01-01

    In this paper we argue that autobiographical memory (AM) activity across sleep and wake can provide insight into the nature of dreaming, and vice versa. Activated memories within the sleeping brain reflect one’s personal life history (autobiography). They can appear in largely fragmentary forms and differ from conventional manifestations of episodic memory. Autobiographical memories in dreams can be sampled from non-REM as well as REM periods, which contain fewer episodic references and become more bizarre across the night. Salient fragmented memory features are activated in sleep and re-bound with fragments not necessarily emerging from the same memory, thus de-contextualizing those memories and manifesting as experiences that differ from waking conceptions. The constructive nature of autobiographical recall further encourages synthesis of these hyper-associated images into an episode via recalling and reporting dreams. We use a model of AM to account for the activation of memories in dreams as a reflection of sleep-dependent memory consolidation processes. We focus in particular on the hyperassociative nature of AM during sleep. PMID:26191010

  6. Autobiographical memory and hyperassociativity in the dreaming brain: implications for memory consolidation in sleep.

    PubMed

    Horton, Caroline L; Malinowski, Josie E

    2015-01-01

    In this paper we argue that autobiographical memory (AM) activity across sleep and wake can provide insight into the nature of dreaming, and vice versa. Activated memories within the sleeping brain reflect one's personal life history (autobiography). They can appear in largely fragmentary forms and differ from conventional manifestations of episodic memory. Autobiographical memories in dreams can be sampled from non-REM as well as REM periods, which contain fewer episodic references and become more bizarre across the night. Salient fragmented memory features are activated in sleep and re-bound with fragments not necessarily emerging from the same memory, thus de-contextualizing those memories and manifesting as experiences that differ from waking conceptions. The constructive nature of autobiographical recall further encourages synthesis of these hyper-associated images into an episode via recalling and reporting dreams. We use a model of AM to account for the activation of memories in dreams as a reflection of sleep-dependent memory consolidation processes. We focus in particular on the hyperassociative nature of AM during sleep.

  7. Thermodynamic framework for information in nanoscale systems with memory

    NASA Astrophysics Data System (ADS)

    Arias-Gonzalez, J. Ricardo

    2017-11-01

    Information is represented by linear strings of symbols with memory that carry errors as a result of their stochastic nature. Proofreading and edition are assumed to improve certainty although such processes may not be effective. Here, we develop a thermodynamic theory for material chains made up of nanoscopic subunits with symbolic meaning in the presence of memory. This framework is based on the characterization of single sequences of symbols constructed under a protocol and is used to derive the behavior of ensembles of sequences similarly constructed. We then analyze the role of proofreading and edition in the presence of memory finding conditions to make revision an effective process, namely, to decrease the entropy of the chain. Finally, we apply our formalism to DNA replication and RNA transcription finding that Watson and Crick hybridization energies with which nucleotides are branched to the template strand during the copying process are optimal to regulate the fidelity in proofreading. These results are important in applications of information theory to a variety of solid-state physical systems and other biomolecular processes.

  8. Thermodynamic framework for information in nanoscale systems with memory.

    PubMed

    Arias-Gonzalez, J Ricardo

    2017-11-28

    Information is represented by linear strings of symbols with memory that carry errors as a result of their stochastic nature. Proofreading and edition are assumed to improve certainty although such processes may not be effective. Here, we develop a thermodynamic theory for material chains made up of nanoscopic subunits with symbolic meaning in the presence of memory. This framework is based on the characterization of single sequences of symbols constructed under a protocol and is used to derive the behavior of ensembles of sequences similarly constructed. We then analyze the role of proofreading and edition in the presence of memory finding conditions to make revision an effective process, namely, to decrease the entropy of the chain. Finally, we apply our formalism to DNA replication and RNA transcription finding that Watson and Crick hybridization energies with which nucleotides are branched to the template strand during the copying process are optimal to regulate the fidelity in proofreading. These results are important in applications of information theory to a variety of solid-state physical systems and other biomolecular processes.

  9. Priming voluntary autobiographical memories: Implications for the organisation of autobiographical memory and voluntary recall processes.

    PubMed

    Mace, John H; Clevinger, Amanda M

    2013-01-01

    The goal of this study was to show that voluntary autobiographical memories could be primed by the prior activation of autobiographical memories. Three experiments demonstrated voluntary memory priming with three different approaches. In Experiment 1 primed participants were asked to recall memories from their elementary school years. In a subsequent memory task primed participants were asked to recall memories from any time period, and they produced significantly more memories from their elementary school years than unprimed participants. In Experiment 2 primed participants were asked to recall what they were doing when they had heard various news events occurring between 1998 and 2005. Subsequently these participants produced significantly more memories from this time period than unprimed participants. In Experiment 3 primed participants were asked to recall memories from their teenage years. Subsequently these participants were able to recall more memories from ages 13-15 than unprimed participants, where both had only 1 second to produce a memory. We argue that the results support the notion that episodic memories can activate one another and that some of them are organised according to lifetime periods. We further argue that the results have implications for the reminiscence bump and voluntary recall of the past.

  10. Visual long-term memory has the same limit on fidelity as visual working memory.

    PubMed

    Brady, Timothy F; Konkle, Talia; Gill, Jonathan; Oliva, Aude; Alvarez, George A

    2013-06-01

    Visual long-term memory can store thousands of objects with surprising visual detail, but just how detailed are these representations, and how can one quantify this fidelity? Using the property of color as a case study, we estimated the precision of visual information in long-term memory, and compared this with the precision of the same information in working memory. Observers were shown real-world objects in random colors and were asked to recall the colors after a delay. We quantified two parameters of performance: the variability of internal representations of color (fidelity) and the probability of forgetting an object's color altogether. Surprisingly, the fidelity of color information in long-term memory was comparable to the asymptotic precision of working memory. These results suggest that long-term memory and working memory may be constrained by a common limit, such as a bound on the fidelity required to retrieve a memory representation.

  11. Over-Distribution in Source Memory

    PubMed Central

    Brainerd, C. J.; Reyna, V. F.; Holliday, R. E.; Nakamura, K.

    2012-01-01

    Semantic false memories are confounded with a second type of error, over-distribution, in which items are attributed to contradictory episodic states. Over-distribution errors have proved to be more common than false memories when the two are disentangled. We investigated whether over-distribution is prevalent in another classic false memory paradigm: source monitoring. It is. Conventional false memory responses (source misattributions) were predominantly over-distribution errors, but unlike semantic false memory, over-distribution also accounted for more than half of true memory responses (correct source attributions). Experimental control of over-distribution was achieved via a series of manipulations that affected either recollection of contextual details or item memory (concreteness, frequency, list-order, number of presentation contexts, and individual differences in verbatim memory). A theoretical model was used to analyze the data (conjoint process dissociation) that predicts that predicts that (a) over-distribution is directly proportional to item memory but inversely proportional to recollection and (b) item memory is not a necessary precondition for recollection of contextual details. The results were consistent with both predictions. PMID:21942494

  12. Enhancing memory self-efficacy during menopause through a group memory strategies program.

    PubMed

    Unkenstein, Anne E; Bei, Bei; Bryant, Christina A

    2017-05-01

    Anxiety about memory during menopause can affect quality of life. We aimed to improve memory self-efficacy during menopause using a group memory strategies program. The program was run five times for a total of 32 peri- and postmenopausal women, age between 47 and 60 years, recruited from hospital menopause and gynecology clinics. The 4-week intervention consisted of weekly 2-hour sessions, and covered how memory works, memory changes related to ageing, health and lifestyle factors, and specific memory strategies. Memory contentment (CT), reported frequency of forgetting (FF), use of memory strategies, psychological distress, and attitude toward menopause were measured. A double-baseline design was applied, with outcomes measured on two baseline occasions (1-month prior [T1] and in the first session [T2]), immediately postintervention (T3), and 3-month postintervention (T4). To describe changes in each variable between time points paired sample t tests were conducted. Mixed-effects models comparing the means of random slopes from T2 to T3 with those from T1 to T2 were conducted for each variable to test for treatment effects. Examination of the naturalistic changes in outcome measures from T1 to T2 revealed no significant changes (all Ps > 0.05). CT, reported FF, and use of memory strategies improved significantly more from T2 to T3, than from T1 to T2 (all Ps < 0.05). Neither attitude toward menopause nor psychological distress improved significantly more postintervention than during the double-baseline (all Ps > 0.05). Improvements in reported CT and FF were maintained after 3 months. The use of group interventions to improve memory self-efficacy during menopause warrants continued evaluation.

  13. Memory rehabilitation for the working memory of patients with multiple sclerosis (MS).

    PubMed

    Mousavi, Shokoufeh; Zare, Hossein; Etemadifar, Masoud; Taher Neshatdoost, Hamid

    2018-05-01

    The main cognitive impairments in multiple sclerosis (MS) affect the working memory, processing speed, and performances that are in close interaction with one another. Cognitive problems in MS are influenced to a lesser degree by disease recovery medications or treatments,but cognitive rehabilitation is considered one of the promising methods for cure. There is evidence regarding the effectiveness of cognitive rehabilitation for MS patients in various stages of the disease. Since the impairment in working memory is one of the main MS deficits, a particular training that affects this cognitive domain can be of a great value. This study aims to determine the effectiveness of memory rehabilitation on the working memory performance of MS patients. Sixty MS patients with cognitive impairment and similar in terms of demographic characteristics, duration of disease, neurological problems, and mental health were randomly assigned to three groups: namely, experimental, placebo, and control. Patients' cognitive evaluation incorporated baseline assessments immediately post-intervention and 5 weeks post-intervention. The experimental group received a cognitive rehabilitation program in one-hour sessions on a weekly basis for 8 weeks. The placebo group received relaxation techniques on a weekly basis; the control group received no intervention. The results of this study showed that the cognitive rehabilitation program had a positive effect on the working memory performance of patients with MS in the experimental group. These results were achieved in immediate evaluation (post-test) and follow-up 5 weeks after intervention. There was no significant difference in working memory performance between the placebo group and the control group. According to the study, there is evidence for the effectiveness of a memory rehabilitation program for the working memory of patients with MS. Cognitive rehabilitation can improve working memory disorders and have a positive effect on the

  14. Fast neutron irradiation tests of flash memories used in space environment at the ISIS spallation neutron source

    NASA Astrophysics Data System (ADS)

    Andreani, C.; Senesi, R.; Paccagnella, A.; Bagatin, M.; Gerardin, S.; Cazzaniga, C.; Frost, C. D.; Picozza, P.; Gorini, G.; Mancini, R.; Sarno, M.

    2018-02-01

    This paper presents a neutron accelerated study of soft errors in advanced electronic devices used in space missions, i.e. Flash memories performed at the ChipIr and VESUVIO beam lines at the ISIS spallation neutron source. The two neutron beam lines are set up to mimic the space environment spectra and allow neutron irradiation tests on Flash memories in the neutron energy range above 10 MeV and up to 800 MeV. The ISIS neutron energy spectrum is similar to the one occurring in the atmospheric as well as in space and planetary environments, with intensity enhancements varying in the range 108- 10 9 and 106- 10 7 respectively. Such conditions are suitable for the characterization of the atmospheric, space and planetary neutron radiation environments, and are directly applicable for accelerated tests of electronic components as demonstrated here in benchmark measurements performed on flash memories.

  15. Deficits in episodic memory are related to uncontrolled eating in a sample of healthy adults.

    PubMed

    Martin, A A; Davidson, T L; McCrory, M A

    2018-05-01

    Despite a substantial amount of animal data linking deficits in memory inhibition to the development of overeating and obesity, few studies have investigated the relevance of memory inhibition to uncontrolled eating in humans. Further, although memory for recent eating has been implicated as an important contributor to satiety and energy intake, the possibility that variations in episodic memory relate to individual differences in food intake control has been largely neglected. To examine these relationships, we recruited ninety-three adult subjects to attend a single lab session where we assessed body composition, dietary intake, memory performance, and eating behaviors (Three Factor Eating Questionnaire). Episodic recall and memory inhibition were assessed using a well-established measure of memory interference (Retrieval Practice Paradigm). Hierarchical regression analyses indicated that memory inhibition was largely unrelated to participants' eating behaviors; however, episodic recall was reliably predicted by restrained vs. uncontrolled eating: recall was positively associated with strategic dieting (β = 2.45, p = 0.02), avoidance of fatty foods (β = 3.41, p = 0.004), and cognitive restraint (β = 1.55, p = 0.04). In contrast, recall was negatively associated with uncontrolled eating (β = -1.15, p = 0.03) and emotional eating (β = -2.46, p = 0.04). These findings suggest that episodic memory processing is related to uncontrolled eating in humans. The possibility that deficits in episodic memory may contribute to uncontrolled eating by disrupting memory for recent eating is discussed. Copyright © 2017 Elsevier Ltd. All rights reserved.

  16. Self-Imagining Enhances Recognition Memory in Memory-Impaired Individuals with Neurological Damage

    PubMed Central

    Grilli, Matthew D.; Glisky, Elizabeth L.

    2010-01-01

    Objective The ability to imagine an elaborative event from a personal perspective relies on a number of cognitive processes that may potentially enhance subsequent memory for the event, including visual imagery, semantic elaboration, emotional processing, and self-referential processing. In an effort to find a novel strategy for enhancing memory in memory-impaired individuals with neurological damage, the present study investigated the mnemonic benefit of a method we refer to as “self-imagining” – or the imagining of an event from a realistic, personal perspective. Method Fourteen individuals with neurologically-based memory deficits and fourteen healthy control participants intentionally encoded neutral and emotional sentences under three instructions: structural-baseline processing, semantic processing, and self-imagining. Results Findings revealed a robust “self-imagination effect” as self-imagination enhanced recognition memory relative to deep semantic elaboration in both memory-impaired individuals, F (1, 13) = 32.11, p < .001, η2 = .71, and healthy controls, F (1, 13) = 5.57, p < .05, η2 = .30. In addition, results indicated that mnemonic benefits of self-imagination were not limited by severity of the memory disorder nor were they related to self-reported vividness of visual imagery, semantic processing, or emotional content of the materials. Conclusions The findings suggest that the self-imagination effect may depend on unique mnemonic mechanisms possibly related to self-referential processing, and that imagining an event from a personal perspective makes that event particularly memorable even for those individuals with severe memory deficits. Self-imagining may thus provide an effective rehabilitation strategy for individuals with memory impairment. PMID:20873930

  17. Nobiletin improves emotional and novelty recognition memory but not spatial referential memory.

    PubMed

    Kang, Jiyun; Shin, Jung-Won; Kim, Yoo-Rim; Swanberg, Kelley M; Kim, Yooseung; Bae, Jae Ryong; Kim, Young Ki; Lee, Jinwon; Kim, Soo-Yeon; Sohn, Nak-Won; Maeng, Sungho

    2017-01-01

    How to maintain and enhance cognitive functions for both aged and young populations is a highly interesting subject. But candidate memory-enhancing reagents are tested almost exclusively on lesioned or aged animals. Also, there is insufficient information on the type of memory these reagents can improve. Working memory, located in the prefrontal cortex, manages short-term sensory information, but, by gaining significant relevance, this information is converted to long-term memory by hippocampal formation and/or amygdala, followed by tagging with space-time or emotional cues, respectively. Nobiletin is a product of citrus peel known for cognitive-enhancing effects in various pharmacological and neurodegenerative disease models, yet, it is not well studied in non-lesioned animals and the type of memory that nobiletin can improve remains unclear. In this study, 8-week-old male mice were tested using behavioral measurements for working, spatial referential, emotional and visual recognition memory after daily administration of nobiletin. While nobiletin did not induce any change of spontaneous activity in the open field test, freezing by fear conditioning and novel object recognition increased. However, the effectiveness of spatial navigation in the Y-maze and Morris water maze was not improved. These results mean that nobiletin can specifically improve memories of emotionally salient information associated with fear and novelty, but not of spatial information without emotional saliency. Accordingly, the use of nobiletin on normal subjects as a memory enhancer would be more effective on emotional types but may have limited value for the improvement of episodic memories.

  18. Interfering with theories of sleep and memory: sleep, declarative memory, and associative interference.

    PubMed

    Ellenbogen, Jeffrey M; Hulbert, Justin C; Stickgold, Robert; Dinges, David F; Thompson-Schill, Sharon L

    2006-07-11

    Mounting behavioral evidence in humans supports the claim that sleep leads to improvements in recently acquired, nondeclarative memories. Examples include motor-sequence learning; visual-discrimination learning; and perceptual learning of a synthetic language. In contrast, there are limited human data supporting a benefit of sleep for declarative (hippocampus-mediated) memory in humans (for review, see). This is particularly surprising given that animal models (e.g.,) and neuroimaging studies (e.g.,) predict that sleep facilitates hippocampus-based memory consolidation. We hypothesized that we could unmask the benefits of sleep by challenging the declarative memory system with competing information (interference). This is the first study to demonstrate that sleep protects declarative memories from subsequent associative interference, and it has important implications for understanding the neurobiology of memory consolidation.

  19. Concept of dynamic memory in economics

    NASA Astrophysics Data System (ADS)

    Tarasova, Valentina V.; Tarasov, Vasily E.

    2018-02-01

    In this paper we discuss a concept of dynamic memory and an application of fractional calculus to describe the dynamic memory. The concept of memory is considered from the standpoint of economic models in the framework of continuous time approach based on fractional calculus. We also describe some general restrictions that can be imposed on the structure and properties of dynamic memory. These restrictions include the following three principles: (a) the principle of fading memory; (b) the principle of memory homogeneity on time (the principle of non-aging memory); (c) the principle of memory reversibility (the principle of memory recovery). Examples of different memory functions are suggested by using the fractional calculus. To illustrate an application of the concept of dynamic memory in economics we consider a generalization of the Harrod-Domar model, where the power-law memory is taken into account.

  20. A multiplexed quantum memory.

    PubMed

    Lan, S-Y; Radnaev, A G; Collins, O A; Matsukevich, D N; Kennedy, T A; Kuzmich, A

    2009-08-03

    A quantum repeater is a system for long-distance quantum communication that employs quantum memory elements to mitigate optical fiber transmission losses. The multiplexed quantum memory (O. A. Collins, S. D. Jenkins, A. Kuzmich, and T. A. B. Kennedy, Phys. Rev. Lett. 98, 060502 (2007)) has been shown theoretically to reduce quantum memory time requirements. We present an initial implementation of a multiplexed quantum memory element in a cold rubidium gas. We show that it is possible to create atomic excitations in arbitrary memory element pairs and demonstrate the violation of Bell's inequality for light fields generated during the write and read processes.

  1. Quantum random access memory.

    PubMed

    Giovannetti, Vittorio; Lloyd, Seth; Maccone, Lorenzo

    2008-04-25

    A random access memory (RAM) uses n bits to randomly address N=2(n) distinct memory cells. A quantum random access memory (QRAM) uses n qubits to address any quantum superposition of N memory cells. We present an architecture that exponentially reduces the requirements for a memory call: O(logN) switches need be thrown instead of the N used in conventional (classical or quantum) RAM designs. This yields a more robust QRAM algorithm, as it in general requires entanglement among exponentially less gates, and leads to an exponential decrease in the power needed for addressing. A quantum optical implementation is presented.

  2. A mega-analysis of memory reports from eight peer-reviewed false memory implantation studies.

    PubMed

    Scoboria, Alan; Wade, Kimberley A; Lindsay, D Stephen; Azad, Tanjeem; Strange, Deryn; Ost, James; Hyman, Ira E

    2017-02-01

    Understanding that suggestive practices can promote false beliefs and false memories for childhood events is important in many settings (e.g., psychotherapeutic, medical, and legal). The generalisability of findings from memory implantation studies has been questioned due to variability in estimates across studies. Such variability is partly due to false memories having been operationalised differently across studies and to differences in memory induction techniques. We explored ways of defining false memory based on memory science and developed a reliable coding system that we applied to reports from eight published implantation studies (N = 423). Independent raters coded transcripts using seven criteria: accepting the suggestion, elaboration beyond the suggestion, imagery, coherence, emotion, memory statements, and not rejecting the suggestion. Using this scheme, 30.4% of cases were classified as false memories and another 23% were classified as having accepted the event to some degree. When the suggestion included self-relevant information, an imagination procedure, and was not accompanied by a photo depicting the event, the memory formation rate was 46.1%. Our research demonstrates a useful procedure for systematically combining data that are not amenable to meta-analysis, and provides the most valid estimate of false memory formation and associated moderating factors within the implantation literature to date.

  3. Deformation behavior of carbon-fiber reinforced shape-memory-polymer composites used for deployable structures (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Lan, Xin; Liu, Liwu; Li, Fengfeng; Pan, Chengtong; Liu, Yanju; Leng, Jinsong

    2017-04-01

    Shape memory polymers (SMPs) are a new type of smart material, they perform large reversible deformation with a certain external stimulus (e.g., heat and electricity). The properties (e.g., stiffness, strength and other mechanically static or quasi-static load-bearing capacity) are primarily considered for conventional resin-based composite materials which are mainly used for structural materials. By contrast, the mechanical actuating performance with finite deformation is considered for the shape memory polymers and their composites which can be used for both structural materials and functional materials. For shape memory polymers and their composites, the performance of active deformation is expected to further promote the development in smart active deformation structures, such as deployable space structures and morphing wing aircraft. The shape memory polymer composites (SMPCs) are also one type of High Strain Composite (HSC). The space deployable structures based on carbon fiber reinforced shape memory polymer composites (SMPCs) show great prospects. Considering the problems that SMPCs are difficult to meet the practical applications in space deployable structures in the recent ten years, this paper aims to research the mechanics of deformation, actuation and failure of SMPCs. In the overall view of the shape memory polymer material's nonlinearity (nonlinearity and stress softening in the process of pre-deformation and recovery, relaxation in storage process, irreversible deformation), by the multiple verifications among theory, finite element and experiments, one obtains the deformation and actuation mechanism for the process of "pre-deformation, energy storage and actuation" and its non-fracture constraint domain. Then, the parameters of SMPCs will be optimized. Theoretical analysis is realized by the strain energy function, additionally considering the interaction strain energy between the fiber and the matrix. For the common resin-based or soft

  4. SUBJECTIVE MEMORY IN OLDER AFRICAN AMERICANS

    PubMed Central

    Sims, Regina C.; Whitfield, Keith E.; Ayotte, Brian J.; Gamaldo, Alyssa A.; Edwards, Christopher L.; Allaire, Jason C.

    2013-01-01

    The current analysis examined (a) if measures of psychological well-being predict subjective memory, and (b) if subjective memory is consistent with actual memory. Five hundred seventy-nine older African Americans from the Baltimore Study of Black Aging completed measures assessing subjective memory, depressive symptomatology, perceived stress, locus of control, and verbal and working memory. Higher levels of perceived stress and greater externalized locus of control predicted poorer subjective memory, but subjective memory did not predict objective verbal or working memory. Results suggest that subjective memory is influenced by aspects of psychological well-being but is unrelated to objective memory in older African Americans. PMID:21424958

  5. Near-Field Thermal Radiation for Solar Thermophotovoltaics and High Temperature Thermal Logic and Memory Applications

    NASA Astrophysics Data System (ADS)

    Elzouka, Mahmoud

    This dissertation investigates Near-Field Thermal Radiation (NFTR) applied to MEMS-based concentrated solar thermophotovoltaics (STPV) energy conversion and thermal memory and logics. NFTR is the exchange of thermal radiation energy at nano/microscale; when separation between the hot and cold objects is less than dominant radiation wavelength (˜1 mum). NFTR is particularly of interest to the above applications due to its high rate of energy transfer, exceeding the blackbody limit by orders of magnitude, and its strong dependence on separation gap size, surface nano/microstructure and material properties. Concentrated STPV system converts solar radiation to electricity using heat as an intermediary through a thermally coupled absorber/emitter, which causes STPV to have one of the highest solar-to-electricity conversion efficiency limits (85.4%). Modeling of a near-field concentrated STPV microsystem is carried out to investigate the use of STPV based solid-state energy conversion as high power density MEMS power generator. Numerical results for In 0.18Ga0.82Sb PV cell illuminated with tungsten emitter showed significant enhancement in energy transfer, resulting in output power densities as high as 60 W/cm2; 30 times higher than the equivalent far-field power density. On thermal computing, this dissertation demonstrates near-field heat transfer enabled high temperature NanoThermoMechanical memory and logics. Unlike electronics, NanoThermoMechanical memory and logic devices use heat instead of electricity to record and process data; hence they can operate in harsh environments where electronics typically fail. NanoThermoMechanical devices achieve memory and thermal rectification functions through the coupling of near-field thermal radiation and thermal expansion in microstructures, resulting in nonlinear heat transfer between two temperature terminals. Numerical modeling of a conceptual NanoThermoMechanical is carried out; results include the dynamic response under

  6. Emotional memory in schizophrenia.

    PubMed

    Herbener, Ellen S

    2008-09-01

    Emotional memories play an important role in our day-to-day experience, informing many of our minute-to-minute decisions (eg, where to go for dinner, what are the likely consequences of not attending a meeting), as well as our long-term goal setting. Individuals with schizophrenia appear to be impaired in memory for emotional experiences, particularly over longer delay periods, which may contribute to deficits in goal-related behavior and symptoms of amotivation and anhedonia. This article reviews factors that are known to influence emotional memory in healthy subjects, applies these factors to results from emotional memory studies with individuals with schizophrenia, and then uses extant neurobiological models of emotional memory formation to develop hypotheses about biological processes that might particularly contribute to emotional memory impairment in schizophrenia.

  7. A decomposition approach to the design of a multiferroic memory bit

    NASA Astrophysics Data System (ADS)

    Acevedo, Ruben; Liang, Cheng-Yen; Carman, Gregory P.; Sepulveda, Abdon E.

    2017-06-01

    The objective of this paper is to present a methodology for the design of a memory bit to minimize the energy required to write data at the bit level. By straining a ferromagnetic nickel nano-dot by means of a piezoelectric substrate, its magnetization vector rotates between two stable states defined as a 1 and 0 for digital memory. The memory bit geometry, actuation mechanism and voltage control law were used as design variables. The approach used was to decompose the overall design process into simpler sub-problems whose structure can be exploited for a more efficient solution. This method minimizes the number of fully dynamic coupled finite element analyses required to converge to a near optimal design, thus decreasing the computational time for the design process. An in-plane sample design problem is presented to illustrate the advantages and flexibility of the procedure.

  8. No Evidence for Improved Associative Memory Performance Following Process-Based Associative Memory Training in Older Adults

    PubMed Central

    Bellander, Martin; Eschen, Anne; Lövdén, Martin; Martin, Mike; Bäckman, Lars; Brehmer, Yvonne

    2017-01-01

    Studies attempting to improve episodic memory performance with strategy instructions and training have had limited success in older adults: their training gains are limited in comparison to those of younger adults and do not generalize to untrained tasks and contexts. This limited success has been partly attributed to age-related impairments in associative binding of information into coherent episodes. We therefore investigated potential training and transfer effects of process-based associative memory training (i.e., repeated practice). Thirty-nine older adults (Mage = 68.8) underwent 6 weeks of either adaptive associative memory training or item recognition training. Both groups improved performance in item memory, spatial memory (object-context binding) and reasoning. A disproportionate effect of associative memory training was only observed for item memory, whereas no training-related performance changes were observed for associative memory. Self-reported strategies showed no signs of spontaneous development of memory-enhancing associative memory strategies. Hence, the results do not support the hypothesis that process-based associative memory training leads to higher associative memory performance in older adults. PMID:28119597

  9. No Evidence for Improved Associative Memory Performance Following Process-Based Associative Memory Training in Older Adults.

    PubMed

    Bellander, Martin; Eschen, Anne; Lövdén, Martin; Martin, Mike; Bäckman, Lars; Brehmer, Yvonne

    2016-01-01

    Studies attempting to improve episodic memory performance with strategy instructions and training have had limited success in older adults: their training gains are limited in comparison to those of younger adults and do not generalize to untrained tasks and contexts. This limited success has been partly attributed to age-related impairments in associative binding of information into coherent episodes. We therefore investigated potential training and transfer effects of process-based associative memory training (i.e., repeated practice). Thirty-nine older adults ( M age = 68.8) underwent 6 weeks of either adaptive associative memory training or item recognition training. Both groups improved performance in item memory, spatial memory (object-context binding) and reasoning. A disproportionate effect of associative memory training was only observed for item memory, whereas no training-related performance changes were observed for associative memory. Self-reported strategies showed no signs of spontaneous development of memory-enhancing associative memory strategies. Hence, the results do not support the hypothesis that process-based associative memory training leads to higher associative memory performance in older adults.

  10. Associative memory in aging: the effect of unitization on source memory.

    PubMed

    Bastin, Christine; Diana, Rachel A; Simon, Jessica; Collette, Fabienne; Yonelinas, Andrew P; Salmon, Eric

    2013-03-01

    In normal aging, memory for associations declines more than memory for individual items. Unitization is an encoding process defined by creation of a new single entity to represent a new arbitrary association. The current study tested the hypothesis that age-related differences in associative memory can be reduced by encoding instructions that promote unitization. In two experiments, groups of 20 young and 20 older participants learned new associations between a word and a background color under two conditions. In the item detail condition, they had to imagine that the item is the same color as the background-an instruction promoting unitization of the associations. In the context detail condition, which did not promote unitization, they had to imagine that the item interacted with another colored object. At test, they had to retrieve the color that was associated with each word (source memory). In both experiments, the results showed an age-related decrement in source memory performance in the context detail but not in the item detail condition. Moreover, Experiment 2 examined receiver operating characteristics in older participants and indicated that familiarity contributed more to source memory performance in the item detail than in the context detail condition. These findings suggest that unitization of new associations can overcome the associative memory deficit observed in aging, at least for item-color associations.

  11. Memory Reconsolidation.

    PubMed

    Haubrich, Josue; Nader, Karim

    2018-01-01

    Scientific advances in the last decades uncovered that memory is not a stable, fixed entity. Apparently stable memories may become transiently labile and susceptible to modifications when retrieved due to the process of reconsolidation. Here, we review the initial evidence and the logic on which reconsolidation theory is based, the wide range of conditions in which it has been reported and recent findings further revealing the fascinating nature of this process. Special focus is given to conceptual issues of when and why reconsolidation happen and its possible outcomes. Last, we discuss the potential clinical implications of memory modifications by reconsolidation.

  12. Effect of Sudarshan Kriya (meditation) on gamma, alpha, and theta rhythm during working memory task.

    PubMed

    Chandra, Sushil; Sharma, Greeshma; Mittal, Alok Prakash; Jha, Devendra

    2016-01-01

    The present study focuses on analyzing the effects of Sudarshan Kriya yoga (SKY) on brain signals during a working memory (WM) task. To envision the significant effects of SKY on WM capacity (WMC), we chose a control group for contriving a cogent comparison that could be corroborated using statistical tests. A total of 25 subjects were taken in the study, of which 10 were allotted to a control group and 15 to an experimental group. Electroencephalograph was taken during a WM task, which was an automated operation span test before and after SKY with 90 days intervals. No SKY was given to the control group. t-test and one-way ANOVA were applied. SKY promoted the efficient use of energy and power spectral density (PSD) for different brain rhythms in the desired locations as depicted by the gamma (F8 channel), alpha, and theta 2 (F7 and FC5) bands. It was found that gamma PSD reduced for both phases of memory in the experimental group. Alpha energy increased during the retrieval phase in the experimental group after SKY. Theta 1 rhythm was not affected by SKY, but theta 2 had shown left hemispheric activation. Theta rhythm was associated with memory consolidation. SKY had shown minimized energy losses while performing the task. SKY can improve WMC by changing the brain rhythms such that energy is utilized efficiently in performing the task.

  13. Testing Memories of Personally Experienced Events: The Testing Effect Seems Not to Persist in Autobiographical Memory

    PubMed Central

    Emmerdinger, Kathrin J.; Kuhbandner, Christof

    2018-01-01

    Numerous studies have shown that retrieving contents from memory in a test improves long-term retention for those contents, even when compared to restudying (i.e., the “testing effect”). The beneficial effect of retrieval practice has been demonstrated for many different types of memory representations; however, one particularly important memory system has not been addressed in previous testing effect research: autobiographical memory. The aim of the present study was to examine the effect of retrieving memories for personally experienced events on long-term memory for those events. In an initial elicitation session, participants described memories for personally experienced events in response to a variety of cue words. In a retrieval practice/restudy session the following day, they repeatedly practiced retrieval for half of their memories by recalling and writing down the previously described events; the other half of memories was restudied by rereading and copying the event descriptions. Long-term retention of all previously collected memories was assessed at two different retention intervals (2 weeks and 13 weeks). In the retrieval practice session, a hypermnesic effect emerged, with memory performance increasing across the practice cycles. Long-term memory performance significantly dropped from the 2-weeks to the 13-weeks retention interval, but no significant difference in memory performance was observed between previously repeatedly retrieved and previously repeatedly restudied memories. Thus, in autobiographical memory, retrieval practice seems to be no more beneficial for long-term retention than repeated re-exposure. PMID:29881365

  14. Testing Memories of Personally Experienced Events: The Testing Effect Seems Not to Persist in Autobiographical Memory.

    PubMed

    Emmerdinger, Kathrin J; Kuhbandner, Christof

    2018-01-01

    Numerous studies have shown that retrieving contents from memory in a test improves long-term retention for those contents, even when compared to restudying (i.e., the "testing effect"). The beneficial effect of retrieval practice has been demonstrated for many different types of memory representations; however, one particularly important memory system has not been addressed in previous testing effect research: autobiographical memory. The aim of the present study was to examine the effect of retrieving memories for personally experienced events on long-term memory for those events. In an initial elicitation session, participants described memories for personally experienced events in response to a variety of cue words. In a retrieval practice/restudy session the following day, they repeatedly practiced retrieval for half of their memories by recalling and writing down the previously described events; the other half of memories was restudied by rereading and copying the event descriptions. Long-term retention of all previously collected memories was assessed at two different retention intervals (2 weeks and 13 weeks). In the retrieval practice session, a hypermnesic effect emerged, with memory performance increasing across the practice cycles. Long-term memory performance significantly dropped from the 2-weeks to the 13-weeks retention interval, but no significant difference in memory performance was observed between previously repeatedly retrieved and previously repeatedly restudied memories. Thus, in autobiographical memory, retrieval practice seems to be no more beneficial for long-term retention than repeated re-exposure.

  15. Cache and energy efficient algorithms for Nussinov's RNA Folding.

    PubMed

    Zhao, Chunchun; Sahni, Sartaj

    2017-12-06

    An RNA folding/RNA secondary structure prediction algorithm determines the non-nested/pseudoknot-free structure by maximizing the number of complementary base pairs and minimizing the energy. Several implementations of Nussinov's classical RNA folding algorithm have been proposed. Our focus is to obtain run time and energy efficiency by reducing the number of cache misses. Three cache-efficient algorithms, ByRow, ByRowSegment and ByBox, for Nussinov's RNA folding are developed. Using a simple LRU cache model, we show that the Classical algorithm of Nussinov has the highest number of cache misses followed by the algorithms Transpose (Li et al.), ByRow, ByRowSegment, and ByBox (in this order). Extensive experiments conducted on four computational platforms-Xeon E5, AMD Athlon 64 X2, Intel I7 and PowerPC A2-using two programming languages-C and Java-show that our cache efficient algorithms are also efficient in terms of run time and energy. Our benchmarking shows that, depending on the computational platform and programming language, either ByRow or ByBox give best run time and energy performance. The C version of these algorithms reduce run time by as much as 97.2% and energy consumption by as much as 88.8% relative to Classical and by as much as 56.3% and 57.8% relative to Transpose. The Java versions reduce run time by as much as 98.3% relative to Classical and by as much as 75.2% relative to Transpose. Transpose achieves run time and energy efficiency at the expense of memory as it takes twice the memory required by Classical. The memory required by ByRow, ByRowSegment, and ByBox is the same as that of Classical. As a result, using the same amount of memory, the algorithms proposed by us can solve problems up to 40% larger than those solvable by Transpose.

  16. Footprints of the sun: memory of UV and light stress in plants

    PubMed Central

    Müller-Xing, Ralf; Xing, Qian; Goodrich, Justin

    2014-01-01

    Sunlight provides the necessary energy for plant growth via photosynthesis but high light and particular its integral ultraviolet (UV) part causes stress potentially leading to serious damage to DNA, proteins, and other cellular components. Plants show adaptation to environmental stresses, sometimes referred to as “plant memory.” There is growing evidence that plants memorize exposure to biotic or abiotic stresses through epigenetic mechanisms at the cellular level. UV target genes such as CHALCONE SYNTHASE (CHS) respond immediately to UV treatment and studies of the recently identified UV-B receptor UV RESISTANCE LOCUS 8 (UVR8) confirm the expedite nature of UV signaling. Considering these findings, an UV memory seems redundant. However, several lines of evidence suggest that plants may develop an epigenetic memory of UV and light stress, but in comparison to other abiotic stresses there has been relatively little investigation. Here we summarize the state of knowledge about acclimation and adaptation of plants to UV light and discuss the possibility of chromatin based epigenetic memory. PMID:25278950

  17. Configurable memory system and method for providing atomic counting operations in a memory device

    DOEpatents

    Bellofatto, Ralph E.; Gara, Alan G.; Giampapa, Mark E.; Ohmacht, Martin

    2010-09-14

    A memory system and method for providing atomic memory-based counter operations to operating systems and applications that make most efficient use of counter-backing memory and virtual and physical address space, while simplifying operating system memory management, and enabling the counter-backing memory to be used for purposes other than counter-backing storage when desired. The encoding and address decoding enabled by the invention provides all this functionality through a combination of software and hardware.

  18. The evolution of episodic memory

    PubMed Central

    Allen, Timothy A.; Fortin, Norbert J.

    2013-01-01

    One prominent view holds that episodic memory emerged recently in humans and lacks a “(neo)Darwinian evolution” [Tulving E (2002) Annu Rev Psychol 53:1–25]. Here, we review evidence supporting the alternative perspective that episodic memory has a long evolutionary history. We show that fundamental features of episodic memory capacity are present in mammals and birds and that the major brain regions responsible for episodic memory in humans have anatomical and functional homologs in other species. We propose that episodic memory capacity depends on a fundamental neural circuit that is similar across mammalian and avian species, suggesting that protoepisodic memory systems exist across amniotes and, possibly, all vertebrates. The implication is that episodic memory in diverse species may primarily be due to a shared underlying neural ancestry, rather than the result of evolutionary convergence. We also discuss potential advantages that episodic memory may offer, as well as species-specific divergences that have developed on top of the fundamental episodic memory architecture. We conclude by identifying possible time points for the emergence of episodic memory in evolution, to help guide further research in this area. PMID:23754432

  19. Modifying Memory: Selectively Enhancing and Updating Personal Memories for a Museum Tour by Reactivating Them

    PubMed Central

    St. Jacques, Peggy L.; Schacter, Daniel L.

    2013-01-01

    Memory can be modified when reactivated, but little is known about how the properties and extent of reactivation can selectively affect subsequent memory. We developed a novel museum paradigm to directly investigate reactivation-induced plasticity for personal memories. Participants reactivated memories triggered by photos taken from a camera they wore during a museum tour and made relatedness judgments on novel photos taken from a different tour of the same museum. Subsequent recognition memory for events at the museum was better for memories that were highly reactivated (i.e., the retrieval cues during reactivation matched the encoding experience) than for memories that were reactivated at a lower level (i.e., the retrieval cues during reactivation mismatched the encoding experience), but reactivation also increased false recognition of photographs depicting stops that were not experienced during the museum tour. Reactivation thus enables memories to be selectively enhanced and distorted via updating, thereby supporting the dynamic and flexible nature of memory. PMID:23406611

  20. System for simultaneously loading program to master computer memory devices and corresponding slave computer memory devices

    NASA Technical Reports Server (NTRS)

    Hall, William A. (Inventor)

    1993-01-01

    A bus programmable slave module card for use in a computer control system is disclosed which comprises a master computer and one or more slave computer modules interfacing by means of a bus. Each slave module includes its own microprocessor, memory, and control program for acting as a single loop controller. The slave card includes a plurality of memory means (S1, S2...) corresponding to a like plurality of memory devices (C1, C2...) in the master computer, for each slave memory means its own communication lines connectable through the bus with memory communication lines of an associated memory device in the master computer, and a one-way electronic door which is switchable to either a closed condition or a one-way open condition. With the door closed, communication lines between master computer memory (C1, C2...) and slave memory (S1, S2...) are blocked. In the one-way open condition invention, the memory communication lines or each slave memory means (S1, S2...) connect with the memory communication lines of its associated memory device (C1, C2...) in the master computer, and the memory devices (C1, C2...) of the master computer and slave card are electrically parallel such that information seen by the master's memory is also seen by the slave's memory. The slave card is also connectable to a switch for electronically removing the slave microprocessor from the system. With the master computer and the slave card in programming mode relationship, and the slave microprocessor electronically removed from the system, loading a program in the memory devices (C1, C2...) of the master accomplishes a parallel loading into the memory devices (S1, S2...) of the slave.

  1. How Does the Sparse Memory “Engram” Neurons Encode the Memory of a Spatial–Temporal Event?

    PubMed Central

    Guan, Ji-Song; Jiang, Jun; Xie, Hong; Liu, Kai-Yuan

    2016-01-01

    Episodic memory in human brain is not a fixed 2-D picture but a highly dynamic movie serial, integrating information at both the temporal and the spatial domains. Recent studies in neuroscience reveal that memory storage and recall are closely related to the activities in discrete memory engram (trace) neurons within the dentate gyrus region of hippocampus and the layer 2/3 of neocortex. More strikingly, optogenetic reactivation of those memory trace neurons is able to trigger the recall of naturally encoded memory. It is still unknown how the discrete memory traces encode and reactivate the memory. Considering a particular memory normally represents a natural event, which consists of information at both the temporal and spatial domains, it is unknown how the discrete trace neurons could reconstitute such enriched information in the brain. Furthermore, as the optogenetic-stimuli induced recall of memory did not depend on firing pattern of the memory traces, it is most likely that the spatial activation pattern, but not the temporal activation pattern of the discrete memory trace neurons encodes the memory in the brain. How does the neural circuit convert the activities in the spatial domain into the temporal domain to reconstitute memory of a natural event? By reviewing the literature, here we present how the memory engram (trace) neurons are selected and consolidated in the brain. Then, we will discuss the main challenges in the memory trace theory. In the end, we will provide a plausible model of memory trace cell network, underlying the conversion of neural activities between the spatial domain and the temporal domain. We will also discuss on how the activation of sparse memory trace neurons might trigger the replay of neural activities in specific temporal patterns. PMID:27601979

  2. Multiple Memory Systems Are Unnecessary to Account for Infant Memory Development: An Ecological Model

    ERIC Educational Resources Information Center

    Rovee-Collier, Carolyn; Cuevas, Kimberly

    2009-01-01

    How the memory of adults evolves from the memory abilities of infants is a central problem in cognitive development. The popular solution holds that the multiple memory systems of adults mature at different rates during infancy. The "early-maturing system" (implicit or nondeclarative memory) functions automatically from birth, whereas the…

  3. Post-encoding emotional arousal enhances consolidation of item memory, but not reality-monitoring source memory.

    PubMed

    Wang, Bo; Sun, Bukuan

    2017-03-01

    The current study examined whether the effect of post-encoding emotional arousal on item memory extends to reality-monitoring source memory and, if so, whether the effect depends on emotionality of learning stimuli and testing format. In Experiment 1, participants encoded neutral words and imagined or viewed their corresponding object pictures. Then they watched a neutral, positive, or negative video. The 24-hour delayed test showed that emotional arousal had little effect on both item memory and reality-monitoring source memory. Experiment 2 was similar except that participants encoded neutral, positive, and negative words and imagined or viewed their corresponding object pictures. The results showed that positive and negative emotional arousal induced after encoding enhanced consolidation of item memory, but not reality-monitoring source memory, regardless of emotionality of learning stimuli. Experiment 3, identical to Experiment 2 except that participants were tested only on source memory for all the encoded items, still showed that post-encoding emotional arousal had little effect on consolidation of reality-monitoring source memory. Taken together, regardless of emotionality of learning stimuli and regardless of testing format of source memory (conjunction test vs. independent test), the facilitatory effect of post-encoding emotional arousal on item memory does not generalize to reality-monitoring source memory.

  4. Narrating positive versus negative memories of illness: does narrating influence the emotional tone of memories?

    PubMed

    Fioretti, C; Smorti, A

    2017-05-01

    Psychoncological studies have recognised a reduced autobiographical memory in cancer patients, furthermore cognitive studies have found that narrative is an effective instrument to re-elaborate memories. However, it is still unclear whether narrating positive versus negative events can have a different impact on autobiographical memory. The present study aims to explore the emotional experience of autobiographical memory before and after having narrated negative or positive events related to the illness. Of 63 oncological patients, 35 were selected for the present study. Participants completed a Memory Fluency Task twice, before and after having selected and narrated a positive (PN group) or a negative (NN group) memory of illness. They also had to attribute one or more emotions to each memory and to the narrative. The number of emotions and the percentage of emotional tones in both narrated and non-narrated memories were assessed. Narrated memories were more emotionally re-elaborated than non-narrated ones. Negative group participants, more than positive group ones, decreased negative emotions and increased complex ones. Authors discuss these results claiming that narrating works as a rehearsal of autobiographical memories in oncological patients and narrating negative memories eases the emotional re-elaboration of illness. © 2016 John Wiley & Sons Ltd.

  5. Electrical studies of Ge4Sb1Te5 devices for memory applications

    NASA Astrophysics Data System (ADS)

    Sangeetha, B. G.; Shylashree, N.

    2018-05-01

    In this paper, the Ge4Sb1Te5 thin film device preparation and electrical studies for memory devices were carried out. The device was deposited using vapor-evaporation technique. RESET to SET state switching was shown using current-voltage characterization. The current-voltage characterization shows the switching between SET to RESET state and it was found that it requires a low energy for transition. Switching between amorphous to crystalline nature was studied using resistance-voltage characteristics. The endurance showed the effective use of this composition for memory device.

  6. Neural bases of orthographic long-term memory and working memory in dysgraphia.

    PubMed

    Rapp, Brenda; Purcell, Jeremy; Hillis, Argye E; Capasso, Rita; Miceli, Gabriele

    2016-02-01

    Spelling a word involves the retrieval of information about the word's letters and their order from long-term memory as well as the maintenance and processing of this information by working memory in preparation for serial production by the motor system. While it is known that brain lesions may selectively affect orthographic long-term memory and working memory processes, relatively little is known about the neurotopographic distribution of the substrates that support these cognitive processes, or the lesions that give rise to the distinct forms of dysgraphia that affect these cognitive processes. To examine these issues, this study uses a voxel-based mapping approach to analyse the lesion distribution of 27 individuals with dysgraphia subsequent to stroke, who were identified on the basis of their behavioural profiles alone, as suffering from deficits only affecting either orthographic long-term or working memory, as well as six other individuals with deficits affecting both sets of processes. The findings provide, for the first time, clear evidence of substrates that selectively support orthographic long-term and working memory processes, with orthographic long-term memory deficits centred in either the left posterior inferior frontal region or left ventral temporal cortex, and orthographic working memory deficits primarily arising from lesions of the left parietal cortex centred on the intraparietal sulcus. These findings also contribute to our understanding of the relationship between the neural instantiation of written language processes and spoken language, working memory and other cognitive skills. © The Author (2015). Published by Oxford University Press on behalf of the Guarantors of Brain. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  7. Memory Perceptions and Memory Performance in Adulthood and Aging.

    ERIC Educational Resources Information Center

    Hultsch, D. F.; And Others

    1985-01-01

    This article reviews two questionnaires designed to measure people's perceptions of their own memories and identifies several research questions requiring further study. Results show that, in general, memory perceptions appear to be multidimensional and involve belief and affective components as well as knowledge components. (CT)

  8. Memory: Organization and Control

    PubMed Central

    Eichenbaum, Howard

    2017-01-01

    A major goal of memory research is to understand how cognitive processes in memory are supported at the level of brain systems and network representations. Especially promising in this direction are new findings in humans and animals that converge in indicating a key role for the hippocampus in the systematic organization of memories. New findings also indicate that the prefrontal cortex may play an equally important role in the active control of memory organization during both encoding and retrieval. Observations about the dialog between the hippocampus and prefrontal cortex provide new insights into the operation of the larger brain system that serves memory. PMID:27687117

  9. Memory--a century of consolidation.

    PubMed

    McGaugh, J L

    2000-01-14

    The memory consolidation hypothesis proposed 100 years ago by Müller and Pilzecker continues to guide memory research. The hypothesis that new memories consolidate slowly over time has stimulated studies revealing the hormonal and neural influences regulating memory consolidation, as well as molecular and cellular mechanisms. This review examines the progress made over the century in understanding the time-dependent processes that create our lasting memories.

  10. MEMORIAL WALK WITH MEMORIALS, TOWARD ENTRANCE GATE. VIEW TO WEST. ...

    Library of Congress Historic Buildings Survey, Historic Engineering Record, Historic Landscapes Survey

    MEMORIAL WALK WITH MEMORIALS, TOWARD ENTRANCE GATE. VIEW TO WEST. - Rock Island National Cemetery, Rock Island Arsenal, 0.25 mile north of southern tip of Rock Island, Rock Island, Rock Island County, IL

  11. Longitudinal Associations of Subjective Memory with Memory Performance and Depressive Symptoms: Between-Person and Within-Person Perspectives

    PubMed Central

    Hülür, Gizem; Hertzog, Christopher; Pearman, Ann; Ram, Nilam; Gerstorf, Denis

    2015-01-01

    Clinical diagnostic criteria for memory loss in adults typically assume that subjective memory ratings accurately reflect compromised memory functioning. Research has documented small positive between-person associations between subjective memory and memory performance in older adults. Less is known, however, about whether within-person fluctuations in subjective memory covary with within-person variance in memory performance and depressive symptoms. The present study applied multilevel models of change to nine waves of data from 27,395 participants of the Health and Retirement Study (HRS; mean age at baseline = 63.78; SD = 10.30; 58% women) to examine whether subjective memory is associated with both between-person differences and within-person variability in memory performance and depressive symptoms and explored the moderating role of known correlates (age, gender, education, and functional limitations). Results revealed that across persons, level of subjective memory indeed covaried with level of memory performance and depressive symptoms, with small-to-moderate between-person standardized effect sizes (0.19 for memory performance and 0.21 for depressive symptoms). Within individuals, occasions when participants scored higher than usual on a test of episodic memory or reported fewer-than-average depressive symptoms generated above-average subjective memory. At the within-person level, subjective memory ratings became more sensitive to within-person alterations in memory performance over time and those suffering from functional limitations were more sensitive to within-person alterations in memory performance and depressive symptoms. We take our results to suggest that within-person changes in subjective memory in part reflect monitoring flux in one’s own memory functioning, but are also influenced by flux in depressive symptoms. PMID:25244464

  12. Remaking memories: Reconsolidation updates positively motivated spatial memory in rats

    PubMed Central

    Jones, Bethany; Bukoski, Elizabeth; Nadel, Lynn; Fellous, Jean-Marc

    2012-01-01

    There is strong evidence that reactivation of a memory returns it to a labile state, initiating a restabilization process termed reconsolidation, which allows for updating of the memory. In this study we investigated reactivation-dependent updating using a new positively motivated spatial task in rodents that was designed specifically to model a human list-learning paradigm. On Day 1, rats were trained to run to three feeders (List 1) for rewards. On Day 2, rats were trained to run to three different feeders (List 2) in either the same (Reminder condition) or a different (No Reminder condition) experimental context than on Day 1. On Day 3, rats were cued to recall List 1. Rats in the Reminder condition made significantly more visits to List 2 feeders (intrusions) during List 1 recall than rats in the No Reminder condition, indicating that the reminder triggered reactivation and allowed integration of List 2 items into List 1. This reminder effect was selective for the reactivated List 1 memory, as no intrusions occurred when List 2 was recalled on Day 3. No intrusions occurred when retrieval took place in a different context from the one used at encoding, indicating that the expression of the updated memory is dependent upon the retrieval context. Finally, the level of intrusions was highest when retrieval took place immediately after List 2 learning, and generally declined when retrieval occurred 1–4 h later, indicating that the List 2 memory competed with short-term retrieval of List 1. These results demonstrate the dynamic nature of memory over time and the impact of environmental context at different stages of memory processing. PMID:22345494

  13. Remaking memories: reconsolidation updates positively motivated spatial memory in rats.

    PubMed

    Jones, Bethany; Bukoski, Elizabeth; Nadel, Lynn; Fellous, Jean-Marc

    2012-02-17

    There is strong evidence that reactivation of a memory returns it to a labile state, initiating a restabilization process termed reconsolidation, which allows for updating of the memory. In this study we investigated reactivation-dependent updating using a new positively motivated spatial task in rodents that was designed specifically to model a human list-learning paradigm. On Day 1, rats were trained to run to three feeders (List 1) for rewards. On Day 2, rats were trained to run to three different feeders (List 2) in either the same (Reminder condition) or a different (No Reminder condition) experimental context than on Day 1. On Day 3, rats were cued to recall List 1. Rats in the Reminder condition made significantly more visits to List 2 feeders (intrusions) during List 1 recall than rats in the No Reminder condition, indicating that the reminder triggered reactivation and allowed integration of List 2 items into List 1. This reminder effect was selective for the reactivated List 1 memory, as no intrusions occurred when List 2 was recalled on Day 3. No intrusions occurred when retrieval took place in a different context from the one used at encoding, indicating that the expression of the updated memory is dependent upon the retrieval context. Finally, the level of intrusions was highest when retrieval took place immediately after List 2 learning, and generally declined when retrieval occurred 1-4 h later, indicating that the List 2 memory competed with short-term retrieval of List 1. These results demonstrate the dynamic nature of memory over time and the impact of environmental context at different stages of memory processing.

  14. REGULATION OF MEMORY – FROM THE ADRENAL MEDULLA TO LIVER TO ASTROCYTES TO NEURONS1

    PubMed Central

    Gold, Paul E.

    2014-01-01

    Epinephrine, released into blood from the adrenal medulla in response to arousing experiences, is a potent enhancer of learning and memory processing. This review examines mechanisms by which epinephrine exerts its effects on these cognitive functions. Because epinephrine is largely blocked from moving from blood to brain, it is likely that the hormone's effects on memory are mediated by peripheral actions. A classic effect of epinephrine is to act at the liver to break down glycogen stores, resulting in increased blood glucose levels. The increase in blood glucose provides additional energy substrates to the brain to buttress the processes needed for an experience to be learned and remembered. In part, it appears that the increased glucose may act in the brain in a manner akin to that evident in the liver, engaging glycogenolysis in astrocytes to provide an energy substrate, in this case lactate, to augment neuronal functions. Together, the findings reveal a mechanism underlying modulation of memory that integrates the physiological functions of multiple organ systems to support brain processes. PMID:24406469

  15. Acute Alcohol Effects on Repetition Priming and Word Recognition Memory with Equivalent Memory Cues

    ERIC Educational Resources Information Center

    Ray, Suchismita; Bates, Marsha E.

    2006-01-01

    Acute alcohol intoxication effects on memory were examined using a recollection-based word recognition memory task and a repetition priming task of memory for the same information without explicit reference to the study context. Memory cues were equivalent across tasks; encoding was manipulated by varying the frequency of occurrence (FOC) of words…

  16. Living Memorials: Understanding the Social Meanings of Community-Based Memorials to September 11, 2001

    Treesearch

    Erika S. Svendsen; Lindsay K. Campbell

    2010-01-01

    Living memorials are landscaped spaces created by people to memorialize individuals, places, and events. Hundreds of stewardship groups across the United States of America created living memorials in response to the September 11, 2001 terrorist attacks. This study sought to understand how stewards value, use, and talk about their living, community-based memorials....

  17. Kinetic energy classification and smoothing for compact B-spline basis sets in quantum Monte Carlo

    DOE PAGES

    Krogel, Jaron T.; Reboredo, Fernando A.

    2018-01-25

    Quantum Monte Carlo calculations of defect properties of transition metal oxides have become feasible in recent years due to increases in computing power. As the system size has grown, availability of on-node memory has become a limiting factor. Saving memory while minimizing computational cost is now a priority. The main growth in memory demand stems from the B-spline representation of the single particle orbitals, especially for heavier elements such as transition metals where semi-core states are present. Despite the associated memory costs, splines are computationally efficient. In this paper, we explore alternatives to reduce the memory usage of splined orbitalsmore » without significantly affecting numerical fidelity or computational efficiency. We make use of the kinetic energy operator to both classify and smooth the occupied set of orbitals prior to splining. By using a partitioning scheme based on the per-orbital kinetic energy distributions, we show that memory savings of about 50% is possible for select transition metal oxide systems. Finally, for production supercells of practical interest, our scheme incurs a performance penalty of less than 5%.« less

  18. Kinetic energy classification and smoothing for compact B-spline basis sets in quantum Monte Carlo

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Krogel, Jaron T.; Reboredo, Fernando A.

    Quantum Monte Carlo calculations of defect properties of transition metal oxides have become feasible in recent years due to increases in computing power. As the system size has grown, availability of on-node memory has become a limiting factor. Saving memory while minimizing computational cost is now a priority. The main growth in memory demand stems from the B-spline representation of the single particle orbitals, especially for heavier elements such as transition metals where semi-core states are present. Despite the associated memory costs, splines are computationally efficient. In this paper, we explore alternatives to reduce the memory usage of splined orbitalsmore » without significantly affecting numerical fidelity or computational efficiency. We make use of the kinetic energy operator to both classify and smooth the occupied set of orbitals prior to splining. By using a partitioning scheme based on the per-orbital kinetic energy distributions, we show that memory savings of about 50% is possible for select transition metal oxide systems. Finally, for production supercells of practical interest, our scheme incurs a performance penalty of less than 5%.« less

  19. Kinetic energy classification and smoothing for compact B-spline basis sets in quantum Monte Carlo

    NASA Astrophysics Data System (ADS)

    Krogel, Jaron T.; Reboredo, Fernando A.

    2018-01-01

    Quantum Monte Carlo calculations of defect properties of transition metal oxides have become feasible in recent years due to increases in computing power. As the system size has grown, availability of on-node memory has become a limiting factor. Saving memory while minimizing computational cost is now a priority. The main growth in memory demand stems from the B-spline representation of the single particle orbitals, especially for heavier elements such as transition metals where semi-core states are present. Despite the associated memory costs, splines are computationally efficient. In this work, we explore alternatives to reduce the memory usage of splined orbitals without significantly affecting numerical fidelity or computational efficiency. We make use of the kinetic energy operator to both classify and smooth the occupied set of orbitals prior to splining. By using a partitioning scheme based on the per-orbital kinetic energy distributions, we show that memory savings of about 50% is possible for select transition metal oxide systems. For production supercells of practical interest, our scheme incurs a performance penalty of less than 5%.

  20. Topological Schemas of Memory Spaces.

    PubMed

    Babichev, Andrey; Dabaghian, Yuri A

    2018-01-01

    Hippocampal cognitive map-a neuronal representation of the spatial environment-is widely discussed in the computational neuroscience literature for decades. However, more recent studies point out that hippocampus plays a major role in producing yet another cognitive framework-the memory space-that incorporates not only spatial, but also non-spatial memories. Unlike the cognitive maps, the memory spaces, broadly understood as "networks of interconnections among the representations of events," have not yet been studied from a theoretical perspective. Here we propose a mathematical approach that allows modeling memory spaces constructively, as epiphenomena of neuronal spiking activity and thus to interlink several important notions of cognitive neurophysiology. First, we suggest that memory spaces have a topological nature-a hypothesis that allows treating both spatial and non-spatial aspects of hippocampal function on equal footing. We then model the hippocampal memory spaces in different environments and demonstrate that the resulting constructions naturally incorporate the corresponding cognitive maps and provide a wider context for interpreting spatial information. Lastly, we propose a formal description of the memory consolidation process that connects memory spaces to the Morris' cognitive schemas-heuristic representations of the acquired memories, used to explain the dynamics of learning and memory consolidation in a given environment. The proposed approach allows evaluating these constructs as the most compact representations of the memory space's structure.

  1. Progress In Optical Memory Technology

    NASA Astrophysics Data System (ADS)

    Tsunoda, Yoshito

    1987-01-01

    More than 20 years have passed since the concept of optical memory was first proposed in 1966. Since then considerable progress has been made in this area together with the creation of completely new markets of optical memory in consumer and computer application areas. The first generation of optical memory was mainly developed with holographic recording technology in late 1960s and early 1970s. Considerable number of developments have been done in both analog and digital memory applications. Unfortunately, these technologies did not meet a chance to be a commercial product. The second generation of optical memory started at the beginning of 1970s with bit by bit recording technology. Read-only type optical memories such as video disks and compact audio disks have extensively investigated. Since laser diodes were first applied to optical video disk read out in 1976, there have been extensive developments of laser diode pick-ups for optical disk memory systems. The third generation of optical memory started in 1978 with bit by bit read/write technology using laser diodes. Developments of recording materials including both write-once and erasable have been actively pursued at several research institutes. These technologies are mainly focused on the optical memory systems for computer application. Such practical applications of optical memory technology has resulted in the creation of such new products as compact audio disks and computer file memories.

  2. Topological Schemas of Memory Spaces

    PubMed Central

    Babichev, Andrey; Dabaghian, Yuri A.

    2018-01-01

    Hippocampal cognitive map—a neuronal representation of the spatial environment—is widely discussed in the computational neuroscience literature for decades. However, more recent studies point out that hippocampus plays a major role in producing yet another cognitive framework—the memory space—that incorporates not only spatial, but also non-spatial memories. Unlike the cognitive maps, the memory spaces, broadly understood as “networks of interconnections among the representations of events,” have not yet been studied from a theoretical perspective. Here we propose a mathematical approach that allows modeling memory spaces constructively, as epiphenomena of neuronal spiking activity and thus to interlink several important notions of cognitive neurophysiology. First, we suggest that memory spaces have a topological nature—a hypothesis that allows treating both spatial and non-spatial aspects of hippocampal function on equal footing. We then model the hippocampal memory spaces in different environments and demonstrate that the resulting constructions naturally incorporate the corresponding cognitive maps and provide a wider context for interpreting spatial information. Lastly, we propose a formal description of the memory consolidation process that connects memory spaces to the Morris' cognitive schemas-heuristic representations of the acquired memories, used to explain the dynamics of learning and memory consolidation in a given environment. The proposed approach allows evaluating these constructs as the most compact representations of the memory space's structure. PMID:29740306

  3. Central adiposity is negatively associated with hippocampal-dependent relational memory among overweight and obese children.

    PubMed

    Khan, Naiman A; Baym, Carol L; Monti, Jim M; Raine, Lauren B; Drollette, Eric S; Scudder, Mark R; Moore, R Davis; Kramer, Arthur F; Hillman, Charles H; Cohen, Neal J

    2015-02-01

    To assess associations between adiposity and hippocampal-dependent and hippocampal-independent memory forms among prepubertal children. Prepubertal children (age 7-9 years; n = 126), classified as non-overweight (<85th percentile body mass index [BMI]-for-age [n = 73]) or overweight/obese (≥85th percentile BMI-for-age [n = 53]), completed relational (hippocampal-dependent) and item (hippocampal-independent) memory tasks. Performance was assessed with both direct (behavioral accuracy) and indirect (preferential disproportionate viewing [PDV]) measures. Adiposity (ie, percent whole-body fat mass, subcutaneous abdominal adipose tissue, visceral adipose tissue, and total abdominal adipose tissue) was assessed by dual-energy X-ray absorptiometry. Backward regression identified significant (P < .05) predictive models of memory performance. Covariates included age, sex, pubertal timing, socioeconomic status (SES), IQ, oxygen consumption, and BMI z-score. Among overweight/obese children, total abdominal adipose tissue was a significant negative predictor of relational memory behavioral accuracy, and pubertal timing together with SES jointly predicted the PDV measure of relational memory. In contrast, among non-overweight children, male sex predicted item memory behavioral accuracy, and a model consisting of SES and BMI z-score jointly predicted the PDV measure of relational memory. Regional, but not whole-body, fat deposition was selectively and negatively associated with hippocampal-dependent relational memory among overweight/obese prepubertal children. Copyright © 2015 Elsevier Inc. All rights reserved.

  4. Effect of nitrogen on iron-manganese-based shape memory alloys

    NASA Astrophysics Data System (ADS)

    Ariapour, Azita

    Shape memory effect is due to a reversible martensitic transformation. The major drawback in case of Fe-Mn-based shape memory alloys is their inferior shape memory effect compared to Ni-Ti and Cu-based shape memory alloys and their low strength and corrosion resistance compared to steel alloys. It is known that by increasing the alloy strength the shape memory effect can be improved. Nitrogen in solid solution can increase the strength of steels to a greater extent than other major alloying elements. However, its effect on shape memory effect of Fe-Mn-based alloys is ambiguous. In this work first we investigated the effect of nitrogen addition in solid solution on both shape memory effect (SME) and strength of a Fe-Mn-Cr-Ni-Si shape memory alloy (SMA). It was found that interstitial nitrogen suppressed the shape memory effect in these alloys. As an example addition of 0.24 wt % nitrogen in solid solution to the alloy system suppressed the SME by ˜80% and increased the strength by 20%. A reduction of martensitic phase formation was found to be the dominant factor in suppression of the SME. This was related, experimentally and theoretically to stacking fault energy of the alloy as well as the driving force and friction force during the transformation. The second approach was doping the alloy with both 0.36 wt% of nitrogen and 0.36 wt% of niobium. Niobium has great affinity for nitrogen and thus NbN dispersed particles can be produced in the alloy following hot rolling. Then particles prevent growth of the alloy and increase the strength of the alloy due to reduced grain size, and precipitation hardening. The improvement of SME in this alloy compared to the interstitial containing alloys was due to the large removal of the nitrogen from solid solution. In case of all the alloys studied in this work, the presence of nitrogen in solid solution improved the corrosion resistance of the alloy. This suggests that nitrogen can replace nickel in the alloy. One of the

  5. Attention, Working Memory, and Long-Term Memory in Multimedia Learning: An Integrated Perspective Based on Process Models of Working Memory

    ERIC Educational Resources Information Center

    Schweppe, Judith; Rummer, Ralf

    2014-01-01

    Cognitive models of multimedia learning such as the Cognitive Theory of Multimedia Learning (Mayer 2009) or the Cognitive Load Theory (Sweller 1999) are based on different cognitive models of working memory (e.g., Baddeley 1986) and long-term memory. The current paper describes a working memory model that has recently gained popularity in basic…

  6. Optoelectronic-cache memory system architecture.

    PubMed

    Chiarulli, D M; Levitan, S P

    1996-05-10

    We present an investigation of the architecture of an optoelectronic cache that can integrate terabit optical memories with the electronic caches associated with high-performance uniprocessors and multiprocessors. The use of optoelectronic-cache memories enables these terabit technologies to provide transparently low-latency secondary memory with frame sizes comparable with disk pages but with latencies that approach those of electronic secondary-cache memories. This enables the implementation of terabit memories with effective access times comparable with the cycle times of current microprocessors. The cache design is based on the use of a smart-pixel array and combines parallel free-space optical input-output to-and-from optical memory with conventional electronic communication to the processor caches. This cache and the optical memory system to which it will interface provide a large random-access memory space that has a lower overall latency than that of magnetic disks and disk arrays. In addition, as a consequence of the high-bandwidth parallel input-output capabilities of optical memories, fault service times for the optoelectronic cache are substantially less than those currently achievable with any rotational media.

  7. Memory on time

    PubMed Central

    Eichenbaum, Howard

    2013-01-01

    Considerable recent work has shown that the hippocampus is critical for remembering the order of events in distinct experiences, a defining feature of episodic memory. Correspondingly, hippocampal neuronal activity can ‘replay’ sequential events in memories and hippocampal neuronal ensembles represent a gradually changing temporal context signal. Most strikingly, single hippocampal neurons – called time cells – encode moments in temporally structured experiences much as the well-known place cells encode locations in spatially structured experiences. These observations bridge largely disconnected literatures on the role of the hippocampus in episodic memory and spatial mapping, and suggest that the fundamental function of the hippocampus is to establish spatio-temporal frameworks for organizing memories. PMID:23318095

  8. Investigating whether maternal memory specificity is indirectly associated with child memory specificity through maternal reminiscing.

    PubMed

    Jobson, Laura; Burford, Kimberly; Burns, Breana; Baldry, Amelia; Wu, Yun

    2018-05-14

    Maternal reminiscing and remembering has a profound influence on the development of children's autobiographical remembering skills. The current study investigated the relationships between maternal memory specificity, maternal reminiscing and child memory specificity. Participants consisted of 40 mother-child dyads. Children's age ranged between 3.5 and 6 years. Mothers and children participated in individual assessments of autobiographical memory specificity. Dyads participated in a joint reminiscing task about three past emotional (happy, sad, stressful) events. A positive moderate association was found between maternal autobiographical memory specificity and child autobiographical memory specificity. Maternal autobiographical memory specificity was significantly correlated with mothers' focus on the task, involvement and reciprocity, resolution of negative feelings, and structuring of narratives in the mother-child reminiscing task. Moderate positive associations were found between maternal focus and structuring and child memory specificity. There was no evidence to suggest maternal elaborative reminiscing style was significantly positively correlated with mother or child memory specificity. Finally, there was support for an indirect pathway between maternal memory specificity and child memory specificity through quality of support and guidance provided by the mother in maternal reminiscing. Theoretical and clinical implications are considered.

  9. Soft magnetic memory of silk cocoon membrane

    PubMed Central

    Roy, Manas; Dubey, Amarish; Singh, Sushil Kumar; Bhargava, Kalpana; Sethy, Niroj Kumar; Philip, Deepu; Sarkar, Sabyasachi; Bajpai, Alok; Das, Mainak

    2016-01-01

    Silk cocoon membrane (SCM), a solid matrix of protein fiber, responds to light, heat and moisture and converts these energies to electrical signals. Essentially it exhibits photo-electric and thermo-electric properties; making it a natural electro-magnetic sensor, which may influence the pupal development. This raises the question: ‘is it only electricity?’, or ‘it also posses some kind of magnetic memory?’ This work attempted to explore the magnetic memory of SCM and confirm its soft magnetism. Fe, Co, Ni, Mn, Gd were found in SCM, in traces, through energy dispersive X-ray analysis (EDX), X-ray photoelectron spectroscopy (XPS) and inductively coupled plasma mass spectrometry (ICP-MS). Presence of iron was ascertained by electron paramagnetic resonance (EPR). In addition, EPR-spectra showed the presence of a stable pool of carbon-centric free radical in the cocoon structure. Carbon-centric free radicals behaves as a soft magnet inherently. Magnetic-Hysteresis (M-H) of SCM confirmed its soft magnetism. It can be concluded that the soft bio-magnetic feature of SCM is due to the entrapment of ferromagnetic elements in a stable pool of carbon centric radicals occurring on the super-coiled protein structure. Natural soft magnets like SCM provide us with models for developing eco-friendly, protein-based biological soft magnets. PMID:27374752

  10. Emotional organization of autobiographical memory.

    PubMed

    Schulkind, Matthew D; Woldorf, Gillian M

    2005-09-01

    The emotional organization of autobiographical memory was examined by determining whether emotional cues would influence autobiographical retrieval in younger and older adults. Unfamiliar musical cues that represented orthogonal combinations of positive and negative valence and high and low arousal were used. Whereas cue valence influenced the valence of the retrieved memories, cue arousal did not affect arousal ratings. However, high-arousal cues were associated with reduced response latencies. A significant bias to report positive memories was observed, especially for the older adults, but neither the distribution of memories across the life span nor response latencies varied across memories differing in valence or arousal. These data indicate that emotional information can serve as effective cues for autobiographical memories and that autobiographical memories are organized in terms of emotional valence but not emotional arousal. Thus, current theories of autobiographical memory must be expanded to include emotional valence as a primary dimension of organization.

  11. The "memory kinases": roles of PKC isoforms in signal processing and memory formation.

    PubMed

    Sun, Miao-Kun; Alkon, Daniel L

    2014-01-01

    The protein kinase C (PKC) isoforms, which play an essential role in transmembrane signal conduction, can be viewed as a family of "memory kinases." Evidence is emerging that they are critically involved in memory acquisition and maintenance, in addition to their involvement in other functions of cells. Deficits in PKC signal cascades in neurons are one of the earliest abnormalities in the brains of patients suffering from Alzheimer's disease. Their dysfunction is also involved in several other types of memory impairments, including those related to emotion, mental retardation, brain injury, and vascular dementia/ischemic stroke. Inhibition of PKC activity leads to a reduced capacity of many types of learning and memory, but may have therapeutic values in treating substance abuse or aversive memories. PKC activators, on the other hand, have been shown to possess memory-enhancing and antidementia actions. PKC pharmacology may, therefore, represent an attractive area for developing effective cognitive drugs for the treatment of many types of memory disorders and dementias. © 2014 Elsevier Inc. All rights reserved.

  12. Ultralow-power switching via defect engineering in germanium telluride phase-change memory devices

    PubMed Central

    Nukala, Pavan; Lin, Chia-Chun; Composto, Russell; Agarwal, Ritesh

    2016-01-01

    Crystal–amorphous transformation achieved via the melt-quench pathway in phase-change memory involves fundamentally inefficient energy conversion events; and this translates to large switching current densities, responsible for chemical segregation and device degradation. Alternatively, introducing defects in the crystalline phase can engineer carrier localization effects enhancing carrier–lattice coupling; and this can efficiently extract work required to introduce bond distortions necessary for amorphization from input electrical energy. Here, by pre-inducing extended defects and thus carrier localization effects in crystalline GeTe via high-energy ion irradiation, we show tremendous improvement in amorphization current densities (0.13–0.6 MA cm−2) compared with the melt-quench strategy (∼50 MA cm−2). We show scaling behaviour and good reversibility on these devices, and explore several intermediate resistance states that are accessible during both amorphization and recrystallization pathways. Existence of multiple resistance states, along with ultralow-power switching and scaling capabilities, makes this approach promising in context of low-power memory and neuromorphic computation. PMID:26805748

  13. Vector computer memory bank contention

    NASA Technical Reports Server (NTRS)

    Bailey, D. H.

    1985-01-01

    A number of vector supercomputers feature very large memories. Unfortunately the large capacity memory chips that are used in these computers are much slower than the fast central processing unit (CPU) circuitry. As a result, memory bank reservation times (in CPU ticks) are much longer than on previous generations of computers. A consequence of these long reservation times is that memory bank contention is sharply increased, resulting in significantly lowered performance rates. The phenomenon of memory bank contention in vector computers is analyzed using both a Markov chain model and a Monte Carlo simulation program. The results of this analysis indicate that future generations of supercomputers must either employ much faster memory chips or else feature very large numbers of independent memory banks.

  14. Vector computer memory bank contention

    NASA Technical Reports Server (NTRS)

    Bailey, David H.

    1987-01-01

    A number of vector supercomputers feature very large memories. Unfortunately the large capacity memory chips that are used in these computers are much slower than the fast central processing unit (CPU) circuitry. As a result, memory bank reservation times (in CPU ticks) are much longer than on previous generations of computers. A consequence of these long reservation times is that memory bank contention is sharply increased, resulting in significantly lowered performance rates. The phenomenon of memory bank contention in vector computers is analyzed using both a Markov chain model and a Monte Carlo simulation program. The results of this analysis indicate that future generations of supercomputers must either employ much faster memory chips or else feature very large numbers of independent memory banks.

  15. False memory for context and true memory for context similarly activate the parahippocampal cortex.

    PubMed

    Karanian, Jessica M; Slotnick, Scott D

    2017-06-01

    The role of the parahippocampal cortex is currently a topic of debate. One view posits that the parahippocampal cortex specifically processes spatial layouts and sensory details (i.e., the visual-spatial processing view). In contrast, the other view posits that the parahippocampal cortex more generally processes spatial and non-spatial contexts (i.e., the general contextual processing view). A large number of studies have found that true memories activate the parahippocampal cortex to a greater degree than false memories, which would appear to support the visual-spatial processing view as true memories are typically associated with greater visual-spatial detail than false memories. However, in previous studies, contextual details were also greater for true memories than false memories. Thus, such differential activity in the parahippocampal cortex may have reflected differences in contextual processing, which would challenge the visual-spatial processing view. In the present functional magnetic resonance imaging (fMRI) study, we employed a source memory paradigm to investigate the functional role of the parahippocampal cortex during true memory and false memory for contextual information to distinguish between the visual-spatial processing view and the general contextual processing view. During encoding, abstract shapes were presented to the left or right of fixation. During retrieval, old shapes were presented at fixation and participants indicated whether each shape was previously on the "left" or "right" followed by an "unsure", "sure", or "very sure" confidence rating. The conjunction of confident true memories for context and confident false memories for context produced activity in the parahippocampal cortex, which indicates that this region is associated with contextual processing. Furthermore, the direct contrast of true memory and false memory produced activity in the visual cortex but did not produce activity in the parahippocampal cortex. The present

  16. Source memory in the rat.

    PubMed

    Crystal, Jonathon D; Alford, Wesley T; Zhou, Wenyi; Hohmann, Andrea G

    2013-03-04

    Source memory is a representation of the origin (source) of information. When source information is bound together, it makes a memory episodic, allowing us to differentiate one event from another. Here, we asked whether rats remember the source of encoded information. Rats foraged for distinctive flavors of food that replenished (or failed to replenish) at its recently encountered location according to a source-information rule. To predict replenishment, rats needed to remember where they had encountered a preferred food type (chocolate) with self-generated (walking along a runway encountering chocolate) or experimenter-generated (placement of the rat at the chocolate site by an experimenter) cues. Three lines of evidence implicate the presence of source memory. First, rats selectively adjusted revisits to the chocolate location based on source information, under conditions in which familiarity of events could not produce successful performance. Second, source memory was dissociated from location memory by different decay rates. Third, temporary inactivation of the CA3 region of the hippocampus with lidocaine selectively eliminated source memory, suggesting that source memory is dependent upon an intact hippocampus. Development of an animal model of source memory may be valuable to probe the biological underpinnings of memory disorders marked by impairments in source memory. Copyright © 2013 Elsevier Ltd. All rights reserved.

  17. Source memory in the rat

    PubMed Central

    Crystal, Jonathon D.; Alford, Wesley T.; Zhou, Wenyi; Hohmann, Andrea G.

    2013-01-01

    Summary Source memory is a representation of the origin (source) of information. When source information is bound together, it makes a memory episodic, allowing us to differentiate one event from another [1, 2]. Here we asked if rats remember the source of encoded information. Rats foraged for distinctive flavors of food that replenished (or failed to replenish) at its recently encountered location according to a source-information rule. To predict replenishment, rats needed to remember where they had encountered a preferred food type (chocolate) with self-generated (walking along a runway encountering chocolate) or experimenter-generated (placement of the rat at the chocolate site by an experimenter) cues. Three lines of evidence implicate the presence of source memory. First, rats selectively adjusted revisits to the chocolate location based on source information, under conditions in which familiarity of events could not produce successful performance. Second, source memory was dissociated from location memory by different decay rates. Third, temporary inactivation of the CA3 region of the hippocampus with lidocaine selectively eliminated source memory, suggesting that source memory is dependent upon an intact hippocampus. Development of an animal model of source memory may be valuable to probe the biological underpinnings of memory disorders marked by impairments in source memory. PMID:23394830

  18. High estradiol levels improve false memory rates and meta-memory in highly schizotypal women.

    PubMed

    Hodgetts, Sophie; Hausmann, Markus; Weis, Susanne

    2015-10-30

    Overconfidence in false memories is often found in patients with schizophrenia and healthy participants with high levels of schizotypy, indicating an impairment of meta-cognition within the memory domain. In general, cognitive control is suggested to be modulated by natural fluctuations in oestrogen. However, whether oestrogen exerts beneficial effects on meta-memory has not yet been investigated. The present study sought to provide evidence that high levels of schizotypy are associated with increased false memory rates and overconfidence in false memories, and that these processes may be modulated by natural differences in estradiol levels. Using the Deese-Roediger-McDermott paradigm, it was found that highly schizotypal participants with high estradiol produced significantly fewer false memories than those with low estradiol. No such difference was found within the low schizotypy participants. Highly schizotypal participants with high estradiol were also less confident in their false memories than those with low estradiol; low schizotypy participants with high estradiol were more confident. However, these differences only approached significance. These findings suggest that the beneficial effect of estradiol on memory and meta-memory observed in healthy participants is specific to highly schizotypal individuals and might be related to individual differences in baseline dopaminergic activity. Copyright © 2015 Elsevier Ireland Ltd. All rights reserved.

  19. Differences between Presentation Methods in Working Memory Procedures: A Matter of Working Memory Consolidation

    PubMed Central

    Ricker, Timothy J.; Cowan, Nelson

    2014-01-01

    Understanding forgetting from working memory, the memory used in ongoing cognitive processing, is critical to understanding human cognition. In the last decade a number of conflicting findings have been reported regarding the role of time in forgetting from working memory. This has led to a debate concerning whether longer retention intervals necessarily result in more forgetting. An obstacle to directly comparing conflicting reports is a divergence in methodology across studies. Studies which find no forgetting as a function of retention-interval duration tend to use sequential presentation of memory items, while studies which find forgetting as a function of retention-interval duration tend to use simultaneous presentation of memory items. Here, we manipulate the duration of retention and the presentation method of memory items, presenting items either sequentially or simultaneously. We find that these differing presentation methods can lead to different rates of forgetting because they tend to differ in the time available for consolidation into working memory. The experiments detailed here show that equating the time available for working memory consolidation equates the rates of forgetting across presentation methods. We discuss the meaning of this finding in the interpretation of previous forgetting studies and in the construction of working memory models. PMID:24059859

  20. Ultralow-power non-volatile memory cells based on P(VDF-TrFE) ferroelectric-gate CMOS silicon nanowire channel field-effect transistors.

    PubMed

    Van, Ngoc Huynh; Lee, Jae-Hyun; Whang, Dongmok; Kang, Dae Joon

    2015-07-21

    Nanowire-based ferroelectric-complementary metal-oxide-semiconductor (NW FeCMOS) nonvolatile memory devices were successfully fabricated by utilizing single n- and p-type Si nanowire ferroelectric-gate field effect transistors (NW FeFETs) as individual memory cells. In addition to having the advantages of single channel n- and p-type Si NW FeFET memory, Si NW FeCMOS memory devices exhibit a direct readout voltage and ultralow power consumption. The reading state power consumption of this device is less than 0.1 pW, which is more than 10(5) times lower than the ON-state power consumption of single-channel ferroelectric memory. This result implies that Si NW FeCMOS memory devices are well suited for use in non-volatile memory chips in modern portable electronic devices, especially where low power consumption is critical for energy conservation and long-term use.

  1. Memory Golf Clubs

    NASA Technical Reports Server (NTRS)

    1997-01-01

    Memory Corporation's investigation of shape memory effect, stemming from Marshall Space Flight Center contracts to study materials for the space station, has aided in the development of Zeemet, a proprietary, high-damping shape memory alloy for the golf industry. The Nicklaus Golf Company has created a new line of golf clubs using Zeemet inserts. Its superelastic and high damping attributes translate into more spin on the ball, greater control, and a solid feel.

  2. A Compute Capable SSD Architecture for Next-Generation Non-volatile Memories

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    De, Arup

    2014-01-01

    Existing storage technologies (e.g., disks and ash) are failing to cope with the processor and main memory speed and are limiting the overall perfor- mance of many large scale I/O or data-intensive applications. Emerging fast byte-addressable non-volatile memory (NVM) technologies, such as phase-change memory (PCM), spin-transfer torque memory (STTM) and memristor are very promising and are approaching DRAM-like performance with lower power con- sumption and higher density as process technology scales. These new memories are narrowing down the performance gap between the storage and the main mem- ory and are putting forward challenging problems on existing SSD architecture, I/O interfacemore » (e.g, SATA, PCIe) and software. This dissertation addresses those challenges and presents a novel SSD architecture called XSSD. XSSD o oads com- putation in storage to exploit fast NVMs and reduce the redundant data tra c across the I/O bus. XSSD o ers a exible RPC-based programming framework that developers can use for application development on SSD without dealing with the complication of the underlying architecture and communication management. We have built a prototype of XSSD on the BEE3 FPGA prototyping system. We implement various data-intensive applications and achieve speedup and energy ef- ciency of 1.5-8.9 and 1.7-10.27 respectively. This dissertation also compares XSSD with previous work on intelligent storage and intelligent memory. The existing ecosystem and these new enabling technologies make this system more viable than earlier ones.« less

  3. An Experimental Analysis of Memory Processing

    PubMed Central

    Wright, Anthony A

    2007-01-01

    Rhesus monkeys were trained and tested in visual and auditory list-memory tasks with sequences of four travel pictures or four natural/environmental sounds followed by single test items. Acquisitions of the visual list-memory task are presented. Visual recency (last item) memory diminished with retention delay, and primacy (first item) memory strengthened. Capuchin monkeys, pigeons, and humans showed similar visual-memory changes. Rhesus learned an auditory memory task and showed octave generalization for some lists of notes—tonal, but not atonal, musical passages. In contrast with visual list memory, auditory primacy memory diminished with delay and auditory recency memory strengthened. Manipulations of interitem intervals, list length, and item presentation frequency revealed proactive and retroactive inhibition among items of individual auditory lists. Repeating visual items from prior lists produced interference (on nonmatching tests) revealing how far back memory extended. The possibility of using the interference function to separate familiarity vs. recollective memory processing is discussed. PMID:18047230

  4. Long-term pitch memory for music recordings is related to auditory working memory precision.

    PubMed

    Van Hedger, Stephen C; Heald, Shannon Lm; Nusbaum, Howard C

    2018-04-01

    Most individuals have reliable long-term memories for the pitch of familiar music recordings. This pitch memory (1) appears to be normally distributed in the population, (2) does not depend on explicit musical training and (3) only seems to be weakly related to differences in listening frequency estimates. The present experiment was designed to assess whether individual differences in auditory working memory could explain variance in long-term pitch memory for music recordings. In Experiment 1, participants first completed a musical note adjustment task that has been previously used to assess working memory of musical pitch. Afterward, participants were asked to judge the pitch of well-known music recordings, which either had or had not been shifted in pitch. We found that performance on the pitch working memory task was significantly related to performance in the pitch memory task using well-known recordings, even when controlling for overall musical experience and familiarity with each recording. In Experiment 2, we replicated these findings in a separate group of participants while additionally controlling for fluid intelligence and non-pitch-based components of auditory working memory. In Experiment 3, we demonstrated that participants could not accurately judge the pitch of unfamiliar recordings, suggesting that our method of pitch shifting did not result in unwanted acoustic cues that could have aided participants in Experiments 1 and 2. These results, taken together, suggest that the ability to maintain pitch information in working memory might lead to more accurate long-term pitch memory.

  5. Visual memory, the long and the short of it: A review of visual working memory and long-term memory.

    PubMed

    Schurgin, Mark W

    2018-04-23

    The majority of research on visual memory has taken a compartmentalized approach, focusing exclusively on memory over shorter or longer durations, that is, visual working memory (VWM) or visual episodic long-term memory (VLTM), respectively. This tutorial provides a review spanning the two areas, with readers in mind who may only be familiar with one or the other. The review is divided into six sections. It starts by distinguishing VWM and VLTM from one another, in terms of how they are generally defined and their relative functions. This is followed by a review of the major theories and methods guiding VLTM and VWM research. The final section is devoted toward identifying points of overlap and distinction across the two literatures to provide a synthesis that will inform future research in both fields. By more intimately relating methods and theories from VWM and VLTM to one another, new advances can be made that may shed light on the kinds of representational content and structure supporting human visual memory.

  6. Memory for syntax despite amnesia.

    PubMed

    Ferreira, Victor S; Bock, Kathryn; Wilson, Michael P; Cohen, Neal J

    2008-09-01

    Syntactic persistence is a tendency for speakers to reproduce sentence structures independently of accompanying meanings, words, or sounds. The memory mechanisms behind syntactic persistence are not fully understood. Although some properties of syntactic persistence suggest a role for procedural memory, current evidence suggests that procedural memory (unlike declarative memory) does not maintain the abstract, relational features that are inherent to syntactic structures. In a study evaluating the contribution of procedural memory to syntactic persistence, patients with anterograde amnesia and matched control speakers reproduced prime sentences with different syntactic structures; reproduced 0, 1, 6, or 10 neutral sentences; then spontaneously described pictures that elicited the primed structures; and finally made recognition judgments for the prime sentences. Amnesic and control speakers showed significant and equivalent syntactic persistence, despite the amnesic speakers' profoundly impaired recognition memory for the primes. Thus, syntax is maintained by procedural-memory mechanisms. This result reveals that procedural memory is capable of supporting abstract, relational knowledge.

  7. Episodic Memories

    ERIC Educational Resources Information Center

    Conway, Martin A.

    2009-01-01

    An account of episodic memories is developed that focuses on the types of knowledge they represent, their properties, and the functions they might serve. It is proposed that episodic memories consist of "episodic elements," summary records of experience often in the form of visual images, associated to a "conceptual frame" that provides a…

  8. Signal and noise extraction from analog memory elements for neuromorphic computing.

    PubMed

    Gong, N; Idé, T; Kim, S; Boybat, I; Sebastian, A; Narayanan, V; Ando, T

    2018-05-29

    Dense crossbar arrays of non-volatile memory (NVM) can potentially enable massively parallel and highly energy-efficient neuromorphic computing systems. The key requirements for the NVM elements are continuous (analog-like) conductance tuning capability and switching symmetry with acceptable noise levels. However, most NVM devices show non-linear and asymmetric switching behaviors. Such non-linear behaviors render separation of signal and noise extremely difficult with conventional characterization techniques. In this study, we establish a practical methodology based on Gaussian process regression to address this issue. The methodology is agnostic to switching mechanisms and applicable to various NVM devices. We show tradeoff between switching symmetry and signal-to-noise ratio for HfO 2 -based resistive random access memory. Then, we characterize 1000 phase-change memory devices based on Ge 2 Sb 2 Te 5 and separate total variability into device-to-device variability and inherent randomness from individual devices. These results highlight the usefulness of our methodology to realize ideal NVM devices for neuromorphic computing.

  9. Processing speed and working memory span: their differential role in superficial and deep memory processes in schizophrenia.

    PubMed

    Brébion, Gildas; Bressan, Rodrigo A; Pilowsky, Lyn S; David, Anthony S

    2011-05-01

    Previous work has suggested that decrement in both processing speed and working memory span plays a role in the memory impairment observed in patients with schizophrenia. We undertook a study to examine simultaneously the effect of these two factors. A sample of 49 patients with schizophrenia and 43 healthy controls underwent a battery of verbal and visual memory tasks. Superficial and deep encoding memory measures were tallied. We conducted regression analyses on the various memory measures, using processing speed and working memory span as independent variables. In the patient group, processing speed was a significant predictor of superficial and deep memory measures in verbal and visual memory. Working memory span was an additional significant predictor of the deep memory measures only. Regression analyses involving all participants revealed that the effect of diagnosis on all the deep encoding memory measures was reduced to non-significance when processing speed was entered in the regression. Decreased processing speed is involved in verbal and visual memory deficit in patients, whether the task require superficial or deep encoding. Working memory is involved only insofar as the task requires a certain amount of effort.

  10. Memory reactivation and consolidation during sleep

    PubMed Central

    Paller, Ken A.; Voss, Joel L.

    2004-01-01

    Do our memories remain static during sleep, or do they change? We argue here that memory change is not only a natural result of sleep cognition, but further, that such change constitutes a fundamental characteristic of declarative memories. In general, declarative memories change due to retrieval events at various times after initial learning and due to the formation and elaboration of associations with other memories, including memories formed after the initial learning episode. We propose that declarative memories change both during waking and during sleep, and that such change contributes to enhancing binding of the distinct representational components of some memories, and thus to a gradual process of cross-cortical consolidation. As a result of this special form of consolidation, declarative memories can become more cohesive and also more thoroughly integrated with other stored information. Further benefits of this memory reprocessing can include developing complex networks of interrelated memories, aligning memories with long-term strategies and goals, and generating insights based on novel combinations of memory fragments. A variety of research findings are consistent with the hypothesis that cross-cortical consolidation can progress during sleep, although further support is needed, and we suggest some potentially fruitful research directions. Determining how processing during sleep can facilitate memory storage will be an exciting focus of research in the coming years. PMID:15576883

  11. True photographs and false memories.

    PubMed

    Lindsay, D Stephen; Hagen, Lisa; Read, J Don; Wade, Kimberley A; Garry, Maryanne

    2004-03-01

    Some trauma-memory-oriented psychotherapists advise clients to review old family photo albums to cue suspected "repressed" memories of childhood sexual abuse. Old photos might cue long-forgotten memories, but when combined with other suggestive influences they might also contribute to false memories. We asked 45 undergraduates to work at remembering three school-related childhood events (two true events provided by parents and one pseudoevent). By random assignment, 23 subjects were also given their school classes' group photos from the years of the to-be-recalled events as memory cues. As predicted, the rate of false-memory reports was dramatically higher in the photo condition than in the no-photo condition. Indeed, the rate of false-memory reports in the photo condition was substantially higher than the rate in any previously published study.

  12. Intracranial recordings and human memory.

    PubMed

    Johnson, Elizabeth L; Knight, Robert T

    2015-04-01

    Recent work involving intracranial recording during human memory performance provides superb spatiotemporal resolution on mnemonic processes. These data demonstrate that the cortical regions identified in neuroimaging studies of memory fall into temporally distinct networks and the hippocampal theta activity reported in animal memory literature also plays a central role in human memory. Memory is linked to activity at multiple interacting frequencies, ranging from 1 to 500Hz. High-frequency responses and coupling between different frequencies suggest that frontal cortex activity is critical to human memory processes, as well as a potential key role for the thalamus in neocortical oscillations. Future research will inform unresolved questions in the neuroscience of human memory and guide creation of stimulation protocols to facilitate function in the damaged brain. Copyright © 2014 Elsevier Ltd. All rights reserved.

  13. Practice makes imperfect: Working memory training can harm recognition memory performance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Matzen, Laura E.; Trumbo, Michael C.; Haass, Michael J.

    There is a great deal of debate concerning the benefits of working memory (WM) training and whether that training can transfer to other tasks. Although a consistent finding is that WM training programs elicit a short-term near-transfer effect (i.e., improvement in WM skills), results are inconsistent when considering persistence of such improvement and far transfer effects. In this study, we compared three groups of participants: a group that received WM training, a group that received training on how to use a mental imagery memory strategy, and a control group that received no training. Although the WM training group improved onmore » the trained task, their posttraining performance on nontrained WM tasks did not differ from that of the other two groups. In addition, although the imagery training group’s performance on a recognition memory task increased after training, the WM training group’s performance on the task decreased after training. Participants’ descriptions of the strategies they used to remember the studied items indicated that WM training may lead people to adopt memory strategies that are less effective for other types of memory tasks. Our results indicate that WM training may have unintended consequences for other types of memory performance.« less

  14. Geothermal energy conversion system

    NASA Astrophysics Data System (ADS)

    Goldstein, David

    1991-04-01

    A generator having a tubular gear made of shape memory alloy in sheet-form floatingly supported for rotation about an axis fixedly spaced from the rotational axis of a roller gear presented. The tubular gear is sequentially deformed by exposure to a geothermal heat source and meshing engagement with the roller gear. Such sequential deformation of the tubular gear is controlled by a temperature differential to induce and sustain rotation of the gears in response to which the heat energy is converted into electrical energy.

  15. Memory for Faces Dissociates from Memory for Location Following Anterior Temporal Lobectomy

    ERIC Educational Resources Information Center

    Chiaravalloti, Nancy D.; Glosser, Guila

    2004-01-01

    It has been suggested that the right and left mesial temporal lobes are specialized for processing different types of information for long-term memory (LTM). Although findings have been consistent in regard to the dominant role of the left mesial temporal lobe (MTL) in verbal memory, the role of the right MTL in non-verbal memory remains…

  16. Event Memory: A Theory of Memory for Laboratory, Autobiographical, and Fictional Events

    PubMed Central

    Rubin, David C.; Umanath, Sharda

    2015-01-01

    An event memory is a mental construction of a scene recalled as a single occurrence. It therefore requires the hippocampus and ventral visual stream needed for all scene construction. The construction need not come with a sense of reliving or be made by a participant in the event, and it can be a summary of occurrences from more than one encoding. The mental construction, or physical rendering, of any scene must be done from a specific location and time; this introduces a ‘self’ located in space and time, which is a necessary, but need not be a sufficient, condition for a sense of reliving. We base our theory on scene construction rather than reliving because this allows the integration of many literatures and because there is more accumulated knowledge about scene construction’s phenomenology, behavior, and neural basis. Event memory differs from episodic memory in that it does not conflate the independent dimensions of whether or not a memory is relived, is about the self, is recalled voluntarily, or is based on a single encoding with whether it is recalled as a single occurrence of a scene. Thus, we argue that event memory provides a clearer contrast to semantic memory, which also can be about the self, be recalled voluntarily, and be from a unique encoding; allows for a more comprehensive dimensional account of the structure of explicit memory; and better accounts for laboratory and real world behavioral and neural results, including those from neuropsychology and neuroimaging, than does episodic memory. PMID:25330330

  17. Solid state engine using nitinol memory alloy

    DOEpatents

    Golestaneh, Ahmad A.

    1981-01-01

    A device for converting heat energy to mechanical energy includes a reservoir of a hot fluid and a rotor assembly mounted thereabove so a portion of it dips into the hot fluid. The rotor assembly may include a shaft having four spokes extending radially outwardly therefrom at right angles to each other, a floating ring and four flexible elements composed of a thermal memory material having a critical temperature between the temperature of the hot fluid and that of the ambient atmosphere extending between the ends of the spokes and the floating ring. Preferably, the flexible elements are attached to the floating ring through curved leaf springs. Energetic shape recovery of the flexible elements in the hot fluid causes the rotor assembly to rotate.

  18. Solid state engine using nitinol memory alloy

    DOEpatents

    Golestaneh, A.A.

    1980-01-21

    A device for converting heat energy to mechanical energy includes a reservoir of a hot fluid and a rotor assembly mounted thereabove so a portion of it dips into the hot fluid. The rotor assembly may include a shaft having four spokes extending radially outwardly therefrom at right angles to each other, a floating ring and four flexible elements composed of a thermal memory material having a critical temperature between the temperature of the hot fluid and that of the ambient atmosphere extending between the ends of the spokes and the floating ring. Preferably, the flexible elements are attached to the floating ring through curved leaf springs. Energetic shape recovery of the flexible elements in the hot fluid causes the rotor assembly to rotate.

  19. Analysis of memory use for improved design and compile-time allocation of local memory

    NASA Technical Reports Server (NTRS)

    Mcniven, Geoffrey D.; Davidson, Edward S.

    1986-01-01

    Trace analysis techniques are used to study memory referencing behavior for the purpose of designing local memories and determining how to allocate them for data and instructions. In an attempt to assess the inherent behavior of the source code, the trace analysis system described here reduced the effects of the compiler and host architecture on the trace by using a technical called flattening. The variables in the trace, their associated single-assignment values, and references are histogrammed on the basis of various parameters describing memory referencing behavior. Bounds are developed specifying the amount of memory space required to store all live values in a particular histogram class. The reduction achieved in main memory traffic by allocating local memory is specified for each class.

  20. Improving everyday memory performance after acquired brain injury: An RCT on recollection and working memory training.

    PubMed

    Richter, Kim Merle; Mödden, Claudia; Eling, Paul; Hildebrandt, Helmut

    2018-04-26

    To show the effectiveness of a combined recognition and working memory training on everyday memory performance in patients suffering from organic memory disorders. In this double-blind, randomized controlled Study 36 patients with organic memory impairments, mainly attributable to stroke, were assigned to either the experimental or the active control group. In the experimental group a working memory training was combined with a recollection training based on the repetition-lag procedure. Patients in the active control group received the memory therapy usually provided in the rehabilitation center. Both groups received nine hours of therapy. Prior (T0) and subsequent (T1) to the therapy, patients were evaluated on an everyday memory test (EMT) as well as on a neuropsychological test battery. Based on factor analysis of the neuropsychological test scores at T0 we calculated composite scores for working memory, verbal learning and word fluency. After treatment, the intervention group showed a significantly greater improvement for WM performance compared with the active control group. More importantly, performance on the EMT also improved significantly in patients receiving the recollection and working memory training compared with patients with standard memory training. Our results show that combining working memory and recollection training significantly improves performance on everyday memory tasks, demonstrating far transfer effects. The present study argues in favor of a process-based approach for treating memory impairments. (PsycINFO Database Record (c) 2018 APA, all rights reserved).

  1. Mechanisms of Memory Enhancement

    PubMed Central

    Stern, Sarah A.

    2012-01-01

    The ongoing quest for memory enhancement is one that grows necessary as the global population increasingly ages. The extraordinary progress that has been made in the past few decades elucidating the underlying mechanisms of how long-term memories are formed has provided insight into how memories might also be enhanced. Capitalizing on this knowledge, it has been postulated that targeting many of the same mechanisms, including CREB activation, AMPA/NMDA receptor trafficking, neuromodulation (e.g. via dopamine, adrenaline, cortisol or acetylcholine) and metabolic processes (e.g. via glucose and insulin) may all lead to the enhancement of memory. These and other mechanisms and/or approaches have been tested via genetic or pharmacological methods in animal models, and several have been investigated in humans as well. In addition, a number of behavioral methods, including exercise and reconsolidation, may also serve to strengthen and enhance memories. By capitalizing on this knowledge and continuing to investigate these promising avenues, memory enhancement may indeed be achieved in the future. PMID:23151999

  2. Does PKM(zeta) maintain memory?

    PubMed

    Kwapis, Janine L; Helmstetter, Fred J

    2014-06-01

    Work on the long-term stability of memory has identified a potentially critical role for protein kinase Mzeta (PKMζ) in maintaining established memory. PKMζ, an autonomously active isoform of PKC, is hypothesized to sustain those changes that occurred during memory formation in order to preserve the memory engram over time. Initial studies investigating the role of PKMζ were largely successful in demonstrating a role for the kinase in memory maintenance; disrupting PKMζ activity with ζ-inhibitory peptide (ZIP) was successful in disrupting a variety of established associations in a number of key brain regions. More recent work, however, has questioned both the role of PKMζ in memory maintenance and the effectiveness of ZIP as a specific inhibitor of PKMζ activity. Here, we outline the research both for and against the idea that PKMζ is a memory maintenance mechanism and discuss how these two lines of research can be reconciled. We conclude by proposing a number of studies that would help to clarify the role of PKMζ in memory and define other mechanisms the brain may use to maintain memory. Copyright © 2013 Elsevier Inc. All rights reserved.

  3. Operant conditioning of autobiographical memory retrieval.

    PubMed

    Debeer, Elise; Raes, Filip; Williams, J Mark G; Craeynest, Miet; Hermans, Dirk

    2014-01-01

    Functional avoidance is considered as one of the key mechanisms underlying overgeneral autobiographical memory (OGM). According to this view OGM is regarded as a learned cognitive avoidance strategy, based on principles of operant conditioning; i.e., individuals learn to avoid the emotionally painful consequences associated with the retrieval of specific negative memories. The aim of the present study was to test one of the basic assumptions of the functional avoidance account, namely that autobiographical memory retrieval can be brought under operant control. Here 41 students were instructed to retrieve personal memories in response to 60 emotional cue words. Depending on the condition, they were punished with an aversive sound for the retrieval of specific or nonspecific memories in an operant conditioning procedure. Analyzes showed that the course of memory specificity significantly differed between conditions. After the procedure participants punished for nonspecific memories retrieved significantly more specific memories compared to participants punished for specific memories. However, whereas memory specificity significantly increased in participants punished for specific memories, it did not significantly decrease in participants punished for nonspecific memories. Thus, while our findings indicate that autobiographical memory retrieval can be brought under operant control, they do not support a functional avoidance view on OGM.

  4. An associative capacitive network based on nanoscale complementary resistive switches for memory-intensive computing

    NASA Astrophysics Data System (ADS)

    Kavehei, Omid; Linn, Eike; Nielen, Lutz; Tappertzhofen, Stefan; Skafidas, Efstratios; Valov, Ilia; Waser, Rainer

    2013-05-01

    We report on the implementation of an Associative Capacitive Network (ACN) based on the nondestructive capacitive readout of two Complementary Resistive Switches (2-CRSs). ACNs are capable of performing a fully parallel search for Hamming distances (i.e. similarity) between input and stored templates. Unlike conventional associative memories where charge retention is a key function and hence, they require frequent refresh cycles, in ACNs, information is retained in a nonvolatile resistive state and normal tasks are carried out through capacitive coupling between input and output nodes. Each device consists of two CRS cells and no selective element is needed, therefore, CMOS circuitry is only required in the periphery, for addressing and read-out. Highly parallel processing, nonvolatility, wide interconnectivity and low-energy consumption are significant advantages of ACNs over conventional and emerging associative memories. These characteristics make ACNs one of the promising candidates for applications in memory-intensive and cognitive computing, switches and routers as binary and ternary Content Addressable Memories (CAMs) and intelligent data processing.

  5. The effect of mild acute stress during memory consolidation on emotional recognition memory.

    PubMed

    Corbett, Brittany; Weinberg, Lisa; Duarte, Audrey

    2017-11-01

    Stress during consolidation improves recognition memory performance. Generally, this memory benefit is greater for emotionally arousing stimuli than neutral stimuli. The strength of the stressor also plays a role in memory performance, with memory performance improving up to a moderate level of stress and thereafter worsening. As our daily stressors are generally minimal in strength, we chose to induce mild acute stress to determine its effect on memory performance. In the current study, we investigated if mild acute stress during consolidation improves memory performance for emotionally arousing images. To investigate this, we had participants encode highly arousing negative, minimally arousing negative, and neutral images. We induced stress using the Montreal Imaging Stress Task (MIST) in half of the participants and a control task to the other half of the participants directly after encoding (i.e. during consolidation) and tested recognition 48h later. We found no difference in memory performance between the stress and control group. We found a graded pattern among confidence, with responders in the stress group having the least amount of confidence in their hits and controls having the most. Across groups, we found highly arousing negative images were better remembered than minimally arousing negative or neutral images. Although stress did not affect memory accuracy, responders, as defined by cortisol reactivity, were less confident in their decisions. Our results suggest that the daily stressors humans experience, regardless of their emotional affect, do not have adverse effects on memory. Copyright © 2017 Elsevier Inc. All rights reserved.

  6. A Potential Spatial Working Memory Training Task to Improve Both Episodic Memory and Fluid Intelligence

    PubMed Central

    Rudebeck, Sarah R.; Bor, Daniel; Ormond, Angharad; O’Reilly, Jill X.; Lee, Andy C. H.

    2012-01-01

    One current challenge in cognitive training is to create a training regime that benefits multiple cognitive domains, including episodic memory, without relying on a large battery of tasks, which can be time-consuming and difficult to learn. By giving careful consideration to the neural correlates underlying episodic and working memory, we devised a computerized working memory training task in which neurologically healthy participants were required to monitor and detect repetitions in two streams of spatial information (spatial location and scene identity) presented simultaneously (i.e. a dual n-back paradigm). Participants’ episodic memory abilities were assessed before and after training using two object and scene recognition memory tasks incorporating memory confidence judgments. Furthermore, to determine the generalizability of the effects of training, we also assessed fluid intelligence using a matrix reasoning task. By examining the difference between pre- and post-training performance (i.e. gain scores), we found that the trainers, compared to non-trainers, exhibited a significant improvement in fluid intelligence after 20 days. Interestingly, pre-training fluid intelligence performance, but not training task improvement, was a significant predictor of post-training fluid intelligence improvement, with lower pre-training fluid intelligence associated with greater post-training gain. Crucially, trainers who improved the most on the training task also showed an improvement in recognition memory as captured by d-prime scores and estimates of recollection and familiarity memory. Training task improvement was a significant predictor of gains in recognition and familiarity memory performance, with greater training improvement leading to more marked gains. In contrast, lower pre-training recollection memory scores, and not training task improvement, led to greater recollection memory performance after training. Our findings demonstrate that practice on a single

  7. A potential spatial working memory training task to improve both episodic memory and fluid intelligence.

    PubMed

    Rudebeck, Sarah R; Bor, Daniel; Ormond, Angharad; O'Reilly, Jill X; Lee, Andy C H

    2012-01-01

    One current challenge in cognitive training is to create a training regime that benefits multiple cognitive domains, including episodic memory, without relying on a large battery of tasks, which can be time-consuming and difficult to learn. By giving careful consideration to the neural correlates underlying episodic and working memory, we devised a computerized working memory training task in which neurologically healthy participants were required to monitor and detect repetitions in two streams of spatial information (spatial location and scene identity) presented simultaneously (i.e. a dual n-back paradigm). Participants' episodic memory abilities were assessed before and after training using two object and scene recognition memory tasks incorporating memory confidence judgments. Furthermore, to determine the generalizability of the effects of training, we also assessed fluid intelligence using a matrix reasoning task. By examining the difference between pre- and post-training performance (i.e. gain scores), we found that the trainers, compared to non-trainers, exhibited a significant improvement in fluid intelligence after 20 days. Interestingly, pre-training fluid intelligence performance, but not training task improvement, was a significant predictor of post-training fluid intelligence improvement, with lower pre-training fluid intelligence associated with greater post-training gain. Crucially, trainers who improved the most on the training task also showed an improvement in recognition memory as captured by d-prime scores and estimates of recollection and familiarity memory. Training task improvement was a significant predictor of gains in recognition and familiarity memory performance, with greater training improvement leading to more marked gains. In contrast, lower pre-training recollection memory scores, and not training task improvement, led to greater recollection memory performance after training. Our findings demonstrate that practice on a single

  8. Is the Link from Working Memory to Analogy Causal? No Analogy Improvements following Working Memory Training Gains

    PubMed Central

    Richey, J. Elizabeth; Phillips, Jeffrey S.; Schunn, Christian D.; Schneider, Walter

    2014-01-01

    Analogical reasoning has been hypothesized to critically depend upon working memory through correlational data [1], but less work has tested this relationship through experimental manipulation [2]. An opportunity for examining the connection between working memory and analogical reasoning has emerged from the growing, although somewhat controversial, body of literature suggests complex working memory training can sometimes lead to working memory improvements that transfer to novel working memory tasks. This study investigated whether working memory improvements, if replicated, would increase analogical reasoning ability. We assessed participants’ performance on verbal and visual analogy tasks after a complex working memory training program incorporating verbal and spatial tasks [3], [4]. Participants’ improvements on the working memory training tasks transferred to other short-term and working memory tasks, supporting the possibility of broad effects of working memory training. However, we found no effects on analogical reasoning. We propose several possible explanations for the lack of an impact of working memory improvements on analogical reasoning. PMID:25188356

  9. Memory function and supportive technology

    PubMed Central

    Charness, Neil; Best, Ryan; Souders, Dustin

    2013-01-01

    Episodic and working memory processes show pronounced age-related decline, with other memory processes such as semantic, procedural, and metamemory less affected. Older adults tend to complain the most about prospective and retrospective memory failures. We introduce a framework for deciding how to mitigate memory decline using augmentation and substitution and discuss techniques that change the user, through mnemonics training, and change the tool or environment, by providing environmental support. We provide examples of low-tech and high-tech memory supports and discuss constraints on the utility of high-tech systems including effectiveness of devices, attitudes toward memory aids, and reliability of systems. PMID:24379752

  10. Neurocognitive architecture of working memory

    PubMed Central

    Eriksson, Johan; Vogel, Edward K.; Lansner, Anders; Bergström, Fredrik; Nyberg, Lars

    2015-01-01

    The crucial role of working memory for temporary information processing and guidance of complex behavior has been recognized for many decades. There is emerging consensus that working memory maintenance results from the interactions among long-term memory representations and basic processes, including attention, that are instantiated as reentrant loops between frontal and posterior cortical areas, as well as subcortical structures. The nature of such interactions can account for capacity limitations, lifespan changes, and restricted transfer after working-memory training. Recent data and models indicate that working memory may also be based on synaptic plasticity, and that working memory can operate on non-consciously perceived information. PMID:26447571

  11. Musical and Verbal Memory in Alzheimer's Disease: A Study of Long-Term and Short-Term Memory

    ERIC Educational Resources Information Center

    Menard, Marie-Claude; Belleville, Sylvie

    2009-01-01

    Musical memory was tested in Alzheimer patients and in healthy older adults using long-term and short-term memory tasks. Long-term memory (LTM) was tested with a recognition procedure using unfamiliar melodies. Short-term memory (STM) was evaluated with same/different judgment tasks on short series of notes. Musical memory was compared to verbal…

  12. Affect influences feature binding in memory: Trading between richness and strength of memory representations.

    PubMed

    Spachtholz, Philipp; Kuhbandner, Christof; Pekrun, Reinhard

    2016-10-01

    Research has shown that long-term memory representations of objects are formed as a natural product of perception even without any intentional memorization. It is not known, however, how rich these representations are in terms of the number of bound object features. In particular, because feature binding rests on resource-limited processes, there may be a context-dependent trade-off between the quantity of stored features and their memory strength. The authors examined whether affective state may bring about such a trade-off. Participants incidentally encoded pictures of real-world objects while experiencing positive or negative affect, and the authors later measured memory for 2 features. Results showed that participants traded between richness and strength of memory representations as a function of affect, with positive affect tuning memory formation toward richness and negative affect tuning memory formation toward strength. These findings demonstrate that memory binding is a flexible process that is modulated by affective state. (PsycINFO Database Record (c) 2016 APA, all rights reserved).

  13. Brain substrates of implicit and explicit memory: the importance of concurrently acquired neural signals of both memory types.

    PubMed

    Voss, Joel L; Paller, Ken A

    2008-11-01

    A comprehensive understanding of human memory requires cognitive and neural descriptions of memory processes along with a conception of how memory processing drives behavioral responses and subjective experiences. One serious challenge to this endeavor is that an individual memory process is typically operative within a mix of other contemporaneous memory processes. This challenge is particularly disquieting in the context of implicit memory, which, unlike explicit memory, transpires without the subject necessarily being aware of memory retrieval. Neural correlates of implicit memory and neural correlates of explicit memory are often investigated in different experiments using very different memory tests and procedures. This strategy poses difficulties for elucidating the interactions between the two types of memory process that may result in explicit remembering, and for determining the extent to which certain neural processing events uniquely contribute to only one type of memory. We review recent studies that have succeeded in separately assessing neural correlates of both implicit memory and explicit memory within the same paradigm using event-related brain potentials (ERPs) and functional magnetic resonance imaging (fMRI), with an emphasis on studies from our laboratory. The strategies we describe provide a methodological framework for achieving valid assessments of memory processing, and the findings support an emerging conceptualization of the distinct neurocognitive events responsible for implicit and explicit memory.

  14. Reduced False Memory after Sleep

    ERIC Educational Resources Information Center

    Fenn, Kimberly M.; Gallo, David A.; Margoliash, Daniel; Roediger, Henry L., III; Nusbaum, Howard C.

    2009-01-01

    Several studies have shown that sleep contributes to the successful maintenance of previously encoded information. This research has focused exclusively on memory for studied events, as opposed to false memories. Here we report three experiments showing that sleep reduces false memories in the Deese-Roediger-McDermott (DRM) memory illusion. False…

  15. Organization and Memory in Adulthood.

    ERIC Educational Resources Information Center

    Hultsch, David F.

    This paper discusses organizational processes and memory in general and organizational processes and adult age differences in memory in particular. The simplest analysis of memory is to divide the process into two parts: storage and retrieval. Studies show that the limitation of memory lies primarily in retrieval rather than storage. Organization…

  16. Two measures of bilingualism in the memories of immigrants and indigenous minorities: crossover memories and codeswitching.

    PubMed

    Altman, Carmit

    2015-04-01

    Two indices of bilingualism, crossover memories and codeswitching (CS), were explored in five groups of immigrant (English-Hebrew, Georgian-Hebrew Russian-Hebrew) and indigenous bilinguals (Arabic-Hebrew, Hebrew-English). Participants recalled memories in response to cue words and then were asked to report the language of retrieval and provide a more elaborate narrative. More memories were 'same language' memories, recalled in the language of the experimental session/cue word, but as many as 48 % of the memories were crossovers, i.e. memories reported in a language other than the language of the session/cue word. In an effort to examine the ecological validity of the self-reported language of the memories, the frequency of CS in the elaborated narratives was investigated. For the entire sample, more CS was found for self-reported crossover memories in L2 sessions. In a further analysis of CS in crossover memories, collapsed across L1 and L2 sessions, significant differences emerged between immigrants and indigenous bilinguals. Differences between immigrant and non-immigrant bilinguals are discussed in terms of the role of activation in crossover memories.

  17. Autobiographical Memory Functioning among Abused, Neglected, and Nonmaltreated Children: The Overgeneral Memory Effect

    ERIC Educational Resources Information Center

    Valentino, Kristin; Toth, Sheree L.; Cicchetti, Dante

    2009-01-01

    Background: This investigation addresses whether there are differences in the form and content of autobiographical memory recall as a function of maltreatment, and examines the roles of self-system functioning and psychopathology in autobiographical memory processes. Methods: Autobiographical memory for positive and negative nontraumatic events…

  18. Iconic Memories Die a Sudden Death.

    PubMed

    Pratte, Michael S

    2018-06-01

    Iconic memory is characterized by its large storage capacity and brief storage duration, whereas visual working memory is characterized by its small storage capacity. The limited information stored in working memory is often modeled as an all-or-none process in which studied information is either successfully stored or lost completely. This view raises a simple question: If almost all viewed information is stored in iconic memory, yet one second later most of it is completely absent from working memory, what happened to it? Here, I characterized how the precision and capacity of iconic memory changed over time and observed a clear dissociation: Iconic memory suffered from a complete loss of visual items, while the precision of items retained in memory was only marginally affected by the passage of time. These results provide new evidence for the discrete-capacity view of working memory and a new characterization of iconic memory decay.

  19. The chemotherapeutic agent paclitaxel selectively impairs learning while sparing source memory and spatial memory.

    PubMed

    Smith, Alexandra E; Slivicki, Richard A; Hohmann, Andrea G; Crystal, Jonathon D

    2017-03-01

    Chemotherapeutic agents are widely used to treat patients with systemic cancer. The efficacy of these therapies is undermined by their adverse side-effect profiles such as cognitive deficits that have a negative impact on the quality of life of cancer survivors. Cognitive side effects occur across a variety of domains, including memory, executive function, and processing speed. Such impairments are exacerbated under cognitive challenges and a subgroup of patients experience long-term impairments. Episodic memory in rats can be examined using a source memory task. In the current study, rats received paclitaxel, a taxane-derived chemotherapeutic agent, and learning and memory functioning was examined using the source memory task. Treatment with paclitaxel did not impair spatial and episodic memory, and paclitaxel treated rats were not more susceptible to cognitive challenges. Under conditions in which memory was not impaired, paclitaxel treatment impaired learning of new rules, documenting a decreased sensitivity to changes in experimental contingencies. These findings provide new information on the nature of cancer chemotherapy-induced cognitive impairments, particularly regarding the incongruent vulnerability of episodic memory and new learning following treatment with paclitaxel. Copyright © 2016 Elsevier B.V. All rights reserved.

  20. Cognitive control over memory - individual differences in memory performance for emotional and neutral material.

    PubMed

    Wierzba, M; Riegel, M; Wypych, M; Jednoróg, K; Grabowska, A; Marchewka, A

    2018-02-28

    It is widely accepted that people differ in memory performance. The ability to control one's memory depends on multiple factors, including the emotional properties of the memorized material. While it was widely demonstrated that emotion can facilitate memory, it is unclear how emotion modifies our ability to suppress memory. One of the reasons for the lack of consensus among researchers is that individual differences in memory performance were largely neglected in previous studies. We used the directed forgetting paradigm in an fMRI study, in which subjects viewed neutral and emotional words, which they were instructed to remember or to forget. Subsequently, subjects' memory of these words was tested. Finally, they assessed the words on scales of valence, arousal, sadness and fear. We found that memory performance depended on instruction as reflected in the engagement of the lateral prefrontal cortex (lateral PFC), irrespective of emotional properties of words. While the lateral PFC engagement did not differ between neutral and emotional conditions, it correlated with behavioural performance when emotional - as opposed to neutral - words were presented. A deeper understanding of the underlying brain mechanisms is likely to require a study of individual differences in cognitive abilities to suppress memory.