Sample records for metal-insulator-semiconductor mis capacitor

  1. Characterization of micro-resonator based on enhanced metal insulator semiconductor capacitor for glucose recognition.

    PubMed

    Dhakal, Rajendra; Kim, E S; Jo, Yong-Hwa; Kim, Sung-Soo; Kim, Nam-Young

    2017-03-01

    We present a concept for the characterization of micro-fabricated based resonator incorporating air-bridge metal-insulator-semiconductor (MIS) capacitor to continuously monitor an individual's state of glucose levels based on frequency variation. The investigation revealed that, the micro-resonator based on MIS capacitor holds considerable promise for implementation and recognition as a glucose sensor for human serum. The discrepancy in complex permittivity as a result of enhanced capacitor was achieved for the detection and determination of random glucose concentration levels using a unique variation of capacitor that indeed results in an adequate variation of the resonance frequency. Moreover, the design and development of micro-resonator with enhanced MIS capacitor generate a resolution of 112.38 × 10 -3 pF/mg/dl, minimum detectable glucose level of 7.45mg/dl, and a limit of quantification of 22.58mg/dl. Additionally, this unique approach offers long-term reliability for mediator-free glucose sensing with a relative standard deviation of less than 0.5%. Copyright © 2017 IPEM. Published by Elsevier Ltd. All rights reserved.

  2. Metal-insulator-semiconductor capacitors with bismuth oxide as insulator

    NASA Astrophysics Data System (ADS)

    Raju, T. A.; Talwai, A. S.

    1981-07-01

    Metal-insulator-semiconductor capacitors using aluminum Bi2O3 and silicon have been studied for varactor applications. Reactively sputtered Bi2O3 films which under suitable proportions of oxygen and argon and had high resistivity suitable for device applications showed a dielectric constant of 25.

  3. MIS capacitor studies on silicon carbide single crystals

    NASA Technical Reports Server (NTRS)

    Kopanski, J. J.

    1990-01-01

    Cubic SIC metal-insulator-semiconductor (MIS) capacitors with thermally grown or chemical-vapor-deposited (CVD) insulators were characterized by capacitance-voltage (C-V), conductance-voltage (G-V), and current-voltage (I-V) measurements. The purpose of these measurements was to determine the four charge densities commonly present in an MIS capacitor (oxide fixed charge, N(f); interface trap level density, D(it); oxide trapped charge, N(ot); and mobile ionic charge, N(m)) and to determine the stability of the device properties with electric-field stress and temperature. The section headings in the report include the following: Capacitance-voltage and conductance-voltage measurements; Current-voltage measurements; Deep-level transient spectroscopy; and Conclusions (Electrical characteristics of SiC MIS capacitors).

  4. Bipolar resistive switching in metal-insulator-semiconductor nanostructures based on silicon nitride and silicon oxide

    NASA Astrophysics Data System (ADS)

    Koryazhkina, M. N.; Tikhov, S. V.; Mikhaylov, A. N.; Belov, A. I.; Korolev, D. S.; Antonov, I. N.; Karzanov, V. V.; Gorshkov, O. N.; Tetelbaum, D. I.; Karakolis, P.; Dimitrakis, P.

    2018-03-01

    Bipolar resistive switching in metal-insulator-semiconductor (MIS) capacitor-like structures with an inert Au top electrode and a Si3N4 insulator nanolayer (6 nm thick) has been observed. The effect of a highly doped n +-Si substrate and a SiO2 interlayer (2 nm) is revealed in the changes in the semiconductor space charge region and small-signal parameters of parallel and serial equivalent circuit models measured in the high- and low-resistive capacitor states, as well as under laser illumination. The increase in conductivity of the semiconductor capacitor plate significantly reduces the charging and discharging times of capacitor-like structures.

  5. The role of ultra-thin SiO2 layers in metal-insulator-semiconductor (MIS) photoelectrochemical devices (Presentation Recording)

    NASA Astrophysics Data System (ADS)

    Esposito, Daniel V.

    2015-08-01

    Solid-state junctions based on a metal-insulator-semiconductor (MIS) architecture are of great interest for a number of optoelectronic applications such as photovoltaics, photoelectrochemical cells, and photodetection. One major advantage of the MIS junction compared to the closely related metal-semiconductor junction, or Schottky junction, is that the thin insulating layer (1-3 nm thick) that separates the metal and semiconductor can significantly reduce the density of undesirable interfacial mid-gap states. The reduction in mid-gap states helps "un-pin" the junction, allowing for significantly higher built-in-voltages to be achieved. A second major advantage of the MIS junction is that the thin insulating layer can also protect the underlying semiconductor from corrosion in an electrochemical environment, making the MIS architecture well-suited for application in (photo)electrochemical applications. In this presentation, discontinuous Si-based MIS junctions immersed in electrolyte are explored for use as i.) photoelectrodes for solar-water splitting in photoelectrochemical cells (PECs) and ii.) position-sensitive photodetectors. The development and optimization of MIS photoelectrodes for both of these applications relies heavily on understanding how processing of the thin SiO2 layer impacts the properties of nano- and micro-scale MIS junctions, as well as the interactions of the insulating layer with the electrolyte. In this work, we systematically explore the effects of insulator thickness, synthesis method, and chemical treatment on the photoelectrochemical and electrochemical properties of these MIS devices. It is shown that electrolyte-induced inversion plays a critical role in determining the charge carrier dynamics within the MIS photoelectrodes for both applications.

  6. A difference in using atomic layer deposition or physical vapour deposition TiN as electrode material in metal-insulator-metal and metal-insulator-silicon capacitors.

    PubMed

    Groenland, A W; Wolters, R A M; Kovalgin, A Y; Schmitz, J

    2011-09-01

    In this work, metal-insulator-metal (MIM) and metal-insulator-silicon (MIS) capacitors are studied using titanium nitride (TiN) as the electrode material. The effect of structural defects on the electrical properties on MIS and MIM capacitors is studied for various electrode configurations. In the MIM capacitors the bottom electrode is a patterned 100 nm TiN layer (called BE type 1), deposited via sputtering, while MIS capacitors have a flat bottom electrode (called BE type 2-silicon substrate). A high quality 50-100 nm thick SiO2 layer, made by inductively-coupled plasma CVD at 150 degrees C, is deposited as a dielectric on top of both types of bottom electrodes. BE type 1 (MIM) capacitors have a varying from low to high concentration of structural defects in the SiO2 layer. BE type 2 (MIS) capacitors have a low concentration of structural defects and are used as a reference. Two sets of each capacitor design are fabricated with the TiN top electrode deposited either via physical vapour deposition (PVD, i.e., sputtering) or atomic layer deposition (ALD). The MIM and MIS capacitors are electrically characterized in terms of the leakage current at an electric field of 0.1 MV/cm (I leak) and for different structural defect concentrations. It is shown that the structural defects only show up in the electrical characteristics of BE type 1 capacitors with an ALD TiN-based top electrode. This is due to the excellent step coverage of the ALD process. This work clearly demonstrates the sensitivity to process-induced structural defects, when ALD is used as a step in process integration of conductors on insulation materials.

  7. Floating-gate memory based on an organic metal-insulator-semiconductor capacitor

    NASA Astrophysics Data System (ADS)

    William, S.; Mabrook, M. F.; Taylor, D. M.

    2009-08-01

    A floating gate memory element is described which incorporates an evaporated gold film embedded in the gate dielectric of a metal-insulator-semiconductor capacitor based on poly(3-hexylthiophene). On exceeding a critical amplitude in the voltage sweep, hysteresis is observed in the capacitance-voltage (C-V) and current-voltage (I-V) characteristics of the device. The anticlockwise hysteresis in C-V is consistent with strong electron trapping during the positive cycle but little hole trapping during the negative cycle. We argue that the clockwise hysteresis observed in the negative cycle of the I-V plot, arises from leakage of trapped holes through the underlying insulator to the control gate.

  8. Fabrication of (NH4)2S passivated GaAs metal-insulator-semiconductor devices using low-frequency plasma-enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Jaouad, A.; Aimez, V.; Aktik, Ç.; Bellatreche, K.; Souifi, A.

    2004-05-01

    Metal-insulator-semiconductor (MIS) capacitors were fabricated on n-GaAs(100) substrate using (NH4)2S surface passivation and low-frequency plasma-enhanced chemical vapor deposited silicon nitride as gate insulators. The electrical properties of the fabricated MIS capacitors were analyzed using high-frequency capacitance-voltage and conductance-voltage measurements. The high concentration of hydrogen present during low-frequency plasma deposition of silicon nitride enhances the passivation of GaAs surface, leading to the unpinning of the Fermi level and to a good modulation of the surface potential by gate voltage. The electrical properties of the insulator-semiconductor interface are improved after annealing at 450 °C for 60 s, as a significant reduction of the interface fixed charges and of the interface states density is put into evidence. The minimum interface states density was found to be about 3×1011 cm-2 eV-1, as estimated by the Terman method. .

  9. Optical control of capacitance in a metal-insulator-semiconductor diode with embedded metal nanoparticles

    NASA Astrophysics Data System (ADS)

    Mikhelashvili, V.; Ankonina, G.; Kauffmann, Y.; Atiya, G.; Kaplan, W. D.; Padmanabhan, R.; Eisenstein, G.

    2017-06-01

    This paper describes a metal-insulator-semiconductor (MIS) capacitor with flat capacitance voltage characteristics and a small quadratic voltage capacitance coefficient. The device characteristics resemble a metal-insulator-metal diode except that here the capacitance depends on illumination and exhibits a strong frequency dispersion. The device incorporates Fe nanoparticles (NPs), mixed with SrF2, which are embedded in an insulator stack of SiO2 and HfO2. Positively charged Fe ions induce dipole type traps with an electronic polarization that is enhanced by photogenerated carriers injected from the substrate and/or by inter nanoparticle exchange of carriers. The obtained characteristics are compared with those of five other MIS structures: two based on Fe NPs, one with and the other without SrF2 sublayers. Additionally, devices contain Co NPs embedded in SrF2 sublayers, and finally, two structures have no NPs, with one based on a stack of SiO2 and HfO2 and the other which also includes SrF2. Only structures containing Fe NPs, which are incorporated into SrF2, yield a voltage independent capacitance, the level of which can be changed by illumination. These properties are essential in radio frequency/analog mixed signal applications.

  10. Metal-Insulator-Semiconductor Nanowire Network Solar Cells.

    PubMed

    Oener, Sebastian Z; van de Groep, Jorik; Macco, Bart; Bronsveld, Paula C P; Kessels, W M M; Polman, Albert; Garnett, Erik C

    2016-06-08

    Metal-insulator-semiconductor (MIS) junctions provide the charge separating properties of Schottky junctions while circumventing the direct and detrimental contact of the metal with the semiconductor. A passivating and tunnel dielectric is used as a separation layer to reduce carrier recombination and remove Fermi level pinning. When applied to solar cells, these junctions result in two main advantages over traditional p-n-junction solar cells: a highly simplified fabrication process and excellent passivation properties and hence high open-circuit voltages. However, one major drawback of metal-insulator-semiconductor solar cells is that a continuous metal layer is needed to form a junction at the surface of the silicon, which decreases the optical transmittance and hence short-circuit current density. The decrease of transmittance with increasing metal coverage, however, can be overcome by nanoscale structures. Nanowire networks exhibit precisely the properties that are required for MIS solar cells: closely spaced and conductive metal wires to induce an inversion layer for homogeneous charge carrier extraction and simultaneously a high optical transparency. We experimentally demonstrate the nanowire MIS concept by using it to make silicon solar cells with a measured energy conversion efficiency of 7% (∼11% after correction), an effective open-circuit voltage (Voc) of 560 mV and estimated short-circuit current density (Jsc) of 33 mA/cm(2). Furthermore, we show that the metal nanowire network can serve additionally as an etch mask to pattern inverted nanopyramids, decreasing the reflectivity substantially from 36% to ∼4%. Our extensive analysis points out a path toward nanowire based MIS solar cells that exhibit both high Voc and Jsc values.

  11. Plasma Deposited SiO2 for Planar Self-Aligned Gate Metal-Insulator-Semiconductor Field Effect Transistors on Semi-Insulating InP

    NASA Technical Reports Server (NTRS)

    Tabory, Charles N.; Young, Paul G.; Smith, Edwyn D.; Alterovitz, Samuel A.

    1994-01-01

    Metal-insulator-semiconductor (MIS) field effect transistors were fabricated on InP substrates using a planar self-aligned gate process. A 700-1000 A gate insulator of Si02 doped with phosphorus was deposited by a direct plasma enhanced chemical vapor deposition at 400 mTorr, 275 C, 5 W, and power density of 8.5 MW/sq cm. High frequency capacitance-voltage measurements were taken on MIS capacitors which have been subjected to a 700 C anneal and an interface state density of lxl0(exp 11)/eV/cq cm was found. Current-voltage measurements of the capacitors show a breakdown voltage of 107 V/cm and a insulator resistivity of 10(exp 14) omega cm. Transistors were fabricated on semi-insulating InP using a standard planar self-aligned gate process in which the gate insulator was subjected to an ion implantation activation anneal of 700 C. MIS field effect transistors gave a maximum extrinsic transconductance of 23 mS/mm for a gate length of 3 microns. The drain current drift saturated at 87.5% of the initial current, while reaching to within 1% of the saturated value after only 1x10(exp 3). This is the first reported viable planar InP self-aligned gate transistor process reported to date.

  12. Temperature dependent electrical characterisation of Pt/HfO{sub 2}/n-GaN metal-insulator-semiconductor (MIS) Schottky diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shetty, Arjun, E-mail: arjun@ece.iisc.ernet.in; Vinoy, K. J.; Roul, Basanta

    2015-09-15

    This paper reports an improvement in Pt/n-GaN metal-semiconductor (MS) Schottky diode characteristics by the introduction of a layer of HfO{sub 2} (5 nm) between the metal and semiconductor interface. The resulting Pt/HfO{sub 2}/n-GaN metal-insulator-semiconductor (MIS) Schottky diode showed an increase in rectification ratio from 35.9 to 98.9(@ 2V), increase in barrier height (0.52 eV to 0.63eV) and a reduction in ideality factor (2.1 to 1.3) as compared to the MS Schottky. Epitaxial n-type GaN films of thickness 300nm were grown using plasma assisted molecular beam epitaxy (PAMBE). The crystalline and optical qualities of the films were confirmed using high resolutionmore » X-ray diffraction and photoluminescence measurements. Metal-semiconductor (Pt/n-GaN) and metal-insulator-semiconductor (Pt/HfO{sub 2}/n-GaN) Schottky diodes were fabricated. To gain further understanding of the Pt/HfO{sub 2}/GaN interface, I-V characterisation was carried out on the MIS Schottky diode over a temperature range of 150 K to 370 K. The barrier height was found to increase (0.3 eV to 0.79 eV) and the ideality factor decreased (3.6 to 1.2) with increase in temperature from 150 K to 370 K. This temperature dependence was attributed to the inhomogeneous nature of the contact and the explanation was validated by fitting the experimental data into a Gaussian distribution of barrier heights.« less

  13. Studies of Large-Area Inversion-Layer Metal-Insulator-Semiconductor (IL/MIS) Solar Cells and Arrays

    NASA Technical Reports Server (NTRS)

    Ho, Fat Duen

    1996-01-01

    Many inversion-layer metal-insulator-semiconductor (IL/MIS) solar cells have been fabricated. There are around eighteen 1 cm(exp 2) IL/MIS solar cells which have efficiencies greater than 7%. There are only about three 19 cm(exp 2) IL/MIS cells which have efficiencies greater than 4%. The more accurate control of the thickness of the thin layer of oxide between aluminum and silicon of the MIS contacts has been achieved. A lot of effort and progress have been made in this area. A comprehensive model for MIS contacts under dark conditions has been developed that covers a wide range of parameters. It has been applied to MIS solar cells. One of the main advantages of these models is the prediction of the range of the thin oxide thickness versus the maximum efficiencies of the MIS solar cells. This is particularly important when the thickness is increased to 25 A. This study is very useful for our investigation of the IL/MIS solar cells. The two-dimensional numerical model for the IL/MIS solar cells has been tried to develop and the results are presented in this report.

  14. Metal-Insulator-Semiconductor Diode Consisting of Two-Dimensional Nanomaterials.

    PubMed

    Jeong, Hyun; Oh, Hye Min; Bang, Seungho; Jeong, Hyeon Jun; An, Sung-Jin; Han, Gang Hee; Kim, Hyun; Yun, Seok Joon; Kim, Ki Kang; Park, Jin Cheol; Lee, Young Hee; Lerondel, Gilles; Jeong, Mun Seok

    2016-03-09

    We present a novel metal-insulator-semiconductor (MIS) diode consisting of graphene, hexagonal BN, and monolayer MoS2 for application in ultrathin nanoelectronics. The MIS heterojunction structure was fabricated by vertically stacking layered materials using a simple wet chemical transfer method. The stacking of each layer was confirmed by confocal scanning Raman spectroscopy and device performance was evaluated using current versus voltage (I-V) and photocurrent measurements. We clearly observed better current rectification and much higher current flow in the MIS diode than in the p-n junction and the metal-semiconductor diodes made of layered materials. The I-V characteristic curve of the MIS diode indicates that current flows mainly across interfaces as a result of carrier tunneling. Moreover, we observed considerably high photocurrent from the MIS diode under visible light illumination.

  15. Improved insulator layer for MIS devices

    NASA Technical Reports Server (NTRS)

    Miller, W. E.

    1980-01-01

    Insulating layer of supersonic conductor such as LaF sub 3 has been shown able to impart improved electrical properties to photoconductive detectors and promises to improve other metal/insulator/semiconductor (MIS) devices, e.g., MOSFET and integrated circuits.

  16. Fabrication of Ta2O5/GeNx gate insulator stack for Ge metal-insulator-semiconductor structures by electron-cyclotron-resonance plasma nitridation and sputtering deposition techniques

    NASA Astrophysics Data System (ADS)

    Otani, Yohei; Itayama, Yasuhiro; Tanaka, Takuo; Fukuda, Yukio; Toyota, Hiroshi; Ono, Toshiro; Mitsui, Minoru; Nakagawa, Kiyokazu

    2007-04-01

    The authors have fabricated germanium (Ge) metal-insulator-semiconductor (MIS) structures with a 7-nm-thick tantalum pentaoxide (Ta2O5)/2-nm-thick germanium nitride (GeNx) gate insulator stack by electron-cyclotron-resonance plasma nitridation and sputtering deposition. They found that pure GeNx ultrathin layers can be formed by the direct plasma nitridation of the Ge surface without substrate heating. X-ray photoelectron spectroscopy revealed no oxidation of the GeNx layer after the Ta2O5 sputtering deposition. The fabricated MIS capacitor with a capacitance equivalent thickness of 4.3nm showed excellent leakage current characteristics. The interface trap density obtained by the modified conductance method was 4×1011cm-2eV-1 at the midgap.

  17. Metal-Insulator-Semiconductor Photodetectors

    PubMed Central

    Lin, Chu-Hsuan; Liu, Chee Wee

    2010-01-01

    The major radiation of the Sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III–V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows. PMID:22163382

  18. Suppression of Leakage Current of Metal-Insulator-Semiconductor Ta2O5 Capacitors with Al2O3/SiON Buffer Layer

    NASA Astrophysics Data System (ADS)

    Tonomura, Osamu; Miki, Hiroshi; Takeda, Ken-ichi

    2011-10-01

    An Al2O3/SiO buffer layer was incorporated in a metal-insulator-semiconductor (MIS) Ta2O5 capacitor for dynamic random access memory (DRAM) application. Al2O3 was chosen for the buffer layer owing to its high band offset against silicon and oxidation resistance against increase in effective oxide thickness (EOT). It was clarified that post-deposition annealing in nitrogen at 800 °C for 600 s increased the band offset between Al2O3 and the lower electrode and decreased leakage current by two orders of magnitude at 1 V. Furthermore, we predicted and experimentally confirmed that there was an optimized value of y in (Si3N4)y(SiO2)(1-y), which is 0.58, for minimizing the leakage current and EOT of SiON. To clarify the oxidation resistance and appropriate thickness of Al2O3, a TiN/Ta2O5/Al2O3/SiON/polycrystalline-silicon capacitor was fabricated. It was confirmed that the lower electrode was not oxidized during the crystallization annealing of Ta2O5. By setting the Al2O3 thickness to 3.4 nm, the leakage current is lowered below the required value with an EOT of 3.6 nm.

  19. Estimation of carrier mobility and charge behaviors of organic semiconductor films in metal-insulator-semiconductor diodes consisting of high-k oxide/organic semiconductor double layers

    NASA Astrophysics Data System (ADS)

    Chosei, Naoya; Itoh, Eiji

    2018-02-01

    We have comparatively studied the charge behaviors of organic semiconductor films based on charge extraction by linearly increasing voltage in a metal-insulator-semiconductor (MIS) diode structure (MIS-CELIV) and by classical capacitance-voltage measurement. The MIS-CELIV technique allows the selective measurement of electron and hole mobilities of n- and p-type organic films with thicknesses representative of those of actual devices. We used an anodic oxidized sputtered Ta or Hf electrode as a high-k layer, and it effectively blocked holes at the insulator/semiconductor interface. We estimated the hole mobilities of the polythiophene derivatives regioregular poly(3-hexylthiophene) (P3HT) and poly(3,3‧‧‧-didodecylquarterthiophene) (PQT-12) before and after heat treatment in the ITO/high-k/(thin polymer insulator)/semiconductor/MoO3/Ag device structure. The hole mobility of PQT-12 was improved from 1.1 × 10-5 to 2.1 × 10-5 cm2 V-1 s-1 by the heat treatment of the device at 100 °C for 30 min. An almost two orders of magnitude higher mobility was obtained in MIS diodes with P3HT as the p-type layer. We also determined the capacitance from the displacement current in MIS diodes at a relatively low-voltage sweep, and it corresponded well to the classical capacitance-voltage and frequency measurement results.

  20. Outline and comparison of the possible effects present in a metal-thin-film-insulator-semiconductor solar cell

    NASA Technical Reports Server (NTRS)

    Fonash, S. J.

    1976-01-01

    The advantages possible with the insertion of a thin-film insulating or semi-insulating layer between a metal and a semiconductor to form the MIS photovoltaic device have been presented previously in the literature. This MIS configuration may be considered as a specific example of a more general class of photovoltaic devices: electrode-thin-film-insulator-semiconductor devices. Since the advantages of the configuration were pointed out, there has been considerable experimental interest in these photovoltaic devices. Because the previous analysis showed that the introduction of the insulator layer could produce several different but advantageous effects, this paper presents a further outline giving a comparison of these effects together with their ramifications.

  1. Graphene-insulator-semiconductor capacitors as superior test structures for photoelectric determination of semiconductor devices band diagrams

    NASA Astrophysics Data System (ADS)

    Piskorski, K.; Passi, V.; Ruhkopf, J.; Lemme, M. C.; Przewlocki, H. M.

    2018-05-01

    We report on the advantages of using Graphene-Insulator-Semiconductor (GIS) instead of Metal-Insulator-Semiconductor (MIS) structures in reliable and precise photoelectric determination of the band alignment at the semiconductor-insulator interface and of the insulator band gap determination. Due to the high transparency to light of the graphene gate in GIS structures large photocurrents due to emission of both electrons and holes from the substrate and negligible photocurrents due to emission of carriers from the gate can be obtained, which allows reliable determination of barrier heights for both electrons, Ee and holes, Eh from the semiconductor substrate. Knowing the values of both Ee and Eh allows direct determination of the insulator band gap EG(I). Photoelectric measurements were made of a series of Graphene-SiO2-Si structures and an example is shown of the results obtained in sequential measurements of the same structure giving the following barrier height values: Ee = 4.34 ± 0.01 eV and Eh = 4.70 ± 0.03 eV. Based on this result and results obtained for other structures in the series we conservatively estimate the maximum uncertainty of both barrier heights estimations at ± 0.05 eV. This sets the SiO2 band gap estimation at EG(I) = 7.92 ± 0.1 eV. It is shown that widely different SiO2 band gap values were found by research groups using various determination methods. We hypothesize that these differences are due to different sensitivities of measurement methods used to the existence of the SiO2 valence band tail.

  2. Electrical and carrier transport properties of the Au/Y2O3/n-GaN metal-insulator-semiconductor (MIS) diode with rare-earth oxide interlayer

    NASA Astrophysics Data System (ADS)

    Venkata Prasad, C.; Rajagopal Reddy, V.; Choi, Chel-Jong

    2017-04-01

    The electrical and transport properties of rare-earth Y2O3 on n-type GaN with Au electrode have been investigated by current-voltage and capacitance-voltage techniques at room temperature. The Au/Y2O3/n-GaN metal-insulator-semiconductor (MIS) diode shows a good rectification behavior compared to the Au/n-GaN metal-semiconductor (MS) diode. Statistical analysis showed that a mean barrier height (BH) and ideality factor are 0.78 eV and 1.93, and 0.96 eV and 2.09 for the Au/n-GaN MS and Au/Y2O3/n-GaN MIS diodes, respectively. Results indicate that the high BH is obtained for the MIS diode compared to the MS diode. The BH, ideality factor and series resistance are also estimated by Cheung's function and Norde method. From the forward current-voltage data, the interface state density ( N SS) is estimated for both the MS and MIS Schottky diodes, and found that the estimated N SS is lower for the MIS diode compared to the MS diode. The results reveal that the introduction of Y2O3 interlayer facilitated the reduction of N SS of the Au/n-GaN interface. Experimental results suggest that the Poole-Frenkel emission is a dominant conduction mechanism in the reverse bias region of both Au/n-GaN MS and Au/Y2O3/n-GaN MIS diodes.

  3. Measuring the lateral charge-carrier mobility in metal-insulator-semiconductor capacitors via Kelvin-probe.

    PubMed

    Milotti, Valeria; Pietsch, Manuel; Strunk, Karl-Philipp; Melzer, Christian

    2018-01-01

    We report a Kelvin-probe method to investigate the lateral charge-transport properties of semiconductors, most notably the charge-carrier mobility. The method is based on successive charging and discharging of a pre-biased metal-insulator-semiconductor stack by an alternating voltage applied to one edge of a laterally confined semiconductor layer. The charge carriers spreading along the insulator-semiconductor interface are directly measured by a Kelvin-probe, following the time evolution of the surface potential. A model is presented, describing the device response for arbitrary applied biases allowing the extraction of the lateral charge-carrier mobility from experimentally measured surface potentials. The method is tested using the organic semiconductor poly(3-hexylthiophene), and the extracted mobilities are validated through current voltage measurements on respective field-effect transistors. Our widely applicable approach enables robust measurements of the lateral charge-carrier mobility in semiconductors with weak impact from the utilized contact materials.

  4. Measuring the lateral charge-carrier mobility in metal-insulator-semiconductor capacitors via Kelvin-probe

    NASA Astrophysics Data System (ADS)

    Milotti, Valeria; Pietsch, Manuel; Strunk, Karl-Philipp; Melzer, Christian

    2018-01-01

    We report a Kelvin-probe method to investigate the lateral charge-transport properties of semiconductors, most notably the charge-carrier mobility. The method is based on successive charging and discharging of a pre-biased metal-insulator-semiconductor stack by an alternating voltage applied to one edge of a laterally confined semiconductor layer. The charge carriers spreading along the insulator-semiconductor interface are directly measured by a Kelvin-probe, following the time evolution of the surface potential. A model is presented, describing the device response for arbitrary applied biases allowing the extraction of the lateral charge-carrier mobility from experimentally measured surface potentials. The method is tested using the organic semiconductor poly(3-hexylthiophene), and the extracted mobilities are validated through current voltage measurements on respective field-effect transistors. Our widely applicable approach enables robust measurements of the lateral charge-carrier mobility in semiconductors with weak impact from the utilized contact materials.

  5. High capacitance density MIS capacitor using Si nanowires by MACE and ALD alumina dielectric

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Leontis, I.; Nassiopoulou, A. G., E-mail: A.Nassiopoulou@inn.demokritos.gr; Botzakaki, M. A.

    2016-06-28

    High capacitance density three-dimensional (3D) metal-insulator-semiconductor (MIS) capacitors using Si nanowires (SiNWs) by metal-assisted chemical etching and atomic-layer-deposited alumina dielectric film were fabricated and electrically characterized. A chemical treatment was used to remove structural defects from the nanowire surface, in order to reduce the density of interface traps at the Al{sub 2}O{sub 3}/SiNW interface. SiNWs with two different lengths, namely, 1.3 μm and 2.4 μm, were studied. A four-fold capacitance density increase compared to a planar reference capacitor was achieved with the 1.3 μm SiNWs. In the case of the 2.4 μm SiNWs this increase was ×7, reaching a value of 4.1 μF/cm{sup 2}. Capacitance-voltagemore » (C-V) measurements revealed that, following a two-cycle chemical treatment, frequency dispersion at accumulation regime and flat-band voltage shift disappeared in the case of the 1.3 μm SiNWs, which is indicative of effective removal of structural defects at the SiNW surface. In the case of the 2.4 μm SiNWs, frequency dispersion at accumulation persisted even after the two-step chemical treatment. This is attributed to a porous Si layer at the SiNW tops, which is not effectively removed by the chemical treatment. The electrical losses of MIS capacitors in both cases of SiNW lengths were studied and will be discussed.« less

  6. Application of polymer-coated metal-insulator-semiconductor sensors for the detection of dissolved hydrogen

    NASA Astrophysics Data System (ADS)

    Li, Dongmei; Medlin, J. W.; Bastasz, R.

    2006-06-01

    The detection of dissolved hydrogen in liquids is crucial to many industrial applications, such as fault detection for oil-filled electrical equipment. To enhance the performance of metal-insulator-semiconductor (MIS) sensors for dissolved hydrogen detection, a palladium MIS sensor has been modified by depositing a polyimide (PI) layer above the palladium surface. Response measurements of the PI-coated sensors in mineral oil indicate that hydrogen is sensitively detected, while the effect of interfering gases on sensor response is minimized.

  7. Highly sensitive optically controlled tunable capacitor and photodetector based on a metal-insulator-semiconductor on silicon-on-insulator substrates

    NASA Astrophysics Data System (ADS)

    Mikhelashvili, V.; Cristea, D.; Meyler, B.; Yofis, S.; Shneider, Y.; Atiya, G.; Cohen-Hyams, T.; Kauffmann, Y.; Kaplan, W. D.; Eisenstein, G.

    2015-01-01

    We describe a new type of optically sensitive tunable capacitor with a wide band response ranging from the ultraviolet (245 nm) to the near infrared (880 nm). It is based on a planar Metal-Oxide-Semiconductor (MOS) structure fabricated on an insulator on silicon substrate where the insulator layer comprises a double layer dielectric stack of SiO2-HfO2. Two operating configurations have been examined, a single diode and a pair of back-to-back connected devices, where either one or both diodes are illuminated. The varactors exhibit, in all cases, very large sensitivities to illumination. Near zero bias, the capacitance dependence on illumination intensity is sub linear and otherwise it is nearly linear. In the back-to-back connected configuration, the reverse biased diode acts as a light tunable resistor whose value affects strongly the capacitance of the second, forward biased, diode and vice versa. The proposed device is superior to other optical varactors in its large sensitivity to illumination in a very broad wavelength range (245 nm-880 nm), the strong capacitance dependence on voltage and the superior current photo responsivity. Above and beyond that structure requires a very simple fabrication process which is CMOS compatible.

  8. Nanostructured Anodic Multilayer Dielectric Stacked Metal-Insulator-Metal Capacitors.

    PubMed

    Karthik, R; Kannadassan, D; Baghini, Maryam Shojaei; Mallick, P S

    2015-12-01

    This paper presents the fabrication of Al2O3/TiO2/Al2O3 metal-insulator-metal (MIM) capacitor using anodization technique. High capacitance density of > 3.5 fF/μm2, low quadratic voltage coefficient of capacitance of < 115 ppm/V2 and a low leakage current density of 4.457 x 10(-11) A/cm2 at 3 V are achieved which are suitable for analog and mixed signal applications. We found that the anodization voltage played a major role in electrical and structural properties of the thin film. This work suggests that the anodization method can offer crystalline multilayer dielectric stack required for high performance MIM capacitor.

  9. Suppression in the electrical hysteresis by using CaF2 dielectric layer for p-GaN MIS capacitors

    NASA Astrophysics Data System (ADS)

    Sang, Liwen; Ren, Bing; Liao, Meiyong; Koide, Yasuo; Sumiya, Masatomo

    2018-04-01

    The capacitance-voltage (C-V) hysteresis in the bidirectional measurements of the p-GaN metal-insulator-semiconductor (MIS) capacitor is suppressed by using a CaF2 dielectric layer and a post annealing treatment. The density of trapped charge states at the CaF2/p-GaN interface is dramatically reduced from 1.3 × 1013 cm2 to 1.1 × 1011/cm2 compared to that of the Al2O3/p-GaN interface with a large C-V hysteresis. It is observed that the disordered oxidized interfacial layer can be avoided by using the CaF2 dielectric. The downward band bending of p-GaN is decreased from 1.51 to 0.85 eV as a result of the low-density oxides-related trap states. Our work indicates that the CaF2 can be used as a promising dielectric layer for the p-GaN MIS structures.

  10. Evaluation of Intrinsic Charge Carrier Transport at Insulator-Semiconductor Interfaces Probed by a Non-Contact Microwave-Based Technique

    PubMed Central

    Honsho, Yoshihito; Miyakai, Tomoyo; Sakurai, Tsuneaki; Saeki, Akinori; Seki, Shu

    2013-01-01

    We have successfully designed the geometry of the microwave cavity and the thin metal electrode, achieving resonance of the microwave cavity with the metal-insulator-semiconductor (MIS) device structure. This very simple MIS device operates in the cavity, where charge carriers are injected quantitatively by an applied bias at the insulator-semiconductor interface. The local motion of the charge carriers was clearly probed through the applied external microwave field, also giving the quantitative responses to the injected charge carrier density and charge/discharge characteristics. By means of the present measurement system named field-induced time-resolved microwave conductivity (FI-TRMC), the pentacene thin film in the MIS device allowed the evaluation of the hole and electron mobility at the insulator-semiconductor interface of 6.3 and 0.34 cm2 V−1 s−1, respectively. This is the first report on the direct, intrinsic, non-contact measurement of charge carrier mobility at interfaces that has been fully experimentally verified. PMID:24212382

  11. H2 evolution at Si-based metal-insulator-semiconductor photoelectrodes enhanced by inversion channel charge collection and H spillover.

    PubMed

    Esposito, Daniel V; Levin, Igor; Moffat, Thomas P; Talin, A Alec

    2013-06-01

    Photoelectrochemical (PEC) water splitting represents a promising route for renewable production of hydrogen, but trade-offs between photoelectrode stability and efficiency have greatly limited the performance of PEC devices. In this work, we employ a metal-insulator-semiconductor (MIS) photoelectrode architecture that allows for stable and efficient water splitting using narrow bandgap semiconductors. Substantial improvement in the performance of Si-based MIS photocathodes is demonstrated through a combination of a high-quality thermal SiO2 layer and the use of bilayer metal catalysts. Scanning probe techniques were used to simultaneously map the photovoltaic and catalytic properties of the MIS surface and reveal the spillover-assisted evolution of hydrogen off the SiO2 surface and lateral photovoltage driven minority carrier transport over distances that can exceed 2 cm. The latter finding is explained by the photo- and electrolyte-induced formation of an inversion channel immediately beneath the SiO2/Si interface. These findings have important implications for further development of MIS photoelectrodes and offer the possibility of highly efficient PEC water splitting.

  12. Photocapacitive MIS infrared detectors

    NASA Technical Reports Server (NTRS)

    Sher, A.; Lu, S. S.-M.; Moriarty, J. A.; Crouch, R. K.; Miller, W. E.

    1978-01-01

    A new class of room-temperature infrared detectors has been developed through use of metal-insulator-semiconductor (MIS) or metal-insulator-semiconductor-insulator-metal (MISIM) slabs. The detectors, which have been fabricated from Si, Ge and GaAs, rely for operation on the electrical capacitance variations induced by modulated incident radiation. The peak detectivity for a 1000-A Si MISIM detector is comparable to that of a conventional Si detector functioning in the photovoltaic mode. Optimization of the photocapacitive-mode detection sensitivity is discussed.

  13. Insulator-semiconductor interface fixed charges in AlGaN/GaN metal-insulator-semiconductor devices with Al2O3 or AlTiO gate dielectrics

    NASA Astrophysics Data System (ADS)

    Le, Son Phuong; Nguyen, Duong Dai; Suzuki, Toshi-kazu

    2018-01-01

    We have investigated insulator-semiconductor interface fixed charges in AlGaN/GaN metal-insulator-semiconductor (MIS) devices with Al2O3 or AlTiO (an alloy of Al2O3 and TiO2) gate dielectrics obtained by atomic layer deposition on AlGaN. Analyzing insulator-thickness dependences of threshold voltages for the MIS devices, we evaluated positive interface fixed charges, whose density at the AlTiO/AlGaN interface is significantly lower than that at the Al2O3/AlGaN interface. This and a higher dielectric constant of AlTiO lead to rather shallower threshold voltages for the AlTiO gate dielectric than for Al2O3. The lower interface fixed charge density also leads to the fact that the two-dimensional electron concentration is a decreasing function of the insulator thickness for AlTiO, whereas being an increasing function for Al2O3. Moreover, we discuss the relationship between the interface fixed charges and interface states. From the conductance method, it is shown that the interface state densities are very similar at the Al2O3/AlGaN and AlTiO/AlGaN interfaces. Therefore, we consider that the lower AlTiO/AlGaN interface fixed charge density is not owing to electrons trapped at deep interface states compensating the positive fixed charges and can be attributed to a lower density of oxygen-related interface donors.

  14. Electron-beam induced damage in thin insulating films on compound semiconductors. M.S. Thesis, 1988

    NASA Technical Reports Server (NTRS)

    Pantic, Dragan M.

    1989-01-01

    Phosphorus rich plasma enhanced chemical vapor deposition (PECVD) of silicon nitride and silicon dioxide films on n-type indium phosphide (InP) substrates were exposed to electron-beam irradiation in the 5 to 40 keV range for the purpose of characterizing the damage induced in the dielectric. The electron-beam exposure was on the range of 10(exp -7) to 10(exp -3) C/sq cm. The damage to the devices was characterized by capacitance-voltage (C-V) measurements of the metal insulator semiconductor (MIS) capacitors. These results were compared to results obtained for radiation damage of thermal silicon dioxide on silicon (Si) MOS capacitors with similar exposures. The radiation induced damage in the PECVD silicon nitride films on InP was successfully annealed out in an hydrogen/nitrogen (H2/N2) ambient at 400 C for 15 min. The PECVD silicon dioxide films on InP had the least radiation damage, while the thermal silicon dioxide films on Si had the most radiation damage.

  15. Ionic behavior of organic-inorganic metal halide perovskite based metal-oxide-semiconductor capacitors.

    PubMed

    Wang, Yucheng; Zhang, Yuming; Pang, Tiqiang; Xu, Jie; Hu, Ziyang; Zhu, Yuejin; Tang, Xiaoyan; Luan, Suzhen; Jia, Renxu

    2017-05-24

    Organic-inorganic metal halide perovskites are promising semiconductors for optoelectronic applications. Despite the achievements in device performance, the electrical properties of perovskites have stagnated. Ion migration is speculated to be the main contributing factor for the many unusual electrical phenomena in perovskite-based devices. Here, to understand the intrinsic electrical behavior of perovskites, we constructed metal-oxide-semiconductor (MOS) capacitors based on perovskite films and performed capacitance-voltage (C-V) and current-voltage (I-V) measurements of the capacitors. The results provide direct evidence for the mixed ionic-electronic transport behavior within perovskite films. In the dark, there is electrical hysteresis in both the C-V and I-V curves because the mobile negative ions take part in charge transport despite frequency modulation. However, under illumination, the large amount of photoexcited free carriers screens the influence of the mobile ions with a low concentration, which is responsible for the normal C-V properties. Validation of ion migration for the gate-control ability of MOS capacitors is also helpful for the investigation of perovskite MOS transistors and other gate-control photovoltaic devices.

  16. Preparation and electrical properties of Cr 2O 3 gate insulator embedded with Fe dot

    NASA Astrophysics Data System (ADS)

    Yokota, Takeshi; Kuribayashi, Takaaki; Murata, Shotaro; Gomi, Manabu

    2008-09-01

    We investigated the electrical properties of a metal (Au)/insulator (magneto-electric materials: Cr 2O 3)/magnetic materials (Fe)/tunnel layer (Cr 2O 3)/semiconductor (Si) capacitor. This capacitor shows the typical capacitance-voltage ( C- V) properties of an Si-MIS capacitor with hysteresis depending on the Fe dispersibility which is determined by the deposition condition. The C- V curve of the only sample having a 0.5 nm Fe layer was seen to have a hysteresis window with a clockwise trace, indicating that electrons have been injected into the ultra-thin Fe layer. The samples having Fe layers of other thicknesses show a counterclockwise trace, which indicates that the film has mobile ionic charges due to the dispersed Fe. These results indicated that the charge-injection site, which works as a memory, in the Cr 2O 3 can be prepared by Fe insertion, which is deposited using well-controlled conditions. The results also revealed the possibility of an MIS capacitor containing both ferromagnetic materials and an ME insulating layer in a single system.

  17. Insulator charging limits direct current across tunneling metal-insulator-semiconductor junctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vilan, Ayelet

    Molecular electronics studies how the molecular nature affects the probability of charge carriers to tunnel through the molecules. Nevertheless, transport is also critically affected by the contacts to the molecules, an aspect that is often overlooked. Specifically, the limited ability of non-metallic contacts to maintain the required charge balance across the fairly insulating molecule often have dramatic effects. This paper shows that in the case of lead/organic monolayer-silicon junctions, a charge balance is responsible for an unusual current scaling, with the junction diameter (perimeter), rather than its area. This is attributed to the balance between the 2D charging at themore » metal/insulator interface and the 3D charging of the semiconductor space-charge region. A derivative method is developed to quantify transport across tunneling metal-insulator-semiconductor junctions; this enables separating the tunneling barrier from the space-charge barrier for a given current-voltage curve, without complementary measurements. The paper provides practical tools to analyze specific molecular junctions compatible with existing silicon technology, and demonstrates the importance of contacts' physics in modeling charge transport across molecular junctions.« less

  18. Metal-insulator-semiconductor heterostructures for plasmonic hot-carrier optoelectronics.

    PubMed

    García de Arquer, F Pelayo; Konstantatos, Gerasimos

    2015-06-01

    Plasmonic hot-electron devices are attractive candidates for light-energy harvesting and photodetection applications. For solid state devices, the most compact and straightforward architecture is the metal-semiconductor Schottky junction. However convenient, this structure introduces limitations such as the elevated dark current associated to thermionic emission, or constraints for device design due to the finite choice of materials. In this work we theoretically consider the metal-insulator-semiconductor heterojunction as a candidate for plasmonic hot-carrier photodetection and solar cells. The presence of the insulating layer can significantly reduce the dark current, resulting in increased device performance with predicted solar power conversion efficiencies up to 9%. For photodetection, the sensitivity can be extended well into the infrared by a judicious choice of the insulating layer, with up to 300-fold expected enhancement in detectivity.

  19. The comprehensive study and the reduction of contact resistivity on the n-InGaAs M-I-S contact system with different inserted insulators

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liao, M.-H., E-mail: mhliaoa@ntu.edu.tw; Lien, C.

    2015-05-15

    Five different kinds of insulators including BaTiO{sub 3}, TiO{sub 2}, Al{sub 2}O{sub 3}, CdO and ZnO on the n-type InGaAs metal-insulator-semiconductor (M-I-S) ohmic contact structure are studied. The effect for the dielectric constant (ε) of inserted insulator and the conduction band offset (CBO) between an insulator and semiconductor substrate is analyzed by a unified M-I-S contact model. Based on the theoretical model and experimental data, we demonstrates that the inserted ZnO insulator with the high electron affinity and the low CBO (∼0.1 eV) to the InGaAs substrate results in ∼10 times contact resistivity reduction, even the ε of ZnO ismore » not pretty high (∼10)« less

  20. Electrostatic analysis of n-doped SrTiO{sub 3} metal-insulator-semiconductor systems

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kamerbeek, A. M., E-mail: a.m.kamerbeek@rug.nl; Banerjee, T.; Hueting, R. J. E.

    2015-12-14

    Electron doped SrTiO{sub 3}, a complex-oxide semiconductor, possesses novel electronic properties due to its strong temperature and electric-field dependent permittivity. Due to the high permittivity, metal/n-SrTiO{sub 3} systems show reasonably strong rectification even when SrTiO{sub 3} is degenerately doped. Our experiments show that the insertion of a sub nanometer layer of AlO{sub x} in between the metal and n-SrTiO{sub 3} interface leads to a dramatic reduction of the Schottky barrier height (from around 0.90 V to 0.25 V). This reduces the interface resistivity by 4 orders of magnitude. The derived electrostatic analysis of the metal-insulator-semiconductor (n-SrTiO{sub 3}) system is consistent with thismore » trend. When compared with a Si based MIS system, the change is much larger and mainly governed by the high permittivity of SrTiO{sub 3}. The non-linear permittivity of n-SrTiO{sub 3} leads to unconventional properties such as a temperature dependent surface potential non-existent for semiconductors with linear permittivity such as Si. This allows tuning of the interfacial band alignment, and consequently the Schottky barrier height, in a much more drastic way than in conventional semiconductors.« less

  1. Effect of annealing temperature on the electrical properties of Au/Ta2O5/n-GaN metal-insulator-semiconductor (MIS) structure

    NASA Astrophysics Data System (ADS)

    Prasanna Lakshmi, B.; Rajagopal Reddy, V.; Janardhanam, V.; Siva Pratap Reddy, M.; Lee, Jung-Hee

    2013-11-01

    We report on the effect of an annealing temperature on the electrical properties of Au/Ta2O5/n-GaN metal-insulator-semiconductor (MIS) structure by current-voltage ( I- V) and capacitance-voltage ( C- V) measurements. The measured Schottky barrier height ( Φ bo) and ideality factor n values of the as-deposited Au/Ta2O5/n-GaN MIS structure are 0.93 eV ( I- V) and 1.19. The barrier height (BH) increases to 1.03 eV and ideality factor decreases to 1.13 upon annealing at 500 ∘C for 1 min under nitrogen ambient. When the contact is annealed at 600 ∘C, the barrier height decreases and the ideality factor increases to 0.99 eV and 1.15. The barrier heights obtained from the C- V measurements are higher than those obtained from I- V measurements, and this indicates the existence of spatial inhomogeneity at the interface. Cheung’s functions are also used to calculate the barrier height ( Φ bo), ideality factor ( n), and series resistance ( R s ) of the Au/Ta2O5/n-GaN MIS structure. Investigations reveal that the Schottky emission is the dominant mechanism and the Poole-Frenkel emission occurs only in the high voltage region. The energy distribution of interface states is determined from the forward bias I- V characteristics by taking into account the bias dependence of the effective barrier height. It is observed that the density value of interface states for the annealed samples with interfacial layer is lower than that of the density value of interface states of the as-deposited sample.

  2. Metal-ferroelectric-metal capacitor based persistent memory for electronic product code class-1 generation-2 uhf passive radio-frequency identification tag

    NASA Astrophysics Data System (ADS)

    Yoon, Bongno; Sung, Man Young; Yeon, Sujin; Oh, Hyun S.; Kwon, Yoonjoo; Kim, Chuljin; Kim, Kyung-Ho

    2009-03-01

    With the circuits using metal-ferroelectric-metal (MFM) capacitor, rf operational signal properties are almost the same or superior to those of polysilicon-insulator-polysilicon, metal-insulator-metal, and metal-oxide-semiconductor (MOS) capacitors. In electronic product code global class-1 generation-2 uhf radio-frequency identification (RFID) protocols, the MFM can play a crucial role in satisfying the specifications of the inventoried flag's persistence times (Tpt) for each session (S0-S3, SL). In this paper, we propose and design a new MFM capacitor based memory scheme of which persistence time for S1 flag is measured at 2.2 s as well as indefinite for S2, S3, and SL flags during the period of power-on. A ferroelectric random access memory embedded RFID tag chip is fabricated with an industry-standard complementary MOS process. The chip size is around 500×500 μm2 and the measured power consumption is about 10 μW.

  3. Improved linearity in AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors with nonlinear polarization dielectric

    NASA Astrophysics Data System (ADS)

    Gao, Tao; Xu, Ruimin; Kong, Yuechan; Zhou, Jianjun; Kong, Cen; Dong, Xun; Chen, Tangsheng

    2015-06-01

    We demonstrate highly improved linearity in a nonlinear ferroelectric of Pb(Zr0.52Ti0.48)-gated AlGaN/GaN metal-insulator-semiconductor high electron mobility transistor (MIS-HEMT). Distinct double-hump feature in the transconductance-gate voltage (gm-Vg) curve is observed, yielding remarkable enhancement in gate voltage swing as compared to MIS-HEMT with conventional linear gate dielectric. By incorporating the ferroelectric polarization into a self-consistent calculation, it is disclosed that in addition to the common hump corresponding to the onset of electron accumulation, the second hump at high current level is originated from the nonlinear polar nature of ferroelectric, which enhances the gate capacitance by increasing equivalent dielectric constant nonlinearly. This work paves a way for design of high linearity GaN MIS-HEMT by exploiting the nonlinear properties of dielectric.

  4. Enhancement on crystallinity property of low annealed PbTiO3 thin films for metal-insulator-metal capacitor

    NASA Astrophysics Data System (ADS)

    Nurbaya, Z.; Wahid, M. H.; Rozana, M. D.; Alrokayan, S. A. H.; Khan, H. A.; Rusop, M.

    2018-05-01

    This study presents the investigation on crystallinity property of PbTiO3 thin films towards metal-insulator-metal capacitor device fabrication. The preparation of the thin films utilizes sol-gel spin coating method with low annealing temperature effect. Hence, structural and electrical characterization is brought to justify the thin films consistency.

  5. Direct Fabrication of Inkjet-Printed Dielectric Film for Metal-Insulator-Metal Capacitors

    NASA Astrophysics Data System (ADS)

    Cho, Cheng-Lin; Kao, Hsuan-ling; Wu, Yung-Hsien; Chang, Li-Chun; Cheng, Chun-Hu

    2018-01-01

    In this study, an inkjet-printed dielectric film that used a polymer-based SU-8 ink was fabricated for use in a metal-insulator-metal (MIM) capacitor. Thermal treatment of the inkjet-printed SU-8 polymer film affected its surface morphology, chemical structure, and surface wettability. A 20-min soft-bake at 60°C was applied to eliminate inkjet-printed bubbles and ripples. The ultraviolet-exposed SU-8 polymer film was crosslinked at temperatures between 120°C and 220°C and became disordered at 270°C, demonstrated using Fourier-transform infrared spectroscopy. A maximum SU-8 polymer film hard-bake temperature of 120°C was identified, and a printing process was subsequently employed because the appropriate water contact angle of the printed film was 79°. Under the appropriate inkjet printing conditions, the two-transmission-line method was used to extract the dielectric and electrical properties of the SU-8 polymer film, and the electrical behavior of the fabricated MIM capacitor was also characterized.

  6. Improved linearity in AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors with nonlinear polarization dielectric

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, Tao; Science and Technology on Monolithic Integrated Circuits and Modules Laboratory, Nanjing Electronic Devices Institute, Nanjing 210016; Xu, Ruimin

    2015-06-15

    We demonstrate highly improved linearity in a nonlinear ferroelectric of Pb(Zr{sub 0.52}Ti{sub 0.48})-gated AlGaN/GaN metal-insulator-semiconductor high electron mobility transistor (MIS-HEMT). Distinct double-hump feature in the transconductance-gate voltage (g{sub m}-V{sub g}) curve is observed, yielding remarkable enhancement in gate voltage swing as compared to MIS-HEMT with conventional linear gate dielectric. By incorporating the ferroelectric polarization into a self-consistent calculation, it is disclosed that in addition to the common hump corresponding to the onset of electron accumulation, the second hump at high current level is originated from the nonlinear polar nature of ferroelectric, which enhances the gate capacitance by increasing equivalent dielectricmore » constant nonlinearly. This work paves a way for design of high linearity GaN MIS-HEMT by exploiting the nonlinear properties of dielectric.« less

  7. An Overview of High-k Oxides on Hydrogenated-Diamond for Metal-Oxide-Semiconductor Capacitors and Field-Effect Transistors.

    PubMed

    Liu, Jiangwei; Koide, Yasuo

    2018-06-04

    Thanks to its excellent intrinsic properties, diamond is promising for applications of high-power electronic devices, ultraviolet detectors, biosensors, high-temperature tolerant gas sensors, etc. Here, an overview of high- k oxides on hydrogenated-diamond (H-diamond) for metal-oxide-semiconductor (MOS) capacitors and MOS field-effect transistors (MOSFETs) is demonstrated. Fabrication routines for the H-diamond MOS capacitors and MOSFETs, band configurations of oxide/H-diamond heterointerfaces, and electrical properties of the MOS and MOSFETs are summarized and discussed. High- k oxide insulators are deposited using atomic layer deposition (ALD) and sputtering deposition (SD) techniques. Electrical properties of the H-diamond MOS capacitors with high- k oxides of ALD-Al₂O₃, ALD-HfO₂, ALD-HfO₂/ALD-Al₂O₃ multilayer, SD-HfO₂/ALD-HfO₂ bilayer, SD-TiO₂/ALD-Al₂O₃ bilayer, and ALD-TiO₂/ALD-Al₂O₃ bilayer are discussed. Analyses for capacitance-voltage characteristics of them show that there are low fixed and trapped charge densities for the ALD-Al₂O₃/H-diamond and SD-HfO₂/ALD-HfO₂/H-diamond MOS capacitors. The k value of 27.2 for the ALD-TiO₂/ALD-Al₂O₃ bilayer is larger than those of the other oxide insulators. Drain-source current versus voltage curves show distinct pitch-off and p -type channel characteristics for the ALD-Al₂O₃/H-diamond, SD-HfO₂/ALD-HfO₂/H-diamond, and ALD-TiO₂/ALD-Al₂O₃/H-diamond MOSFETs. Understanding of fabrication routines and electrical properties for the high- k oxide/H-diamond MOS electronic devices is meaningful for the fabrication of high-performance H-diamond MOS capacitor and MOSFET gas sensors.

  8. Characterization of Interface State in Silicon Carbide Metal Oxide Semiconductor Capacitors

    NASA Astrophysics Data System (ADS)

    Kao, Wei-Chieh

    Silicon carbide (SiC) has always been considered as an excellent material for high temperature and high power devices. Since SiC is the only compound semiconductor whose native oxide is silicon dioxide (SiO2), it puts SiC in a unique position. Although SiC metal oxide semiconductor (MOS) technology has made significant progress in recent years, there are still a number of issues to be overcome before more commercial SiC devices can enter the market. The prevailing issues surrounding SiC MOSFET devices are the low channel mobility, the low quality of the oxide layer and the high interface state density at the SiC/SiO2 interface. Consequently, there is a need for research to be performed in order to have a better understanding of the factors causing the poor SiC/SiO2 interface properties. In this work, we investigated the generation lifetime in SiC materials by using the pulsed metal oxide semiconductor (MOS) capacitor method and measured the interface state density distribution at the SiC/SiO2 interface by using the conductance measurement and the high-low frequency capacitance technique. These measurement techniques have been performed on n-type and p-type SiC MOS capacitors. In the course of our investigation, we observed fast interface states at semiconductor-dielectric interfaces in SiC MOS capacitors that underwent three different interface passivation processes, such states were detected in the nitrided samples but not observed in PSG-passivated samples. This result indicate that the lack of fast states at PSG-passivated interface is one of the main reasons for higher channel mobility in PSG MOSFETs. In addition, the effect of mobile ions in the oxide on the response time of interface states has been investigated. In the last chapter we propose additional methods of investigation that can help elucidate the origin of the particular interface states, enabling a more complete understanding of the SiC/SiO2 material system.

  9. Early Stages of Interface Formation at Compound Semiconductor Surfaces Studied by Scanning Tunneling Microscopy

    DTIC Science & Technology

    1991-10-01

    classical image potential in an ideal creasing gap separation, that is specific to the form of the metal- insulator -semiconductor (MIS) junction...with which one can precisely adjust s, and hence continuously vary the vacvuum barrier, is a potentially valuable tool for investigating this effect- By... insulator -semiconductor (MIS) junction similar to that shown in Fig. I diverge at the semiconductor-vacuum and vacuum-metal interfaces [7,81. These

  10. Fabrication and Properties of a Metal-Insulator - Type Oxygen Sensor Using Lanthanum Trifluoride as the Sole Dielectric.

    NASA Astrophysics Data System (ADS)

    Mattingly, William Brashear, III

    1995-01-01

    Oxygen sensors were fabricated using a metal-insulator -semiconductor construction where the sole 'insulator' is a thin film of LaF_3, an ionic conductor. The typical oxide or nitride layers were eliminated producing a simple Pt/LaF_3/Si design. LaF_3 films, 200-300nm thick, were directly deposited on n-type Si(111) using a high temperature effusion cell in an ultra high vacuum MBE chamber. The film morphology could be controlled from polycrystalline to near single crystal epitaxy. Epitaxial films exhibited a single relaxed variant with the LaF _3 c-axis normal to the silicon surface and the in-plane LaF_3(10^ -10) parallel to Si(110). Polycrystalline films also showed a high degree of LaF_3 c-axis normal texture. Films doped with strontium were also produced. Polycrystalline films were more robust and fabricated into MIS (metal-insulator-semiconductor) capacitors. Capacitance voltage tests of the devices demonstrate nearly ideal MIS capacitor behavior. The flatband voltages were typically within 300mV of the calculated value. Bias challenge tests developed in the lab showed less than 70mV flatband voltage shift. The dielectric constant of undoped LaF_3 films measured close to 14. Doped films, rm Sr_{x}La_ {1-x}F_3 x =.06, showed a dielectric constant of 275, at 100kHz. Oxygen partial pressure tests were performed with mixtures of dry nitrogen and dry oxygen. Oxygen partial pressures were varied between 2.5 times 10^{-4} and 1.0 atmosphere. The steady state data are consistent with a Pt/LaF _3 interface adsorption mechanism, where the work function of the platinum gate metal is modulated. The mechanism is not a half-cell Nernst-type response. Langmiur isotherm fitted data indicate the response range for undoped devices is 0.3 V. Signal drift was less than 5 mV/day. The metal free-surface reactions and the dipole species at the Pt/LaF_3 interface are yet to be determined. Device kinetic studies show the time required for full equilibration after a step in oxygen

  11. Low trap states in in situ SiN{sub x}/AlN/GaN metal-insulator-semiconductor structures grown by metal-organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Xing; Ma, Jun; Jiang, Huaxing

    2014-09-08

    We report the use of SiN{sub x} grown in situ by metal-organic chemical vapor deposition as the gate dielectric for AlN/GaN metal-insulator-semiconductor (MIS) structures. Two kinds of trap states with different time constants were identified and characterized. In particular, the SiN{sub x}/AlN interface exhibits remarkably low trap state densities in the range of 10{sup 11}–10{sup 12 }cm{sup −2}eV{sup −1}. Transmission electron microscopy and X-ray photoelectron spectroscopy analyses revealed that the in situ SiN{sub x} layer can provide excellent passivation without causing chemical degradation to the AlN surface. These results imply the great potential of in situ SiN{sub x} as an effectivemore » gate dielectric for AlN/GaN MIS devices.« less

  12. Quantum spin liquids and the metal-insulator transition in doped semiconductors.

    PubMed

    Potter, Andrew C; Barkeshli, Maissam; McGreevy, John; Senthil, T

    2012-08-17

    We describe a new possible route to the metal-insulator transition in doped semiconductors such as Si:P or Si:B. We explore the possibility that the loss of metallic transport occurs through Mott localization of electrons into a quantum spin liquid state with diffusive charge neutral "spinon" excitations. Such a quantum spin liquid state can appear as an intermediate phase between the metal and the Anderson-Mott insulator. An immediate testable consequence is the presence of metallic thermal conductivity at low temperature in the electrical insulator near the metal-insulator transition. Further, we show that though the transition is second order, the zero temperature residual electrical conductivity will jump as the transition is approached from the metallic side. However, the electrical conductivity will have a nonmonotonic temperature dependence that may complicate the extrapolation to zero temperature. Signatures in other experiments and some comparisons with existing data are made.

  13. All-Graphene Planar Self-Switching MISFEDs, Metal-Insulator-Semiconductor Field-Effect Diodes

    PubMed Central

    Al-Dirini, Feras; Hossain, Faruque M.; Nirmalathas, Ampalavanapillai; Skafidas, Efstratios

    2014-01-01

    Graphene normally behaves as a semimetal because it lacks a bandgap, but when it is patterned into nanoribbons a bandgap can be introduced. By varying the width of these nanoribbons this band gap can be tuned from semiconducting to metallic. This property allows metallic and semiconducting regions within a single Graphene monolayer, which can be used in realising two-dimensional (2D) planar Metal-Insulator-Semiconductor field effect devices. Based on this concept, we present a new class of nano-scale planar devices named Graphene Self-Switching MISFEDs (Metal-Insulator-Semiconductor Field-Effect Diodes), in which Graphene is used as the metal and the semiconductor concurrently. The presented devices exhibit excellent current-voltage characteristics while occupying an ultra-small area with sub-10 nm dimensions and an ultimate thinness of a single atom. Quantum mechanical simulation results, based on the Extended Huckel method and Nonequilibrium Green's Function Formalism, show that a Graphene Self-Switching MISFED with a channel as short as 5 nm can achieve forward-to-reverse current rectification ratios exceeding 5000. PMID:24496307

  14. Atomic layer deposition of ZrO2 on W for metal-insulator-metal capacitor application

    NASA Astrophysics Data System (ADS)

    Lee, Sang-Yun; Kim, Hyoungsub; McIntyre, Paul C.; Saraswat, Krishna C.; Byun, Jeong-Soo

    2003-04-01

    A metal-insulator-metal (MIM) capacitor using ZrO2 on tungsten (W) metal bottom electrode was demonstrated and characterized in this letter. Both ZrO2 and W metal were synthesized by an atomic layer deposition (ALD) method. High-quality 110˜115 Å ZrO2 films were grown uniformly on ALD W using ZrCl4 and H2O precursors at 300 °C, and polycrystalline ZrO2 in the ALD regime could be obtained. A 13˜14-Å-thick interfacial layer between ZrO2 and W was observed after fabrication, and it was identified as WOx through angle-resolved x-ray photoelectron spectroscopy analysis with wet chemical etching. The apparent equivalent oxide thickness was 20˜21 Å. An effective dielectric constant of 22˜25 including an interfacial WOx layer was obtained by measuring capacitance and thickness of MIM capacitors with Pt top electrodes. High capacitance per area (16˜17 fF/μm2) and low leakage current (10-7 A/cm2 at ±1 V) were achieved.

  15. Improvement on the electrical characteristics of Pd/HfO2/6H-SiC MIS capacitors using post deposition annealing and post metallization annealing

    NASA Astrophysics Data System (ADS)

    Esakky, Papanasam; Kailath, Binsu J.

    2017-08-01

    HfO2 as a gate dielectric enables high electric field operation of SiC MIS structure and as gas sensor HfO2/SiC capacitors offer higher sensitivity than SiO2/SiC capacitors. The issue of higher density of oxygen vacancies and associated higher leakage current necessitates better passivation of HfO2/SiC interface. Effect of post deposition annealing in N2O plasma and post metallization annealing in forming gas on the structural and electrical characteristics of Pd/HfO2/SiC MIS capacitors are reported in this work. N2O plasma annealing suppresses crystallization during high temperature annealing thereby improving the thermal stability and plasma annealing followed by rapid thermal annealing in N2 result in formation of Hf silicate at the HfO2/SiC interface resulting in order of magnitude lower density of interface states and gate leakage current. Post metallization annealing in forming gas for 40 min reduces interface state density by two orders while gate leakage current density is reduced by thrice. Post deposition annealing in N2O plasma and post metallization annealing in forming gas are observed to be effective passivation techniques improving the electrical characteristics of HfO2/SiC capacitors.

  16. Abrupt Depletion Layer Approximation for the Metal Insulator Semiconductor Diode.

    ERIC Educational Resources Information Center

    Jones, Kenneth

    1979-01-01

    Determines the excess surface change carrier density, surface potential, and relative capacitance of a metal insulator semiconductor diode as a function of the gate voltage, using the precise questions and the equations derived with the abrupt depletion layer approximation. (Author/GA)

  17. Low-frequency noise in AlN/AlGaN/GaN metal-insulator-semiconductor devices: A comparison with Schottky devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Le, Son Phuong; Nguyen, Tuan Quy; Shih, Hong-An

    2014-08-07

    We have systematically investigated low-frequency noise (LFN) in AlN/AlGaN/GaN metal-insulator-semiconductor (MIS) devices, where the AlN gate insulator layer was sputtering-deposited on the AlGaN surface, in comparison with LFN in AlGaN/GaN Schottky devices. By measuring LFN in ungated two-terminal devices and heterojunction field-effect transistors (HFETs), we extracted LFN characteristics in the intrinsic gated region of the HFETs. Although there is a bias regime of the Schottky-HFETs in which LFN is dominated by the gate leakage current, LFN in the MIS-HFETs is always dominated by only the channel current. Analyzing the channel-current-dominated LFN, we obtained Hooge parameters α for the gated regionmore » as a function of the sheet electron concentration n{sub s} under the gate. In a regime of small n{sub s}, both the MIS- and Schottky-HFETs exhibit α∝n{sub s}{sup −1}. On the other hand, in a middle n{sub s} regime of the MIS-HFETs, α decreases rapidly like n{sub s}{sup −ξ} with ξ ∼ 2-3, which is not observed for the Schottky-HFETs. In addition, we observe strong increase in α∝n{sub s}{sup 3} in a large n{sub s} regime for both the MIS- and Schottky-HFETs.« less

  18. Electrical properties of GaN-based metal-insulator-semiconductor structures with Al2O3 deposited by atomic layer deposition using water and ozone as the oxygen precursors

    NASA Astrophysics Data System (ADS)

    Kubo, Toshiharu; Freedsman, Joseph J.; Iwata, Yasuhiro; Egawa, Takashi

    2014-04-01

    Al2O3 deposited by atomic layer deposition (ALD) was used as an insulator in metal-insulator-semiconductor (MIS) structures for GaN-based MIS-devices. As the oxygen precursors for the ALD process, water (H2O), ozone (O3), and both H2O and O3 were used. The chemical characteristics of the ALD-Al2O3 surfaces were investigated by x-ray photoelectron spectroscopy. After fabrication of MIS-diodes and MIS-high-electron-mobility transistors (MIS-HEMTs) with the ALD-Al2O3, their electrical properties were evaluated by current-voltage (I-V) and capacitance-voltage (C-V) measurements. The threshold voltage of the C-V curves for MIS-diodes indicated that the fixed charge in the Al2O3 layer is decreased when using both H2O and O3 as the oxygen precursors. Furthermore, MIS-HEMTs with the H2O + O3-based Al2O3 showed good dc I-V characteristics without post-deposition annealing of the ALD-Al2O3, and the drain leakage current in the off-state region was suppressed by seven orders of magnitude.

  19. Metal-doped graphene layers composed with boron nitride-graphene as an insulator: a nano-capacitor.

    PubMed

    Monajjemi, Majid

    2014-11-01

    A model of a nanoscale dielectric capacitor composed of a few dopants has been investigated in this study. This capacitor includes metallic graphene layers which are separated by an insulating medium containing a few h-BN layers. It has been observed that the elements from group IIIA of the periodic table are more suitable as dopants for hetero-structures of the {metallic graphene/hBN/metallic graphene} capacitors compared to those from groups IA or IIA. In this study, we have specifically focused on the dielectric properties of different graphene/h-BN/graphene including their hetero-structure counterparts, i.e., Boron-graphene/h-BN/Boron-graphene, Al-graphene/h-BN/Al-graphene, Mg-graphene/h-BN/Mg-graphene, and Be-graphene/h-BN/Be-graphene stacks for monolayer form of dielectrics. Moreover, we studied the multi dielectric properties of different (h-BN)n/graphene hetero-structures of Boron-graphene/(h-BN)n/Boron-graphene.

  20. Determination of the density of surface states at the semiconductor-insulator interface in a metal-insulator-semiconductor structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gulyamov, G., E-mail: Gulyamov1949@rambler.ru; Sharibaev, N. U.

    2011-02-15

    The temporal dependence of thermal generation of electrons from occupied surface states at the semiconductor-insulator interface in a metal-insulator-semiconductor structure is studied. It is established that, at low temperatures, the derivative of the probability of depopulation of occupied surface states with respect to energy is represented by the Dirac {delta} function. It is shown that the density of states of a finite number of discrete energy levels under high-temperature measurements manifests itself as a continuous spectrum, whereas this spectrum appears discrete at low temperatures. A method for processing the continuous spectrum of the density of surface states is suggested thatmore » method makes it possible to determine the discrete energy spectrum. The obtained results may be conducive to an increase in resolution of the method of non-stationary spectroscopy of surface states.« less

  1. Nanostructured bilayer anodic TiO2/Al2O3 metal-insulator-metal capacitor.

    PubMed

    Karthik, R; Kannadassan, D; Baghini, Maryam Shojaei; Mallick, P S

    2013-10-01

    This paper presents the fabrication of high performance bilayer TiO2/Al2O3 Metal-Insulator-Metal capacitor using anodization technique. A high capacitance density of 7 fF/microm2, low quadratic voltage coefficient of capacitance of 150 ppm/V2 and a low leakage current density of 9.1 nA/cm2 at 3 V are achieved which are suitable for Analog and Mixed signal applications. The influence of anodization voltage on structural and electrical properties of dielectric stack is studied in detail. At higher anodization voltages, we have observed the transformation of amorphous to crystalline state of TiO2/Al2O3 and improvement of electrical properties.

  2. The improvement of retention time of metal-ferroelectric (PbZr0.53Ti0.47O3)-insulator (ZrO2)-semiconductor transistors and capacitors by leakage current reduction using surface treatment

    NASA Astrophysics Data System (ADS)

    Shih, Wen-Chieh; Kang, Kun-Yung; Lee, Joseph Ya-Min

    2007-11-01

    Metal-ferroelectric-insulator-semiconductor transistors (MFISFETs) and capacitors with the structure of Al /Pb (Zr0.53,Ti0.47) O3/ZrO2/Si were fabricated. The wafers were pretreated with H2O2 before ZrO2 deposition and/or post-treated with HCl after ZrO2 deposition. The leakage current density at 5V is reduced from 10-1to5×10-6A /cm2. The subthreshold slope was improved to 91mV/decade. The MFISFETs maintain a threshold voltage window of about 1.1V after an elapsed time of 3000s. The mobility is 267cm2/Vs. The improvements are most likely due to the reduction of interfacial layer thickness and the interface states at the ZrO2/Si interface.

  3. Determination of Insulator-to-Semiconductor Transition in Sol-Gel Oxide Semiconductors Using Derivative Spectroscopy.

    PubMed

    Lee, Woobin; Choi, Seungbeom; Kim, Kyung Tae; Kang, Jingu; Park, Sung Kyu; Kim, Yong-Hoon

    2015-12-23

    We report a derivative spectroscopic method for determining insulator-to-semiconductor transition during sol-gel metal-oxide semiconductor formation. When an as-spun sol-gel precursor film is photochemically activated and changes to semiconducting state, the light absorption characteristics of the metal-oxide film is considerable changed particularly in the ultraviolet region. As a result, a peak is generated in the first-order derivatives of light absorption ( A' ) vs. wavelength (λ) plots, and by tracing the peak center shift and peak intensity, transition from insulating-to-semiconducting state of the film can be monitored. The peak generation and peak center shift are described based on photon-energy-dependent absorption coefficient of metal-oxide films. We discuss detailed analysis method for metal-oxide semiconductor films and its application in thin-film transistor fabrication. We believe this derivative spectroscopy based determination can be beneficial for a non-destructive and a rapid monitoring of the insulator-to-semiconductor transition in sol-gel oxide semiconductor formation.

  4. Effect of doping on the forward current-transport mechanisms in a metal-insulator-semiconductor contact to INP:ZN grown by metal organic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Cova, P.; Singh, A.; Medina, A.; Masut, R. A.

    1998-04-01

    A detailed study of the effect of doping density on current transport was undertaken in Au metal-insulator-semiconductor (MIS) contacts fabricated on Zn-doped InP layers grown by metal organic vapor phase epitaxy. A recently developed method was used for the simultaneous analysis of the current-voltage ( I- V) and capacitance-voltage ( C- V) characteristics in an epitaxial MIS diode which brings out the contributions of different current-transport mechanisms to the total current. I- V and high-frequency C- V measurements were performed on two MIS diodes at different temperatures in the range 220-395 K. The barrier height at zero bias of Au/InP:Zn MIS diodes, φ0 (1.06 V±10%), was independent both of the Zn-doping density and of the surface preparation. The interface state density distribution Nss as well as the thickness of the oxide layer (2.2±15% nm) unintentionally grown before Au deposition were independent of the Zn-doping concentration in the range 10 16< NA<10 17 cm -3; not so the effective potential barrier χ of the insulator layer and the density of the mid-gap traps. χ was much lower for the highly-doped sample. Our results indicate that at high temperatures, independent of the Zn-doping concentration, the interfacial layer-thermionic (ITE) and interfacial layer-diffusion (ID) mechanisms compete with each other to control the current transport. At intermediate temperatures, however, ITE and ID will no longer be the only dominant mechanisms in the MIS diode fabricated on the highly-doped sample. In this case, the assumption of a generation-recombination current permits a better fit to the experimental data. Analysis of the data suggests that the generation-recombination current, observed only in the highly-doped sample, is associated with an increase in the Zn-doping density. From the forward I- V data for this diode we obtained the energy level (0.60 eV from the conduction band) for the most effective recombination centers.

  5. Nanoscale semiconductor-insulator-metal core/shell heterostructures: facile synthesis and light emission

    NASA Astrophysics Data System (ADS)

    Li, Gong Ping; Chen, Rui; Guo, Dong Lai; Wong, Lai Mun; Wang, Shi Jie; Sun, Han Dong; Wu, Tom

    2011-08-01

    Controllably constructing hierarchical nanostructures with distinct components and designed architectures is an important theme of research in nanoscience, entailing novel but reliable approaches of bottom-up synthesis. Here, we report a facile method to reproducibly create semiconductor-insulator-metal core/shell nanostructures, which involves first coating uniform MgO shells onto metal oxide nanostructures in solution and then decorating them with Au nanoparticles. The semiconductor nanowire core can be almost any material and, herein, ZnO, SnO2 and In2O3 are used as examples. We also show that linear chains of short ZnO nanorods embedded in MgO nanotubes and porous MgO nanotubes can be obtained by taking advantage of the reduced thermal stability of the ZnO core. Furthermore, after MgO shell-coating and the appropriate annealing treatment, the intensity of the ZnO near-band-edge UV emission becomes much stronger, showing a 25-fold enhancement. The intensity ratio of the UV/visible emission can be increased further by decorating the surface of the ZnO/MgO nanowires with high-density plasmonic Au nanoparticles. These heterostructured semiconductor-insulator-metal nanowires with tailored morphologies and enhanced functionalities have great potential for use as nanoscale building blocks in photonic and electronic applications.Controllably constructing hierarchical nanostructures with distinct components and designed architectures is an important theme of research in nanoscience, entailing novel but reliable approaches of bottom-up synthesis. Here, we report a facile method to reproducibly create semiconductor-insulator-metal core/shell nanostructures, which involves first coating uniform MgO shells onto metal oxide nanostructures in solution and then decorating them with Au nanoparticles. The semiconductor nanowire core can be almost any material and, herein, ZnO, SnO2 and In2O3 are used as examples. We also show that linear chains of short ZnO nanorods embedded in

  6. Nanoscale semiconductor-insulator-metal core/shell heterostructures: facile synthesis and light emission.

    PubMed

    Li, Gong Ping; Chen, Rui; Guo, Dong Lai; Wong, Lai Mun; Wang, Shi Jie; Sun, Han Dong; Wu, Tom

    2011-08-01

    Controllably constructing hierarchical nanostructures with distinct components and designed architectures is an important theme of research in nanoscience, entailing novel but reliable approaches of bottom-up synthesis. Here, we report a facile method to reproducibly create semiconductor-insulator-metal core/shell nanostructures, which involves first coating uniform MgO shells onto metal oxide nanostructures in solution and then decorating them with Au nanoparticles. The semiconductor nanowire core can be almost any material and, herein, ZnO, SnO(2) and In(2)O(3) are used as examples. We also show that linear chains of short ZnO nanorods embedded in MgO nanotubes and porous MgO nanotubes can be obtained by taking advantage of the reduced thermal stability of the ZnO core. Furthermore, after MgO shell-coating and the appropriate annealing treatment, the intensity of the ZnO near-band-edge UV emission becomes much stronger, showing a 25-fold enhancement. The intensity ratio of the UV/visible emission can be increased further by decorating the surface of the ZnO/MgO nanowires with high-density plasmonic Au nanoparticles. These heterostructured semiconductor-insulator-metal nanowires with tailored morphologies and enhanced functionalities have great potential for use as nanoscale building blocks in photonic and electronic applications. This journal is © The Royal Society of Chemistry 2011

  7. Investigating compositional effects of atomic layer deposition ternary dielectric Ti-Al-O on metal-insulator-semiconductor heterojunction capacitor structure for gate insulation of InAlN/GaN and AlGaN/GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Colon, Albert; Stan, Liliana; Divan, Ralu

    Gate insulation/surface passivation in AlGaN/GaN and InAlN/GaN heterojunction field-effect transistors is a major concern for passivation of surface traps and reduction of gate leakage current. However, finding the most appropriate gate dielectric materials is challenging and often involves a compromise of the required properties such as dielectric constant, conduction/valence band-offsets, or thermal stability. Creating a ternary compound such as Ti-Al-O and tailoring its composition may result in a reasonably good gate material in terms of the said properties. To date, there is limited knowledge of the performance of ternary dielectric compounds on AlGaN/GaN and even less on InAlN/GaN. To approachmore » this problem, the authors fabricated metal-insulator-semiconductor heterojunction (MISH) capacitors with ternary dielectrics Ti-Al-O of various compositions, deposited by atomic layer deposition (ALD). The film deposition was achieved by alternating cycles of TiO2 and Al2O3 using different ratios of ALD cycles. TiO2 was also deposited as a reference sample. The electrical characterization of the MISH capacitors shows an overall better performance of ternary compounds compared to the pure TiO2. The gate leakage current density decreases with increasing Al content, being similar to 2-3 orders of magnitude lower for a TiO2:Al2O3 cycle ratio of 2:1. Although the dielectric constant has the highest value of 79 for TiO2 and decreases with increasing the number of Al2O3 cycles, it is maintaining a relatively high value compared to an Al2O3 film. Capacitance voltage sweeps were also measured in order to characterize the interface trap density. A decreasing trend in the interface trap density was found while increasing Al content in the film. In conclusion, our study reveals that the desired high-kappa properties of TiO2 can be adequately maintained while improving other insulator performance factors. The ternary compounds may be an excellent choice as a gate material

  8. Effects of surface plasma treatment on threshold voltage hysteresis and instability in metal-insulator-semiconductor (MIS) AlGaN/GaN heterostructure HEMTs

    NASA Astrophysics Data System (ADS)

    Zaidi, Z. H.; Lee, K. B.; Roberts, J. W.; Guiney, I.; Qian, H.; Jiang, S.; Cheong, J. S.; Li, P.; Wallis, D. J.; Humphreys, C. J.; Chalker, P. R.; Houston, P. A.

    2018-05-01

    In a bid to understand the commonly observed hysteresis in the threshold voltage (VTH) in AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors during forward gate bias stress, we have analyzed a series of measurements on devices with no surface treatment and with two different plasma treatments before the in-situ Al2O3 deposition. The observed changes between samples were quasi-equilibrium VTH, forward bias related VTH hysteresis, and electrical response to reverse bias stress. To explain these effects, a disorder induced gap state model, combined with a discrete level donor, at the dielectric/semiconductor interface was employed. Technology Computer-Aided Design modeling demonstrated the possible differences in the interface state distributions that could give a consistent explanation for the observations.

  9. Phase modulation in horizontal metal-insulator-silicon-insulator-metal plasmonic waveguides.

    PubMed

    Zhu, Shiyang; Lo, G Q; Kwong, D L

    2013-04-08

    An extremely compact Si phase modulator is proposed and validated, which relies on effective modulation of the real part of modal index of horizontal metal-insulator-Si-insulator-metal plasmonic waveguides by a voltage applied between the metal cover and the Si core. Proof-of-concept devices are fabricated on silicon-on-insulator substrates using standard complementary metal-oxide-semiconductor technology using copper as the metal and thermal silicon dioxide as the insulator. A modulator with a 1-μm-long phase shifter inserted in an asymmetric Si Mach-Zehnder interferometer exhibits 9-dB extinction ratio under a 6-V/10-kHz voltage swing. Numerical simulations suggest that high speed and low driving voltage could be achieved by shortening the distance between the Si core and the n(+)-contact and by using a high-κ dielectric as the insulator, respectively.

  10. Electrical Characterization of Defects Created by γ-Radiation in HfO2-Based MIS Structures for RRAM Applications

    NASA Astrophysics Data System (ADS)

    García, H.; González, M. B.; Mallol, M. M.; Castán, H.; Dueñas, S.; Campabadal, F.; Acero, M. C.; Sambuco Salomone, L.; Faigón, A.

    2018-04-01

    The γ-radiation effects on the electrical characteristics of metal-insulator-semiconductor capacitors based on HfO2, and on the resistive switching characteristics of the structures have been studied. The HfO2 was grown directly on silicon substrates by atomic layer deposition. Some of the capacitors were submitted to a γ ray irradiation using three different doses (16 kGy, 96 kGy and 386 kGy). We studied the electrical characteristics in the pristine state of the capacitors. The radiation increased the interfacial state densities at the insulator/semiconductor interface, and the slow traps inside the insulator near the interface. However, the leakage current is not increased by the irradiation, and the conduction mechanism is Poole-Frenkel for all the samples. The switching characteristics were also studied, and no significant differences were obtained in the performance of the devices after having been irradiated, indicating that the fabricated capacitors present good radiation hardness for its use as a RS element.

  11. Numerical investigation of metal-semiconductor-insulator-semiconductor passivated hole contacts based on atomic layer deposited AlO x

    NASA Astrophysics Data System (ADS)

    Ke, Cangming; Xin, Zheng; Ling, Zhi Peng; Aberle, Armin G.; Stangl, Rolf

    2017-08-01

    Excellent c-Si tunnel layer surface passivation has been obtained recently in our lab, using atomic layer deposited aluminium oxide (ALD AlO x ) in the tunnel layer regime of 0.9 to 1.5 nm, investigated to be applied for contact passivation. Using the correspondingly measured interface properties, this paper compares the theoretical collection efficiency of a conventional metal-semiconductor (MS) contact on diffused p+ Si to a metal-semiconductor-insulator-semiconductor (MSIS) contact on diffused p+ Si or on undoped n-type c-Si. The influences of (1) the tunnel layer passivation quality at the tunnel oxide interface (Q f and D it), (2) the tunnel layer thickness and the electron and hole tunnelling mass, (3) the tunnel oxide material, and (4) the semiconductor capping layer material properties are investigated numerically by evaluation of solar cell efficiency, open-circuit voltage, and fill factor.

  12. Ni-BaTiO3-Based Base-Metal Electrode (BME) Ceramic Capacitors for Space Applications

    NASA Technical Reports Server (NTRS)

    Liu, Donhang; Fetter, Lula; Meinhold, Bruce

    2015-01-01

    A multi-layer ceramic capacitor (MLCC) is a high-temperature (1350C typical) co-fired ceramic monolithic that is composed of many layers of alternately stacked oxide-based dielectric and internal metal electrodes. To make the dielectric layers insulating and the metal electrode layers conducting, only highly oxidation-resistant precious metals, such as platinum, palladium, and silver, can be used for the co-firing of insulating MLCCs in a regular air atmosphere. MLCCs made with precious metals as internal electrodes and terminations are called precious-metal electrode (PME) capacitors. Currently, all military and space-level applications only address the use of PME capacitors.

  13. Thin-film composite materials as a dielectric layer for flexible metal-insulator-metal capacitors.

    PubMed

    Tiwari, Jitendra N; Meena, Jagan Singh; Wu, Chung-Shu; Tiwari, Rajanish N; Chu, Min-Ching; Chang, Feng-Chih; Ko, Fu-Hsiang

    2010-09-24

    A new organic-organic nanoscale composite thin-film (NCTF) dielectric has been synthesized by solution deposition of 1-bromoadamantane and triblock copolymer (Pluronic P123, BASF, EO20-PO70-EO20), in which the precursor solution has been achieved with organic additives. We have used a sol-gel process to make a metal-insulator-metal capacitor (MIM) comprising a nanoscale (10 nm-thick) thin-film on a flexible polyimide (PI) substrate at room temperature. Scanning electron microscope and atomic force microscope revealed that the deposited NCTFs were crack-free, uniform, highly resistant to moisture absorption, and well adhered on the Au-Cr/PI. The electrical properties of 1-bromoadamantane-P123 NCTF were characterized by dielectric constant, capacitance, and leakage current measurements. The 1-bromoadamantane-P123 NCTF on the PI substrate showed a low leakage current density of 5.5 x 10(-11) A cm(-2) and good capacitance of 2.4 fF at 1 MHz. In addition, the calculated dielectric constant of 1-bromoadamantane-P123 NCTF was 1.9, making them suitable candidates for use in future flexible electronic devices as a stable intermetal dielectric. The electrical insulating properties of 1-bromoadamantane-P123 NCTF have been improved due to the optimized dipole moments of the van der Waals interactions.

  14. Effect of temperature on the electrical properties of a metal-ferroelectric (SrBi2Ta2O9)-insulator (HfTaO)-silicon capacitor

    NASA Astrophysics Data System (ADS)

    Chen, Y. Q.; Xu, X. B.; Lei, Z. F.; Y Liao, X.; Wang, X.; Zeng, C.; En, Y. F.; Huang, Y.

    2015-01-01

    A metal-ferroelectric (SrBi2Ta2O9)-insulator (HfTaO)-semiconductor capacitor was fabricated, and the temperature dependence of its electrical properties was investigated. Within the temperature range of 300-220 K, the maximum memory window is up to 1.26 V, and it could be attributed to a higher coercive field of the ferroelectric film at a lower temperature, which is induced by the deeper and more box-shaped potential well based on the defect-domain interaction model. The memory window decreases with increasing temperature from 300 to 400 K, and the larger sweep voltage leads to a smaller memory window at a higher temperature, which could be attributed to temperature-dependent polarization of the ferroelectric film and charge injection from an Si substrate of the capacitor. With the temperature increasing from 220 to 400 K, the leakage current density increases with temperature by about one order, and the corresponding conduction mechanism is discussed. The results could provide useful guidelines for design and application of ferroelectric memory.

  15. Low leakage Ru-strontium titanate-Ru metal-insulator-metal capacitors for sub-20 nm technology node in dynamic random access memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Popovici, M., E-mail: Mihaela.Ioana.Popovici@imec.be; Swerts, J.; Redolfi, A.

    2014-02-24

    Improved metal-insulator-metal capacitor (MIMCAP) stacks with strontium titanate (STO) as dielectric sandwiched between Ru as top and bottom electrode are shown. The Ru/STO/Ru stack demonstrates clearly its potential to reach sub-20 nm technology nodes for dynamic random access memory. Downscaling of the equivalent oxide thickness, leakage current density (J{sub g}) of the MIMCAPs, and physical thickness of the STO have been realized by control of the Sr/Ti ratio and grain size using a heterogeneous TiO{sub 2}/STO based nanolaminate stack deposition and a two-step crystallization anneal. Replacement of TiN with Ru as both top and bottom electrodes reduces the amount of electricallymore » active defects and is essential to achieve a low leakage current in the MIM capacitor.« less

  16. Low-threshold voltage ultraviolet light-emitting diodes based on (Al,Ga)N metal-insulator-semiconductor structures

    NASA Astrophysics Data System (ADS)

    Liang, Yu-Han; Towe, Elias

    2017-12-01

    Al-rich III-nitride-based deep-ultraviolet (UV) (275-320 nm) light-emitting diodes are plagued with a low emission efficiency and high turn-on voltages. We report Al-rich (Al,Ga)N metal-insulator-semiconductor UV light-emitting Schottky diodes with low turn-on voltages of <3 V, which are about half those of typical (Al,Ga)N p-i-n diodes. Our devices use a thin AlN film as the insulator and an n-type Al0.58Ga0.42N film as the semiconductor. To improve the efficiency, we inserted a GaN quantum-well structure between the AlN insulator and the n-type Al x Ga1- x N semiconductor. The benefits of the quantum-well structure include the potential to tune the emission wavelength and the capability to confine carriers for more efficient radiative recombination.

  17. Impact of the silicon substrate resistivity and growth condition on the deep levels in Ni-Au/AlN/Si MIS Capacitors

    NASA Astrophysics Data System (ADS)

    Wang, Chong; Simoen, Eddy; Zhao, Ming; Li, Wei

    2017-10-01

    Deep levels formed under different growth conditions of a 200 nm AlN buffer layer on B-doped Czochralski Si(111) substrates with different resistivity were investigated by deep-level transient spectroscopy (DLTS) on metal-insulator-semiconductor capacitors. Growth-temperature-dependent Al diffusion in the Si substrate was derived from the free carrier density obtained by capacitance-voltage measurement on samples grown on p- substrates. The DLTS spectra revealed a high concentration of point and extended defects in the p- and p+ silicon substrates, respectively. This indicated a difference in the electrically active defects in the silicon substrate close to the AlN/Si interface, depending on the B doping concentration.

  18. Admittance Investigation of MIS Structures with HgTe-Based Single Quantum Wells.

    PubMed

    Izhnin, Ihor I; Nesmelov, Sergey N; Dzyadukh, Stanislav M; Voitsekhovskii, Alexander V; Gorn, Dmitry I; Dvoretsky, Sergey A; Mikhailov, Nikolaj N

    2016-12-01

    This work presents results of the investigation of admittance of metal-insulator-semiconductor structure based on Hg1 - x Cd x Te grown by molecular beam epitaxy. The structure contains a single quantum well Hg0.35Cd0.65Te/HgTe/Hg0.35Cd0.65Te with thickness of 5.6 nm in the sub-surface layer of the semiconductor. Both the conductance-voltage and capacitance-voltage characteristics show strong oscillations when the metal-insulator-semiconductor (MIS) structure with a single quantum well based on HgTe is biased into the strong inversion mode. Also, oscillations on the voltage dependencies of differential resistance of the space charge region were observed. These oscillations were related to the recharging of quantum levels in HgTe.

  19. Leakage current and charging/discharging processes in barrier-type anodic alumina thin films for use in metal-insulator-metal capacitors

    NASA Astrophysics Data System (ADS)

    Hourdakis, E.; Koutsoureli, M.; Papaioannou, G.; Nassiopoulou, A. G.

    2018-06-01

    Barrier-type anodic alumina thin films are interesting for use in high capacitance density metal-insulator-metal capacitors due to their excellent dielectric properties at small thickness. This thickness is easily controlled by the anodization voltage. In previous papers we studied the main parameters of interest of the Al/barrier-type anodic alumina/Al structure for use in RF applications and showed the great potential of barrier-type anodic alumina in this respect. In this paper, we investigated in detail charging/discharging processes and leakage current of the above dielectric material. Two different sets of metal-insulator-metal capacitors were studied, namely, with the top Al electrode being either e-gun deposited or sputtered. The dielectric constant of the barrier-type anodic alumina was found at 9.3. Low leakage current was observed in all samples studied. Furthermore, depending on the film thickness, field emission following the Fowler-Nordheim mechanism was observed above an applied electric field. Charging of the anodic dielectric was observed, occurring in the bulk of the anodic layer. The stored charge was of the order of few μC/cm2 and the calculated trap density ˜2 × 1018 states/cm3, the most probable origin of charge traps being, in our opinion, positive electrolyte ions trapped in the dielectric during anodization. We do not think that oxygen vacancies play an important role, since their existence would have a more important impact on the leakage current characteristics, such as resistive memory effects or significant changes during annealing, which were not observed. Finally, discharging characteristic times as high as 5 × 109 s were measured.

  20. Absorption Voltages and Insulation Resistance in Ceramic Capacitors with Cracks

    NASA Technical Reports Server (NTRS)

    Teverovsky, Alexander

    2016-01-01

    Time dependence of absorption voltages (Vabs) in different types of low-voltage X5R and X7R ceramic capacitors was monitored for a maximum duration of hundred hours after polarization. To evaluate the effect of mechanical defects on Vabs, cracks in the dielectric were introduced either mechanically or by thermal shock. The maximum absorption voltage, time to roll-off, and the rate of voltage decrease are shown to depend on the crack-related leakage currents and insulation resistance in the parts. A simple model that is based on the Dow equivalent circuit for capacitors with absorption has been developed to assess the insulation resistance of capacitors. Standard measurements of the insulation resistance, contrary to the measurements based on Vabs, are not sensitive to the presence of mechanical defects and fail to reveal capacitors with cracks. Index Terms: Ceramic capacitor, insulation resistance, dielectric absorption, cracking.

  1. Atomic-layer-deposited Al2O3-HfO2-Al2O3 dielectrics for metal-insulator-metal capacitor applications

    NASA Astrophysics Data System (ADS)

    Ding, Shi-Jin; Zhu, Chunxiang; Li, Ming-Fu; Zhang, David Wei

    2005-08-01

    Atomic-layer-deposited Al2O3-HfO2-Al2O3 dielectrics have been investigated to replace conventional silicon oxide and nitride for radio frequency and analog metal-insulator-metal capacitors applications. In the case of 1-nm-Al2O3, sufficiently good electrical performances are achieved, including a high dielectric constant of ˜17, a small dissipation factor of 0.018 at 100kHz, an extremely low leakage current of 7.8×10-9A/cm2 at 1MV/cm and 125°C, perfect voltage coefficients of capacitance (74ppm/V2 and 10ppm/V). The quadratic voltage coefficient of capacitance decreases with the applied frequency due to the change of relaxation time with different carrier mobility in insulator, and correlates with the dielectric composition and thickness, which is of intrinsic property owing to electric field polarization. Furthermore, the conduction mechanism of the AHA dielectrics is also discussed, indicating the Schottky emission dominated at room temperature.

  2. Temperature dependence of the dielectric response of anodized Al-Al2O3-metal capacitors

    NASA Astrophysics Data System (ADS)

    Hickmott, T. W.

    2003-03-01

    The temperature dependence of capacitance, CM, and conductance, GM, of Al-Al2O3-metal capacitors with Cu, Ag, and Au electrodes has been measured between 100 and 340 K at seven frequencies between 10 kHz and 1 MHz. Al2O3 films between 15 and 64 nm thick were formed by anodizing evaporated Al films in borate-glycol or borate-H2O electrolyte. The interface capacitance at the Al2O3-metal interface, CI, which is in series with the capacitance CD due to the Al2O3 dielectric, is determined from plots of 1/CM versus insulator thickness. CI is not fixed for a given metal-insulator interface but depends on the vacuum system used to deposit the metal electrode. CI is nearly temperature independent. When CI is taken into account the dielectric constant of Al2O3 determined from capacitance measurements is ˜8.3 at 295 K. The dielectric constant does not depend on anodizing electrolyte, insulator thickness, metal electrode, deposition conditions for the metal electrode or measurement frequency. By contrast, GM of Al-Al2O3-metal capacitors depends on both the deposition conditions of the metal and on the metal. For Al-Al2O3-Cu capacitors, GM is larger for capacitors with large values of 1/CI that result when Cu is evaporated in an oil-pumped vacuum system. For Al-Al2O3-Ag capacitors, GM does not depend on the Ag deposition conditions.

  3. Analytical and numerical analysis of charge carriers extracted by linearly increasing voltage in a metal-insulator-semiconductor structure relevant to bulk heterojunction organic solar cells

    NASA Astrophysics Data System (ADS)

    Yumnam, Nivedita; Hirwa, Hippolyte; Wagner, Veit

    2017-12-01

    Analysis of charge extraction by linearly increasing voltage is conducted on metal-insulator-semiconductor capacitors in a structure relevant to organic solar cells. For this analysis, an analytical model is developed and is used to determine the conductivity of the active layer. Numerical simulations of the transient current were performed as a way to confirm the applicability of our analytical model and other analytical models existing in the literature. Our analysis is applied to poly(3-hexylthiophene)(P3HT) : phenyl-C61-butyric acid methyl ester (PCBM) which allows to determine the electron and hole mobility independently. A combination of experimental data analysis and numerical simulations reveals the effect of trap states on the transient current and where this contribution is crucial for data analysis.

  4. Admittance of MIS-Structures Based on HgCdTe with a Double-Layer CdTe/Al2O3 Insulator

    NASA Astrophysics Data System (ADS)

    Dzyadukh, S. M.; Voitsekhovskii, A. V.; Nesmelov, S. N.; Sidorov, G. Yu.; Varavin, V. S.; Vasil'ev, V. V.; Dvoretsky, S. A.; Mikhailov, N. N.; Yakushev, M. V.

    2018-03-01

    Admittance of MIS structures based on n( p)- Hg1-xCdxTe (at x from 0.22 to 0.40) with SiO2/Si3N4, Al2O3, and CdTe/Al2O3 insulators is studied experimentally at 77 K. Growth of an intermediate CdTe layer during epitaxy results in the almost complete disappearance of the hysteresis of electrophysical characteristics of MIS structures based on graded-gap n-HgCdTe for a small range of the voltage variation. For a wide range of the voltage variation, the hysteresis of the capacitance-voltage characteristics appears for MIS structures based on n-HgCdTe with the CdTe/Al2O3 insulator. However, the hysteresis mechanism differs from that in case of a single-layer Al2O3 insulator. For MIS structures based on p-HgCdTe, introduction of an additional CdTe layer does not lead to a significant decrease of the hysteresis phenomena, which may be due to the degradation of the interface properties when mercury leaves the film as a result of low-temperature annealing changing the conductivity type of the semiconductor.

  5. Lateral amorphous selenium metal-insulator-semiconductor-insulator-metal photodetectors using ultrathin dielectric blocking layers for dark current suppression

    NASA Astrophysics Data System (ADS)

    Chang, Cheng-Yi; Pan, Fu-Ming; Lin, Jian-Siang; Yu, Tung-Yuan; Li, Yi-Ming; Chen, Chieh-Yang

    2016-12-01

    We fabricated amorphous selenium (a-Se) photodetectors with a lateral metal-insulator-semiconductor-insulator-metal (MISIM) device structure. Thermal aluminum oxide, plasma-enhanced chemical vapor deposited silicon nitride, and thermal atomic layer deposited (ALD) aluminum oxide and hafnium oxide (ALD-HfO2) were used as the electron and hole blocking layers of the MISIM photodetectors for dark current suppression. A reduction in the dark current by three orders of magnitude can be achieved at electric fields between 10 and 30 V/μm. The effective dark current suppression is primarily ascribed to electric field lowering in the dielectric layers as a result of charge trapping in deep levels. Photogenerated carriers in the a-Se layer can be transported across the blocking layers to the Al electrodes via Fowler-Nordheim tunneling because a high electric field develops in the ultrathin dielectric layers under illumination. Since the a-Se MISIM photodetectors have a very low dark current without significant degradation in the photoresponse, the signal contrast is greatly improved. The MISIM photodetector with the ALD-HfO2 blocking layer has an optimal signal contrast more than 500 times the contrast of the photodetector without a blocking layer at 15 V/μm.

  6. Processing of insulators and semiconductors

    DOEpatents

    Quick, Nathaniel R.; Joshi, Pooran C.; Duty, Chad Edward; Jellison, Jr., Gerald Earle; Angelini, Joseph Attilio

    2015-06-16

    A method is disclosed for processing an insulator material or a semiconductor material. The method includes pulsing a plasma lamp onto the material to diffuse a doping substance into the material, to activate the doping substance in the material or to metallize a large area region of the material. The method may further include pulsing a laser onto a selected region of the material to diffuse a doping substance into the material, to activate the doping substance in the material or to metallize a selected region of the material.

  7. Interfacial engineering of metal-insulator-semiconductor junctions for efficient and stable photoelectrochemical water oxidation

    PubMed Central

    Digdaya, Ibadillah A.; Adhyaksa, Gede W. P.; Trześniewski, Bartek J.; Garnett, Erik C.; Smith, Wilson A.

    2017-01-01

    Solar-assisted water splitting can potentially provide an efficient route for large-scale renewable energy conversion and storage. It is essential for such a system to provide a sufficiently high photocurrent and photovoltage to drive the water oxidation reaction. Here we demonstrate a photoanode that is capable of achieving a high photovoltage by engineering the interfacial energetics of metal–insulator–semiconductor junctions. We evaluate the importance of using two metals to decouple the functionalities for a Schottky contact and a highly efficient catalyst. We also illustrate the improvement of the photovoltage upon incidental oxidation of the metallic surface layer in KOH solution. Additionally, we analyse the role of the thin insulating layer to the pinning and depinning of Fermi level that is responsible to the resulting photovoltage. Finally, we report the advantage of using dual metal overlayers as a simple protection route for highly efficient metal–insulator–semiconductor photoanodes by showing over 200 h of operational stability. PMID:28660883

  8. Absorption Voltages and Insulation Resistance in Ceramic Capacitors with Cracks

    NASA Technical Reports Server (NTRS)

    Teverovsky, Alexander

    2014-01-01

    Time dependence of absorption voltages (V(sub abs)) in different types of low-voltage X5R and X7R ceramic capacitors was monitored for a maximum duration of hundred hours after polarization. To evaluate the effect of mechanical defects on V(sub abs)), cracks in the dielectric were introduced either mechanically or by thermal shock. The maximum absorption voltage, time to roll-off, and the rate of voltage decrease are shown to depend on the crack-related leakage currents and insulation resistance in the parts. A simple model that is based on the Dow equivalent circuit for capacitors with absorption has been developed to assess the insulation resistance of capacitors. Standard measurements of the insulation resistance, contrary to the measurements based on V(sub abs)), are not sensitive to the presence of mechanical defects and fail to reveal capacitors with cracks.

  9. Radiation hardening of metal-oxide semi-conductor (MOS) devices by boron

    NASA Technical Reports Server (NTRS)

    Danchenko, V.

    1974-01-01

    Technique using boron effectively protects metal-oxide semiconductor devices from ionizing radiation without using shielding materials. Boron is introduced into insulating gate oxide layer at semiconductor-insulator interface.

  10. Comparison of Multilayer Dielectric Thin Films for Future Metal-Insulator-Metal Capacitors: Al2O3/HfO2/Al2O3 versus SiO2/HfO2/SiO2

    NASA Astrophysics Data System (ADS)

    Park, Sang-Uk; Kwon, Hyuk-Min; Han, In-Shik; Jung, Yi-Jung; Kwak, Ho-Young; Choi, Woon-Il; Ha, Man-Lyun; Lee, Ju-Il; Kang, Chang-Yong; Lee, Byoung-Hun; Jammy, Raj; Lee, Hi-Deok

    2011-10-01

    In this paper, two kinds of multilayered metal-insulator-metal (MIM) capacitors using Al2O3/HfO2/Al2O3 (AHA) and SiO2/HfO2/SiO2 (SHS) were fabricated and characterized for radio frequency (RF) and analog mixed signal (AMS) applications. The experimental results indicate that the AHA MIM capacitor (8.0 fF/µm2) is able to provide a higher capacitance density than the SHS MIM capacitor (5.1 fF/µm2), while maintaining a low leakage current of about 50 nA/cm2 at 1 V. The quadratic voltage coefficient of capacitance, α gradually decreases as a function of stress time under constant voltage stress (CVS). The parameter variation of SHS MIM capacitors is smaller than that of AHA MIM capacitors. The effects of CVS on voltage linearity and time-dependent dielectric breakdown (TDDB) characteristics were also investigated.

  11. Ion-sculpting of nanopores in amorphous metals, semiconductors, and insulators

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    George, H. Bola; Madi, Charbel S.; Aziz, Michael J.

    2010-06-28

    We report the closure of nanopores to single-digit nanometer dimensions by ion sculpting in a range of amorphous materials including insulators (SiO{sub 2} and SiN), semiconductors (a-Si), and metallic glasses (Pd{sub 80}Si{sub 20})--the building blocks of a single-digit nanometer electronic device. Ion irradiation of nanopores in crystalline materials (Pt and Ag) does not cause nanopore closure. Ion irradiation of c-Si pores below 100 deg. C and above 600 deg. C, straddling the amorphous-crystalline dynamic transition temperature, yields closure at the lower temperature but no mass transport at the higher temperature. Ion beam nanosculpting appears to be restricted to materials thatmore » either are or become amorphous during ion irradiation.« less

  12. Improved reliability from a plasma-assisted metal-insulator-metal capacitor comprising a high-k HfO2 film on a flexible polyimide substrate.

    PubMed

    Meena, Jagan Singh; Chu, Min-Ching; Kuo, Shiao-Wei; Chang, Feng-Chih; Ko, Fu-Hsiang

    2010-03-20

    We have used a sol-gel spin-coating process to fabricate a new metal-insulator-metal (MIM) capacitor comprising a 10 nm-thick high-k thin dielectric HfO(2) film on a flexible polyimide (PI) substrate. The surface morphology of this HfO(2) film was investigated using atomic force microscopy and scanning electron microscopy, which confirmed that continuous and crack-free film growth had occurred on the film surface. After oxygen (O(2)) plasma pretreatment and subsequent annealing at 250 degrees C, the film on the PI substrate exhibited a low leakage current density of 3.64 x 10(-9) A cm(-2) at 5 V and a maximum capacitance density of 10.35 fF microm(-2) at 1 MHz. The as-deposited sol-gel film was completely oxidized when employing O(2) plasma at a relatively low temperature (ca. 250 degrees C), thereby enhancing the electrical performance. We employed X-ray photoelectron spectroscopy (XPS) at both high and low resolution to examine the chemical composition of the film subjected to various treatment conditions. The shift of the XPS peaks towards higher binding energy, revealed that O(2) plasma treatment was the most effective process for the complete oxidation of hafnium atoms at low temperature. A study of the insulator properties indicated the excellent bendability of our MIM capacitor; the flexible PI substrate could be bent up to 10(5) times and folded to near 360 degrees without any deterioration in its electrical performance.

  13. Further study of inversion layer MIS solar cells

    NASA Technical Reports Server (NTRS)

    Ho, Fat Duen

    1992-01-01

    Many inversion layer metal-insulator-semiconductor (IL/MIS) solar cells have been fabricated. As of today, the best cell fabricated by us has a 9.138 percent AMO efficiency, with FF = 0.641, V(sub OC) = 0.557 V, and I(sub SC) = 26.9 micro A. Efforts made for fabricating an IL/MOS solar cell with reasonable efficiencies are reported. The more accurate control of the thickness of the thin layer of oxide between aluminum and silicon of the MIS contacts has been achieved by using two different process methods. Comparison of these two different thin oxide processings is reported. The effects of annealing time of the sample are discussed. The range of the resistivity of the substrates used in the IL cell fabrication is experimentally estimated. Theoretical study of the MIS contacts under dark conditions is addressed.

  14. Impact of total ionizing dose irradiation on Pt/SrBi2Ta2O9/HfTaO/Si memory capacitors

    NASA Astrophysics Data System (ADS)

    Yan, S. A.; Zhao, W.; Guo, H. X.; Xiong, Y.; Tang, M. H.; Li, Z.; Xiao, Y. G.; Zhang, W. L.; Ding, H.; Chen, J. W.; Zhou, Y. C.

    2015-01-01

    In this work, metal-ferroelectric-insulator-semiconductor (MFIS) structure capacitors with SrBi2Ta2O9 (300 nm) as ferroelectric thin film and HfTaO (6 nm, 8 nm, 10 nm, and 12 nm) as insulating buffer layer were proposed and investigated. The prepared capacitors were fabricated and characterized before radiation and then subjected to 60Co gamma irradiation in steps of two dose levels. Significant irradiation-induced degradation of the electrical characteristics was observed. The radiation experimental results indicated that stability and reliability of as-fabricated MFIS capacitors for nonvolatile memory applications could become uncontrollable under strong irradiation dose and/or long irradiation time.

  15. Electrical properties of metal/Al2O3/In0.53Ga0.47As capacitors grown on InP

    NASA Astrophysics Data System (ADS)

    Ferrandis, Philippe; Billaud, Mathilde; Duvernay, Julien; Martin, Mickael; Arnoult, Alexandre; Grampeix, Helen; Cassé, Mikael; Boutry, Hervé; Baron, Thierry; Vinet, Maud; Reimbold, Gilles

    2018-04-01

    To overcome the Fermi-level pinning in III-V metal-oxide-semiconductor capacitors, attention is usually focused on the choice of dielectric and surface chemical treatments prior to oxide deposition. In this work, we examined the influence of the III-V material surface cleaning and the semiconductor growth technique on the electrical properties of metal/Al2O3/In0.53Ga0.47As capacitors grown on InP(100) substrates. By means of the capacitance-voltage measurements, we demonstrated that samples do not have the same total oxide charge density depending on the cleaning solution used [(NH4)2S or NH4OH] prior to oxide deposition. The determination of the interface trap density revealed that a Fermi-level pinning occurs for samples grown by metalorganic chemical vapor deposition but not for similar samples grown by molecular beam epitaxy. Deep level transient spectroscopy analysis explained the Fermi-level pinning by an additional signal for samples grown by metalorganic chemical vapor deposition, attributed to the tunneling effect of carriers trapped in oxide toward interface states. This work emphasizes that the choice of appropriate oxide and cleaning treatment is not enough to prevent a Fermi-level pinning in III-V metal-oxide-semiconductor capacitors. The semiconductor growth technique needs to be taken into account because it impacts the trapping properties of the oxide.

  16. Influence of metal work function and incorporation of Sr atom on WO3 thin films for MIS and MIM structured SBDs

    NASA Astrophysics Data System (ADS)

    Marnadu, R.; Chandrasekaran, J.; Raja, M.; Balaji, M.; Maruthamuthu, S.; Balraju, P.

    2018-07-01

    In this work, two different structure of Cu/Sr-WO3/p-Si metal-insulator-semiconductor (MIS) and Cu/Sr-WO3/FTO metal-insulator-metal (MIM) Schottky barrier diodes (SBDs) fabricated with an insulating layer of pure tungsten trioxide (WO3) and Sr-WO3 thin films have been reported. The Sr-WO3 layer was coated separately, with different concentrations (0, 4, 8 and 12 wt %) of strontium (Sr) via jet nebulizer spray pyrolysis technique (JNSP) on the p-type silica wafer (p-Si) and fluorine doped tin oxide (FTO) substrates which are been optimized at 400 °C. The XRD analysis reveals the multiphase crystalline structures for 12 wt % of Sr-WO3 film with higher average crystallite size. FE-SEM images show the randomly oriented sub-microsized slab and seashell like structures. Higher surface roughness with improved grain size for 12 wt % of Sr-WO3 film. The presence of W, O and Sr atoms was confirmed by EDX spectra. In optical studies, Maximum absorption with minimum optical band gap was observed for 12 wt % of Sr-WO3 composite film. There was a linear increase in the electrical conductivity of the films with higher wt. % of Sr. Evidently the activation energy decreased with Sr concentration which is in accordance with the bandgap values. The fitting results of the measured I-V, reveal that MIS (SBDs) under illumination condition have minimum ideality factor (n = 2.39) and maximum barrier height (Φb = 0.57) values for higher concentration (12 wt %) of Sr film compared to MIM SBDs.

  17. Fabrication of Hydrogenated Diamond Metal-Insulator-Semiconductor Field-Effect Transistors.

    PubMed

    Liu, Jiangwei; Koide, Yasuo

    2017-01-01

    Diamond is regarded as a promising material for fabrication of high-power and high-frequency electronic devices due to its remarkable intrinsic properties, such as wide band gap energy, high carrier mobility, and high breakdown field. Meanwhile, since diamond has good biocompatibility, long-term durability, good chemical inertness, and a large electron-chemical potential window, it is a suitable candidate for the fabrication of biosensors. Here, we demonstrate the fabrication of hydrogenated diamond (H-diamond) based metal-insulator-semiconductor field-effect transistors (MISFETs). The fabrication is based on the combination of laser lithography, dry-etching, atomic layer deposition (ALD), sputtering deposition (SD), electrode evaporation, and lift-off techniques. The gate insulator is high-k HfO 2 with a SD/ALD bilayer structure. The thin ALD-HfO 2 film (4.0 nm) acts as a buffer layer to prevent the hydrogen surface of the H-diamond from plasma discharge damage during the SD-HfO 2 deposition. The growth of H-diamond epitaxial layer, fabrication of H-diamond MISFETs, and electrical property measurements for the MISFETs is demonstrated. This chapter explains the fabrication of H-diamond FET based biosensors.

  18. A silicon-on-insulator complementary-metal-oxide-semiconductor compatible flexible electronics technology

    NASA Astrophysics Data System (ADS)

    Tu, Hongen; Xu, Yong

    2012-07-01

    This paper reports a simple flexible electronics technology that is compatible with silicon-on-insulator (SOI) complementary-metal-oxide-semiconductor (CMOS) processes. Compared with existing technologies such as direct fabrication on flexible substrates and transfer printing, the main advantage of this technology is its post-SOI-CMOS compatibility. Consequently, high-performance and high-density CMOS circuits can be first fabricated on SOI wafers using commercial foundry and then be integrated into flexible substrates. The yield is also improved by eliminating the transfer printing step. Furthermore, this technology allows the integration of various sensors and microfluidic devices. To prove the concept of this technology, flexible MOSFETs have been demonstrated.

  19. Fast optical detecting media based on semiconductor nanostructures for recording images obtained using charges of free photocarriers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kasherininov, P. G., E-mail: peter.kasherininov@mail.ioffe.ru; Tomasov, A. A.; Beregulin, E. V.

    2011-01-15

    Available published data on the properties of optical recording media based on semiconductor structures are reviewed. The principles of operation, structure, parameters, and the range of application for optical recording media based on MIS structures formed of photorefractive crystals with a thick layer of insulator and MIS structures with a liquid crystal as the insulator (the MIS LC modulators), as well as the effect of optical bistability in semiconductor structures (semiconductor MIS structures with nanodimensionally thin insulator (TI) layer, M(TI)S nanostructures). Special attention is paid to recording media based on the M(TI)S nanostructures promising for fast processing of highly informativemore » images and to fabrication of optoelectronic correlators of images for noncoherent light.« less

  20. Positron studies of metal-oxide-semiconductor structures

    NASA Astrophysics Data System (ADS)

    Au, H. L.; Asoka-Kumar, P.; Nielsen, B.; Lynn, K. G.

    1993-03-01

    Positron annihilation spectroscopy provides a new probe to study the properties of interface traps in metal-oxide semiconductors (MOS). Using positrons, we have examined the behavior of the interface traps as a function of gate bias. We propose a simple model to explain the positron annihilation spectra from the interface region of a MOS capacitor.

  1. Investigation of the sensitivity of MIS-sensor to thermal decomposition products of cables insulation

    NASA Astrophysics Data System (ADS)

    Filipchuk, D. V.; Litvinov, A. V.; Etrekova, M. O.; Nozdrya, D. A.

    2017-12-01

    Sensitivity of the MIS-sensor to products of thermal decomposition of insulation and jacket of the most common types of cables is investigated. It is shown that hydrogen is evolved under heating the insulation to temperatures not exceeding 250 °C. Registration of the evolved hydrogen by the MIS-sensor can be used for detection of fires at an early stage.

  2. Prediction of weak topological insulators in layered semiconductors.

    PubMed

    Yan, Binghai; Müchler, Lukas; Felser, Claudia

    2012-09-14

    We report the discovery of weak topological insulators by ab initio calculations in a honeycomb lattice. We propose a structure with an odd number of layers in the primitive unit cell as a prerequisite for forming weak topological insulators. Here, the single-layered KHgSb is the most suitable candidate for its large bulk energy gap of 0.24 eV. Its side surface hosts metallic surface states, forming two anisotropic Dirac cones. Although the stacking of even-layered structures leads to trivial insulators, the structures can host a quantum spin Hall layer with a large bulk gap, if an additional single layer exists as a stacking fault in the crystal. The reported honeycomb compounds can serve as prototypes to aid in the finding of new weak topological insulators in layered small-gap semiconductors.

  3. Enhanced charge storage capability of Ge/GeO(2) core/shell nanostructure.

    PubMed

    Yuan, C L; Lee, P S

    2008-09-03

    A Ge/GeO(2) core/shell nanostructure embedded in an Al(2)O(3) gate dielectrics matrix was produced. A larger memory window with good data retention was observed in the fabricated metal-insulator-semiconductor (MIS) capacitor for Ge/GeO(2) core/shell nanoparticles compared to Ge nanoparticles only, which is due to the high percentage of defects located on the surface and grain boundaries of the GeO(2) shell. We believe that the findings presented here provide physical insight and offer useful guidelines to controllably modify the charge storage properties of indirect semiconductors through defect engineering.

  4. Electrical characteristics of TMAH-surface treated Ni/Au/Al2O3/GaN MIS Schottky structures

    NASA Astrophysics Data System (ADS)

    Reddy, M. Siva Pratap; Lee, Jung-Hee; Jang, Ja-Soon

    2014-03-01

    The electrical characteristics and reverse leakage mechanisms of tetramethylammonium hydroxide (TMAH) surface-treated Ni/Au/Al2O3/GaN metal-insulator-semiconductor (MIS) diodes were investigated by using the current-voltage ( I-V) and capacitance-voltage ( C-V) characteristics. The MIS diode was formed on n-GaN after etching the AlGaN in the AlGaN/GaN heterostructures. The TMAH-treated MIS diode showed better Schottky characteristics with a lower ideality factor, higher barrier height and lower reverse leakage current compared to the TMAH-free MIS diode. In addition, the TMAH-free MIS diodes exhibited a transition from Poole-Frenkel emission at low voltages to Schottky emission at high voltages, whereas the TMAH-treated MIS diodes showed Schottky emission over the entire voltage range. Reasonable mechanisms for the improved device-performance characteristics in the TMAH-treated MIS diode are discussed in terms of the decreased interface state density or traps associated with an oxide material and the reduced tunneling probability.

  5. Hafnium transistor design for neural interfacing.

    PubMed

    Parent, David W; Basham, Eric J

    2008-01-01

    A design methodology is presented that uses the EKV model and the g(m)/I(D) biasing technique to design hafnium oxide field effect transistors that are suitable for neural recording circuitry. The DC gain of a common source amplifier is correlated to the structural properties of a Field Effect Transistor (FET) and a Metal Insulator Semiconductor (MIS) capacitor. This approach allows a transistor designer to use a design flow that starts with simple and intuitive 1-D equations for gain that can be verified in 1-D MIS capacitor TCAD simulations, before final TCAD process verification of transistor properties. The DC gain of a common source amplifier is optimized by using fast 1-D simulations and using slower, complex 2-D simulations only for verification. The 1-D equations are used to show that the increased dielectric constant of hafnium oxide allows a higher DC gain for a given oxide thickness. An additional benefit is that the MIS capacitor can be employed to test additional performance parameters important to an open gate transistor such as dielectric stability and ionic penetration.

  6. The electrical behavior of GaAs-insulator interfaces - A discrete energy interface state model

    NASA Technical Reports Server (NTRS)

    Kazior, T. E.; Lagowski, J.; Gatos, H. C.

    1983-01-01

    The relationship between the electrical behavior of GaAs Metal Insulator Semiconductor (MIS) structures and the high density discrete energy interface states (0.7 and 0.9 eV below the conduction band) was investigated utilizing photo- and thermal emission from the interface states in conjunction with capacitance measurements. It was found that all essential features of the anomalous behavior of GaAs MIS structures, such as the frequency dispersion and the C-V hysteresis, can be explained on the basis of nonequilibrium charging and discharging of the high density discrete energy interface states.

  7. Role of direct electron-phonon coupling across metal-semiconductor interfaces in thermal transport via molecular dynamics.

    PubMed

    Lin, Keng-Hua; Strachan, Alejandro

    2015-07-21

    Motivated by significant interest in metal-semiconductor and metal-insulator interfaces and superlattices for energy conversion applications, we developed a molecular dynamics-based model that captures the thermal transport role of conduction electrons in metals and heat transport across these types of interface. Key features of our model, denoted eleDID (electronic version of dynamics with implicit degrees of freedom), are the natural description of interfaces and free surfaces and the ability to control the spatial extent of electron-phonon (e-ph) coupling. Non-local e-ph coupling enables the energy of conduction electrons to be transferred directly to the semiconductor/insulator phonons (as opposed to having to first couple to the phonons in the metal). We characterize the effect of the spatial e-ph coupling range on interface resistance by simulating heat transport through a metal-semiconductor interface to mimic the conditions of ultrafast laser heating experiments. Direct energy transfer from the conduction electrons to the semiconductor phonons not only decreases interfacial resistance but also increases the ballistic transport behavior in the semiconductor layer. These results provide new insight for experiments designed to characterize e-ph coupling and thermal transport at the metal-semiconductor/insulator interfaces.

  8. Testing and failure analysis to improve screening techniques for hermetically sealed metallized film capacitors for low energy applications

    NASA Technical Reports Server (NTRS)

    1982-01-01

    Effective screening techniques are evaluated for detecting insulation resistance degradation and failure in hermetically sealed metallized film capacitors used in applications where low capacitor voltage and energy levels are common to the circuitry. A special test and monitoring system capable of rapidly scanning all test capacitors and recording faults and/or failures is examined. Tests include temperature cycling and storage as well as low, medium, and high voltage life tests. Polysulfone film capacitors are more heat stable and reliable than polycarbonate film units.

  9. Printing Semiconductor-Insulator Polymer Bilayers for High-Performance Coplanar Field-Effect Transistors.

    PubMed

    Bu, Laju; Hu, Mengxing; Lu, Wanlong; Wang, Ziyu; Lu, Guanghao

    2018-01-01

    Source-semiconductor-drain coplanar transistors with an organic semiconductor layer located within the same plane of source/drain electrodes are attractive for next-generation electronics, because they could be used to reduce material consumption, minimize parasitic leakage current, avoid cross-talk among different devices, and simplify the fabrication process of circuits. Here, a one-step, drop-casting-like printing method to realize a coplanar transistor using a model semiconductor/insulator [poly(3-hexylthiophene) (P3HT)/polystyrene (PS)] blend is developed. By manipulating the solution dewetting dynamics on the metal electrode and SiO 2 dielectric, the solution within the channel region is selectively confined, and thus make the top surface of source/drain electrodes completely free of polymers. Subsequently, during solvent evaporation, vertical phase separation between P3HT and PS leads to a semiconductor-insulator bilayer structure, contributing to an improved transistor performance. Moreover, this coplanar transistor with semiconductor-insulator bilayer structure is an ideal system for injecting charges into the insulator via gate-stress, and the thus-formed PS electret layer acts as a "nonuniform floating gate" to tune the threshold voltage and effective mobility of the transistors. Effective field-effect mobility higher than 1 cm 2 V -1 s -1 with an on/off ratio > 10 7 is realized, and the performances are comparable to those of commercial amorphous silicon transistors. This coplanar transistor simplifies the fabrication process of corresponding circuits. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Fabrication of lateral electrodes on semiconductor nanowires through structurally matched insulation for functional optoelectronics.

    PubMed

    Sheng, Yun; Sun, Huabin; Wang, Jianyu; Gao, Fan; Wang, Junzhuan; Pan, Lijia; Pu, Lin; Zheng, Youdou; Shi, Yi

    2013-01-18

    A strategy of using structurally matched alumina insulation to produce lateral electrodes on semiconductor nanowires is presented. Nanowires in the architecture are structurally matched with alumina insulation using selective anodic oxidation. Lateral electrodes are fabricated by directly evaporating metallic atoms onto the opposite sides of the nanowires. The integrated architecture with lateral electrodes propels carriers to transport them across nanowires and is crucially beneficial to the injection/extraction in optoelectronics. The matched architecture and the insulating properties of the alumina layer are investigated experimentally. ZnO nanowires are functionalized into an ultraviolet photodiode as an example. The present strategy successfully implements an advantageous architecture and is significant in developing diverse semiconductor nanowires in optoelectronic applications.

  11. Experimental Study of Floating-Gate-Type Metal-Oxide-Semiconductor Capacitors with Nanosize Triangular Cross-Sectional Tunnel Areas for Low Operating Voltage Flash Memory Application

    NASA Astrophysics Data System (ADS)

    Liu, Yongxun; Guo, Ruofeng; Kamei, Takahiro; Matsukawa, Takashi; Endo, Kazuhiko; O'uchi, Shinichi; Tsukada, Junichi; Yamauchi, Hiromi; Ishikawa, Yuki; Hayashida, Tetsuro; Sakamoto, Kunihiro; Ogura, Atsushi; Masahara, Meishoku

    2012-06-01

    The floating-gate (FG)-type metal-oxide-semiconductor (MOS) capacitors with planar (planar-MOS) and three-dimensional (3D) nanosize triangular cross-sectional tunnel areas (3D-MOS) have successfully been fabricated by introducing rapid thermal oxidation (RTO) and postdeposition annealing (PDA), and their electrical characteristics between the control gate (CG) and FG have been systematically compared. It was experimentally found in both planar- and 3D-MOS capacitors that the uniform and higher breakdown voltages are obtained by introducing RTO owing to the high-quality thermal oxide formation on the surface and etched edge regions of the n+ polycrystalline silicon (poly-Si) FG, and the leakage current is highly suppressed after PDA owing to the improved quality of the tetraethylorthosilicate (TEOS) silicon dioxide (SiO2) between CG and FG. Moreover, a lower breakdown voltage between CG and FG was obtained in the fabricated 3D-MOS capacitors as compared with that of planar-MOS capacitors thanks to the enhanced local electric field at the tips of triangular tunnel areas. The developed nanosize triangular cross-sectional tunnel area is useful for the fabrication of low operating voltage flash memories.

  12. Tungsten polyoxometalate molecules as active nodes for dynamic carrier exchange in hybrid molecular/semiconductor capacitors

    NASA Astrophysics Data System (ADS)

    Balliou, A.; Douvas, A. M.; Normand, P.; Tsikritzis, D.; Kennou, S.; Argitis, P.; Glezos, N.

    2014-10-01

    In this work we study the utilization of molecular transition metal oxides known as polyoxometalates (POMs), in particular the Keggin structure anions of the formula PW12O403-, as active nodes for potential switching and/or fast writing memory applications. The active molecules are being integrated in hybrid Metal-Insulator/POM molecules-Semiconductor capacitors, which serve as prototypes allowing investigation of critical performance characteristics towards the design of more sophisticated devices. The charging ability as well as the electronic structure of the molecular layer is probed by means of electrical characterization, namely, capacitance-voltage and current-voltage measurements, as well as transient capacitance measurements, C (t), under step voltage polarization. It is argued that the transient current peaks observed are manifestations of dynamic carrier exchange between the gate electrode and specific molecular levels, while the transient C (t) curves under conditions of molecular charging can supply information for the rate of change of the charge that is being trapped and de-trapped within the molecular layer. Structural characterization via surface and cross sectional scanning electron microscopy as well as atomic force microscopy, spectroscopic ellipsometry, UV and Fourier-transform IR spectroscopies, UPS, and XPS contribute to the extraction of accurate electronic structure characteristics and open the path for the design of new devices with on-demand tuning of their interfacial properties via the controlled preparation of the POM layer.

  13. Is DNA a metal, semiconductor or insulator? A theoretical approach

    NASA Astrophysics Data System (ADS)

    Rey-Gonzalez, Rafael; Fonseca-Romero, Karen; Plazas, Carlos; Grupo de Óptica e Información Cuántica Team

    Over the last years, scientific interest for designing and making low dimensional electronic devices with traditional or novel materials has been increased. These experimental and theoretical researches in electronic properties at molecular scale are looking for developing efficient devices able to carry out tasks which are currently done by silicon transistors and devices. Among the new materials DNA strands are highlighted, but the experimental results have been contradictories pointing to behaviors as conductor, semiconductor or insulator. To contribute to the understanding of the origin of the disparity of the measurements, we perform a numerical calculation of the electrical conductance of DNA segments, modeled as 1D disordered finite chains. The system is described into a Tight binding model with nearest neighbor interactions and a s orbital per site. Hydration effects are included as random variations of self-energies. The electronic current as a function of applied bias is calculated using Launder formalism, where the transmission probability is determined into the transfer matrix formalism. We find a conductor-to-semiconductor-to-insulator transition as a function of the three effects taken into account: chain size, intrinsic disorder, and hydration We thank Fundación para la Promoción de la Investigación y la Tecnología, Colombia, and Dirección de Investigación de Bogotá, Universidad Nacional de Colombia, for partial financial support.

  14. Nitride passivation reduces interfacial traps in atomic-layer-deposited Al2O3/GaAs (001) metal-oxide-semiconductor capacitors using atmospheric metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Aoki, T.; Fukuhara, N.; Osada, T.; Sazawa, H.; Hata, M.; Inoue, T.

    2014-07-01

    Using an atmospheric metal-organic chemical vapor deposition system, we passivated GaAs with AlN prior to atomic layer deposition of Al2O3. This AlN passivation incorporated nitrogen at the Al2O3/GaAs interface, improving the capacitance-voltage (C-V) characteristics of the resultant metal-oxide-semiconductor capacitors (MOSCAPs). The C-V curves of these devices showed a remarkable reduction in the frequency dispersion of the accumulation capacitance. Using the conductance method at various temperatures, we extracted the interfacial density of states (Dit). The Dit was reduced over the entire GaAs band gap. In particular, these devices exhibited Dit around the midgap of less than 4 × 1012 cm-2eV-1, showing that AlN passivation effectively reduced interfacial traps in the MOS structure.

  15. Pentacene-based metal-insulator-semiconductor memory structures utilizing single walled carbon nanotubes as a nanofloating gate

    NASA Astrophysics Data System (ADS)

    Sleiman, A.; Rosamond, M. C.; Alba Martin, M.; Ayesh, A.; Al Ghaferi, A.; Gallant, A. J.; Mabrook, M. F.; Zeze, D. A.

    2012-01-01

    A pentacene-based organic metal-insulator-semiconductor memory device, utilizing single walled carbon nanotubes (SWCNTs) for charge storage is reported. SWCNTs were embedded, between SU8 and polymethylmethacrylate to achieve an efficient encapsulation. The devices exhibit capacitance-voltage clockwise hysteresis with a 6 V memory window at ± 30 V sweep voltage, attributed to charging and discharging of SWCNTs. As the applied gate voltage exceeds the SU8 breakdown voltage, charge leakage is induced in SU8 to allow more charges to be stored in the SWCNT nodes. The devices exhibited high storage density (˜9.15 × 1011 cm-2) and demonstrated 94% charge retention due to the superior encapsulation.

  16. Analysis of Deep and Shallow Traps in Semi-Insulating CdZnTe

    DOE PAGES

    Kim, Kihyun; Yoon, Yongsu; James, Ralph B.

    2018-03-13

    Trap levels which are deep or shallow play an important role in the electrical and the optical properties of a semiconductor; thus, a trap level analysis is very important in most semiconductor devices. Deep-level defects in CdZnTe are essential in Fermi level pinning at the middle of the bandgap and are responsible for incomplete charge collection and polarization effects. However, a deep level analysis in semi-insulating CdZnTe (CZT) is very difficult. Theoretical capacitance calculation for a metal/insulator/CZT (MIS) device with deep-level defects exhibits inflection points when the donor/acceptor level crosses the Fermi level in the surface-charge layer (SCL). Three CZTmore » samples with different resistivities, 2 × 10 4 (n-type), 2 × 10 6 (p-type), and 2 × 10 10 (p-type) Ω·cm, were used in fabricating the MIS devices. These devices showed several peaks in their capacitance measurements due to upward/downward band bending that depend on the surface potential. In conclusion, theoretical and experimental capacitance measurements were in agreement, except in the fully compensated case.« less

  17. Analysis of Deep and Shallow Traps in Semi-Insulating CdZnTe

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Kihyun; Yoon, Yongsu; James, Ralph B.

    Trap levels which are deep or shallow play an important role in the electrical and the optical properties of a semiconductor; thus, a trap level analysis is very important in most semiconductor devices. Deep-level defects in CdZnTe are essential in Fermi level pinning at the middle of the bandgap and are responsible for incomplete charge collection and polarization effects. However, a deep level analysis in semi-insulating CdZnTe (CZT) is very difficult. Theoretical capacitance calculation for a metal/insulator/CZT (MIS) device with deep-level defects exhibits inflection points when the donor/acceptor level crosses the Fermi level in the surface-charge layer (SCL). Three CZTmore » samples with different resistivities, 2 × 10 4 (n-type), 2 × 10 6 (p-type), and 2 × 10 10 (p-type) Ω·cm, were used in fabricating the MIS devices. These devices showed several peaks in their capacitance measurements due to upward/downward band bending that depend on the surface potential. In conclusion, theoretical and experimental capacitance measurements were in agreement, except in the fully compensated case.« less

  18. Electro-plasmonic 2 × 2 channel-routing switch arranged on a thin-Si-doped metal/insulator/semiconductor/metal structure.

    PubMed

    Moazzam, Mostafa Keshavarz; Kaatuzian, Hassan

    2016-01-20

    Plasmonics as a new field of chip-scale technology is the interesting substrate of this study to propose and numerically investigate a metal/insulator/semiconductor/metal (MISM)-structure 2×2 plasmonic routing switch. As a planar subwavelength arrangement, the presented design has two npn-doped side-coupled dual waveguides whose duty is to route the propagating surface plasmon polaritons through the device. Relying on the MISM structure, which has a MOS-like thin-film arrangement of typically 45 nm doped silicon covered by a layer of 8 nm thick HfO(2) gate insulator, the routing configuration is electrically addressed based on the carrier-induced plasma dispersion effects as an external electro-plasmonic switching control. Finite-element-method-conducted electromagnetic simulations are employed to evaluate the switch optical response at telecom wavelength of λ=1550  nm, due to which the balanced operation measure of extinction ratios larger than 10 dB and insertion losses of around -1.8  dB are obtained for both channels of CROSS and STRAIGHT. Compared with other photonic and plasmonic switching counterparts, this configuration, besides its potential for CMOS compatibility, can be utilized as a high-speed compact building block to sustain higher-speed, more miniaturized, and less consuming electro-optic routing/switching protocols toward complicated optical integrated circuits and systems.

  19. Method for disclosing invisible physical properties in metal-ferroelectric-insulator-semiconductor gate stacks

    NASA Astrophysics Data System (ADS)

    Sakai, Shigeki; Zhang, Wei; Takahashi, Mitsue

    2017-04-01

    In metal-ferroelectric-insulator-semiconductor gate stacks of ferroelectric-gate field effect transistors (FeFETs), it is impossible to directly obtain curves of polarization versus electric field (P f-E f) in the ferroelectric layer. The P f-E f behavior is not simple, i.e. the P f-E f curves are hysteretic and nonlinear, and the hysteresis curve width depends on the electric field scan amplitude. Unless the P f-E f relation is known, the field E f strength cannot be solved when the voltage is applied between the gate meal and the semiconductor substrate, and thus P f-E f cannot be obtained after all. In this paper, the method for disclosing the relationships among the polarization peak-to-peak amplitude (2P mm_av), the electric field peak-to-peak amplitude (2E mm_av), and the memory window (E w) in units of the electric field is presented. To get P mm_av versus E mm_av, FeFETs with different ferroelectric-layer thicknesses should be prepared. Knowing such essential physical parameters is helpful and in many cases enough to quantitatively understand the behavior of FeFETs. The method is applied to three groups. The first one consists of SrBi2Ta2O9-based FeFETs. The second and third ones consist of Ca x Sr1-x Bi2Ta2O9-based FeFETs made by two kinds of annealing. The method can clearly differentiate the characters of the three groups. By applying the method, ferroelectric relationships among P mm_av, E mm_av, and E w are well classified in the three groups according to the difference of the material kinds and the annealing conditions. The method also evaluates equivalent oxide thickness (EOT) of a dual layer of a deposited high-k insulator and a thermally-grown SiO2-like interfacial layer (IL). The IL thickness calculated by the method is consistent with cross-sectional image of the FeFETs observed by a transmission electron microscope. The method successfully discloses individual characteristics of the ferroelectric and the insulator layers hidden in the gate stack

  20. Electrical characteristics and thermal stability of n+ polycrystalline- Si/ZrO2/SiO2/Si metal-oxide-semiconductor capacitors

    NASA Astrophysics Data System (ADS)

    Lim, Kwan-Yong; Park, Dae-Gyu; Cho, Heung-Jae; Kim, Joong-Jung; Yang, Jun-Mo; Ii, Choi-Sang; Yeo, In-Seok; Park, Jin Won

    2002-01-01

    We have investigated the thermal stability of n+ polycrystalline-Si(poly-Si)/ZrO2(50-140 Å)/SiO2(7 Å)/p-Si metal-oxide-semiconductor (MOS) capacitors via electrical and material characterization. The ZrO2 gate dielectric was prepared by atomic layer chemical vapor deposition using ZrCl4 and H2O vapor. Capacitance-voltage hysteresis as small as ˜12 mV with the flatband voltage of -0.5 V and the interface trap density of ˜5×1010cm-2 eV-1 were attained with activation anneal at 750 °C. A high level of gate leakage current was observed at the activation temperatures over 750 °C and attributed to the interfacial reaction of poly-Si and ZrO2 during the poly-Si deposition and the following high temperature anneal. Because of this, the ZrO2 gate dielectric is incompatible with the conventional poly-Si gate process. In the MOS capacitors having a smaller active area (<50×50 μm2), fortunately, the electrical degradation by further severe silicidation does not occur up to an 800 °C anneal in N2 for 30 min.

  1. Inkjet-Printed Organic Transistors Based on Organic Semiconductor/Insulating Polymer Blends.

    PubMed

    Kwon, Yoon-Jung; Park, Yeong Don; Lee, Wi Hyoung

    2016-08-02

    Recent advances in inkjet-printed organic field-effect transistors (OFETs) based on organic semiconductor/insulating polymer blends are reviewed in this article. Organic semiconductor/insulating polymer blends are attractive ink candidates for enhancing the jetting properties, inducing uniform film morphologies, and/or controlling crystallization behaviors of organic semiconductors. Representative studies using soluble acene/insulating polymer blends as an inkjet-printed active layer in OFETs are introduced with special attention paid to the phase separation characteristics of such blended films. In addition, inkjet-printed semiconducting/insulating polymer blends for fabricating high performance printed OFETs are reviewed.

  2. Ceramic capacitor insulation resistance failures accelerated by low voltage

    NASA Technical Reports Server (NTRS)

    Brennan, T. F.

    1978-01-01

    Ceramic capacitors failed insulation resistance testing at less than one-tenth their rated voltage. Many failures recovered as the voltage was increased. Comprehensive failure analysis techniques, some of which are unprecedented, were used to examine these failures. It was determined that there was more than one failure mechanism, and the results indicate a need for special additional screening.

  3. Capacitors Would Help Protect Against Hypervelocity Impacts

    NASA Technical Reports Server (NTRS)

    Edwards, David; Hubbs, Whitney; Hovater, Mary

    2007-01-01

    A proposal investigates alternatives to the present bumper method of protecting spacecraft against impacts of meteoroids and orbital debris. The proposed method is based on a British high-voltage-capacitance technique for protecting armored vehicles against shaped-charge warheads. A shield, according to the proposal, would include a bare metal outer layer separated by a gap from an inner metal layer covered with an electrically insulating material. The metal layers would constitute electrodes of a capacitor. A bias potential would be applied between the metal layers. A particle impinging at hypervelocity on the outer metal layer would break apart into a debris cloud that would penetrate the electrical insulation on the inner metal layer. The cloud would form a path along which electric current could flow between the metal layers, thereby causing the capacitor to discharge. With proper design, the discharge current would be large enough to vaporize the particles in the debris cloud to prevent penetration of the spacecraft. The shield design can be mass optimized to be competitive with existing bumper designs. Parametric studies were proposed to determine optimum correction between bias voltage, impacting particle velocity, gap space, and insulating material required to prevent spacecraft penetration.

  4. Inkjet-Printed Organic Transistors Based on Organic Semiconductor/Insulating Polymer Blends

    PubMed Central

    Kwon, Yoon-Jung; Park, Yeong Don; Lee, Wi Hyoung

    2016-01-01

    Recent advances in inkjet-printed organic field-effect transistors (OFETs) based on organic semiconductor/insulating polymer blends are reviewed in this article. Organic semiconductor/insulating polymer blends are attractive ink candidates for enhancing the jetting properties, inducing uniform film morphologies, and/or controlling crystallization behaviors of organic semiconductors. Representative studies using soluble acene/insulating polymer blends as an inkjet-printed active layer in OFETs are introduced with special attention paid to the phase separation characteristics of such blended films. In addition, inkjet-printed semiconducting/insulating polymer blends for fabricating high performance printed OFETs are reviewed. PMID:28773772

  5. Noise characterization of enhancement-mode AlGaN graded barrier MIS-HEMT devices

    NASA Astrophysics Data System (ADS)

    Mohanbabu, A.; Saravana Kumar, R.; Mohankumar, N.

    2017-12-01

    This paper reports a systematic theoretical study on the microwave noise performance of graded AlGaN/GaN metal-insulator semiconductor high-electron mobility transistors (MIS-HEMTs) built on an Al2O3 substrate. The HfAlOx/AlGaN/GaN MIS-HEMT devices designed for this study show an outstanding small signal analog/RF and noise performance. The results on 1 μm gate length device show an enhancement mode operation with threshold voltage, VT = + 5.3 V, low drain leakage current, Ids,LL in the order of 1 × 10-9 A/mm along with high current gain cut-off frequency, fT of 17 GHz and maximum oscillation frequency fmax of 47 GHz at Vds = 10 V. The device Isbnd V and low-frequency noise estimation of the gate and drain noise spectral density and their correlation are evaluated using a Green's function method under different biasing conditions. The devices show a minimum noise figure (NFmin) of 1.053 dB in combination with equivalent noise resistance (Rn) of 23 Ω at 17 GHz, at Vgs = 6 V and Vds = 5 V which is relatively low and is suitable for broad-band low-noise amplifiers. This study shows that the graded AlGaN MIS-HEMT with HfAlOX gate insulator is appropriate for application requiring high-power and low-noise.

  6. Unified computational model of transport in metal-insulating oxide-metal systems

    NASA Astrophysics Data System (ADS)

    Tierney, B. D.; Hjalmarson, H. P.; Jacobs-Gedrim, R. B.; Agarwal, Sapan; James, C. D.; Marinella, M. J.

    2018-04-01

    A unified physics-based model of electron transport in metal-insulator-metal (MIM) systems is presented. In this model, transport through metal-oxide interfaces occurs by electron tunneling between the metal electrodes and oxide defect states. Transport in the oxide bulk is dominated by hopping, modeled as a series of tunneling events that alter the electron occupancy of defect states. Electron transport in the oxide conduction band is treated by the drift-diffusion formalism and defect chemistry reactions link all the various transport mechanisms. It is shown that the current-limiting effect of the interface band offsets is a function of the defect vacancy concentration. These results provide insight into the underlying physical mechanisms of leakage currents in oxide-based capacitors and steady-state electron transport in resistive random access memory (ReRAM) MIM devices. Finally, an explanation of ReRAM bipolar switching behavior based on these results is proposed.

  7. Electronic passivation of n- and p-type GaAs using chemical vapor deposited GaS

    NASA Technical Reports Server (NTRS)

    Tabib-Azar, Massood; Kang, Soon; Macinnes, Andrew N.; Power, Michael B.; Barron, Andrew R.; Jenkins, Phillip P.; Hepp, Aloysius F.

    1993-01-01

    We report on the electronic passivation of n- and p-type GaAs using CVD cubic GaS. Au/GaS/GaAs-fabricated metal-insulator-semiconductor (MIS) structures exhibit classical high-frequency capacitor vs voltage (C-V) behavior with well-defined accumulation and inversion regions. Using high- and low-frequency C-V, the interface trap densities of about 10 exp 11/eV per sq cm on both n- and p-type GaAs are determined. The electronic condition of GaS/GaAs interface did not show any deterioration after a six week time period.

  8. Electrical properties of MIS devices on CdZnTe/HgCdTe

    NASA Astrophysics Data System (ADS)

    Lee, Tae-Seok; Jeoung, Y. T.; Kim, Hyun Kyu; Kim, Jae Mook; Song, Jinhan; Ann, S. Y.; Lee, Ji Y.; Kim, Young Hun; Kim, Sun-Ung; Park, Mann-Jang; Lee, S. D.; Suh, Sang-Hee

    1998-10-01

    In this paper, we report the capacitance-voltage (C-V) properties of metal-insulator-semiconductor (MIS) devices on CdTe/HgCdTe by the metalorganic chemical vapor deposition (MOCVD) and CdZnTe/HgCdTe by thermal evaporation. In MOCVD, CdTe layers are directly grown on HgCdTe using the metal organic sources of DMCd and DiPTe. HgCdTe layers are converted to n-type and the carrier concentration, ND is low 1015 cm-3 after Hg-vacancy annealing at 260 degrees Celsius. In thermal evaporation, CdZnTe passivation layers were deposited on HgCdTe surfaces after the surfaces were etched with 0.5 - 2.0% bromine in methanol solution. To investigate the electrical properties of the MIS devices, the C-V measurement is conducted at 80 K and 1 MHz. C-V curve of MIS devices on CdTe/HgCdTe by MOCVD has shown nearly flat band condition and large hysteresis, which is inferred to result from many defects in CdTe layer induced during Hg-vacancy annealing process. A negative flat band voltage (VFB approximately equals -2 V) and a small hysteresis have been observed for MIS devices on CdZnTe/HgCdTe by thermal evaporation. It is inferred that the negative flat band voltage results from residual Te4+ on the surface after etching with bromine in methanol solution.

  9. Highly-Sensitive Thin Film THz Detector Based on Edge Metal-Semiconductor-Metal Junction.

    PubMed

    Jeon, Youngeun; Jung, Sungchul; Jin, Hanbyul; Mo, Kyuhyung; Kim, Kyung Rok; Park, Wook-Ki; Han, Seong-Tae; Park, Kibog

    2017-12-04

    Terahertz (THz) detectors have been extensively studied for various applications such as security, wireless communication, and medical imaging. In case of metal-insulator-metal (MIM) tunnel junction THz detector, a small junction area is desirable because the detector response time can be shortened by reducing it. An edge metal-semiconductor-metal (EMSM) junction has been developed with a small junction area controlled precisely by the thicknesses of metal and semiconductor films. The voltage response of the EMSM THz detector shows the clear dependence on the polarization angle of incident THz wave and the responsivity is found to be very high (~2,169 V/W) at 0.4 THz without any antenna and signal amplifier. The EMSM junction structure can be a new and efficient way of fabricating the nonlinear device THz detector with high cut-off frequency relying on extremely small junction area.

  10. Self-consistent performance modeling for dual band MIS UV photodetectors based on Si/SiO2 multilayer structure.

    PubMed

    Rostami, A; Leilaeioun, M; Golmmohamadi, S; Rasooli Saghai, H

    2012-06-01

    In this paper, we present a self-consistent theoretical model for a metal-insulator semiconductor (MIS) dual band ultraviolet (UV) photodetector with a modified structure implying an arbitrarily defined insulating potential barrier as its active region. Utilizing our proposed model, the dark and photocurrent density-voltage (J-V) characteristics of MIS UV photodetectors with multi-quantum wells of silicon (MQWs) are calculated. We demonstrate that dark current is reduced in the suggested structure, because the electron-tunneling probability becomes unity at energies coincident with the peak detection wavelength. This is due to the resonant tunneling and decreases at energies that are significantly smaller than this optimum value. In consequence, the number of carriers contributing to the dark current, which have a broad energy distribution at high temperatures, will decrease. It is also shown that the designed structure could detect two individual UV wavelengths, simultaneously. The width of each Si quantum well has been considered at around 1.2 nm, in order to observe these two absorption peaks in the middle and near UV regions of photon spectrum (about 365 nm, 175 nm).

  11. Thickness engineering of atomic layer deposited Al2O3 films to suppress interfacial reaction and diffusion of Ni/Au gate metal in AlGaN/GaN HEMTs up to 600 °C in air

    NASA Astrophysics Data System (ADS)

    Suria, Ateeq J.; Yalamarthy, Ananth Saran; Heuser, Thomas A.; Bruefach, Alexandra; Chapin, Caitlin A.; So, Hongyun; Senesky, Debbie G.

    2017-06-01

    In this paper, we describe the use of 50 nm atomic layer deposited (ALD) Al2O3 to suppress the interfacial reaction and inter-diffusion between the gate metal and semiconductor interface, to extend the operation limit up to 600 °C in air. Suppression of diffusion is verified through Auger electron spectroscopy (AES) depth profiling and X-ray diffraction (XRD) and is further supported with electrical characterization. An ALD Al2O3 thin film (10 nm and 50 nm), which functions as a dielectric layer, was inserted between the gate metal (Ni/Au) and heterostructure-based semiconductor material (AlGaN/GaN) to form a metal-insulator-semiconductor high electron mobility transistor (MIS-HEMT). This extended the 50 nm ALD Al2O3 MIS-HEMT (50-MIS) current-voltage (Ids-Vds) and gate leakage (Ig,leakage) characteristics up to 600 °C. Both, the 10 nm ALD Al2O3 MIS-HEMT (10-MIS) and HEMT, failed above 350 °C, as evidenced by a sudden increase of approximately 50 times and 5.3 × 106 times in Ig,leakage, respectively. AES on the HEMT revealed the formation of a Ni-Au alloy and Ni present in the active region. Additionally, XRD showed existence of metal gallides in the HEMT. The 50-MIS enables the operation of AlGaN/GaN based electronics in oxidizing high-temperature environments, by suppressing interfacial reaction and inter-diffusion of the gate metal with the semiconductor.

  12. Semiconductor of spinons: from Ising band insulator to orthogonal band insulator.

    PubMed

    Farajollahpour, T; Jafari, S A

    2018-01-10

    We use the ionic Hubbard model to study the effects of strong correlations on a two-dimensional semiconductor. The spectral gap in the limit where on-site interactions are zero is set by the staggered ionic potential, while in the strong interaction limit it is set by the Hubbard U. Combining mean field solutions of the slave spin and slave rotor methods, we propose two interesting gapped phases in between: (i) the insulating phase before the Mott phase can be viewed as gapping a non-Fermi liquid state of spinons by the staggered ionic potential. The quasi-particles of underlying spinons are orthogonal to physical electrons, giving rise to the 'ARPES-dark' state where the ARPES gap will be larger than the optical and thermal gap. (ii) The Ising insulator corresponding to ordered phase of the Ising variable is characterized by single-particle excitations whose dispersion is controlled by Ising-like temperature and field dependences. The temperature can be conveniently employed to drive a phase transition between these two insulating phases where Ising exponents become measurable by ARPES and cyclotron resonance. The rare earth monochalcogenide semiconductors where the magneto-resistance is anomalously large can be a candidate system for the Ising band insulator. We argue that the Ising and orthogonal insulating phases require strong enough ionic potential to survive the downward renormalization of the ionic potential caused by Hubbard U.

  13. Semiconductor of spinons: from Ising band insulator to orthogonal band insulator

    NASA Astrophysics Data System (ADS)

    Farajollahpour, T.; Jafari, S. A.

    2018-01-01

    We use the ionic Hubbard model to study the effects of strong correlations on a two-dimensional semiconductor. The spectral gap in the limit where on-site interactions are zero is set by the staggered ionic potential, while in the strong interaction limit it is set by the Hubbard U. Combining mean field solutions of the slave spin and slave rotor methods, we propose two interesting gapped phases in between: (i) the insulating phase before the Mott phase can be viewed as gapping a non-Fermi liquid state of spinons by the staggered ionic potential. The quasi-particles of underlying spinons are orthogonal to physical electrons, giving rise to the ‘ARPES-dark’ state where the ARPES gap will be larger than the optical and thermal gap. (ii) The Ising insulator corresponding to ordered phase of the Ising variable is characterized by single-particle excitations whose dispersion is controlled by Ising-like temperature and field dependences. The temperature can be conveniently employed to drive a phase transition between these two insulating phases where Ising exponents become measurable by ARPES and cyclotron resonance. The rare earth monochalcogenide semiconductors where the magneto-resistance is anomalously large can be a candidate system for the Ising band insulator. We argue that the Ising and orthogonal insulating phases require strong enough ionic potential to survive the downward renormalization of the ionic potential caused by Hubbard U.

  14. Space-charge Effect on Electroresistance in Metal-Ferroelectric-Metal capacitors

    PubMed Central

    Tian, Bo Bo; Liu, Yang; Chen, Liu Fang; Wang, Jian Lu; Sun, Shuo; Shen, Hong; Sun, Jing Lan; Yuan, Guo Liang; Fusil, Stéphane; Garcia, Vincent; Dkhil, Brahim; Meng, Xiang Jian; Chu, Jun Hao

    2015-01-01

    Resistive switching through electroresistance (ER) effect in metal-ferroelectric-metal (MFM) capacitors has attracted increasing interest due to its potential applications as memories and logic devices. However, the detailed electronic mechanisms resulting in large ER when polarisation switching occurs in the ferroelectric barrier are still not well understood. Here, ER effect up to 1000% at room temperature is demonstrated in C-MOS compatible MFM nanocapacitors with a 8.8 nm-thick poly(vinylidene fluoride) (PVDF) homopolymer ferroelectric, which is very promising for silicon industry integration. Most remarkably, using theory developed for metal-semiconductor rectifying contacts, we derive an analytical expression for the variation of interfacial barrier heights due to space-charge effect that can interpret the observed ER response. We extend this space-charge model, related to the release of trapped charges by defects, to MFM structures made of ferroelectric oxides. This space-charge model provides a simple and straightforward tool to understand recent unusual reports. Finally, this work suggests that defect-engineering could be an original and efficient route for tuning the space-charge effect and thus the ER performances in future electronic devices. PMID:26670138

  15. Space-charge Effect on Electroresistance in Metal-Ferroelectric-Metal capacitors

    NASA Astrophysics Data System (ADS)

    Tian, Bo Bo; Liu, Yang; Chen, Liu Fang; Wang, Jian Lu; Sun, Shuo; Shen, Hong; Sun, Jing Lan; Yuan, Guo Liang; Fusil, Stéphane; Garcia, Vincent; Dkhil, Brahim; Meng, Xiang Jian; Chu, Jun Hao

    2015-12-01

    Resistive switching through electroresistance (ER) effect in metal-ferroelectric-metal (MFM) capacitors has attracted increasing interest due to its potential applications as memories and logic devices. However, the detailed electronic mechanisms resulting in large ER when polarisation switching occurs in the ferroelectric barrier are still not well understood. Here, ER effect up to 1000% at room temperature is demonstrated in C-MOS compatible MFM nanocapacitors with a 8.8 nm-thick poly(vinylidene fluoride) (PVDF) homopolymer ferroelectric, which is very promising for silicon industry integration. Most remarkably, using theory developed for metal-semiconductor rectifying contacts, we derive an analytical expression for the variation of interfacial barrier heights due to space-charge effect that can interpret the observed ER response. We extend this space-charge model, related to the release of trapped charges by defects, to MFM structures made of ferroelectric oxides. This space-charge model provides a simple and straightforward tool to understand recent unusual reports. Finally, this work suggests that defect-engineering could be an original and efficient route for tuning the space-charge effect and thus the ER performances in future electronic devices.

  16. Enhanced adhesion of films to semiconductors or metals by high energy bombardment

    NASA Technical Reports Server (NTRS)

    Tombrello, Thomas A. (Inventor); Qiu, Yuanxun (Inventor); Mendenhall, Marcus H. (Inventor)

    1985-01-01

    Films (12) of a metal such as gold or other non-insulator materials are firmly bonded to other non-insulators such as semiconductor substrates (10), suitably silicon or gallium arsenide by irradiating the interface with high energy ions. The process results in improved adhesion without excessive doping and provides a low resistance contact to the semiconductor. Thick layers can be bonded by depositing or doping the interfacial surfaces with fissionable elements or alpha emitters. The process can be utilized to apply very small, low resistance electrodes (78) to light-emitting solid state laser diodes (60) to form a laser device 70.

  17. Effects of ultrathin oxides in conducting MIS structures on GaAs

    NASA Technical Reports Server (NTRS)

    Childs, R. B.; Ruths, J. M.; Sullivan, T. E.; Fonash, S. J.

    1978-01-01

    Schottky barrier-type GaAs baseline devices (semiconductor surface etched and then immediately metalized) and GaAs conducting metal oxide-semiconductor devices are fabricated and characterized. The baseline surfaces (no purposeful oxide) are prepared by a basic or an acidic etch, while the surface for the MIS devices are prepared by oxidizing after the etch step. The metallizations used are thin-film Au, Ag, Pd, and Al. It is shown that the introduction of purposeful oxide into these Schottky barrier-type structures examined on n-type GaAs modifies the barrier formation, and that thin interfacial layers can modify barrier formation through trapping and perhaps chemical reactions. For Au- and Pd-devices, enhanced photovoltaic performance of the MIS configuration is due to increased barrier height.

  18. Comparative Study of HfTa-based gate-dielectric Ge metal-oxide-semiconductor capacitors with and without AlON interlayer

    NASA Astrophysics Data System (ADS)

    Xu, J. P.; Zhang, X. F.; Li, C. X.; Chan, C. L.; Lai, P. T.

    2010-04-01

    The electrical properties and high-field reliability of HfTa-based gate-dielectric metal-oxide-semiconductor (MOS) devices with and without AlON interlayer on Ge substrate are investigated. Experimental results show that the MOS capacitor with HfTaON/AlON stack gate dielectric exhibits low interface-state/oxide-charge densities, low gate leakage, small capacitance equivalent thickness (˜1.1 nm), and high dielectric constant (˜20). All of these should be attributed to the blocking role of the ultrathin AlON interlayer against interdiffusions of Ge, Hf, and Ta and penetration of O into the Ge substrate, with the latter effectively suppressing the unintentional formation of unstable poor-quality low- k GeO x and giving a superior AlON/Ge interface. Moreover, incorporation of N into both the interlayer and high- k dielectric further improves the device reliability under high-field stress through the formation of strong N-related bonds.

  19. Ferroelectric switching of poly(vinylidene difluoride-trifluoroethylene) in metal-ferroelectric-semiconductor non-volatile memories with an amorphous oxide semiconductor

    NASA Astrophysics Data System (ADS)

    Gelinck, G. H.; van Breemen, A. J. J. M.; Cobb, B.

    2015-03-01

    Ferroelectric polarization switching of poly(vinylidene difluoride-trifluoroethylene) is investigated in different thin-film device structures, ranging from simple capacitors to dual-gate thin-film transistors (TFT). Indium gallium zinc oxide, a high mobility amorphous oxide material, is used as semiconductor. We find that the ferroelectric can be polarized in both directions in the metal-ferroelectric-semiconductor (MFS) structure and in the dual-gate TFT under certain biasing conditions, but not in the single-gate thin-film transistors. These results disprove the common belief that MFS structures serve as a good model system for ferroelectric polarization switching in thin-film transistors.

  20. Electric-field driven insulator-metal transition and tunable magnetoresistance in ZnO thin film

    NASA Astrophysics Data System (ADS)

    Zhang, Le; Chen, Shanshan; Chen, Xiangyang; Ye, Zhizhen; Zhu, Liping

    2018-04-01

    Electrical control of the multistate phase in semiconductors offers the promise of nonvolatile functionality in the future semiconductor spintronics. Here, by applying an external electric field, we have observed a gate-induced insulator-metal transition (MIT) with the temperature dependence of resistivity in ZnO thin films. Due to a high-density carrier accumulation, we have shown the ability to inverse change magnetoresistance in ZnO by ionic liquid gating from 10% to -2.5%. The evolution of photoluminescence under gate voltage was also consistent with the MIT, which is due to the reduction of dislocation. Our in-situ gate-controlled photoluminescence, insulator-metal transition, and the conversion of magnetoresistance open up opportunities in searching for quantum materials and ZnO based photoelectric devices.

  1. Reaching state-of-the art requirements for MIM capacitors with a single-layer anodic Al2O3 dielectric and imprinted electrodes

    NASA Astrophysics Data System (ADS)

    Hourdakis, Emmanouel; Nassiopoulou, Androula G.

    2017-07-01

    Metal-Insulator-Metal (MIM) capacitors with a high capacitance density and low non-linearity coefficient using a single-layer dielectric of barrier-type anodic alumina (Al2O3) and an imprinted bottom Al electrode are presented. Imprinting of the bottom electrode aimed at increasing the capacitor effective surface area by creating a three-dimensional MIM capacitor architecture. The bottom Al electrode was only partly nanopatterned so as to ensure low series resistance of the MIM capacitor. With a 3 nm thick anodic Al2O3 dielectric, the capacitor with the imprinted electrode showed a 280% increase in capacitance density compared to the flat electrode capacitor, reaching a value of 20.5 fF/μm2. On the other hand, with a 30 nm thick anodic Al2O3 layer, the capacitance density was 7.9 fF/μm2 and the non-linearity coefficient was as low as 196 ppm/V2. These values are very close to reaching all requirements of the last International Technology Roadmap for Semiconductors for MIM capacitors [ITRS, http://www.itrs2.net/2013-itrs.html for ITRS Roadmap (2013)], and they are achieved by a single-layer dielectric instead of the complicated dielectric stacks of the literature. The obtained results constitute a real progress compared to previously reported results by our group for MIM capacitors using imprinted electrodes.

  2. Electrical hysteresis in p-GaN metal-oxide-semiconductor capacitor with atomic-layer-deposited Al2O3 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Zhang, Kexiong; Liao, Meiyong; Imura, Masataka; Nabatame, Toshihide; Ohi, Akihiko; Sumiya, Masatomo; Koide, Yasuo; Sang, Liwen

    2016-12-01

    The electrical hysteresis in current-voltage (I-V) and capacitance-voltage characteristics was observed in an atomic-layer-deposited Al2O3/p-GaN metal-oxide-semiconductor capacitor (PMOSCAP). The absolute minimum leakage currents of the PMOSCAP for forward and backward I-V scans occurred not at 0 V but at -4.4 and +4.4 V, respectively. A negative flat-band voltage shift of 5.5 V was acquired with a capacitance step from +4.4 to +6.1 V during the forward scan. Mg surface accumulation on p-GaN was demonstrated to induce an Mg-Ga-Al-O oxidized layer with a trap density on the order of 1013 cm-2. The electrical hysteresis is attributed to the hole trapping and detrapping process in the traps of the Mg-Ga-Al-O layer via the Poole-Frenkel mechanism.

  3. MOSFET and MOS capacitor responses to ionizing radiation

    NASA Technical Reports Server (NTRS)

    Benedetto, J. M.; Boesch, H. E., Jr.

    1984-01-01

    The ionizing radiation responses of metal oxide semiconductor (MOS) field-effect transistors (FETs) and MOS capacitors are compared. It is shown that the radiation-induced threshold voltage shift correlates closely with the shift in the MOS capacitor inversion voltage. The radiation-induced interface-state density of the MOSFETs and MOS capacitors was determined by several techniques. It is shown that the presence of 'slow' states can interfere with the interface-state measurements.

  4. Characterization and Modeling Analysis for Metal-Semiconductor-Metal GaAs Diodes with Pd/SiO2 Mixture Electrode

    PubMed Central

    Tan, Shih-Wei; Lai, Shih-Wen

    2012-01-01

    Characterization and modeling of metal-semiconductor-metal (MSM) GaAs diodes using to evaporate SiO2 and Pd simultaneously as a mixture electrode (called M-MSM diodes) compared with similar to evaporate Pd as the electrode (called Pd-MSM diodes) were reported. The barrier height (φ b) and the Richardson constant (A*) were carried out for the thermionic-emission process to describe well the current transport for Pd-MSM diodes in the consideration of the carrier over the metal-semiconductor barrier. In addition, in the consideration of the carrier over both the metal-semiconductor barrier and the insulator-semiconductor barrier simultaneously, thus the thermionic-emission process can be used to describe well the current transport for M-MSM diodes. Furthermore, in the higher applied voltage, the carrier recombination will be taken into discussion. Besides, a composite-current (CC) model is developed to evidence the concepts. Our calculated results are in good agreement with the experimental ones. PMID:23226352

  5. Luminance compensation for AMOLED displays using integrated MIS sensors

    NASA Astrophysics Data System (ADS)

    Vygranenko, Yuri; Fernandes, Miguel; Louro, Paula; Vieira, Manuela

    2017-05-01

    Active-matrix organic light-emitting diodes (AMOLEDs) are ideal for future TV applications due to their ability to faithfully reproduce real images. However, pixel luminance can be affected by instability of driver TFTs and aging effect in OLEDs. This paper reports on a pixel driver utilizing a metal-insulator-semiconductor (MIS) sensor for luminance control of the OLED element. In the proposed pixel architecture for bottom-emission AMOLEDs, the embedded MIS sensor shares the same layer stack with back-channel etched a Si:H TFTs to maintain the fabrication simplicity. The pixel design for a large-area HD display is presented. The external electronics performs image processing to modify incoming video using correction parameters for each pixel in the backplane, and also sensor data processing to update the correction parameters. The luminance adjusting algorithm is based on realistic models for pixel circuit elements to predict the relation between the programming voltage and OLED luminance. SPICE modeling of the sensing part of the backplane is performed to demonstrate its feasibility. Details on the pixel circuit functionality including the sensing and programming operations are also discussed.

  6. Nitride passivation reduces interfacial traps in atomic-layer-deposited Al{sub 2}O{sub 3}/GaAs (001) metal-oxide-semiconductor capacitors using atmospheric metal-organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aoki, T., E-mail: aokit@sc.sumitomo-chem.co.jp; Fukuhara, N.; Osada, T.

    2014-07-21

    Using an atmospheric metal-organic chemical vapor deposition system, we passivated GaAs with AlN prior to atomic layer deposition of Al{sub 2}O{sub 3}. This AlN passivation incorporated nitrogen at the Al{sub 2}O{sub 3}/GaAs interface, improving the capacitance-voltage (C–V) characteristics of the resultant metal-oxide-semiconductor capacitors (MOSCAPs). The C–V curves of these devices showed a remarkable reduction in the frequency dispersion of the accumulation capacitance. Using the conductance method at various temperatures, we extracted the interfacial density of states (D{sub it}). The D{sub it} was reduced over the entire GaAs band gap. In particular, these devices exhibited D{sub it} around the midgap ofmore » less than 4 × 10{sup 12} cm{sup −2}eV{sup −1}, showing that AlN passivation effectively reduced interfacial traps in the MOS structure.« less

  7. Novel Dry-Type Glucose Sensor Based on a Metal-Oxide-Semiconductor Capacitor Structure with Horseradish Peroxidase + Glucose Oxidase Catalyzing Layer

    NASA Astrophysics Data System (ADS)

    Lin, Jing-Jenn; Wu, You-Lin; Hsu, Po-Yen

    2007-10-01

    In this paper, we present a novel dry-type glucose sensor based on a metal-oxide-semiconductor capacitor (MOSC) structure using SiO2 as a gate dielectric in conjunction with a horseradish peroxidase (HRP) + glucose oxidase (GOD) catalyzing layer. The tested glucose solution was dropped directly onto the window opened on the SiO2 layer, with a coating of HRP + GOD catalyzing layer on top of the gate dielectric. From the capacitance-voltage (C-V) characteristics of the sensor, we found that the glucose solution can induce an inversion layer on the silicon surface causing a gate leakage current flowing along the SiO2 surface. The gate current changes Δ I before and after the drop of glucose solution exhibits a near-linear relationship with increasing glucose concentration. The Δ I sensitivity is about 1.76 nA cm-2 M-1, and the current is quite stable 20 min after the drop of the glucose solution is tested.

  8. Barrier height enhancement of metal/semiconductor contact by an enzyme biofilm interlayer

    NASA Astrophysics Data System (ADS)

    Ocak, Yusuf Selim; Gul Guven, Reyhan; Tombak, Ahmet; Kilicoglu, Tahsin; Guven, Kemal; Dogru, Mehmet

    2013-06-01

    A metal/interlayer/semiconductor (Al/enzyme/p-Si) MIS device was fabricated using α-amylase enzyme as a thin biofilm interlayer. It was observed that the device showed an excellent rectifying behavior and the barrier height value of 0.78 eV for Al/α-amylase/p-Si was meaningfully larger than the one of 0.58 eV for conventional Al/p-Si metal/semiconductor (MS) contact. Enhancement of the interfacial potential barrier of Al/p-Si MS diode was realized using enzyme interlayer by influencing the space charge region of Si semiconductor. The electrical properties of the structure were executed by the help of current-voltage and capacitance-voltage measurements. The photovoltaic properties of the structure were executed under a solar simulator with AM1.5 global filter between 40 and 100 mW/cm2 illumination conditions. It was also reported that the α-amylase enzyme produced from Bacillus licheniformis had a 3.65 eV band gap value obtained from optical method.

  9. A Solution-Doped Polymer Semiconductor:Insulator Blend for Thermoelectrics.

    PubMed

    Kiefer, David; Yu, Liyang; Fransson, Erik; Gómez, Andrés; Primetzhofer, Daniel; Amassian, Aram; Campoy-Quiles, Mariano; Müller, Christian

    2017-01-01

    Poly(ethylene oxide) is demonstrated to be a suitable matrix polymer for the solution-doped conjugated polymer poly(3-hexylthiophene). The polarity of the insulator combined with carefully chosen processing conditions permits the fabrication of tens of micrometer-thick films that feature a fine distribution of the F4TCNQ dopant:semiconductor complex. Changes in electrical conductivity from 0.1 to 0.3 S cm -1 and Seebeck coefficient from 100 to 60 μV K -1 upon addition of the insulator correlate with an increase in doping efficiency from 20% to 40% for heavily doped ternary blends. An invariant bulk thermal conductivity of about 0.3 W m -1 K -1 gives rise to a thermoelectric Figure of merit ZT ∼ 10 -4 that remains unaltered for an insulator content of more than 60 wt%. Free-standing, mechanically robust tapes illustrate the versatility of the developed dopant:semiconductor:insulator ternary blends.

  10. A Solution‐Doped Polymer Semiconductor:Insulator Blend for Thermoelectrics

    PubMed Central

    Kiefer, David; Yu, Liyang; Fransson, Erik; Gómez, Andrés; Primetzhofer, Daniel; Amassian, Aram; Campoy‐Quiles, Mariano

    2016-01-01

    Poly(ethylene oxide) is demonstrated to be a suitable matrix polymer for the solution‐doped conjugated polymer poly(3‐hexylthiophene). The polarity of the insulator combined with carefully chosen processing conditions permits the fabrication of tens of micrometer‐thick films that feature a fine distribution of the F4TCNQ dopant:semiconductor complex. Changes in electrical conductivity from 0.1 to 0.3 S cm−1 and Seebeck coefficient from 100 to 60 μV K−1 upon addition of the insulator correlate with an increase in doping efficiency from 20% to 40% for heavily doped ternary blends. An invariant bulk thermal conductivity of about 0.3 W m−1 K−1 gives rise to a thermoelectric Figure of merit ZT ∼ 10−4 that remains unaltered for an insulator content of more than 60 wt%. Free‐standing, mechanically robust tapes illustrate the versatility of the developed dopant:semiconductor:insulator ternary blends. PMID:28105396

  11. Electrical characterizations of MIS structures based on variable-gap n(p)-HgCdTe grown by MBE on Si(0 1 3) substrates

    NASA Astrophysics Data System (ADS)

    Voitsekhovskii, A. V.; Nesmelov, S. N.; Dzyadukh, S. M.; Varavin, V. S.; Dvoretskii, S. A.; Mikhailov, N. N.; Yakushev, M. V.; Sidorov, G. Yu.

    2017-12-01

    Metal-insulator-semiconductor (MIS) structures based on n(p)-Hg1-xCdxTe (x = 0.22-0.40) with near-surface variable-gap layers were grown by the molecular-beam epitaxy (MBE) technique on the Si (0 1 3) substrates. Electrical properties of MIS structures were investigated experimentally at various temperatures (9-77 K) and directions of voltage sweep. The ;narrow swing; technique was used to determine the spectra of fast surface states with the exception of hysteresis effects. It is established that the density of fast surface states at the MCT/Al2O3 interface at a minimum does not exceed 3 × 1010 eV-1 × cm-2. For MIS structures based on n-MCT/Si(0 1 3), the differential resistance of the space-charge region in strong inversion mode in the temperature range 50-90 K is limited by the Shockley-Read-Hall generation in the space-charge region.

  12. Novel growth techniques of group-IV based semiconductors on insulator for next-generation electronics

    NASA Astrophysics Data System (ADS)

    Miyao, Masanobu; Sadoh, Taizoh

    2017-05-01

    Recent progress in the crystal growth of group-IV-based semiconductor-on-insulators is reviewed from physical and technological viewpoints. Liquid-phase growth based on SiGe-mixing-triggered rapid-melting growth enables formation of hybrid (100) (110) (111)-orientation Ge-on-insulator (GOI) structures, which show defect-free GOI with very high carrier mobility (˜1040 cm2 V-1 s-1). Additionally, SiGe mixed-crystals with laterally uniform composition were obtained by eliminating segregation phenomena during the melt-back process. Low-temperature solid-phase growth has been explored by combining this process with ion-beam irradiation, additional doping of group-IV elements, metal induced lateral crystallization with/without electric field, and metal-induced layer exchange crystallization. These efforts have enabled crystal growth on insulators below 400 °C, achieving high carrier mobility (160-320 cm2 V-1 s-1). Moreover, orientation-controlled SiGe and Ge films on insulators have been obtained below the softening temperatures of conventional plastic films (˜300 °C). Detailed characterization provides an understanding of physical phenomena behind these crystal growth techniques. Applying these methods when fabricating next-generation electronics is also discussed.

  13. Study and modeling of the transport mechanism in a semi insulating GaAs Schottky diode

    NASA Astrophysics Data System (ADS)

    Resfa, A.; Smahi, Bourzig Y.; Menezla, Brahimi. R.

    2012-09-01

    The current through a metal-semiconductor junction is mainly due to the majority carriers. Three distinctly different mechanisms exist in a Schottky diode: diffusion of carriers from the semiconductor into the metal, thermionic emission-diffusion (TED) of carriers across the Schottky barrier and quantum-mechanical tunneling through the barrier. The insulating layer converts the MS device in an MIS device and has a strong influence on its current-voltage (I-V) and the parameters of a Schottky barrier from 3.7 to 15 eV. There are several possible reasons for the error that causes a deviation of the ideal behavior of Schottky diodes with and without an interfacial insulator layer. These include the particular distribution of interface states, the series resistance, bias voltage and temperature. The GaAs and its large concentration values of trap centers will participate in an increase of the process of thermionic electrons and holes, which will in turn the IV characteristic of the diode, and an overflow maximum value [NT = 3 × 1020] is obtained. The I-V characteristics of Schottky diodes are in the hypothesis of a parabolic summit.

  14. Multi-layer MOS capacitor based polarization insensitive electro-optic intensity modulator.

    PubMed

    Qiu, Xiaoming; Ruan, Xiaoke; Li, Yanping; Zhang, Fan

    2018-05-28

    In this study, a multi-layer metal-oxide-semiconductor capacitor (MLMOSC) polarization insensitive modulator is proposed. The design is validated by numerical simulation with commercial software LUMERICAL SOLUTION. Based on the epsilon-near-zero (ENZ) effect of indium tin oxide (ITO), the device manages to uniformly modulate both the transverse electric (TE) and the transverse magnetic (TM) modes. With a 20μm-long double-layer metal-oxide-semiconductor capacitor (DLMOSC) polarization insensitive modulator, in which two metal-oxide-semiconductor (MOS) structures are formed by the n-doped Si/HfO 2 /ITO/HfO 2 / n-doped Si stack, the extinction ratios (ERs) of both the TE and the TM modes can be over 20dB. The polarization dependent losses of the device can be as low as 0.05dB for the "OFF" state and 0.004dB for the "ON" state. Within 1dB polarization dependent loss, the device can operate with over 20dB ERs at the S, C, and L bands. The polarization insensitive modulator offers various merits including ultra-compact size, broadband spectrum, and complementary metal oxide semiconductor (CMOS) compatibility.

  15. Metal-insulator transitions

    NASA Astrophysics Data System (ADS)

    Imada, Masatoshi; Fujimori, Atsushi; Tokura, Yoshinori

    1998-10-01

    Metal-insulator transitions are accompanied by huge resistivity changes, even over tens of orders of magnitude, and are widely observed in condensed-matter systems. This article presents the observations and current understanding of the metal-insulator transition with a pedagogical introduction to the subject. Especially important are the transitions driven by correlation effects associated with the electron-electron interaction. The insulating phase caused by the correlation effects is categorized as the Mott Insulator. Near the transition point the metallic state shows fluctuations and orderings in the spin, charge, and orbital degrees of freedom. The properties of these metals are frequently quite different from those of ordinary metals, as measured by transport, optical, and magnetic probes. The review first describes theoretical approaches to the unusual metallic states and to the metal-insulator transition. The Fermi-liquid theory treats the correlations that can be adiabatically connected with the noninteracting picture. Strong-coupling models that do not require Fermi-liquid behavior have also been developed. Much work has also been done on the scaling theory of the transition. A central issue for this review is the evaluation of these approaches in simple theoretical systems such as the Hubbard model and t-J models. Another key issue is strong competition among various orderings as in the interplay of spin and orbital fluctuations. Experimentally, the unusual properties of the metallic state near the insulating transition have been most extensively studied in d-electron systems. In particular, there is revived interest in transition-metal oxides, motivated by the epoch-making findings of high-temperature superconductivity in cuprates and colossal magnetoresistance in manganites. The article reviews the rich phenomena of anomalous metallicity, taking as examples Ti, V, Cr, Mn, Fe, Co, Ni, Cu, and Ru compounds. The diverse phenomena include strong spin and

  16. Interfacial and electrical properties of InGaAs metal-oxide-semiconductor capacitor with TiON/TaON multilayer composite gate dielectric

    NASA Astrophysics Data System (ADS)

    Wang, L. S.; Xu, J. P.; Liu, L.; Lu, H. H.; Lai, P. T.; Tang, W. M.

    2015-03-01

    InGaAs metal-oxide-semiconductor (MOS) capacitors with composite gate dielectric consisting of Ti-based oxynitride (TiON)/Ta-based oxynitride (TaON) multilayer are fabricated by RF sputtering. The interfacial and electrical properties of the TiON/TaON/InGaAs and TaON/TiON/InGaAs MOS structures are investigated and compared. Experimental results show that the former exhibits lower interface-state density (1.0 × 1012 cm-2 eV-1 at midgap), smaller gate leakage current (9.5 × 10-5 A/cm2 at a gate voltage of 2 V), larger equivalent dielectric constant (19.8), and higher reliability under electrical stress than the latter. The involved mechanism lies in the fact that the ultrathin TaON interlayer deposited on the sulfur-passivated InGaAs surface can effectively reduce the defective states and thus unpin the Femi level at the TaON/InGaAs interface, improving the electrical properties of the device.

  17. High power density capacitor and method of fabrication

    DOEpatents

    Tuncer, Enis

    2012-11-20

    A ductile preform for making a drawn capacitor includes a plurality of electrically insulating, ductile insulator plates and a plurality of electrically conductive, ductile capacitor plates. Each insulator plate is stacked vertically on a respective capacitor plate and each capacitor plate is stacked on a corresponding insulator plate in alignment with only one edge so that other edges are not in alignment and so that each insulator plate extends beyond the other edges. One or more electrically insulating, ductile spacers are disposed in horizontal alignment with each capacitor plate along the other edges and the pattern is repeated so that alternating capacitor plates are stacked on alternating opposite edges of the insulator plates. A final insulator plate is positioned at an extremity of the preform. The preform may then be drawn to fuse the components and decrease the dimensions of the preform that are perpendicular to the direction of the draw.

  18. Hot-electron-induced hydrogen redistribution and defect generation in metal-oxide-semiconductor capacitors

    NASA Astrophysics Data System (ADS)

    Buchanan, D. A.; Marwick, A. D.; Dimaria, D. J.; Dori, L.

    1994-09-01

    Redistribution of hydrogen caused by hot-electron injection has been studied by hydrogen depth profiling with N-15 nuclear reaction analysis and electrical methods. Internal photoemission and Fowler-Nordheim injection were used for electron injection into large Al-gate and polysilicon-gate capacitors, respectively. A hydrogen-rich layer (about 10(exp 15) atoms/sq cm) observed at the Al/SiO2 interface was found to serve as the source of hydrogen during the hot-electron stress. A small fraction of the hydrogen released from this layer was found to be retrapped near the Si/SiO2 interface for large electron fluences in the Al-gate samples. Within the limit of detectability, about 10(exp 14)/sq cm, no hydrogen was measured using nuclear reaction analysis in the polysilicon-gate samples. The buildup of hydrogen at the Si/SiO2 interface exhibits a threshold at about 1 MV/cm, consistent with the threshold for electron heating in SiO2. In the 'wet' SiO2 films with purposely introduced excess hydrogen, the rate of hydrogen buildup at the Si/SiO2 interface is found to be significantly greater than that found in the 'dry' films. During electron injection, hydrogen redistribution was also confirmed via the deactivation of boron dopant in the silicon substrate. The generation rates of interface states, neutral electron traps, and anomalous positive charge are found to increase with increasing hydrogen buildup in the substrate and the initial hydrogen concentration in the film. It is concluded that the generation of defects is preceded by the hot-electron-induced release and transport of atomic hydrogen and it is the chemical reaction of this species within the metal-oxide-semiconductor structure that generates the electrically active defects.

  19. Influence of CO annealing in metal-oxide-semiconductor capacitors with SiO2 films thermally grown on Si and on SiC

    NASA Astrophysics Data System (ADS)

    Pitthan, E.; dos Reis, R.; Corrêa, S. A.; Schmeisser, D.; Boudinov, H. I.; Stedile, F. C.

    2016-01-01

    Understanding the influence of SiC reaction with CO, a by-product of SiC thermal oxidation, is a key point to elucidate the origin of electrical defects in SiC metal-oxide-semiconductor (MOS) devices. In this work, the effects on electrical, structural, and chemical properties of SiO2/Si and SiO2/SiC structures submitted to CO annealing were investigated. It was observed that long annealing times resulted in the incorporation of carbon from CO in the Si substrate, followed by deterioration of the SiO2/Si interface, and its crystallization as SiC. Besides, this incorporated carbon remained in the Si surface (previous SiO2/Si region) after removal of the silicon dioxide film by HF etching. In the SiC case, an even more defective surface region was observed due to the CO interaction. All MOS capacitors formed using both semiconductor materials presented higher leakage current and generation of positive effective charge after CO annealings. Such results suggest that the negative fixed charge, typically observed in SiO2/SiC structures, is not originated from the interaction of the CO by-product, formed during SiC oxidation, with the SiO2/SiC interfacial region.

  20. A General Strategy to Achieve Colossal Permittivity and Low Dielectric Loss Through Constructing Insulator/Semiconductor/Insulator Multilayer Structures

    NASA Astrophysics Data System (ADS)

    Liu, Kai; Sun, Yalong; Zheng, Fengang; Tse, Mei-Yan; Sun, Qingbo; Liu, Yun; Hao, Jianhua

    2018-06-01

    In this work, we propose a route to realize high-performance colossal permittivity (CP) by creating multilayer structures of insulator/semiconductor/insulator. To prove the new concept, we made heavily reduced rutile TiO2 via annealing route in Ar/H2 atmosphere. Dielectric studies show that the maximum dielectric permittivity ( 3.0 × 104) of our prepared samples is about 100 times higher than that ( 300) of conventional TiO2. The minimum dielectric loss is 0.03 (at 104-105 Hz). Furthermore, CP is almost independent of the frequency (100-106 Hz) and the temperature (20-350 K). We suggest that the colossal permittivity is attributed to the high carrier concentration of the inner TiO2 semiconductor, while the low dielectric loss is due to the presentation of the insulator layer on the surface of TiO2. The method proposed here can be expanded to other material systems, such as semiconductor Si sandwiched by top and bottom insulator layers of Ga2O3.

  1. Insulation Resistance Degradation in Ni-BaTiO3 Multilayer Ceramic Capacitors

    NASA Technical Reports Server (NTRS)

    Liu, Donhang (David)

    2015-01-01

    Insulation resistance (IR) degradation in Ni-BaTiO3 multilayer ceramic capacitors has been characterized by the measurement of both time to failure and direct-current (DC) leakage current as a function of stress time under highly accelerated life test conditions. The measured leakage current-time dependence data fit well to an exponential form, and a characteristic growth time ?SD can be determined. A greater value of tau(sub SD) represents a slower IR degradation process. Oxygen vacancy migration and localization at the grain boundary region results in the reduction of the Schottky barrier height and has been found to be the main reason for IR degradation in Ni-BaTiO3 capacitors. The reduction of barrier height as a function of time follows an exponential relation of phi (??)=phi (0)e(exp -2?t), where the degradation rate constant ??=??o??(????/????) is inversely proportional to the mean time to failure (MTTF) and can be determined using an Arrhenius plot. For oxygen vacancy electromigration, a lower barrier height phi(0) will favor a slow IR degradation process, but a lower phi(0) will also promote electronic carrier conduction across the barrier and decrease the insulation resistance. As a result, a moderate barrier height phi(0) (and therefore a moderate IR value) with a longer MTTF (smaller degradation rate constant ??) will result in a minimized IR degradation process and the most improved reliability in Ni-BaTiO3 multilayer ceramic capacitors.

  2. Amorphous metallizations for high-temperature semiconductor device applications

    NASA Technical Reports Server (NTRS)

    Wiley, J. D.; Perepezko, J. H.; Nordman, J. E.; Kang-Jin, G.

    1981-01-01

    The initial results of work on a class of semiconductor metallizations which appear to hold promise as primary metallizations and diffusion barriers for high temperature device applications are presented. These metallizations consist of sputter-deposited films of high T sub g amorphous-metal alloys which (primarily because of the absence of grain boundaries) exhibit exceptionally good corrosion-resistance and low diffusion coefficients. Amorphous films of the alloys Ni-Nb, Ni-Mo, W-Si, and Mo-Si were deposited on Si, GaAs, GaP, and various insulating substrates. The films adhere extremely well to the substrates and remain amorphous during thermal cycling to at least 500 C. Rutherford backscattering and Auger electron spectroscopy measurements indicate atomic diffussivities in the 10 to the -19th power sq cm/S range at 450 C.

  3. A mixed solution-processed gate dielectric for zinc-tin oxide thin-film transistor and its MIS capacitance

    NASA Astrophysics Data System (ADS)

    Kim, Hunho; Kwack, Young-Jin; Yun, Eui-Jung; Choi, Woon-Seop

    2016-09-01

    Solution-processed gate dielectrics were fabricated with the combined ZrO2 and Al2O3 (ZAO) in the form of mixed and stacked types for oxide thin film transistors (TFTs). ZAO thin films prepared with double coatings for solid gate dielectrics were characterized by analytical tools. For the first time, the capacitance of the oxide semiconductor was extracted from the capacitance-voltage properties of the zinc-tin oxide (ZTO) TFTs with the combined ZAO dielectrics by using the proposed metal-insulator-semiconductor (MIS) structure model. The capacitance evolution of the semiconductor from the TFT model structure described well the threshold voltage shift observed in the ZTO TFT with the ZAO (1:2) gate dielectric. The electrical properties of the ZTO TFT with a ZAO (1:2) gate dielectric showed low voltage driving with a field effect mobility of 37.01 cm2/Vs, a threshold voltage of 2.00 V, an on-to-off current ratio of 1.46 × 105, and a subthreshold slope of 0.10 V/dec.

  4. A mixed solution-processed gate dielectric for zinc-tin oxide thin-film transistor and its MIS capacitance

    PubMed Central

    Kim, Hunho; Kwack, Young-Jin; Yun, Eui-Jung; Choi, Woon-Seop

    2016-01-01

    Solution-processed gate dielectrics were fabricated with the combined ZrO2 and Al2O3 (ZAO) in the form of mixed and stacked types for oxide thin film transistors (TFTs). ZAO thin films prepared with double coatings for solid gate dielectrics were characterized by analytical tools. For the first time, the capacitance of the oxide semiconductor was extracted from the capacitance-voltage properties of the zinc-tin oxide (ZTO) TFTs with the combined ZAO dielectrics by using the proposed metal-insulator-semiconductor (MIS) structure model. The capacitance evolution of the semiconductor from the TFT model structure described well the threshold voltage shift observed in the ZTO TFT with the ZAO (1:2) gate dielectric. The electrical properties of the ZTO TFT with a ZAO (1:2) gate dielectric showed low voltage driving with a field effect mobility of 37.01 cm2/Vs, a threshold voltage of 2.00 V, an on-to-off current ratio of 1.46 × 105, and a subthreshold slope of 0.10 V/dec. PMID:27641430

  5. High quality HfO{sub 2}/p-GaSb(001) metal-oxide-semiconductor capacitors with 0.8 nm equivalent oxide thickness

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Barth, Michael; Datta, Suman, E-mail: sdatta@engr.psu.edu; Bruce Rayner, G.

    2014-12-01

    We investigate in-situ cleaning of GaSb surfaces and its effect on the electrical performance of p-type GaSb metal-oxide-semiconductor capacitor (MOSCAP) using a remote hydrogen plasma. Ultrathin HfO{sub 2} films grown by atomic layer deposition were used as a high permittivity gate dielectric. Compared to conventional ex-situ chemical cleaning methods, the in-situ GaSb surface treatment resulted in a drastic improvement in the impedance characteristics of the MOSCAPs, directly evidencing a much lower interface trap density and enhanced Fermi level movement efficiency. We demonstrate that by using a combination of ex-situ and in-situ surface cleaning steps, aggressively scaled HfO{sub 2}/p-GaSb MOSCAP structuresmore » with a low equivalent oxide thickness of 0.8 nm and efficient gate modulation of the surface potential are achieved, allowing to push the Fermi level far away from the valence band edge high up into the band gap of GaSb.« less

  6. Fabrication and Testing of Polyvinylidene Fluoride Capacitors

    NASA Technical Reports Server (NTRS)

    Buritz, R. S.

    1980-01-01

    High energy density capacitors made from metallized polyvinylidene fluoride film were built and tested. Terminations of aluminum-babbitt, tin-babbitt, and all-babbitt were evaluated. All-babbit terminations appeared to be better. The 0.1 microfarad and 2 microfarad capacitors were made of 6 micrometer material. Capacitance, dissipation factor, and insulation resistance measurements were made over the ranges -55 C to 125 C and 10 Hz to 100 kHz. Twelve of forty-one 0.1 microfarad capacitors survived a 5000 hour dc plus ac life test. Under the same conditions, the 2 microfarad capacitors exhibited overheating because of excessive power loss. Some failures occurred after low temperature exposures for 48 hours. No failures were caused by vibration or temperature cycling.

  7. Physicochemical assessment criteria for high-voltage pulse capacitors

    NASA Astrophysics Data System (ADS)

    Darian, L. A.; Lam, L. Kh.

    2016-12-01

    In the paper, the applicability of decomposition products of internal insulation of high-voltage pulse capacitors is considered (aging is the reason for decomposition products of internal insulation). Decomposition products of internal insulation of high-voltage pulse capacitors can be used to evaluate their quality when in operation and in service. There have been three generations of markers of aging of insulation as in the case with power transformers. The area of applicability of markers of aging of insulation for power transformers has been studied and the area can be extended to high-voltage pulse capacitors. The research reveals that there is a correlation between the components and quantities of markers of aging of the first generation (gaseous decomposition products of insulation) dissolved in insulating liquid and the remaining life of high-voltage pulse capacitors. The application of markers of aging to evaluate the remaining service life of high-voltage pulse capacitor is a promising direction of research, because the design of high-voltage pulse capacitors keeps stability of markers of aging of insulation in high-voltage pulse capacitors. It is necessary to continue gathering statistical data concerning development of markers of aging of the first generation. One should also carry out research aimed at estimation of the remaining life of capacitors using markers of the second and the third generation.

  8. Physicochemical assessment criteria for high-voltage pulse capacitors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Darian, L. A., E-mail: LDarian@rambler.ru; Lam, L. Kh.

    In the paper, the applicability of decomposition products of internal insulation of high-voltage pulse capacitors is considered (aging is the reason for decomposition products of internal insulation). Decomposition products of internal insulation of high-voltage pulse capacitors can be used to evaluate their quality when in operation and in service. There have been three generations of markers of aging of insulation as in the case with power transformers. The area of applicability of markers of aging of insulation for power transformers has been studied and the area can be extended to high-voltage pulse capacitors. The research reveals that there is amore » correlation between the components and quantities of markers of aging of the first generation (gaseous decomposition products of insulation) dissolved in insulating liquid and the remaining life of high-voltage pulse capacitors. The application of markers of aging to evaluate the remaining service life of high-voltage pulse capacitor is a promising direction of research, because the design of high-voltage pulse capacitors keeps stability of markers of aging of insulation in high-voltage pulse capacitors. It is necessary to continue gathering statistical data concerning development of markers of aging of the first generation. One should also carry out research aimed at estimation of the remaining life of capacitors using markers of the second and the third generation.« less

  9. Comparative studies of Ge and Si p-channel metal-oxide-semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate

    NASA Astrophysics Data System (ADS)

    Hu, Ai-Bin; Xu, Qiu-Xia

    2010-05-01

    Ge and Si p-channel metal-oxide-semiconductor field-effect-transistors (p-MOSFETs) with hafnium silicon oxynitride (HfSiON) gate dielectric and tantalum nitride (TaN) metal gate are fabricated. Self-isolated ring-type transistor structures with two masks are employed. W/TaN metal stacks are used as gate electrode and shadow masks of source/drain implantation separately. Capacitance-voltage curve hysteresis of Ge metal-oxide-semiconductor (MOS) capacitors may be caused by charge trapping centres in GeO2 (1 < x < 2). Effective hole mobilities of Ge and Si transistors are extracted by using a channel conductance method. The peak hole mobilities of Si and Ge transistors are 33.4 cm2/(V · s) and 81.0 cm2/(V · s), respectively. Ge transistor has a hole mobility 2.4 times higher than that of Si control sample.

  10. Quasi-Two-Dimensional h-BN/β-Ga2O3 Heterostructure Metal-Insulator-Semiconductor Field-Effect Transistor.

    PubMed

    Kim, Janghyuk; Mastro, Michael A; Tadjer, Marko J; Kim, Jihyun

    2017-06-28

    β-gallium oxide (β-Ga 2 O 3 ) and hexagonal boron nitride (h-BN) heterostructure-based quasi-two-dimensional metal-insulator-semiconductor field-effect transistors (MISFETs) were demonstrated by integrating mechanical exfoliation of (quasi)-two-dimensional materials with a dry transfer process, wherein nanothin flakes of β-Ga 2 O 3 and h-BN were utilized as the channel and gate dielectric, respectively, of the MISFET. The h-BN dielectric, which has an extraordinarily flat and clean surface, provides a minimal density of charged impurities on the interface between β-Ga 2 O 3 and h-BN, resulting in superior device performances (maximum transconductance, on/off ratio, subthreshold swing, and threshold voltage) compared to those of the conventional back-gated configurations. Also, double-gating of the fabricated device was demonstrated by biasing both top and bottom gates, achieving the modulation of the threshold voltage. This heterostructured wide-band-gap nanodevice shows a new route toward stable and high-power nanoelectronic devices.

  11. Charge-flow structures as polymeric early-warning fire alarm devices. M.S. Thesis; [metal oxide semiconductors

    NASA Technical Reports Server (NTRS)

    Sechen, C. M.; Senturia, S. D.

    1977-01-01

    The charge-flow transistor (CFT) and its applications for fire detection and gas sensing were investigated. The utility of various thin film polymers as possible sensing materials was determined. One polymer, PAPA, showed promise as a relative humidity sensor; two others, PFI and PSB, were found to be particularly suitable for fire detection. The behavior of the charge-flow capacitor, which is basically a parallel-plate capacitor with a polymer-filled gap in the metallic tip electrode, was successfully modeled as an RC transmission line. Prototype charge-flow transistors were fabricated and tested. The effective threshold voltage of this metal oxide semiconductor was found to be dependent on whether surface or bulk conduction in the thin film was dominant. Fire tests with a PFI-coated CFT indicate good sensitivity to smouldering fires.

  12. Anomalous high capacitance in a coaxial single nanowire capacitor.

    PubMed

    Liu, Zheng; Zhan, Yongjie; Shi, Gang; Moldovan, Simona; Gharbi, Mohamed; Song, Li; Ma, Lulu; Gao, Wei; Huang, Jiaqi; Vajtai, Robert; Banhart, Florian; Sharma, Pradeep; Lou, Jun; Ajayan, Pulickel M

    2012-06-06

    Building entire multiple-component devices on single nanowires is a promising strategy for miniaturizing electronic applications. Here we demonstrate a single nanowire capacitor with a coaxial asymmetric Cu-Cu(2)O-C structure, fabricated using a two-step chemical reaction and vapour deposition method. The capacitance measured from a single nanowire device corresponds to ~140 μF cm(-2), exceeding previous reported values for metal-insulator-metal micro-capacitors and is more than one order of magnitude higher than what is predicted by classical electrostatics. Quantum mechanical calculations indicate that this unusually high capacitance may be attributed to a negative quantum capacitance of the dielectric-metal interface, enhanced significantly at the nanoscale.

  13. T-gate geometric (solution for submicrometer gate length) HEMT: Physical analysis, modeling and implementation as parasitic elements and its usage as dual gate for variable gain amplifiers

    NASA Astrophysics Data System (ADS)

    Gupta, Ritesh; Rathi, Servin; Kaur, Ravneet; Gupta, Mridula; Gupta, R. S.

    2009-03-01

    In order to achieve superior RF performance, short gate length is required for the compound semiconductor field effect transistors, but the limitation in lithography for submicrometer gate lengths leads to the formation of various metal-insulator geometries like T-gate [Sandeep R. Bahl, Jesus A. del Alamo, Physics of breakdown in InAlAs/ n +-InGaAs heterostructure field-effect transistors, IEEE Trans. Electron Devices 41 (12) (1994) 2268-2275]. These geometries are the combination of various Metal-Semiconductor (MS)/Metal-Air-Semiconductor (MAS) contacts. Moreover, field plates [S. Karmalkar, M.S. Shur, G. Simin, M. Asif Khan, Field-plate engineering for HFETs, IEEE Trans. Electron Devices 52 (2005) 2534-2540] are also being fabricated these days, mainly at the drain end ( Γ-gate) having Metal-Insulator-Semiconductor (MIS) instead of MAS contact with the intention of increasing the breakdown voltage of the device. To realize the effect of upper gate electrode in the T-gate structure and field plates, an analytical model has been proposed in the present article by dividing the whole structure into MS/MIS contact regions, applying current continuity among them and solving iteratively. The model proposed for Metal-Insulator Semiconductor High Electron Mobility Transistor (MISHEMT) [R. Gupta, S.K. Aggarwal, M. Gupta, R.S. Gupta, Analytical model for metal insulator semiconductor high electron mobility transistor (MISHEMT) for its high frequency and high power applications, J. Semicond. Technol. Sci. 6 (3) (2006) 189-198], is equally applicable to High Electron Mobility Transistors (HEMT) and has been used to formulate this model. In this paper, various structures and geometries have been compared to anticipate the need of T-gate modeling. The effect of MIS contacts has been implemented as parasitic resistance and capacitance and has also been studied to control the middle conventional gate as in dual gate technology by applying separate voltages across it. The results

  14. Prediction of weak and strong topological insulators in layered semiconductors.

    NASA Astrophysics Data System (ADS)

    Felser, Claudia

    2013-03-01

    We investigate a new class of ternary materials such as LiAuSe and KHgSb with a honeycomb structure in Au-Se and Hg-Sb layers. We demonstrate the band inversion in these materials similar to HgTe, which is a strong precondition for existence of the topological surface states. In contrast with graphene, these materials exhibit strong spin-orbit coupling and a small direct band gap at the point. Since these materials are centrosymmetric, it is straightforward to determine the parity of their wave functions, and hence their topological character. Surprisingly, the compound with strong spin-orbit coupling (KHgSb) is trivial, whereas LiAuSe is found to be a topological insulator. However KHgSb is a weak topological insulators in case of an odd number of layers in the primitive unit cell. Here, the single-layered KHgSb shows a large bulk energy gap of 0.24 eV. Its side surface hosts metallic surface states, forming two anisotropic Dirac cones. Although the stacking of even-layered structures leads to trivial insulators, the structures can host a quantum spin Hall layer with a large bulk gap, if an additional single layer exists as a stacking fault in the crystal. The reported honeycomb compounds can serve as prototypes to aid in the finding of new weak topological insulators in layered small-gap semiconductors. In collaboration with Binghai Yan, Lukas Müchler, Hai-Jun Zhang, Shou-Cheng Zhang and Jürgen Kübler.

  15. Improved interface properties of Ge metal-oxide-semiconductor capacitor with TaTiO gate dielectric by using in situ TaON passivation interlayer

    NASA Astrophysics Data System (ADS)

    Ji, F.; Xu, J. P.; Liu, J. G.; Li, C. X.; Lai, P. T.

    2011-05-01

    TaON is in situ formed as a passivating interlayer in Ge metal-oxide-semiconductor (MOS) capacitors with high-k TaTiO gate dielectric fabricated simply by alternate sputtering of Ta and Ti. Also, postdeposition annealing is performed in wet N2 to suppress the growth of unstable GeOx at the Ge surface. As a result, excellent electrical properties of the Ge MOS devices are demonstrated, such as high equivalent dielectric constant (22.1), low interface-state density (7.3×1011 cm-2 eV), small gate leakage current (8.6×10-4 A cm-2 at Vg-Vfb=1 V), and high device reliability. Transmission electron microscopy and x-ray photoelectron spectroscopy support that all these should be attributed to the fact that the nitrogen barrier in the TaON interlayer can effectively block the interdiffusions of Ge and Ta, and the wet-N2 anneal can significantly suppress the growth of unstable low-k GeOx.

  16. Passivation of oxide traps and interface states in GaAs metal-oxide-semiconductor capacitor by LaTaON passivation layer and fluorine incorporation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, L. N.; Choi, H. W.; Lai, P. T., E-mail: laip@eee.hku.hk

    2015-11-23

    GaAs metal-oxide-semiconductor capacitor with TaYON/LaTaON gate-oxide stack and fluorine-plasma treatment is fabricated and compared with its counterparts without the LaTaON passivation interlayer or the fluorine treatment. Experimental results show that the sample exhibits better characteristics: low interface-state density (8 × 10{sup 11 }cm{sup −2}/eV), small flatband voltage (0.69 V), good capacitance-voltage behavior, small frequency dispersion, and small gate leakage current (6.35 × 10{sup −6} A/cm{sup 2} at V{sub fb} + 1 V). These should be attributed to the suppressed growth of unstable Ga and As oxides on the GaAs surface during gate-oxide annealing by the LaTaON interlayer and fluorine incorporation, and the passivating effects of fluorine atoms on the acceptor-likemore » interface and near-interface traps.« less

  17. Thin film three-dimensional topological insulator metal-oxide-semiconductor field-effect-transistors: A candidate for sub-10 nm devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Akhavan, N. D., E-mail: nima.dehdashti@uwa.edu.au; Jolley, G.; Umana-Membreno, G. A.

    2014-08-28

    Three-dimensional (3D) topological insulators (TI) are a new state of quantum matter in which surface states reside in the bulk insulating energy bandgap and are protected by time-reversal symmetry. It is possible to create an energy bandgap as a consequence of the interaction between the conduction band and valence band surface states from the opposite surfaces of a TI thin film, and the width of the bandgap can be controlled by the thin film thickness. The formation of an energy bandgap raises the possibility of thin-film TI-based metal-oxide-semiconductor field-effect-transistors (MOSFETs). In this paper, we explore the performance of MOSFETs basedmore » on thin film 3D-TI structures by employing quantum ballistic transport simulations using the effective continuous Hamiltonian with fitting parameters extracted from ab-initio calculations. We demonstrate that thin film transistors based on a 3D-TI structure provide similar electrical characteristics compared to a Si-MOSFET for gate lengths down to 10 nm. Thus, such a device can be a potential candidate to replace Si-based MOSFETs in the sub-10 nm regime.« less

  18. Amorphous silicon Schottky barrier solar cells incorporating a thin insulating layer and a thin doped layer

    DOEpatents

    Carlson, David E.

    1980-01-01

    Amorphous silicon Schottky barrier solar cells which incorporate a thin insulating layer and a thin doped layer adjacent to the junction forming metal layer exhibit increased open circuit voltages compared to standard rectifying junction metal devices, i.e., Schottky barrier devices, and rectifying junction metal insulating silicon devices, i.e., MIS devices.

  19. Mechanism of leakage of ion-implantation isolated AlGaN/GaN MIS-high electron mobility transistors on Si substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Zhili; Song, Liang; Li, Weiyi; Fu, Kai; Yu, Guohao; Zhang, Xiaodong; Fan, Yaming; Deng, Xuguang; Li, Shuiming; Sun, Shichuang; Li, Xiajun; Yuan, Jie; Sun, Qian; Dong, Zhihua; Cai, Yong; Zhang, Baoshun

    2017-08-01

    In this paper, we systematically investigated the leakage mechanism of the ion-implantation isolated AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors (MIS-HEMTs) on Si substrate. By means of combined DC tests at different temperatures and electric field dependence, we demonstrated the following original results: (1) It is proved that gate leakage is the main contribution to OFF-state leakage of ion-implantation isolated AlGaN/GaN MIS-HEMTs, and the gate leakage path is a series connection of the gate dielectric Si3N4 and Si3N4-GaN interface. (2) The dominant mechanisms of the leakage current through LPCVD-Si3N4 gate dielectric and Si3N4-GaN interface are identified to be Frenkel-Poole emission and two-dimensional variable range hopping (2D-VRH), respectively. (3) A certain temperature annealing could reduce the density of the interface state that produced by ion implantation, and consequently suppress the interface leakage transport, which results in a decrease in OFF-state leakage current of ion-implantation isolated AlGaN/GaN MIS-HEMTs.

  20. Temperature-Dependent Electrical Properties and Carrier Transport Mechanisms of TMAH-Treated Ni/Au/Al2O3/GaN MIS Diode

    NASA Astrophysics Data System (ADS)

    Reddy, M. Siva Pratap; Puneetha, Peddathimula; Reddy, V. Rajagopal; Lee, Jung-Hee; Jeong, Seong-Hoon; Park, Chinho

    2016-11-01

    The temperature-dependent electrical properties and carrier transport mechanisms of tetramethylammonium hydroxide (TMAH)-treated Ni/Au/Al2O3/GaN metal-insulator-semiconductor (MIS) diodes have been investigated by current-voltage ( I- V) and capacitance-voltage ( C- V) measurements. The experimental results reveal that the barrier height ( I- V) increases whereas the ideality factor decreases with increasing temperature. The TMAH-treated Ni/Au/Al2O3/GaN MIS diode showed nonideal behaviors which indicate the presence of a nonuniform distribution of interface states ( N SS) and effect of series resistance ( R S). The obtained R S and N SS were found to decrease with increasing temperature. Furthermore, it was found that different transport mechanisms dominated in the TMAH-treated Ni/Au/Al2O3/GaN MIS diode. At 150 K to 250 K, Poole-Frenkel emission (PFE) was found to be responsible for the reverse leakage, while Schottky emission (SE) was the dominant mechanism at high electric fields in the temperature range from 300 K to 400 K. Feasible energy band diagrams and possible carrier transport mechanisms for the TMAH-treated Ni/Au/Al2O3/GaN MIS diode are discussed based on PFE and SE.

  1. Electron beam induced damage in PECVD Si3N4 and SiO2 films on InP

    NASA Technical Reports Server (NTRS)

    Pantic, Dragan M.; Kapoor, Vik J.; Young, Paul G.; Williams, Wallace D.; Dickman, John E.

    1990-01-01

    Phosphorus rich plasma enhanced chemical vapor deposition (PECVD) of silicon nitride and silicon dioxide films on n-type indium phosphide (InP) substrates were exposed to electron beam irradiation in the 5 to 40 keV range for the purpose of characterizing the damage induced in the dielectic. The electron beam exposure was on the range of 10(exp -7) to 10(exp -3) C/sq cm. The damage to the devices was characterized by capacitance-voltage (C-V) measurements of the metal insulator semiconductor (MIS) capacitors. These results were compared to results obtained for radiation damage of thermal silicon dioxide on silicon (Si) MOS capacitors with similar exposures. The radiation induced damage in the PECVD silicon nitride films on InP was successfully annealed out in an hydrogen/nitrogen (H2/N2) ambient at 400 C for 15 min. The PECVD silicon dioxide films on InP had the least radiation damage, while the thermal silicon dioxide films on Si had the most radiation damage.

  2. Flat-lying semiconductor-insulator interfacial layer in DNTT thin films.

    PubMed

    Jung, Min-Cherl; Leyden, Matthew R; Nikiforov, Gueorgui O; Lee, Michael V; Lee, Han-Koo; Shin, Tae Joo; Takimiya, Kazuo; Qi, Yabing

    2015-01-28

    The molecular order of organic semiconductors at the gate dielectric is the most critical factor determining carrier mobility in thin film transistors since the conducting channel forms at the dielectric interface. Despite its fundamental importance, this semiconductor-insulator interface is not well understood, primarily because it is buried within the device. We fabricated dinaphtho[2,3-b:2',3'-f]thieno[3,2-b]thiophene (DNTT) thin film transistors by thermal evaporation in vacuum onto substrates held at different temperatures and systematically correlated the extracted charge mobility to the crystal grain size and crystal orientation. As a result, we identify a molecular layer of flat-lying DNTT molecules at the semiconductor-insulator interface. It is likely that such a layer might form in other material systems as well, and could be one of the factors reducing charge transport. Controlling this interfacial flat-lying layer may raise the ultimate possible device performance for thin film devices.

  3. All-semiconductor metamaterial-based optical circuit board at the microscale

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Min, Li; Huang, Lirong, E-mail: lrhuang@hust.edu.cn

    2015-07-07

    The newly introduced metamaterial-based optical circuit, an analogue of electronic circuit, is becoming a forefront topic in the fields of electronics, optics, plasmonics, and metamaterials. However, metals, as the commonly used plasmonic elements in an optical circuit, suffer from large losses at the visible and infrared wavelengths. We propose here a low-loss, all-semiconductor metamaterial-based optical circuit board at the microscale by using interleaved intrinsic GaAs and doped GaAs, and present the detailed design process for various lumped optical circuit elements, including lumped optical inductors, optical capacitors, optical conductors, and optical insulators. By properly combining these optical circuit elements and arrangingmore » anisotropic optical connectors, we obtain a subwavelength optical filter, which can always hold band-stop filtering function for various polarization states of the incident electromagnetic wave. All-semiconductor optical circuits may provide a new opportunity in developing low-power and ultrafast components and devices for optical information processing.« less

  4. Efficient III-Nitride MIS-HEMT devices with high-κ gate dielectric for high-power switching boost converter circuits

    NASA Astrophysics Data System (ADS)

    Mohanbabu, A.; Mohankumar, N.; Godwin Raj, D.; Sarkar, Partha; Saha, Samar K.

    2017-03-01

    The paper reports the results of a systematic theoretical study on efficient recessed-gate, double-heterostructure, and normally-OFF metal-insulator-semiconductor high-electron mobility transistors (MIS-HEMTs), HfAlOx/AlGaN on Al2O3 substrate. In device architecture, a thin AlGaN layer is used in the AlGaN graded barrier MIS-HEMTs that offers an excellent enhancement-mode device operation with threshold voltage higher than 5.3 V and drain current above 0.64 A/mm along with high on-current/off-current ratio over 107 and subthreshold slope less than 73 mV/dec. In addition, a high OFF-state breakdown voltage of 1200 V is achieved for a device with a gate-to-drain distance and field-plate length of 15 μm and 5.3 μm, respectively at a drain current of 1 mA/mm with a zero gate bias, and the substrate grounded. The numerical device simulation results show that in comparison to a conventional AlGaN/GaN MIS-HEMT of similar design, a graded barrier MIS-HEMT device exhibits a better interface property, remarkable suppression of leakage current, and a significant improvement of breakdown voltage for HfAlOx gate dielectric. Finally, the benefit of HfAlOx graded-barrier AlGaN MIS-HEMTs based switching devices is evaluated on an ultra-low-loss converter circuit.

  5. B-doped diamond field-effect transistor with ferroelectric vinylidene fluoride-trifluoroethylene gate insulator

    NASA Astrophysics Data System (ADS)

    Karaya, Ryota; Baba, Ikki; Mori, Yosuke; Matsumoto, Tsubasa; Nakajima, Takashi; Tokuda, Norio; Kawae, Takeshi

    2017-10-01

    A B-doped diamond field-effect transistor (FET) with a ferroelectric vinylidene fluoride-trifluoroethylene (VDF-TrFE) copolymer gate insulator was fabricated. The VDF-TrFE film deposited on the B-doped diamond showed good insulating and ferroelectric properties. Also, a Pt/VDF-TrFE/B-doped diamond layered structure showed ideal behavior as a metal-ferroelectric-semiconductor (MFS) capacitor, and the memory window width was 11 V, when the gate voltage was swept from 20 to -20 V. The fabricated MFS-type FET structure showed the typical properties of a depletion-type p-channel FET and a maximum drain current density of 0.87 mA/mm at room temperature. The drain current versus gate voltage curves of the proposed FET showed a clockwise hysteresis loop owing to the ferroelectricity of the VDF-TrFE gate insulator. In addition, we demonstrated the logic inverter with the MFS-type diamond FET coupled with a load resistor, and obtained the inversion behavior of the input signal and a maximum gain of 18.4 for the present circuit.

  6. Origin of positive fixed charge at insulator/AlGaN interfaces and its control by AlGaN composition

    NASA Astrophysics Data System (ADS)

    Matys, M.; Stoklas, R.; Blaho, M.; Adamowicz, B.

    2017-06-01

    The key feature for the precise tuning of Vth in GaN-based metal-insulator-semiconductor (MIS) high electron mobility transistors is the control of the positive fixed charge (Qf) at the insulator/III-N interfaces, whose amount is often comparable to the negative surface polarization charge ( Qp o l -). In order to clarify the origin of Qf, we carried out a comprehensive capacitance-voltage (C-V) characterization of SiO2/AlxGa1-xN/GaN and SiN/AlxGa1-xN/GaN structures with Al composition (x) varying from 0.15 to 0.4. For both types of structures, we observed a significant Vth shift in C-V curves towards the positive gate voltage with increasing x. On the contrary, the Schottky gate structures exhibited Vth shift towards the more negative biases. From the numerical simulations of C-V curves using the Poisson's equation supported by the analytical calculations of Vth, we showed that the Vth shift in the examined MIS structures is due to a significant decrease in the positive Qf with rising x. Finally, we examined this result with respect to various hypotheses developed in the literature to explain the origin of the positive Qf at insulator/III-N interfaces.

  7. Temperature-dependent degradation mechanisms of threshold voltage in La2O3-gated n-channel metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Wang, Ming-Tsong; Hsu, De-Cheng; Juan, Pi-Chun; Wang, Y. L.; Lee, Joseph Ya-min

    2010-09-01

    Metal-oxide-semiconductor capacitors and n-channel metal-oxide-semiconductor field-effect transistors with La2O3 gate dielectric were fabricated. The positive bias temperature instability was studied. The degradation of threshold voltage (ΔVT) showed an exponential dependence on the stress time in the temperature range from 25 to 75 °C. The degradation of subthreshold slope (ΔS) and gate leakage (IG) with stress voltage was also measured. The degradation of VT is attributed to the oxide trap charges Qot. The extracted activation energy of 0.2 eV is related to a degradation dominated by the release of atomic hydrogen in La2O3 thin films.

  8. Control of Ga-oxide interlayer growth and Ga diffusion in SiO2/GaN stacks for high-quality GaN-based metal-oxide-semiconductor devices with improved gate dielectric reliability

    NASA Astrophysics Data System (ADS)

    Yamada, Takahiro; Watanabe, Kenta; Nozaki, Mikito; Yamada, Hisashi; Takahashi, Tokio; Shimizu, Mitsuaki; Yoshigoe, Akitaka; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2018-01-01

    A simple and feasible method for fabricating high-quality and highly reliable GaN-based metal-oxide-semiconductor (MOS) devices was developed. The direct chemical vapor deposition of SiO2 films on GaN substrates forming Ga-oxide interlayers was carried out to fabricate SiO2/GaO x /GaN stacked structures. Although well-behaved hysteresis-free GaN-MOS capacitors with extremely low interface state densities below 1010 cm-2 eV-1 were obtained by postdeposition annealing, Ga diffusion into overlying SiO2 layers severely degraded the dielectric breakdown characteristics. However, this problem was found to be solved by rapid thermal processing, leading to the superior performance of the GaN-MOS devices in terms of interface quality, insulating property, and gate dielectric reliability.

  9. Insulation Resistance and Leakage Currents in Low-Voltage Ceramic Capacitors with Cracks

    NASA Technical Reports Server (NTRS)

    Teverovsky, Alexander A.

    2014-01-01

    Measurement of insulation resistance (IR) in multilayer ceramic capacitors (MLCCs) is considered a screening technique that ensures the dielectric is defect-free. This work analyzes the effectiveness of this technique for revealing cracks in ceramic capacitors. It is shown that absorption currents prevail over the intrinsic leakage currents during standard IR measurements at room temperature. Absorption currents, and consequently IR, have a weak temperature dependence, increase linearly with voltage (before saturation), and are not sensitive to the presence of mechanical defects. In contrary, intrinsic leakage currents increase super-linearly with voltage and exponentially with temperature (activation energy is in the range from 0.6 eV to 1.1 eV). Leakage currents associated with the presence of cracks have a weaker dependence on temperature and voltage compared to the intrinsic leakage currents. For this reason, intrinsic leakage currents prevail at high temperatures and voltages, thus masking the presence of defects.

  10. Insulation Resistance and Leakage Currents in Low-Voltage Ceramic Capacitors with Cracks

    NASA Technical Reports Server (NTRS)

    Teverovsky, Alexander A.

    2016-01-01

    Measurement of insulation resistance (IR) in multilayer ceramic capacitors (MLCCs) is considered a screening technique that ensures the dielectric is defect-free. This work analyzes the effectiveness of this technique for revealing cracks in ceramic capacitors. It is shown that absorption currents prevail over the intrinsic leakage currents during standard IR measurements at room temperature. Absorption currents, and consequently IR, have a weak temperature dependence, increase linearly with voltage (before saturation), and are not sensitive to the presence of mechanical defects. In contrary, intrinsic leakage currents increase super-linearly with voltage and exponentially with temperature (activation energy is in the range from 0.6 eV to 1.1 eV). Leakage currents associated with the presence of cracks have a weaker dependence on temperature and voltage compared to the intrinsic leakage currents. For this reason, intrinsic leakage currents prevail at high temperatures and voltages, thus masking the presence of defects.

  11. Semiconductor assisted metal deposition for nanolithography applications

    DOEpatents

    Rajh, Tijana; Meshkov, Natalia; Nedelijkovic, Jovan M.; Skubal, Laura R.; Tiede, David M.; Thurnauer, Marion

    2001-01-01

    An article of manufacture and method of forming nanoparticle sized material components. A semiconductor oxide substrate includes nanoparticles of semiconductor oxide. A modifier is deposited onto the nanoparticles, and a source of metal ions are deposited in association with the semiconductor and the modifier, the modifier enabling electronic hole scavenging and chelation of the metal ions. The metal ions and modifier are illuminated to cause reduction of the metal ions to metal onto the semiconductor nanoparticles.

  12. Semiconductor assisted metal deposition for nanolithography applications

    DOEpatents

    Rajh, Tijana; Meshkov, Natalia; Nedelijkovic, Jovan M.; Skubal, Laura R.; Tiede, David M.; Thurnauer, Marion

    2002-01-01

    An article of manufacture and method of forming nanoparticle sized material components. A semiconductor oxide substrate includes nanoparticles of semiconductor oxide. A modifier is deposited onto the nanoparticles, and a source of metal ions are deposited in association with the semiconductor and the modifier, the modifier enabling electronic hole scavenging and chelation of the metal ions. The metal ions and modifier are illuminated to cause reduction of the metal ions to metal onto the semiconductor nanoparticles.

  13. Interface Si donor control to improve dynamic performance of AlGaN/GaN MIS-HEMTs

    NASA Astrophysics Data System (ADS)

    Song, Liang; Fu, Kai; Zhang, Zhili; Sun, Shichuang; Li, Weiyi; Yu, Guohao; Hao, Ronghui; Fan, Yaming; Shi, Wenhua; Cai, Yong; Zhang, Baoshun

    2017-12-01

    In this letter, we have studied the performance of AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors (MIS-HEMTs) with different interface Si donor incorporation which is tuned during the deposition process of LPCVD-SiNx which is adopted as gate dielectric and passivation layer. Current collapse of the MIS-HEMTs without field plate is suppressed more effectively by increasing the SiH2Cl2/NH3 flow ratio and the normalized dynamic on-resistance (RON) is reduced two orders magnitude after off-state VDS stress of 600 V for 10 ms. Through interface characterization, we have found that the interface deep-level traps distribution with high Si donor incorporation by increasing the SiH2Cl2/NH3 flow ratio is lowered. It's indicated that the Si donors are most likely to fill and screen the deep-level traps at the interface resulting in the suppression of slow trapping process and the virtual gate effect. Although the Si donor incorporation brings about the increase of gate leakage current (IGS), no clear degradation of breakdown voltage can be seen by choosing appropriate SiH2Cl2/NH3 flow ratio.

  14. Novel Approach to Evaluation of Charging on Semiconductor Surface by Noncontact, Electrode-Free Capacitance/Voltage Measurement

    NASA Astrophysics Data System (ADS)

    Hirae, Sadao; Kohno, Motohiro; Okada, Hiroshi; Matsubara, Hideaki; Nakatani, Ikuyoshi; Kusuda, Tatsufumi; Sakai, Takamasa

    1994-04-01

    This paper describes a novel approach to the quantitative characterization of semiconductor surface charging caused by plasma exposures and ion implantations. The problems in conventional evaluation of charging are also discussed. Following the discussions above, the necessity of unified criteria is suggested for efficient development of systems or processes without charging damage. Hence, the charging saturation voltage between a top oxide surface and substrate, V s, and the charging density per unit area per second, ρ0, should be taken as criteria of charging behavior, which effectively represent the charging characteristics of both processes. The unified criteria can be obtained from the exposure time dependence of a net charging density on the thick field oxide. In order to determine V s and ρ0, the analysis using the C-V curve measured in a noncontact method with the metal-air-insulator-semiconductor (MAIS) technique is employed. The total space-charge density in oxide and its centroid can be determined at the same time by analyzing the flat-band voltage (V fb) of the MAIS capacitor as a function of the air gap. The net charge density can be obtained by analyzing the difference between the total space-charge density in oxide before and after charging. Finally, it is shown that charge damage of the large area metal-oxide-semiconductor (MOS) capacitor can be estimated from both V s and ρ0 which are obtained from results for a thick field oxide implanted with As+ and exposed to oxygen plasma.

  15. Improved interfacial and electrical properties of GaAs metal-oxide-semiconductor capacitors with HfTiON as gate dielectric and TaON as passivation interlayer

    NASA Astrophysics Data System (ADS)

    Wang, L. S.; Xu, J. P.; Zhu, S. Y.; Huang, Y.; Lai, P. T.

    2013-08-01

    The interfacial and electrical properties of sputtered HfTiON on sulfur-passivated GaAs with or without TaON as interfacial passivation layer (IPL) are investigated. Experimental results show that the GaAs metal-oxide-semiconductor capacitor with HfTiON/TaON stacked gate dielectric annealed at 600 °C exhibits low interface-state density (1.0 × 1012 cm-2 eV-1), small gate leakage current (7.3 × 10-5 A cm-2 at Vg = Vfb + 1 V), small capacitance equivalent thickness (1.65 nm), and large equivalent dielectric constant (26.2). The involved mechanisms lie in the fact that the TaON IPL can effectively block the diffusions of Hf, Ti, and O towards GaAs surface and suppress the formation of interfacial As-As bonds, Ga-/As-oxides, thus unpinning the Femi level at the TaON/GaAs interface and improving the interface quality and electrical properties of the device.

  16. MIS-based sensors with hydrogen selectivity

    DOEpatents

    Li,; Dongmei, [Boulder, CO; Medlin, J William [Boulder, CO; McDaniel, Anthony H [Livermore, CA; Bastasz, Robert J [Livermore, CA

    2008-03-11

    The invention provides hydrogen selective metal-insulator-semiconductor sensors which include a layer of hydrogen selective material. The hydrogen selective material can be polyimide layer having a thickness between 200 and 800 nm. Suitable polyimide materials include reaction products of benzophenone tetracarboxylic dianhydride 4,4-oxydianiline m-phenylene diamine and other structurally similar materials.

  17. Enhancing metal-insulator-insulator-metal tunnel diodes via defect enhanced direct tunneling

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alimardani, Nasir; Conley, John F., E-mail: jconley@eecs.oregonstate.edu

    Metal-insulator-insulator-metal tunnel diodes with dissimilar work function electrodes and nanolaminate Al{sub 2}O{sub 3}-Ta{sub 2}O{sub 5} bilayer tunnel barriers deposited by atomic layer deposition are investigated. This combination of high and low electron affinity insulators, each with different dominant conduction mechanisms (tunneling and Frenkel-Poole emission), results in improved low voltage asymmetry and non-linearity of current versus voltage behavior. These improvements are due to defect enhanced direct tunneling in which electrons transport across the Ta{sub 2}O{sub 5} via defect based conduction before tunneling directly through the Al{sub 2}O{sub 3}, effectively narrowing the tunnel barrier. Conduction through the device is dominated by tunneling,more » and operation is relatively insensitive to temperature.« less

  18. Electrostatically assisted fabrication of silver-dielectric core/shell nanoparticles thin film capacitor with uniform metal nanoparticle distribution and controlled spacing.

    PubMed

    Li, Xue; Niitsoo, Olivia; Couzis, Alexander

    2016-03-01

    An electrostatically-assisted strategy for fabrication of thin film composite capacitors with controllable dielectric constant (k) has been developed. The capacitor is composed of metal-dielectric core/shell nanoparticle (silver/silica, Ag@SiO2) multilayer films, and a backfilling polymer. Compared with the simple metal particle-polymer mixtures where the metal nanoparticles (NP) are randomly dispersed in the polymer matrix, the metal volume fraction in our capacitor was significantly increased, owing to the densely packed NP multilayers formed by the electrostatically assisted assembly process. Moreover, the insulating layer of silica shell provides a potential barrier that reduces the tunneling current between neighboring Ag cores, endowing the core/shell nanocomposites with a stable and relatively high dielectric constant (k) and low dielectric loss (D). Our work also shows that the thickness of the SiO2 shell plays a dominant role in controlling the dielectric properties of the nanocomposites. Control over metal NP separation distance was realized not only by variation the shell thickness of the core/shell NPs but also by introducing a high k nanoparticle, barium strontium titanate (BST) of relatively smaller size (∼8nm) compared to 80-160nm of the core/shell Ag@SiO2 NPs. The BST assemble between the Ag@SiO2 and fill the void space between the closely packed core/shell NPs leading to significant enhancement of the dielectric constant. This electrostatically assisted assembly method is promising for generating multilayer films of a large variety of NPs over large areas at low cost. Copyright © 2015 Elsevier Inc. All rights reserved.

  19. Semiconductor structures having electrically insulating and conducting portions formed from an AlSb-alloy layer

    DOEpatents

    Spahn, Olga B.; Lear, Kevin L.

    1998-01-01

    A semiconductor structure. The semiconductor structure comprises a plurality of semiconductor layers formed on a substrate including at least one layer of a III-V compound semiconductor alloy comprising aluminum (Al) and antimony (Sb), with at least a part of the AlSb-alloy layer being chemically converted by an oxidation process to form superposed electrically insulating and electrically conducting portions. The electrically insulating portion formed from the AlSb-alloy layer comprises an oxide of aluminum (e.g. Al.sub.2 O.sub.3), while the electrically conducting portion comprises Sb. A lateral oxidation process allows formation of the superposed insulating and conducting portions below monocrystalline semiconductor layers for forming many different types of semiconductor structures having particular utility for optoelectronic devices such as light-emitting diodes, edge-emitting lasers, vertical-cavity surface-emitting lasers, photodetectors and optical modulators (waveguide and surface normal), and for electronic devices such as heterojunction bipolar transistors, field-effect transistors and quantum-effect devices. The invention is expected to be particularly useful for forming light-emitting devices for use in the 1.3-1.6 .mu.m wavelength range, with the AlSb-alloy layer acting to define an active region of the device and to effectively channel an electrical current therein for efficient light generation.

  20. Room-temperature ductile inorganic semiconductor.

    PubMed

    Shi, Xun; Chen, Hongyi; Hao, Feng; Liu, Ruiheng; Wang, Tuo; Qiu, Pengfei; Burkhardt, Ulrich; Grin, Yuri; Chen, Lidong

    2018-05-01

    Ductility is common in metals and metal-based alloys, but is rarely observed in inorganic semiconductors and ceramic insulators. In particular, room-temperature ductile inorganic semiconductors were not known until now. Here, we report an inorganic α-Ag 2 S semiconductor that exhibits extraordinary metal-like ductility with high plastic deformation strains at room temperature. Analysis of the chemical bonding reveals systems of planes with relatively weak atomic interactions in the crystal structure. In combination with irregularly distributed silver-silver and sulfur-silver bonds due to the silver diffusion, they suppress the cleavage of the material, and thus result in unprecedented ductility. This work opens up the possibility of searching for ductile inorganic semiconductors/ceramics for flexible electronic devices.

  1. Room-temperature ductile inorganic semiconductor

    NASA Astrophysics Data System (ADS)

    Shi, Xun; Chen, Hongyi; Hao, Feng; Liu, Ruiheng; Wang, Tuo; Qiu, Pengfei; Burkhardt, Ulrich; Grin, Yuri; Chen, Lidong

    2018-05-01

    Ductility is common in metals and metal-based alloys, but is rarely observed in inorganic semiconductors and ceramic insulators. In particular, room-temperature ductile inorganic semiconductors were not known until now. Here, we report an inorganic α-Ag2S semiconductor that exhibits extraordinary metal-like ductility with high plastic deformation strains at room temperature. Analysis of the chemical bonding reveals systems of planes with relatively weak atomic interactions in the crystal structure. In combination with irregularly distributed silver-silver and sulfur-silver bonds due to the silver diffusion, they suppress the cleavage of the material, and thus result in unprecedented ductility. This work opens up the possibility of searching for ductile inorganic semiconductors/ceramics for flexible electronic devices.

  2. Insulated InP (100) semiconductor by nano nucleus generation in pure water

    NASA Astrophysics Data System (ADS)

    Ghorab, Farzaneh; Es'haghi, Zarrin

    2018-01-01

    Preparation of specified designs on optoelectronic devices such as Light-Emitting Diodes (LEDs) and Laser Diodes (LDs) by using insulated thin films is very important. InP as one of those semiconductors which is used as optoelectronic devices, have two different kinds of charge carriers as n-InP and p-InP in the microelectronic industry. The surface preparation of this kind of semiconductor can be accomplished with individually chemical, mechanical, chemo - mechanical and electrochemical methods. But electrochemical method can be suitably replaced instead of the other methods, like CMP (Chemical Mechanical Polishing), because of the simplicity. In this way, electrochemically formation of insulated thin films by nano nucleus generation on semiconductor (using constant current density of 0.07 mA /cm2) studied in this research. Insulated nano nucleus generation and their growth up to thin film formation on semiconductor single crystal (100), n-InP, inpure water (0.08 µs/cm,25°c) characterized by Atomic Force Microscopy (AFM), Scanning Electron Microscopy (SEM), Four-point probe and Styloprofilometer techniques. The SEM images show active and passive regions on the n-InP surface and not uniform area on p-InP surface by passing through the passive condition. So the passive regions were nonuniform, and only the active regions were uniform and clean. The various semiconducting behavior in electrochemical condition, studied and compared with structural specification of InP type group (III-V).

  3. Measurement of the quantum capacitance from two-dimensional surface state of a topological insulator at room temperature

    NASA Astrophysics Data System (ADS)

    Choi, Hyunwoo; Kim, Tae Geun; Shin, Changhwan

    2017-06-01

    A topological insulator (TI) is a new kind of material that exhibits unique electronic properties owing to its topological surface state (TSS). Previous studies focused on the transport properties of the TSS, since it can be used as the active channel layer in metal-oxide-semiconductor field-effect transistors (MOSFETs). However, a TI with a negative quantum capacitance (QC) effect can be used in the gate stack of MOSFETs, thereby facilitating the creation of ultra-low power electronics. Therefore, it is important to study the physics behind the QC in TIs in the absence of any external magnetic field, at room temperature. We fabricated a simple capacitor structure using a TI (TI-capacitor: Au-TI-SiO2-Si), which shows clear evidence of QC at room temperature. In the capacitance-voltage (C-V) measurement, the total capacitance of the TI-capacitor increases in the accumulation regime, since QC is the dominant capacitive component in the series capacitor model (i.e., CT-1 = CQ-1 + CSiO2-1). Based on the QC model of the two-dimensional electron systems, we quantitatively calculated the QC, and observed that the simulated C-V curve theoretically supports the conclusion that the QC of the TI-capacitor is originated from electron-electron interaction in the two-dimensional surface state of the TI.

  4. Silicon chip with capacitors and transistors for interfacing organotypic brain slice of rat hippocampus.

    PubMed

    Hutzler, Michael; Fromherz, Peter

    2004-04-01

    Probing projections between brain areas and their modulation by synaptic potentiation requires dense arrays of contacts for noninvasive electrical stimulation and recording. Semiconductor technology is able to provide planar arrays with high spatial resolution to be used with planar neuronal structures such as organotypic brain slices. To address basic methodical issues we developed a silicon chip with simple arrays of insulated capacitors and field-effect transistors for stimulation of neuronal activity and recording of evoked field potentials. Brain slices from rat hippocampus were cultured on that substrate. We achieved local stimulation of the CA3 region by applying defined voltage pulses to the chip capacitors. Recording of resulting local field potentials in the CA1 region was accomplished with transistors. The relationship between stimulation and recording was rationalized by a sheet conductor model. By combining a row of capacitors with a row of transistors we determined a simple stimulus-response matrix from CA3 to CA1. Possible contributions of inhomogeneities of synaptic projection, of tissue structure and of neuroelectronic interfacing were considered. The study provides the basis for a development of semiconductor chips with high spatial resolution that are required for long-term studies of topographic mapping.

  5. Evaluation of the density of the charge trapped in organic ferroelectric capacitors based on the Mott-Schottky model

    NASA Astrophysics Data System (ADS)

    Kim, Won-Ho; Kwon, Jin-Hyuk; Park, Gyeong-Tae; Kim, Jae-Hyun; Bae, Jin-Hyuk; Zhang, Xue; Park, Jaehoon

    2014-09-01

    Organic ferroelectric capacitors were fabricated using pentacene and poly(vinylidene fluoride-trifluoroethylene) (PVDF-TrFE) as an organic semiconductor and a ferroelectric material, respectively. A paraelectric poly(vinyl cinnamate) layer was adopted as an interlayer between the PVDF-TrFE layer and the bottom electrode. The paraelectric interlayer induced a depolarization field opposite to the direction of the polarization formed in the ferroelectric PVDF-TrFE insulator, thereby suppressing spontaneous polarization. As a result, the Mott-Schottky model could be used to evaluate, from the extracted flat-band voltages, the density of the charge trapped in the organic ferroelectric capacitors.

  6. Discrete Electronic Bands in Semiconductors and Insulators: Potential High-Light-Yield Scintillators

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shi, Hongliang; Du, Mao-Hua

    Bulk semiconductors and insulators typically have continuous valence and conduction bands. In this paper, we show that valence and conduction bands of a multinary semiconductor or insulator can be split to narrow discrete bands separated by large energy gaps. This unique electronic structure is demonstrated by first-principles calculations in several quaternary elpasolite compounds, i.e., Cs 2NaInBr 6, Cs 2NaBiCl 6, and Tl 2NaBiCl 6. The narrow discrete band structure in these quaternary elpasolites is due to the large electronegativity difference among cations and the large nearest-neighbor distances in cation sublattices. We further use Cs 2NaInBr 6 as an example tomore » show that the narrow bands can stabilize self-trapped and dopant-bound excitons (in which both the electron and the hole are strongly localized in static positions on adjacent sites) and promote strong exciton emission at room temperature. The discrete band structure should further suppress thermalization of hot carriers and may lead to enhanced impact ionization, which is usually considered inefficient in bulk semiconductors and insulators. Finally, these characteristics can enable efficient room-temperature light emission in low-gap scintillators and may overcome the light-yield bottleneck in current scintillator research.« less

  7. Discrete Electronic Bands in Semiconductors and Insulators: Potential High-Light-Yield Scintillators

    DOE PAGES

    Shi, Hongliang; Du, Mao-Hua

    2015-05-12

    Bulk semiconductors and insulators typically have continuous valence and conduction bands. In this paper, we show that valence and conduction bands of a multinary semiconductor or insulator can be split to narrow discrete bands separated by large energy gaps. This unique electronic structure is demonstrated by first-principles calculations in several quaternary elpasolite compounds, i.e., Cs 2NaInBr 6, Cs 2NaBiCl 6, and Tl 2NaBiCl 6. The narrow discrete band structure in these quaternary elpasolites is due to the large electronegativity difference among cations and the large nearest-neighbor distances in cation sublattices. We further use Cs 2NaInBr 6 as an example tomore » show that the narrow bands can stabilize self-trapped and dopant-bound excitons (in which both the electron and the hole are strongly localized in static positions on adjacent sites) and promote strong exciton emission at room temperature. The discrete band structure should further suppress thermalization of hot carriers and may lead to enhanced impact ionization, which is usually considered inefficient in bulk semiconductors and insulators. Finally, these characteristics can enable efficient room-temperature light emission in low-gap scintillators and may overcome the light-yield bottleneck in current scintillator research.« less

  8. Interface properties of MIS structures based on hetero-epitaxial graded-gap Hg1-xCdxTe with CdTe interlayer created in situ during MBE growth

    NASA Astrophysics Data System (ADS)

    Voitsekhovskii, Alexander V.; Nesmelov, Sergey N.; Dzyadukh, Stanislav M.; Varavin, Vasily S.; Dvoretsky, Sergey A.; Mikhailov, Nikolay N.; Yakushev, Maksim V.; Sidorov, Georgy Yu.

    2017-11-01

    Heterostructures based on n-Hg1-xCdxTe (x = 0.23-0.40) with near-surface graded-gap layers were grown by molecular beam epitaxy on Si (013) substrates. At 77 K, the admittance of the In/Al2O3/Hg1-xCdxTe metal-insulator-semiconductor (MIS) structures with grown in situ CdTe intermediate layer and without such a layer was investigated. It has been established that MIS structures of In/Al2O3/Hg1-xCdxTe with an interlayer of in situ grown CdTe are characterized by the electrical strength of the dielectric and the qualitative interface. The hysteresis of the capacitive characteristics is practically absent within a small range of variation in the bias voltage. The density of fast surface states at the minimum does not exceed 2.2 × 1010 eV-1 cm-2. MIS structures of In/Al2O3/Hg1-xCdxTe without an intermediate layer of CdTe have significantly higher densities of fast and slow surface states, as well as lower values of the differential resistance of the space-charge region in the regime of strong inversion.

  9. High-temperature properties of ceramic fibers and insulations for thermal protection of atmospheric entry and hypersonic cruise vehicles

    NASA Technical Reports Server (NTRS)

    Kourtides, Demetrius A.; Pitts, William C.; Araujo, Myrian; Zimmerman, R. S.

    1988-01-01

    Multilayer insulations (MIs) which will operate in the 500 to 1000 C temperature range are being considered for possible applications on aerospace vehicles subject to convective and radiative heating during atmospheric entry. The insulations described consist of ceramic fibers, insulations, and metal foils quilted together with ceramic thread. As these types of insulations have highly anisotropic properties, the total heat transfer characteristics must be determined. Data are presented on the thermal diffusivity and thermal conductivity of four types of MIs and are compared to the baseline Advanced Flexible Reusable Surface Insulation currently used on the Space Shuttle Orbiter. In addition, the high temperature properties of the fibers used in these MIs are discussed. The fibers investigated included silica and three types of aluminoborosilicate (ABS). Static tension tests were performed at temperatures up to 1200 C and the ultimate strain, tensile strength, and tensile modulus of single fibers were determined.

  10. High temperature properties of ceramic fibers and insulations for thermal protection of atmospheric entry and hypersonic cruise vehicles

    NASA Technical Reports Server (NTRS)

    Kourtides, Demetrius A.; Pitts, William C.; Araujo, Myrian; Zimmerman, R. S.

    1988-01-01

    Multilayer insulations (MIs) which will operate in the 500 to 1000 C temperature range are being considered for possible applications on aerospace vehicles subject to convective and radiative heating during atmospheric entry. The insulations described consist of ceramic fibers, insulations, and metal foils quilted together with ceramic thread. As these types of insulations have highly anisotropic properties, the total heat transfer characteristics must be determined. Data are presented on the thermal diffusivity and thermal conductivity of four types of MIs and are compared to the baseline Advanced Flexible Reusable Surface Insulation currently used on the Space Shuttle Orbiter. In addition, the high temperature properties of the fibers used in these MIs are discussed. The fibers investigated included silica and three types of aluminoborosilicate (ABS). Static tension tests were performed at temperatures up to 1200 C and the ultimate strain, tensile strength, and tensile modulus of single fibers were determined.

  11. Investigation of embedded perovskite nanoparticles for enhanced capacitor permittivities.

    PubMed

    Krause, Andreas; Weber, Walter M; Pohl, Darius; Rellinghaus, Bernd; Verheijen, Marcel; Mikolajick, Thomas

    2014-11-26

    Growth experiments show significant differences in the crystallization of ultrathin CaTiO3 layers on polycrystalline Pt surfaces. While the deposition of ultrathin layers below crystallization temperature inhibits the full layer crystallization, local epitaxial growth of CaTiO3 crystals on top of specific oriented Pt crystals occurs. The result is a formation of crystals embedded in an amorphous matrix. An epitaxial alignment of the cubic CaTiO3 ⟨111⟩ direction on top of the underlying Pt {111} surface has been observed. A reduced forming energy is attributed to an interplay of surface energies at the {111} interface of both materials and CaTiO3 nanocrystallites facets. The preferential texturing of CaTiO3 layers on top of Pt has been used in the preparation of ultrathin metal-insulator-metal capacitors with 5-30 nm oxide thickness. The effective CaTiO3 permittivity in the capacitor stack increases to 55 compared to capacitors with amorphous layers and a permittivity of 28. The isolated CaTiO3 crystals exhibit a passivation of the CaTiO3 grain surfaces by the surrounding amorphous matrix, which keeps the capacitor leakage current at ideally low values comparable for those of amorphous thin film capacitors.

  12. Electrochemically Induced Insulator-Metal-Insulator Transformations of Vanadium Dioxide Nanocrystal Films

    NASA Astrophysics Data System (ADS)

    Milliron, Delia; Dahlman, Clayton; Leblanc, Gabriel; Bergerud, Amy

    Vanadium dioxide (VO2) undergoes significant optical, electronic, and structural changes as it transforms between the low-temperature monoclinic and high-temperature rutile phases. The low-temperature state is insulating and transparent, while the high-temperature state is metallic and IR blocking. Alternative stimuli have been utilized to trigger insulator-to-metal transformations in VO2, including electrochemical gating. Here, VO2 nanocrystal films have been prepared by solution deposition of V2O3 nanocrystals followed by oxidative annealing. Nanocrystalline VO2 films are electrochemically reduced, inducing changes in their electronic and optical properties. We observe a reversible transition between infrared transparent insulating phases and a darkened metallic phase by in situ visible-near-infrared spectroelectrochemistry and correlate these observations with structural and electronic changes monitored by X-ray absorption spectroscopy, X-ray diffraction, Raman spectroscopy, and conductivity measurements. Reduction causes an initial transformation to a metallic, IR-colored distorted monoclinic phase. However, an unexpected reversible transition from conductive, reduced monoclinic VO2 to an infrared-transparent insulating phase is observed upon further reduction.

  13. Semiconductor structures having electrically insulating and conducting portions formed from an AlSb-alloy layer

    DOEpatents

    Spahn, O.B.; Lear, K.L.

    1998-03-10

    The semiconductor structure comprises a plurality of semiconductor layers formed on a substrate including at least one layer of a III-V compound semiconductor alloy comprising aluminum (Al) and antimony (Sb), with at least a part of the AlSb-alloy layer being chemically converted by an oxidation process to form superposed electrically insulating and electrically conducting portions. The electrically insulating portion formed from the AlSb-alloy layer comprises an oxide of aluminum (e.g., Al{sub 2}O{sub 3}), while the electrically conducting portion comprises Sb. A lateral oxidation process allows formation of the superposed insulating and conducting portions below monocrystalline semiconductor layers for forming many different types of semiconductor structures having particular utility for optoelectronic devices such as light-emitting diodes, edge-emitting lasers, vertical-cavity surface-emitting lasers, photodetectors and optical modulators (waveguide and surface normal), and for electronic devices such as heterojunction bipolar transistors, field-effect transistors and quantum-effect devices. The invention is expected to be particularly useful for forming light-emitting devices for use in the 1.3--1.6 {mu}m wavelength range, with the AlSb-alloy layer acting to define an active region of the device and to effectively channel an electrical current therein for efficient light generation. 10 figs.

  14. Thermally tunable VO2-SiO2 nanocomposite thin-film capacitors

    NASA Astrophysics Data System (ADS)

    Sun, Yifei; Narayanachari, K. V. L. V.; Wan, Chenghao; Sun, Xing; Wang, Haiyan; Cooley, Kayla A.; Mohney, Suzanne E.; White, Doug; Duwel, Amy; Kats, Mikhail A.; Ramanathan, Shriram

    2018-03-01

    We present a study of co-sputtered VO2-SiO2 nanocomposite dielectric thin-film media possessing continuous temperature tunability of the dielectric constant. The smooth thermal tunability is a result of the insulator-metal transition in the VO2 inclusions dispersed within an insulating matrix. We present a detailed comparison of the dielectric characteristics of this nanocomposite with those of a VO2 control layer and of VO2/SiO2 laminate multilayers of comparable overall thickness. We demonstrated a nanocomposite capacitor that has a thermal capacitance tunability of ˜60% between 25 °C and 100 °C at 1 MHz, with low leakage current. Such thermally tunable capacitors could find potential use in applications such as sensing, thermal cloaks, and phase-change energy storage devices.

  15. Circular electrode geometry metal-semiconductor-metal photodetectors

    NASA Technical Reports Server (NTRS)

    Mcaddo, James A. (Inventor); Towe, Elias (Inventor); Bishop, William L. (Inventor); Wang, Liang-Guo (Inventor)

    1994-01-01

    The invention comprises a high speed, metal-semiconductor-metal photodetector which comprises a pair of generally circular, electrically conductive electrodes formed on an optically active semiconductor layer. Various embodiments of the invention include a spiral, intercoiled electrode geometry and an electrode geometry comprised of substantially circular, concentric electrodes which are interposed. These electrode geometries result in photodetectors with lower capacitances, dark currents and lower inductance which reduces the ringing seen in the optical pulse response.

  16. Carbon kagome lattice and orbital-frustration-induced metal-insulator transition for optoelectronics.

    PubMed

    Chen, Yuanping; Sun, Y Y; Wang, H; West, D; Xie, Yuee; Zhong, J; Meunier, V; Cohen, Marvin L; Zhang, S B

    2014-08-22

    A three-dimensional elemental carbon kagome lattice, made of only fourfold-coordinated carbon atoms, is proposed based on first-principles calculations. Despite the existence of 60° bond angles in the triangle rings, widely perceived to be energetically unfavorable, the carbon kagome lattice is found to display exceptional stability comparable to that of C(60). The system allows us to study the effects of triangular frustration on the electronic properties of realistic solids, and it demonstrates a metal-insulator transition from that of graphene to a direct gap semiconductor in the visible blue region. By minimizing s-p orbital hybridization, which is an intrinsic property of carbon, not only the band edge states become nearly purely frustrated p states, but also the band structure is qualitatively different from any known bulk elemental semiconductors. For example, the optical properties are similar to those of direct-gap semiconductors GaN and ZnO, whereas the effective masses are comparable to or smaller than those of Si.

  17. Refractory thermal insulation for smooth metal surfaces

    NASA Technical Reports Server (NTRS)

    1964-01-01

    To protect rocket metal surfaces from engine exhaust heat, a refractory thermal insulation mixture, which adheres to smooth metals, has been developed. Insulation protection over a wide temperature range can be controlled by thickness of the applied mixture.

  18. Ultrathin body GaSb-on-insulator p-channel metal-oxide-semiconductor field-effect transistors on Si fabricated by direct wafer bonding

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yokoyama, Masafumi, E-mail: yokoyama@mosfet.t.u-tokyo.ac.jp; Takenaka, Mitsuru; Takagi, Shinichi

    2015-02-16

    We have realized ultrathin body GaSb-on-insulator (GaSb-OI) on Si wafers by direct wafer bonding technology using atomic-layer deposition (ALD) Al{sub 2}O{sub 3} and have demonstrated GaSb-OI p-channel metal-oxide-semiconductor field-effect transistors (p-MOSFETs) on Si. A 23-nm-thick GaSb-OI p-MOSFET exhibits the peak effective mobility of ∼76 cm{sup 2}/V s. We have found that the effective hole mobility of the thin-body GaSb-OI p-MOSFETs decreases with a decrease in the GaSb-OI thickness or with an increase in Al{sub 2}O{sub 3} ALD temperature. The InAs passivation of GaSb-OI MOS interfaces can enhance the peak effective mobility up to 159 cm{sup 2}/V s for GaSb-OI p-MOSFETs with themore » 20-nm-thick GaSb layer.« less

  19. Insulation Resistance Degradation in Ni-BaTiO3 Multilayer Ceramic Capacitors

    NASA Technical Reports Server (NTRS)

    Liu, Donhang David

    2015-01-01

    Insulation resistance (IR) degradation in NiBaTiO3 multilayer ceramic capacitors has been characterized by the measurement of both time to failure (TTF) and direct current leakage current as a function of stress time under highly accelerated life test conditions. The measured leakage current time dependence data fit well to an exponential form, and a characteristic growth time tau (sub SD) can be determined. A greater value of tau (sub SD) represents a slower IR degradation process. Oxygen vacancy migration and localization at the grain boundary region results in the reduction of the Schottky barrier height and has been found to be the main reason for IR degradation in NiBaTiO3 capacitors. The reduction of barrier height as a function oftime follows an exponential relation of phi (t ) = phi (0) e (exp -2Kt), where 13 the degradation rate constant K Koe (Ek/kT) is inversely proportional to the mean TTF (MTTF) and can be determined using an Arrhenius plot. For oxygen vacancy electromigration, a lower barrier height phi (0) will favor a slow IR degradation process, but a lower phi (0) will also promote electronic carrier conduction across the barrier and decrease the IR. As a result, a moderate barrier height phi (0) (and therefore a moderate IR value) with a longer MTTF (smaller degradation rate constant K) will result in a minimized IR degradation process and the most improved reliability in NiBaTiO3 multilayer ceramic capacitors.

  20. Hg-Based Epitaxial Materials for Topological Insulators

    DTIC Science & Technology

    2014-07-01

    Research Laboratory for investigation of properties. 15. SUBJECT TERMS EOARD, topological insulator , diluted magnetic ...topological superconductors and spintronics to quantum computation (e.g. see C.L.Kane and J.E.Moore "Topological Insulators " Physics World (2011) 24...tetradymite semiconductors Bi2Te3, Bi2Se3, and Sb2Te3 which form magnetically ordered insulators when doped with transition metal elements Cr or Fe (Rui Yu et

  1. Zero-gap semiconductor to excitonic insulator transition in Ta2NiSe5

    PubMed Central

    Lu, Y. F.; Kono, H.; Larkin, T. I.; Rost, A. W.; Takayama, T.; Boris, A. V.; Keimer, B.; Takagi, H.

    2017-01-01

    The excitonic insulator is a long conjectured correlated electron phase of narrow-gap semiconductors and semimetals, driven by weakly screened electron–hole interactions. Having been proposed more than 50 years ago, conclusive experimental evidence for its existence remains elusive. Ta2NiSe5 is a narrow-gap semiconductor with a small one-electron bandgap EG of <50 meV. Below TC=326 K, a putative excitonic insulator is stabilized. Here we report an optical excitation gap Eop ∼0.16 eV below TC comparable to the estimated exciton binding energy EB. Specific heat measurements show the entropy associated with the transition being consistent with a primarily electronic origin. To further explore this physics, we map the TC–EG phase diagram tuning EG via chemical and physical pressure. The dome-like behaviour around EG∼0 combined with our transport, thermodynamic and optical results are fully consistent with an excitonic insulator phase in Ta2NiSe5. PMID:28205553

  2. The Significance of Breakdown Voltages for Quality Assurance of Low-Voltage BME Ceramic Capacitors

    NASA Technical Reports Server (NTRS)

    Teverovsky, Alexander A.

    2014-01-01

    Application of thin dielectric, base metal electrode (BME) ceramic capacitors for high-reliability applications requires development of testing procedures that can assure high quality and reliability of the parts. In this work, distributions of breakdown voltages (VBR) in variety of low-voltage BME multilayer ceramic capacitors (MLCCs) have been measured and analyzed. It has been shown that analysis of the distributions can indicate the proportion of defective parts in the lot and significance of the defects. Variations of the distributions after solder dip testing allow for an assessment of the robustness of capacitors to soldering-related stresses. The drawbacks of the existing screening and qualification methods to reveal defects in high-value, low-voltage MLCCs and the importance of VBR measurements are discussed. Analysis has shown that due to a larger concentration of oxygen vacancies, defect-related degradation of the insulation resistance (IR) and failures are more likely in BME compared to the precious metal electrode (PME) capacitors.

  3. Nanoscale control of an interfacial metal-insulator transition at room temperature.

    PubMed

    Cen, C; Thiel, S; Hammerl, G; Schneider, C W; Andersen, K E; Hellberg, C S; Mannhart, J; Levy, J

    2008-04-01

    Experimental and theoretical investigations have demonstrated that a quasi-two-dimensional electron gas (q-2DEG) can form at the interface between two insulators: non-polar SrTiO3 and polar LaTiO3 (ref. 2), LaAlO3 (refs 3-5), KTaO3 (ref. 7) or LaVO3 (ref. 6). Electronically, the situation is analogous to the q-2DEGs formed in semiconductor heterostructures by modulation doping. LaAlO3/SrTiO3 heterostructures have recently been shown to exhibit a hysteretic electric-field-induced metal-insulator quantum phase transition for LaAlO3 thicknesses of 3 unit cells. Here, we report the creation and erasure of nanoscale conducting regions at the interface between two insulating oxides, LaAlO3 and SrTiO3. Using voltages applied by a conducting atomic force microscope (AFM) probe, the buried LaAlO3/SrTiO3 interface is locally and reversibly switched between insulating and conducting states. Persistent field effects are observed using the AFM probe as a gate. Patterning of conducting lines with widths of approximately 3 nm, as well as arrays of conducting islands with densities >10(14) inch(-2), is demonstrated. The patterned structures are stable for >24 h at room temperature.

  4. Charge trapping phenomena of tetraethylorthosilicate thin film containing Si nanocrystals synthesized by solid-state reaction.

    PubMed

    Lau, H W; Tan, O K; Liu, Y; Trigg, D A; Chen, T P

    2006-08-28

    In this work, we report on the fabrication of tetraethylorthosilicate (TEOS) thin dielectric film containing silicon nanocrystals (Si nc), synthesized by solid-state reaction, in a capacitor structure. A metal-insulator-semi-conductor (MIS) capacitor, with 28 nm thick Si nc in a TEOS thin film, has been fabricated. For this MIS, both electron and hole trapping in the Si nc are possible, depending on the polarity of the bias voltage. A V(FB) shift greater than 1 V can be experienced by a bias voltage of 16 V applied to the metal electrode for 1 s. Though there is no top control oxide, the discharge time for 10% of charges can be up to 4480 s when it is biased at 16 V for 1 s. It is further demonstrated that charging and discharging mechanisms are due to the Si nc rather than the TEOS oxide defects. This form of Si nc in a TEOS thin film capacitor provides the possibility of memory applications at low cost.

  5. Comprehensive electrical analysis of metal/Al2O3/O-terminated diamond capacitance

    NASA Astrophysics Data System (ADS)

    Pham, T. T.; Maréchal, A.; Muret, P.; Eon, D.; Gheeraert, E.; Rouger, N.; Pernot, J.

    2018-04-01

    Metal oxide semiconductor capacitors were fabricated using p - type oxygen-terminated (001) diamond and Al2O3 deposited by atomic layer deposition at two different temperatures 250 °C and 380 °C. Current voltage I(V), capacitance voltage C(V), and capacitance frequency C(f) measurements were performed and analyzed for frequencies ranging from 1 Hz to 1 MHz and temperatures from 160 K to 360 K. A complete model for the Metal-Oxide-Semiconductor Capacitors electrostatics, leakage current mechanisms through the oxide into the semiconductor and small a.c. signal equivalent circuit of the device is proposed and discussed. Interface states densities are then evaluated in the range of 1012eV-1cm-2 . The strong Fermi level pinning is demonstrated to be induced by the combined effects of the leakage current through the oxide and the presence of diamond/oxide interface states.

  6. Origin of Transitions between Metallic and Insulating States in Simple Metals

    DOE PAGES

    Naumov, Ivan I.; Hemley, Russell J.

    2015-04-17

    Unifying principles that underlie recently discovered transitions between metallic and insulating states in elemental solids under pressure are developed. Using group theory arguments and first principles calculations, we show that the electronic properties of the phases involved in these transitions are controlled by symmetry principles not previously recognized. The valence bands in these systems are described by simple and composite band representations constructed from localized Wannier functions centered on points unoccupied by atoms, and which are not necessarily all symmetrical. The character of the Wannier functions is closely related to the degree of s-p(-d) hybridization and reflects multi-center chemical bondingmore » in these insulating states. The conditions under which an insulating state is allowed for structures having an integer number of atoms per primitive unit cell as well as re-entrant (i.e., metal-insulator-metal) transition sequences are detailed, resulting in predictions of novel behavior such as phases having three-dimensional Dirac-like points. The general principles developed are tested and applied to the alkali and alkaline earth metals, including elements where high-pressure insulating phases have been identified or reported (e.g., Li, Na, and Ca).« less

  7. Positron annihilation studies in the field induced depletion regions of metal-oxide-semiconductor structures

    NASA Astrophysics Data System (ADS)

    Asoka-Kumar, P.; Leung, T. C.; Lynn, K. G.; Nielsen, B.; Forcier, M. P.; Weinberg, Z. A.; Rubloff, G. W.

    1992-06-01

    The centroid shifts of positron annihilation spectra are reported from the depletion regions of metal-oxide-semiconductor (MOS) capacitors at room temperature and at 35 K. The centroid shift measurement can be explained using the variation of the electric field strength and depletion layer thickness as a function of the applied gate bias. An estimate for the relevant MOS quantities is obtained by fitting the centroid shift versus beam energy data with a steady-state diffusion-annihilation equation and a derivative-gaussian positron implantation profile. Inadequacy of the present analysis scheme is evident from the derived quantities and alternate methods are required for better predictions.

  8. Scalable ferroelectric MOS capacitors comprised of single crystalline SrZrxTi1-xO3 on Ge.

    NASA Astrophysics Data System (ADS)

    Moghadam, Reza; Xiao, Z.-Y.; Ahmadi-Majlan, K.; Grimley, E.; Ong, P. V.; Lebeau, J. M.; Chambers, S. A.; Hong, X.; Sushko, P.; Ngai, J. H.

    The epitaxial growth of multifunctional oxides on semiconductors has opened a pathway to introduce new functionalities to semiconductor device technologies. In particular, ferroelectric materials integrated on semiconductors could lead to field-effect devices that require very little power to operate, or that possess both logic and memory functionalities. The development of metal-oxide-semiconductor (MOS) capacitors in which the polarization of a ferroelectric gate is coupled to the surface potential of a semiconducting channel is essential in order to realize such field-effect devices. Here we demonstrate that scalable, ferroelectric MOS capacitors can be realized using single crystalline SrZrxTi1-xO3 (x = 0.7) that has been epitaxially grown on Ge. Single crystalline SrZrxTi1-xO3 exhibits characteristics that are ideal for a ferroelectric gate material, namely, a type-I band offset with respect to Ge, large coercive fields and polarization that can be enhanced with electric field. The latter characteristic stems from the relaxor nature of SrZrxTi1-xO3. These properties enable MOS capacitors with 5 nm thick SrZrxTi1-xO3 layers to exhibit a nearly 2 V wide hysteretic window in the capacitance-voltage characteristics. The realization of ferroelectric MOS capacitors with technologically relevant gate thicknesses opens the pathway to practical field effect devices. NSF DMR 1508530.

  9. High-performance flexible microwave passives on plastic

    NASA Astrophysics Data System (ADS)

    Ma, Zhenqiang; Seo, Jung-Hun; Cho, Sang June; Zhou, Weidong

    2014-06-01

    We report the demonstration of bendable inductors, capacitors and switches fabricated on a polyethylene terephthalate (PET) substrate that can operate at high microwave frequencies. By employing bendable dielectric and single crystalline semiconductor materials, spiral inductors and metal-insulator-metal (MIM) capacitors with high quality factors and high resonance frequencies and single-pole, single-throw (SPST) switches were archived. The effects of mechanical bending on the performance of inductors, capacitors and switches were also measured and analyzed. We further investigated the highest possible resonance frequencies and quality factors of inductors and capacitors and, high frequency responses and insertion loss. These demonstrations will lead to flexible radio-frequency and microwave systems in the future.

  10. Comparative study on nitridation and oxidation plasma interface treatment for AlGaN/GaN MIS-HEMTs with AlN gate dielectric

    NASA Astrophysics Data System (ADS)

    Zhu, Jie-Jie; Ma, Xiao-Hua; Hou, Bin; Chen, Li-Xiang; Zhu, Qing; Hao, Yue

    2017-02-01

    This paper demonstrated the comparative study on interface engineering of AlN/AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistors (MIS-HEMTs) by using plasma interface pre-treatment in various ambient gases. The 15 nm AlN gate dielectric grown by plasma-enhanced atomic layer deposition significantly suppressed the gate leakage current by about two orders of magnitude and increased the peak field-effect mobility by more than 50%. NH3/N2 nitridation plasma treatment (NPT) was used to remove the 3 nm poor-quality interfacial oxide layer and N2O/N2 oxidation plasma treatment (OPT) to improve the quality of interfacial layer, both resulting in improved dielectric/barrier interface quality, positive threshold voltage (V th) shift larger than 0.9 V, and negligible dispersion. In comparison, however, NPT led to further decrease in interface charges by 3.38 × 1012 cm-2 and an extra positive V th shift of 1.3 V. Analysis with fat field-effect transistors showed that NPT resulted in better sub-threshold characteristics and transconductance linearity for MIS-HEMTs compared with OPT. The comparative study suggested that direct removing the poor interfacial oxide layer by nitridation plasma was superior to improving the quality of interfacial layer by oxidation plasma for the interface engineering of GaN-based MIS-HEMTs.

  11. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yan, S. A.; Tang, M. H., E-mail: mhtang@xtu.edu.cn, E-mail: lizheng@xtu.edu.cn; Xiao, Y. G.

    In this work, metal-ferroelectric-insulator-semiconductor (MFIS) structure capacitors with SrBi{sub 2}Ta{sub 2}O{sub 9} (300 nm) as ferroelectric thin film and HfTaO (6 nm, 8 nm, 10 nm, and 12 nm) as insulating buffer layer were proposed and investigated. The prepared capacitors were fabricated and characterized before radiation and then subjected to {sup 60}Co gamma irradiation in steps of two dose levels. Significant irradiation-induced degradation of the electrical characteristics was observed. The radiation experimental results indicated that stability and reliability of as-fabricated MFIS capacitors for nonvolatile memory applications could become uncontrollable under strong irradiation dose and/or long irradiation time.

  12. The MSFC complementary metal oxide semiconductor (including multilevel interconnect metallization) process handbook

    NASA Technical Reports Server (NTRS)

    Bouldin, D. L.; Eastes, R. W.; Feltner, W. R.; Hollis, B. R.; Routh, D. E.

    1979-01-01

    The fabrication techniques for creation of complementary metal oxide semiconductor integrated circuits at George C. Marshall Space Flight Center are described. Examples of C-MOS integrated circuits manufactured at MSFC are presented with functional descriptions of each. Typical electrical characteristics of both p-channel metal oxide semiconductor and n-channel metal oxide semiconductor discrete devices under given conditions are provided. Procedures design, mask making, packaging, and testing are included.

  13. Reliability Modeling Development and Its Applications for Ceramic Capacitors with Base-Metal Electrodes (BMEs)

    NASA Technical Reports Server (NTRS)

    Liu, Donhang

    2014-01-01

    This presentation includes a summary of NEPP-funded deliverables for the Base-Metal Electrodes (BMEs) capacitor task, development of a general reliability model for BME capacitors, and a summary and future work.

  14. Zero-gap semiconductor to excitonic insulator transition in Ta2NiSe5.

    PubMed

    Lu, Y F; Kono, H; Larkin, T I; Rost, A W; Takayama, T; Boris, A V; Keimer, B; Takagi, H

    2017-02-16

    The excitonic insulator is a long conjectured correlated electron phase of narrow-gap semiconductors and semimetals, driven by weakly screened electron-hole interactions. Having been proposed more than 50 years ago, conclusive experimental evidence for its existence remains elusive. Ta 2 NiSe 5 is a narrow-gap semiconductor with a small one-electron bandgap E G of <50 meV. Below T C =326 K, a putative excitonic insulator is stabilized. Here we report an optical excitation gap E op ∼0.16 eV below T C comparable to the estimated exciton binding energy E B . Specific heat measurements show the entropy associated with the transition being consistent with a primarily electronic origin. To further explore this physics, we map the T C -E G phase diagram tuning E G via chemical and physical pressure. The dome-like behaviour around E G ∼0 combined with our transport, thermodynamic and optical results are fully consistent with an excitonic insulator phase in Ta 2 NiSe 5 .

  15. Simulated electron affinity tuning in metal-insulator-metal (MIM) diodes

    NASA Astrophysics Data System (ADS)

    Mistry, Kissan; Yavuz, Mustafa; Musselman, Kevin P.

    2017-05-01

    Metal-insulator-metal diodes for rectification applications must exhibit high asymmetry, nonlinearity, and responsivity. Traditional methods of improving these figures of merit have consisted of increasing insulator thickness, adding multiple insulator layers, and utilizing a variety of metal contact combinations. However, these methods have come with the price of increasing the diode resistance and ultimately limiting the operating frequency to well below the terahertz regime. In this work, an Airy Function Transfer Matrix simulation method was used to observe the effect of tuning the electron affinity of the insulator as a technique to decrease the diode resistance. It was shown that a small increase in electron affinity can result in a resistance decrease in upwards of five orders of magnitude, corresponding to an increase in operating frequency on the same order. Electron affinity tuning has a minimal effect on the diode figures of merit, where asymmetry improves or remains unaffected and slight decreases in nonlinearity and responsivity are likely to be greatly outweighed by the improved operating frequency of the diode.

  16. Charge trapping and current-conduction mechanisms of metal-oxide-semiconductor capacitors with La xTa y dual-doped HfON dielectrics

    NASA Astrophysics Data System (ADS)

    Cheng, Chin-Lung; Horng, Jeng-Haur; Chang-Liao, Kuei-Shu; Jeng, Jin-Tsong; Tsai, Hung-Yang

    2010-10-01

    Charge trapping and related current-conduction mechanisms in metal-oxide-semiconductor (MOS) capacitors with La xTa y dual-doped HfON dielectrics have been investigated under various post-deposition annealing (PDA). The results indicate that by La xTa y incorporation into HfON dielectric enhances electrical and reliability characteristics, including equivalent-oxide-thickness (EOT), stress-induced leakage current (SILC), and trap energy level. The mechanisms related to larger positive charge generation in the gate dielectric bulk can be attributed to La xTa y dual-doped HfON dielectric. The results of C- V measurement indicate that more negative charges are induced with increasing PDA temperature for the La xTa y dual-doped HfON dielectric. The charge current transport mechanisms through various dielectrics have been analyzed with current-voltage ( I- V) measurements under various temperatures. The current-conduction mechanisms of HfLaTaON dielectric at the low-, medium-, and high-electrical fields were dominated by Schottky emission (SE), Frenkel-Poole emission (F-P), and Fowler-Nordheim (F-N), respectively. A low trap energy level ( Φ trap) involved in Frenkel-Pool conduction in an HfLaTaON dielectric was estimated to be around 0.142 eV. Although a larger amount of positive charges generated in the HfLaTaON dielectric was obtained, the Φ trap of these positive charges in the HfLaTaON dielectric are shallow compared with HfON dielectric.

  17. Capacitor blocks for linear transformer driver stages.

    PubMed

    Kovalchuk, B M; Kharlov, A V; Kumpyak, E V; Smorudov, G V; Zherlitsyn, A A

    2014-01-01

    In the Linear Transformer Driver (LTD) technology, the low inductance energy storage components and switches are directly incorporated into the individual cavities (named stages) to generate a fast output voltage pulse, which is added along a vacuum coaxial line like in an inductive voltage adder. LTD stages with air insulation were recently developed, where air is used both as insulation in a primary side of the stages and as working gas in the LTD spark gap switches. A custom designed unit, referred to as a capacitor block, was developed for use as a main structural element of the transformer stages. The capacitor block incorporates two capacitors GA 35426 (40 nF, 100 kV) and multichannel multigap gas switch. Several modifications of the capacitor blocks were developed and tested on the life time and self breakdown probability. Blocks were tested both as separate units and in an assembly of capacitive module, consisting of five capacitor blocks. This paper presents detailed design of capacitor blocks, description of operation regimes, numerical simulation of electric field in the switches, and test results.

  18. Nanostructure multilayer dielectric materials for capacitors and insulators

    DOEpatents

    Barbee, Jr., Troy W.; Johnson, Gary W.

    1998-04-21

    A capacitor is formed of at least two metal conductors having a multilayer dielectric and opposite dielectric-conductor interface layers in between. The multilayer dielectric includes many alternating layers of amorphous zirconium oxide (ZrO.sub.2) and alumina (Al.sub.2 O.sub.3). The dielectric-conductor interface layers are engineered for increased voltage breakdown and extended service life. The local interfacial work function is increased to reduce charge injection and thus increase breakdown voltage. Proper material choices can prevent electrochemical reactions and diffusion between the conductor and dielectric. Physical vapor deposition is used to deposit the zirconium oxide (ZrO.sub.2) and alumina (Al.sub.2 O.sub.3) in alternating layers to form a nano-laminate.

  19. Nanostructure multilayer dielectric materials for capacitors and insulators

    DOEpatents

    Barbee, T.W. Jr.; Johnson, G.W.

    1998-04-21

    A capacitor is formed of at least two metal conductors having a multilayer dielectric and opposite dielectric-conductor interface layers in between. The multilayer dielectric includes many alternating layers of amorphous zirconium oxide (ZrO{sub 2}) and alumina (Al{sub 2}O{sub 3}). The dielectric-conductor interface layers are engineered for increased voltage breakdown and extended service life. The local interfacial work function is increased to reduce charge injection and thus increase breakdown voltage. Proper material choices can prevent electrochemical reactions and diffusion between the conductor and dielectric. Physical vapor deposition is used to deposit the zirconium oxide (ZrO{sub 2}) and alumina (Al{sub 2}O{sub 3}) in alternating layers to form a nano-laminate. 1 fig.

  20. Reliability Evaluation of Base-Metal-Electrode Multilayer Ceramic Capacitors for Potential Space Applications

    NASA Technical Reports Server (NTRS)

    Liu, David (Donhang); Sampson, Michael J.

    2011-01-01

    Base-metal-electrode (BME) ceramic capacitors are being investigated for possible use in high-reliability spacelevel applications. This paper focuses on how BME capacitors construction and microstructure affects their lifetime and reliability. Examination of the construction and microstructure of commercial off-the-shelf (COTS) BME capacitors reveals great variance in dielectric layer thickness, even among BME capacitors with the same rated voltage. Compared to PME (precious-metal-electrode) capacitors, BME capacitors exhibit a denser and more uniform microstructure, with an average grain size between 0.3 and 0.5 m, which is much less than that of most PME capacitors. BME capacitors can be fabricated with more internal electrode layers and thinner dielectric layers than PME capacitors because they have a fine-grained microstructure and do not shrink much during ceramic sintering. This makes it possible for BME capacitors to achieve a very high capacitance volumetric efficiency. The reliability of BME and PME capacitors was investigated using highly accelerated life testing (HALT). Most BME capacitors were found to fail with an early avalanche breakdown, followed by a regular dielectric wearout failure during the HALT test. When most of the early failures, characterized with avalanche breakdown, were removed, BME capacitors exhibited a minimum mean time-to-failure (MTTF) of more than 105 years at room temperature and rated voltage. Dielectric thickness was found to be a critical parameter for the reliability of BME capacitors. The number of stacked grains in a dielectric layer appears to play a significant role in determining BME capacitor reliability. Although dielectric layer thickness varies for a given rated voltage in BME capacitors, the number of stacked grains is relatively consistent, typically around 12 for a number of BME capacitors with a rated voltage of 25V. This may suggest that the number of grains per dielectric layer is more critical than the

  1. Design and characterization of a single channel two-liquid capacitor and its application to hyperelastic strain sensing.

    PubMed

    Liu, Shanliangzi; Sun, Xiaoda; Hildreth, Owen J; Rykaczewski, Konrad

    2015-03-07

    Room temperature liquid-metal microfluidic devices are attractive systems for hyperelastic strain sensing. These liquid-phase electronics are intrinsically soft and retain their functionality even when stretched to several times their original length. Currently two types of liquid metal-based strain sensors exist for in-plane measurements: single-microchannel resistive and two-microchannel capacitive devices. With a winding serpentine channel geometry, these sensors typically have a footprint of about a square centimeter. This large footprint of an individual device limits the number of sensors that can be embedded into, for example, electronic fabric or skin. In this work we introduce an alternative capacitor design consisting of two liquid metal electrodes separated by a liquid dielectric material within a single straight channel. Using a liquid insulator instead of a solid elastomer enables us to tailor the system's capacitance by selecting high or low dielectric constant liquids. We quantify the effects of the electrode geometry including the diameter, spacing, and meniscus shape as well as the dielectric constant of the insulating liquid on the overall system's capacitance. We also develop a procedure for fabricating the two-liquid capacitor within a single straight polydiemethylsiloxane channel and demonstrate that this device can have about 25 times higher capacitance per sensor's base area when compared to two-channel liquid metal capacitors. Lastly, we characterize the response of this compact device to strain and identify operational issues arising from complex hydrodynamics near liquid-liquid and liquid-elastomer interfaces.

  2. Absorption properties of metal-semiconductor hybrid nanoparticles.

    PubMed

    Shaviv, Ehud; Schubert, Olaf; Alves-Santos, Marcelo; Goldoni, Guido; Di Felice, Rosa; Vallée, Fabrice; Del Fatti, Natalia; Banin, Uri; Sönnichsen, Carsten

    2011-06-28

    The optical response of hybrid metal-semiconductor nanoparticles exhibits different behaviors due to the proximity between the disparate materials. For some hybrid systems, such as CdS-Au matchstick-shaped hybrids, the particles essentially retain the optical properties of their original components, with minor changes. Other systems, such as CdSe-Au dumbbell-shaped nanoparticles, exhibit significant change in the optical properties due to strong coupling between the two materials. Here, we study the absorption of these hybrids by comparing experimental results with simulations using the discrete dipole approximation method (DDA) employing dielectric functions of the bare components as inputs. For CdS-Au nanoparticles, the DDA simulation provides insights on the gold tip shape and its interface with the semiconductor, information that is difficult to acquire by experimental means alone. Furthermore, the qualitative agreement between DDA simulations and experimental data for CdS-Au implies that most effects influencing the absorption of this hybrid system are well described by local dielectric functions obtained separately for bare gold and CdS nanoparticles. For dumbbell shaped CdSe-Au, we find a shortcoming of the electrodynamic model, as it does not predict the "washing out" of the optical features of the semiconductor and the metal observed experimentally. The difference between experiment and theory is ascribed to strong interaction of the metal and semiconductor excitations, which spectrally overlap in the CdSe case. The present study exemplifies the employment of theoretical approaches used to describe the optical properties of semiconductors and metal nanoparticles, to achieve better understanding of the behavior of metal-semiconductor hybrid nanoparticles.

  3. A comprehensive study of charge trapping in organic field-effect devices with promising semiconductors and different contact metals by displacement current measurements

    NASA Astrophysics Data System (ADS)

    Bisoyi, Sibani; Rödel, Reinhold; Zschieschang, Ute; Kang, Myeong Jin; Takimiya, Kazuo; Klauk, Hagen; Tiwari, Shree Prakash

    2016-02-01

    A systematic and comprehensive study on the charge-carrier injection and trapping behavior was performed using displacement current measurements in long-channel capacitors based on four promising small-molecule organic semiconductors (pentacene, DNTT, C10-DNTT and DPh-DNTT). In thin-film transistors, these semiconductors showed charge-carrier mobilities ranging from 1.0 to 7.8 cm2 V-1 s-1. The number of charges injected into and extracted from the semiconductor and the density of charges trapped in the device during each measurement were calculated from the displacement current characteristics and it was found that the density of trapped charges is very similar in all devices and of the order 1012 cm-2, despite the fact that the four semiconductors show significantly different charge-carrier mobilities. The choice of the contact metal (Au, Ag, Cu, Pd) was also found to have no significant effect on the trapping behavior.

  4. Characterization of metal-ferroelectric-insulator-semiconductor structures based on ferroelectric Langmuir-Blodgett polyvinylidene fluoride copolymer films for nondestructive random access memory applications

    NASA Astrophysics Data System (ADS)

    Reece, Timothy James

    Ferroelectric field effect transistors (FeFETs) have attracted much attention recently because of their ability to combine high speed, low power consumption, and fast nondestructive readout with the potential for high density nonvolatile memory. The polarization of the ferroelectric is used to switch the channel at the silicon surface between states of high and low conductance. Among the ferroelectric thin films used in FET devices; the ferroelectric copolymer of Polyvinylidene fluoride, PVDF (C2H2F 2), with trifluoroethylene, TrFE (C2HF3), has distinct advantages, including low dielectric constant, low processing temperature, low cost and compatibility with organic semiconductors. By employing the Langmuir-Blodgett technique, films as thin as 1.8 nm can be deposited, reducing the operating voltage. An MFIS structure consisting of aluminum, 170 nm P(VDF-TrFE), 100 nm silicon oxide and n-type silicon exhibited low leakage current (˜1x10 -8 A/cm2), a large memory window (4.2 V) and operated at 35 Volts. The operating voltage was lowered through use of high k insulators like cerium oxide. A sample consisting of 25 nm P(VDF-TrFE), 30 nm cerium oxide and p-type silicon exhibited a 1.9 V window with 7 Volt gate amplitude. The leakage current in this case was considerably higher (1x10 -6 A/cm2). The characterization, modeling, and fabrication of metal-ferroelectricinsulator semiconductor (MFIS) structures based on these films are discussed.

  5. Effect of NO annealing on charge traps in oxide insulator and transition layer for 4H-SiC metal-oxide-semiconductor devices

    NASA Astrophysics Data System (ADS)

    Jia, Yifan; Lv, Hongliang; Niu, Yingxi; Li, Ling; Song, Qingwen; Tang, Xiaoyan; Li, Chengzhan; Zhao, Yanli; Xiao, Li; Wang, Liangyong; Tang, Guangming; Zhang, Yimen; Zhang, Yuming

    2016-09-01

    The effect of nitric oxide (NO) annealing on charge traps in the oxide insulator and transition layer in n-type 4H-SiC metal-oxide-semiconductor (MOS) devices has been investigated using the time-dependent bias stress (TDBS), capacitance-voltage (C-V), and secondary ion mass spectroscopy (SIMS). It is revealed that two main categories of charge traps, near interface oxide traps (Nniot) and oxide traps (Not), have different responses to the TDBS and C-V characteristics in NO-annealed and Ar-annealed samples. The Nniot are mainly responsible for the hysteresis occurring in the bidirectional C-V characteristics, which are very close to the semiconductor interface and can readily exchange charges with the inner semiconductor. However, Not is mainly responsible for the TDBS induced C-V shifts. Electrons tunneling into the Not are hardly released quickly when suffering TDBS, resulting in the problem of the threshold voltage stability. Compared with the Ar-annealed sample, Nniot can be significantly suppressed by the NO annealing, but there is little improvement of Not. SIMS results demonstrate that the Nniot are distributed within the transition layer, which correlated with the existence of the excess silicon. During the NO annealing process, the excess Si atoms incorporate into nitrogen in the transition layer, allowing better relaxation of the interface strain and effectively reducing the width of the transition layer and the density of Nniot. Project supported by the National Natural Science Foundation of China (Grant Nos. 61404098 and 61274079), the Doctoral Fund of Ministry of Education of China (Grant No. 20130203120017), the National Key Basic Research Program of China (Grant No. 2015CB759600), the National Grid Science & Technology Project, China (Grant No. SGRI-WD-71-14-018), and the Key Specific Project in the National Science & Technology Program, China (Grant Nos. 2013ZX02305002-002 and 2015CB759600).

  6. Thin-film decoupling capacitors for multi-chip modules

    NASA Astrophysics Data System (ADS)

    Dimos, D.; Lockwood, S. J.; Schwartz, R. W.; Rogers, M. S.

    Thin-film decoupling capacitors based on ferroelectric lead lanthanum zirconate titanate (PLZT) films are being developed for use in advanced packages, such as multi-chip modules. These thin-film decoupling capacitors are intended to replace multi-layer ceramic capacitors for certain applications, since they can be more fully integrated into the packaging architecture. The increased integration that can be achieved should lead to decreased package volume and improved high-speed performance, due to a decrease in interconnect inductance. PLZT films are fabricated by spin coating using metal carboxylate/alkoxide solutions. These films exhibit very high dielectric constants ((var epsilon) greater than or equal to 900), low dielectric losses (tan(delta) = 0.01), excellent insulation resistances (rho greater than 10(exp 13) (Omega)-cm at 125 C), and good breakdown field strengths (E(sub B) = 900 kV/cm). For integrated circuit applications, the PLZT dielectric is less than 1 micron thick, which results in a large capacitance/area (8-9 nF/sq mm). The thin-film geometry and processing conditions also make these capacitors suitable for direct incorporation onto integrated circuits and for packages that require embedded components.

  7. Study of Sn and Mg doping effects on TiO2/Ge stack structure by combinatorial synthesis

    NASA Astrophysics Data System (ADS)

    Nagata, Takahiro; Suzuki, Yoshihisa; Yamashita, Yoshiyuki; Ogura, Atsushi; Chikyow, Toyohiro

    2018-04-01

    The effects of Sn and Mg doping of a TiO2 film on a Ge substrate were investigated to improve leakage current properties and Ge diffusion into the TiO2 film. For systematic analysis, dopant-composition-spread TiO2 samples with dopant concentrations of up to 20.0 at. % were fabricated by RF sputtering and a combinatorial method. X-ray photoelectron spectroscopy revealed that the instability of Mg doping of TiO2 at dopant concentrations above 10.5 at. %. Both Sn and Mg dopants reduced Ge diffusion into TiO2. Sn doping enhanced the crystallization of the rutile phase, which is a high-dielectric-constant phase, although the Mg-doped TiO2 film indicated an amorphous structure. Sn-doping indicated systematic leakage current reduction with increasing dopant concentration. Doping at Sn concentrations higher than 16.8 at. % improved the leakage properties (˜10-7 A/cm2 at -3.0 V) and capacitance-voltage properties of metal-insulator-semiconductor (MIS) operation. The Sn doping of TiO2 may be useful for interface control and as a dielectric material for Ge-based MIS capacitors.

  8. Monolayer graphene-insulator-semiconductor emitter for large-area electron lithography

    NASA Astrophysics Data System (ADS)

    Kirley, Matthew P.; Aloui, Tanouir; Glass, Jeffrey T.

    2017-06-01

    The rapid adoption of nanotechnology in fields as varied as semiconductors, energy, and medicine requires the continual improvement of nanopatterning tools. Lithography is central to this evolving nanotechnology landscape, but current production systems are subject to high costs, low throughput, or low resolution. Herein, we present a solution to these problems with the use of monolayer graphene in a graphene-insulator-semiconductor (GIS) electron emitter device for large-area electron lithography. Our GIS device displayed high emission efficiency (up to 13%) and transferred large patterns (500 × 500 μm) with high fidelity (<50% spread). The performance of our device demonstrates a feasible path to dramatic improvements in lithographic patterning systems, enabling continued progress in existing industries and opening opportunities in nanomanufacturing.

  9. Method of physical vapor deposition of metal oxides on semiconductors

    DOEpatents

    Norton, David P.

    2001-01-01

    A process for growing a metal oxide thin film upon a semiconductor surface with a physical vapor deposition technique in a high-vacuum environment and a structure formed with the process involves the steps of heating the semiconductor surface and introducing hydrogen gas into the high-vacuum environment to develop conditions at the semiconductor surface which are favorable for growing the desired metal oxide upon the semiconductor surface yet is unfavorable for the formation of any native oxides upon the semiconductor. More specifically, the temperature of the semiconductor surface and the ratio of hydrogen partial pressure to water pressure within the vacuum environment are high enough to render the formation of native oxides on the semiconductor surface thermodynamically unstable yet are not so high that the formation of the desired metal oxide on the semiconductor surface is thermodynamically unstable. Having established these conditions, constituent atoms of the metal oxide to be deposited upon the semiconductor surface are directed toward the surface of the semiconductor by a physical vapor deposition technique so that the atoms come to rest upon the semiconductor surface as a thin film of metal oxide with no native oxide at the semiconductor surface/thin film interface. An example of a structure formed by this method includes an epitaxial thin film of (001)-oriented CeO.sub.2 overlying a substrate of (001) Ge.

  10. Non-contact, non-destructive, quantitative probing of interfacial trap sites for charge carrier transport at semiconductor-insulator boundary

    NASA Astrophysics Data System (ADS)

    Choi, Wookjin; Miyakai, Tomoyo; Sakurai, Tsuneaki; Saeki, Akinori; Yokoyama, Masaaki; Seki, Shu

    2014-07-01

    The density of traps at semiconductor-insulator interfaces was successfully estimated using microwave dielectric loss spectroscopy with model thin-film organic field-effect transistors. The non-contact, non-destructive analysis technique is referred to as field-induced time-resolved microwave conductivity (FI-TRMC) at interfaces. Kinetic traces of FI-TRMC transients clearly distinguished the mobile charge carriers at the interfaces from the immobile charges trapped at defects, allowing both the mobility of charge carriers and the number density of trap sites to be determined at the semiconductor-insulator interfaces. The number density of defects at the interface between evaporated pentacene on a poly(methylmethacrylate) insulating layer was determined to be 1012 cm-2, and the hole mobility was up to 6.5 cm2 V-1 s-1 after filling the defects with trapped carriers. The FI-TRMC at interfaces technique has the potential to provide rapid screening for the assessment of interfacial electronic states in a variety of semiconductor devices.

  11. Monolithic integrated high-T.sub.c superconductor-semiconductor structure

    NASA Technical Reports Server (NTRS)

    Barfknecht, Andrew T. (Inventor); Garcia, Graham A. (Inventor); Russell, Stephen D. (Inventor); Burns, Michael J. (Inventor); de la Houssaye, Paul R. (Inventor); Clayton, Stanley R. (Inventor)

    2000-01-01

    A method for the fabrication of active semiconductor and high-temperature superconducting device of the same substrate to form a monolithically integrated semiconductor-superconductor (MISS) structure is disclosed. A common insulating substrate, preferably sapphire or yttria-stabilized zirconia, is used for deposition of semiconductor and high-temperature superconductor substructures. Both substructures are capable of operation at a common temperature of at least 77 K. The separate semiconductor and superconductive regions may be electrically interconnected by normal metals, refractory metal silicides, or superconductors. Circuits and devices formed in the resulting MISS structures display operating characteristics which are equivalent to those of circuits and devices prepared on separate substrates.

  12. Modification of electrical properties of Au/n-type InP Schottky diode with a high-k Ba0.6Sr0.4TiO3 interlayer

    NASA Astrophysics Data System (ADS)

    Thapaswini, P. Prabhu; Padma, R.; Balaram, N.; Bindu, B.; Rajagopal Reddy, V.

    2016-05-01

    Au/Ba0.6Sr0.4TiO3 (BST)/n-InP metal/insulator/semiconductor (MIS) Schottky diodes have been analyzed by current-voltage (I-V) and capacitance-voltage (C-V) measurements. The surface morphology of the BST films on InP is fairly smooth. The Au/BST/n-InP MIS Schottky diode shows better rectification ratio and low leakage current compared to the conventional Au/n-InP metal-semiconductor (MS) Schottky diode. Higher barrier height is achieved for the MIS Schottky diode compared to the MS Schottky diode. The Norde and Cheung's methods are employed to determine the barrier height, ideality factor and series resistance. The interface state density (NSS) is determined from the forward bias I-V data for both the MS and MIS Schottky diodes. Results reveal that the NSS of the MIS Schottky diode is lower than that of the MS Schottky diode. The Poole-Frenkel emission is found dominating the reverse current in both Au/n-InP MS and Au/BST/n-InP MIS Schottky diodes, indicating the presence of structural defects and trap levels in the dielectric film.

  13. Controlled fabrication of semiconductor-metal hybrid nano-heterostructures via site-selective metal photodeposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vela Becerra, Javier; Ruberu, T. Purnima A.

    A method of synthesizing colloidal semiconductor-metal hybrid heterostructures is disclosed. The method includes dissolving semiconductor nanorods in a solvent to form a nanorod solution, and adding a precursor solution to the nanorod solution. The precursor solution contains a metal. The method further includes illuminating the combined precursor and nanorod solutions with light of a specific wavelength. The illumination causes the deposition of the metal in the precursor solution onto the surface of the semiconductor nanorods.

  14. The AMOS cell - An improved metal-semiconductor solar cell. [Antireflection coated Metal Oxide Semiconductor

    NASA Technical Reports Server (NTRS)

    Stirn, R. J.; Yeh, Y.-C. M.

    1975-01-01

    A new fabrication process is being developed which significantly improves the efficiency of metal-semiconductor solar cells. The resultant effect, a marked increase in the open-circuit voltage, is produced by the addition of an interfacial layer oxide on the semiconductor. Cells using gold on n-type gallium arsenide have been made in small areas (0.17 sq cm) with conversion efficiencies of 15% in terrestrial sunlight.

  15. Paramagnetic defects and charge trapping behavior of ZrO2 films deposited on germanium by plasma-enhanced CVD

    NASA Astrophysics Data System (ADS)

    Mahata, C.; Bera, M. K.; Bose, P. K.; Maiti, C. K.

    2009-02-01

    Internal photoemission and magnetic resonance studies have been performed to investigate the charge trapping behavior and chemical nature of defects in ultrathin (~14 nm) high-k ZrO2 dielectric films deposited on p-Ge (1 0 0) substrates at low temperature (<200 °C) by plasma-enhanced chemical vapor deposition (PECVD) in a microwave (700 W, 2.45 GHz) plasma at a pressure of ~65 Pa. Both the band and defect-related electron states have been characterized using electron paramagnetic resonance, internal photoemission, capacitance-voltage and current-voltage measurements under UV illumination. Capacitance-voltage and photocurrent-voltage measurements were used to determine the centroid of oxide charge within the high-k gate stack. The observed shifts in photocurrent response of the Al/ZrO2/GeO2/p-Ge metal-insulator-semiconductor (MIS) capacitors indicate the location of the centroids to be within the ZrO2 dielectric near to the gate electrode. Moreover, the measured flat band voltage and photocurrent shifts also indicate a large density of traps in the dielectric. The impact of plasma nitridation on the interfacial quality of the oxides has been investigated. Different N sources, such as NO and NH3, have been used for nitrogen engineering. Oxynitride samples show a lower defect density and trapping over the non-nitrided samples. The charge trapping and detrapping properties of MIS capacitors under stressing in constant current and voltage modes have been investigated in detail.

  16. Poole Frenkel current and Schottky emission in SiN gate dielectric in AlGaN/GaN metal insulator semiconductor heterostructure field effect transistors

    NASA Astrophysics Data System (ADS)

    Hanna, Mina J.; Zhao, Han; Lee, Jack C.

    2012-10-01

    We analyze the anomalous I-V behavior in SiN prepared by plasma enhanced chemical vapor deposition for use as a gate insulator in AlGaN/GaN metal insulator semiconductor heterostructure filed effect transistors (HFETs). We observe leakage current across the dielectric with opposite polarity with respect to the applied electric field once the voltage sweep reaches a level below a determined threshold. This is observed as the absolute minimum of the leakage current does not occur at minimum voltage level (0 V) but occurs earlier in the sweep interval. Curve-fitting analysis suggests that the charge-transport mechanism in this region is Poole-Frenkel current, followed by Schottky emission due to band bending. Despite the current anomaly, the sample devices have shown a notable reduction of leakage current of over 2 to 6 order of magnitudes compared to the standard Schottky HFET. We show that higher pressures and higher silane concentrations produce better films manifesting less trapping. This conforms to our results that we reported in earlier publications. We found that higher chamber pressure achieves higher sheet carrier concentration that was found to be strongly dependent on the trapped space charge at the SiN/GaN interface. This would suggest that a lower chamber pressure induces more trap states into the SiN/GaN interface.

  17. Fabrication of PVDF-TrFE based bilayered PbTiO3/PVDF-TrFE films capacitor

    NASA Astrophysics Data System (ADS)

    Nurbaya, Z.; Wahid, M. H.; Rozana, M. D.; Annuar, I.; Alrokayan, S. A. H.; Khan, H. A.; Rusop, M.

    2016-07-01

    Development of high performance capacitor is reaching towards new generation where the ferroelectric materials take places as the active dielectric layer. The motivation of this study is to produce high capacitance device with long life cycle. This was configured by preparing bilayered films where lead titanate as an active dielectric layer and stacked with the top dielectric layer, poly(vinyledenefluoride-trifluoroethylene). Both of them are being referred that have one in common which is ferroelectric behavior. Therefore the combination of ceramic and polymer ferroelectric material could perform optimum dielectric characteristic for capacitor applications. The fabrication was done by simple sol-gel spin coating method that being varied at spinning speed property for polymer layers, whereas maintaining the ceramic layer. The characterization of PVDF-TrFE/PbTiO3 was performed according to metal-insulator-metal stacked capacitor measurement which includes structural, dielectric, and ferroelectric measurement.

  18. Flux pumping for non-insulated and metal-insulated HTS coils

    NASA Astrophysics Data System (ADS)

    Ma, Jun; Geng, Jianzhao; Coombs, T. A.

    2018-01-01

    High-temperature superconducting (HTS) coils wound from coated conductors without turn-to-turn insulation (non-insulated (NI) coils) have been proven with excellent electrical and thermal performances. However, the slow charging of NI coils has been a long-lasting problem. In this work, we explore using a transformer-rectifier HTS flux pump to charge an NI coil and a metal-insulated coil. The charging performance comparison is made between different coils. Comprehensive study is done to thoroughly understand the electrical-magnetic transience in charging these coils. We will show that the low-voltage high-current flux pump is especially suitable for charging NI coils with very low characteristic resistance.

  19. Enhanced Performance of Gate-First p-Channel Metal-Insulator-Semiconductor Field-Effect Transistors with Polycrystalline Silicon/TiN/HfSiON Stacks Fabricated by Physical Vapor Deposition Based In situ Method

    NASA Astrophysics Data System (ADS)

    Kitano, Naomu; Horie, Shinya; Arimura, Hiroaki; Kawahara, Takaaki; Sakashita, Shinsuke; Nishida, Yukio; Yugami, Jiro; Minami, Takashi; Kosuda, Motomu; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2007-12-01

    We demonstrated the use of an in situ metal/high-k fabrication method for improving the performance of metal-insulator-semiconductor field-effect transistors (MISFETs). Gate-first pMISFETs with polycrystalline silicon (poly-Si)/TiN/HfSiON stacks were fabricated by techniques based on low-damage physical vapor deposition, in which high-quality HfSiON dielectrics were formed by the interface reaction between an ultrathin metal-Hf layer (0.5 nm thick) and a SiO2 underlayer, and TiN electrodes were continuously deposited on the gate dielectrics without exposure to air. Gate-first pMISFETs with high carrier mobility and a low threshold voltage (Vth) were realized by reducing the carbon impurity in the gate stacks and improving the Vth stability against thermal treatment. As a result, we obtained superior current drivability (Ion = 350 μA/μm at Ioff = 200 pA/μm), which corresponds to a 13% improvement over that of conventional chemical vapor deposition-based metal/high-k devices.

  20. Electrical characteristics and interface properties of ALD-HfO2/AlGaN/GaN MIS-HEMTs fabricated with post-deposition annealing

    NASA Astrophysics Data System (ADS)

    Kubo, Toshiharu; Egawa, Takashi

    2017-12-01

    HfO2/AlGaN/GaN metal-insulator-semiconductor (MIS)-type high electron mobility transistors (HEMTs) on Si substrates were fabricated by atomic layer deposition of HfO2 layers and post-deposition annealing (PDA). The current-voltage characteristics of the MIS-HEMTs with as-deposited HfO2 layers showed a low gate leakage current (I g) despite the relatively low band gap of HfO2, and a dynamic threshold voltage shift (ΔV th) was observed. After PDA above 500 °C, ΔV th was reduced from 2.9 to 0.7 V with an increase in I g from 2.2 × 10-7 to 4.8 × 10-2 mA mm-1. Effects of the PDA on the HfO2 layer and the HfO2/AlGaN interface were investigated by x-ray photoelectron spectroscopy (XPS) using synchrotron radiation. XPS data showed that oxygen vacancies exist in the as-deposited HfO2 layers and they disappeared with an increase in the PDA temperature. These results indicate that the deep electron traps that cause ΔV th are related to the oxygen vacancies in the HfO2 layers.

  1. Mott metal-insulator transition in the doped Hubbard-Holstein model

    NASA Astrophysics Data System (ADS)

    Kurdestany, Jamshid Moradi; Satpathy, S.

    2017-08-01

    Motivated by the current interest in the understanding of the Mott insulators away from half-filling, observed in many perovskite oxides, we study the Mott metal-insulator transition in the doped Hubbard-Holstein model using the Hartree-Fock mean field theory. The Hubbard-Holstein model is the simplest model containing both the Coulomb and the electron-lattice interactions, which are important ingredients in the physics of the perovskite oxides. In contrast to the half-filled Hubbard model, which always results in a single phase (either metallic or insulating), our results show that away from half-filling, a mixed phase of metallic and insulating regions occurs. As the dopant concentration is increased, the metallic part progressively grows in volume, until it exceeds the percolation threshold, leading to percolative conduction. This happens above a critical dopant concentration δc, which, depending on the strength of the electron-lattice interaction, can be a significant fraction of unity. This means that the material could be insulating even for a substantial amount of doping, in contrast to the expectation that doped holes would destroy the insulating behavior of the half-filled Hubbard model. While effects of fluctuation beyond the mean field remain an open question, our results provide a starting point for the understanding of the density-driven metal-insulator transition observed in many complex oxides.

  2. 49 CFR 229.83 - Insulation or grounding of metal parts.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 49 Transportation 4 2011-10-01 2011-10-01 false Insulation or grounding of metal parts. 229.83 Section 229.83 Transportation Other Regulations Relating to Transportation (Continued) FEDERAL RAILROAD... System § 229.83 Insulation or grounding of metal parts. All unguarded noncurrent-carrying metal parts...

  3. Characteristics of Superjunction Lateral-Double-Diffusion Metal Oxide Semiconductor Field Effect Transistor and Degradation after Electrical Stress

    NASA Astrophysics Data System (ADS)

    Lin, Jyh‑Ling; Lin, Ming‑Jang; Lin, Li‑Jheng

    2006-04-01

    The superjunction lateral double diffusion metal oxide semiconductor field effect has recently received considerable attention. Introducing heavily doped p-type strips to the n-type drift region increases the horizontal depletion capability. Consequently, the doping concentration of the drift region is higher and the conduction resistance is lower than those of conventional lateral-double-diffusion metal oxide semiconductor field effect transistors (LDMOSFETs). These characteristics may increase breakdown voltage (\\mathit{BV}) and reduce specific on-resistance (Ron,sp). In this study, we focus on the electrical characteristics of conventional LDMOSFETs on silicon bulk, silicon-on-insulator (SOI) LDMOSFETs and superjunction LDMOSFETs after bias stress. Additionally, the \\mathit{BV} and Ron,sp of superjunction LDMOSFETs with different N/P drift region widths and different dosages are discussed. Simulation tools, including two-dimensional (2-D) TSPREM-4/MEDICI and three-dimensional (3-D) DAVINCI, were employed to determine the device characteristics.

  4. Lattice matched semiconductor growth on crystalline metallic substrates

    DOEpatents

    Norman, Andrew G; Ptak, Aaron J; McMahon, William E

    2013-11-05

    Methods of fabricating a semiconductor layer or device and said devices are disclosed. The methods include but are not limited to providing a metal or metal alloy substrate having a crystalline surface with a known lattice parameter (a). The methods further include growing a crystalline semiconductor alloy layer on the crystalline substrate surface by coincident site lattice matched epitaxy. The semiconductor layer may be grown without any buffer layer between the alloy and the crystalline surface of the substrate. The semiconductor alloy may be prepared to have a lattice parameter (a') that is related to the lattice parameter (a). The semiconductor alloy may further be prepared to have a selected band gap.

  5. Insulators obtained by electron cyclotron resonance plasmas on Si or GaAs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Diniz, J.A.; Doi, I.; Swart, J.W

    2003-03-15

    Silicon oxynitride (SiO{sub x}N{sub y}) and nitride (SiN{sub x}) insulators have been deposited or grown (with or without silane in the gas mixture, respectively) by electron cyclotron resonance (ECR) plasmas on Si and/or GaAs substrates at room temperature (20 deg. C) and low pressures (up to 10 mTorr). Chemical bonding characteristics of the SiO{sub x}N{sub y} and SiN{sub x} films were evaluated using Fourier transform infrared spectrometry (FTIR). The profile measurements determined the film thickness, the deposition (or oxidation) rate and the etch rates in buffered HF (BHF). The refractive indexes and the thicknesses were determined by ellipsometry. The effectivemore » interface charge densities were determined by capacitance-voltage (C-V) measurements. With these processes and analyses, different films were obtained and optimized. Suitable gate insulators for metal-insulator-semiconductor (MIS) devices with low interface charge densities were developed: (a) SiN{sub x} films deposited by ECR-chemical vapor deposition (ECR-PECVD) on GaAs substrates; (b) SiO{sub x}N{sub y} insulators obtained by low-energy molecular nitrogen ion ({sup 28}N{sub 2}{sup +}) implantation (energy of 5 keV and dose of 1x10{sup 15}/cm{sup 2}) in Si substrates prior to high-density O{sub 2} ECR plasma oxidation; and (c) SiO{sub x}N{sub y} insulators grown (without silane in the gas mixture) by O{sub 2}/N{sub 2}/Ar ECR plasma 'oxynitridation'. Furthermore, some SiN{sub x} films also present very good masking characteristics for local oxidation of silicon process.« less

  6. Hydrogen Sensors Using Nitride-Based Semiconductor Diodes: The Role of Metal/Semiconductor Interfaces

    PubMed Central

    Irokawa, Yoshihiro

    2011-01-01

    In this paper, I review my recent results in investigating hydrogen sensors using nitride-based semiconductor diodes, focusing on the interaction mechanism of hydrogen with the devices. Firstly, effects of interfacial modification in the devices on hydrogen detection sensitivity are discussed. Surface defects of GaN under Schottky electrodes do not play a critical role in hydrogen sensing characteristics. However, dielectric layers inserted in metal/semiconductor interfaces are found to cause dramatic changes in hydrogen sensing performance, implying that chemical selectivity to hydrogen could be realized. The capacitance-voltage (C–V) characteristics reveal that the work function change in the Schottky metal is not responsible mechanism for hydrogen sensitivity. The interface between the metal and the semiconductor plays a critical role in the interaction of hydrogen with semiconductor devises. Secondly, low-frequency C–V characterization is employed to investigate the interaction mechanism of hydrogen with diodes. As a result, it is suggested that the formation of a metal/semiconductor interfacial polarization could be attributed to hydrogen-related dipoles. In addition, using low-frequency C–V characterization leads to clear detection of 100 ppm hydrogen even at room temperature where it is hard to detect hydrogen by using conventional current-voltage (I–V) characterization, suggesting that low-frequency C–V method would be effective in detecting very low hydrogen concentrations. PMID:22346597

  7. Silicon metal-semiconductor-metal photodetector

    DOEpatents

    Brueck, Steven R. J.; Myers, David R.; Sharma, Ashwani K.

    1997-01-01

    Silicon MSM photodiodes sensitive to radiation in the visible to near infrared spectral range are produced by altering the absorption characteristics of crystalline Si by ion implantation. The implantation produces a defected region below the surface of the silicon with the highest concentration of defects at its base which acts to reduce the contribution of charge carriers formed below the defected layer. The charge carriers generated by the radiation in the upper regions of the defected layer are very quickly collected between biased Schottky barrier electrodes which form a metal-semiconductor-metal structure for the photodiode.

  8. Silicon metal-semiconductor-metal photodetector

    DOEpatents

    Brueck, Steven R. J.; Myers, David R.; Sharma, Ashwani K.

    1995-01-01

    Silicon MSM photodiodes sensitive to radiation in the visible to near infrared spectral range are produced by altering the absorption characteristics of crystalline Si by ion implantation. The implantation produces a defected region below the surface of the silicon with the highest concentration of defects at its base which acts to reduce the contribution of charge carriers formed below the defected layer. The charge carriers generated by the radiation in the upper regions of the defected layer are very quickly collected between biased Schottky barrier electrodes which form a metal-semiconductor-metal structure for the photodiode.

  9. Fabrication of PVDF-TrFE based bilayered PbTiO{sub 3}/PVDF-TrFE films capacitor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nurbaya, Z., E-mail: nurbayazainal@gmail.com; Razak School of Engineering and Advanced Technology, Universiti Teknologi Malaysia, 54100 Kuala Lumpur; Wahid, M. H.

    2016-07-06

    Development of high performance capacitor is reaching towards new generation where the ferroelectric materials take places as the active dielectric layer. The motivation of this study is to produce high capacitance device with long life cycle. This was configured by preparing bilayered films where lead titanate as an active dielectric layer and stacked with the top dielectric layer, poly(vinyledenefluoride-trifluoroethylene). Both of them are being referred that have one in common which is ferroelectric behavior. Therefore the combination of ceramic and polymer ferroelectric material could perform optimum dielectric characteristic for capacitor applications. The fabrication was done by simple sol-gel spin coatingmore » method that being varied at spinning speed property for polymer layers, whereas maintaining the ceramic layer. The characterization of PVDF-TrFE/PbTiO3 was performed according to metal-insulator-metal stacked capacitor measurement which includes structural, dielectric, and ferroelectric measurement.« less

  10. Plasmonic ruler on field-effect devices for kinase drug discovery applications.

    PubMed

    Bhalla, Nikhil; Formisano, Nello; Miodek, Anna; Jain, Aditya; Di Lorenzo, Mirella; Pula, Giordano; Estrela, Pedro

    2015-09-15

    Protein kinases are cellular switches that mediate phosphorylation of proteins. Abnormal phosphorylation of proteins is associated with lethal diseases such as cancer. In the pharmaceutical industry, protein kinases have become an important class of drug targets. This study reports a versatile approach for the detection of protein phosphorylation. The change in charge of the myelin basic protein upon phosphorylation by the protein kinase C-alpha (PKC-α) in the presence of adenosine 5'-[γ-thio] triphosphate (ATP-S) was detected on gold metal-insulator-semiconductor (Au-MIS) capacitor structures. Gold nanoparticles (AuNPs) can then be attached to the thio-phosphorylated proteins, forming a Au-film/AuNP plasmonic couple. This was detected by a localized surface plasmon resonance (LSPR) technique alongside MIS capacitance. All reactions were validated using surface plasmon resonance technique and the interaction of AuNPs with the thio-phosphorylated proteins quantified by quartz crystal microbalance. The plasmonic coupling was also visualized by simulations using finite element analysis. The use of this approach in drug discovery applications was demonstrated by evaluating the response in the presence of a known inhibitor of PKC-α kinase. LSPR and MIS on a single platform act as a cross check mechanism for validating kinase activity and make the system robust to test novel inhibitors. Copyright © 2015 Elsevier B.V. All rights reserved.

  11. Promethium-147 capacitor.

    PubMed

    Kavetskiy, A; Yakubova, G; Lin, Q; Chan, D; Yousaf, S M; Bower, K; Robertson, J D; Garnov, A; Meier, D

    2009-06-01

    Beta particle surface fluxes for tritium, Ni-63, Pm-147, and Sr-90 sources were calculated in this work. High current density was experimentally achieved from Pm-147 oxide in silica-titana glass. A 96 GBq (2.6 Ci) Pm-147 4pi-source with flux efficiency greater than 50% was used for constructing a direct charge capacitor with a polyimide coated collector and vacuum as electrical insulation. The capacitor connected to high resistance (TOmega) loads produced up to 35 kV. Overall conversion efficiency was over 10% (on optimal load).

  12. Thin Semiconductor/Metal Films For Infrared Devices

    NASA Technical Reports Server (NTRS)

    Lamb, James L.; Nagendra, Channamallappa L.

    1995-01-01

    Spectral responses of absorbers and reflectors tailored. Thin cermet films composites of metals and semiconductors undergoing development for use as broadband infrared reflectors and absorbers. Development extends concepts of semiconductor and dielectric films used as interference filters for infrared light and visible light. Composite films offer advantages over semiconductor films. Addition of metal particles contributes additional thermal conductivity, reducing thermal gradients and associated thermal stresses, with resultant enhancements of thermal stability. Because values of n in composite films made large, same optical effects achieved with lesser thicknesses. By decreasing thicknesses of films, one not only decreases weights but also contributes further to reductions of thermal stresses.

  13. Metal Contacts in Semiconductors.

    DTIC Science & Technology

    1983-11-01

    greater understanding of the role that imperfec- tions, defects etc. play in the formation of Schottk~y barriers and related devices. In section 1 of...these effects. In Section 2 of this report we consider the role of surface defects in the pinning of the Fermi level at free semiconductor surfaces and...in the adsorption and oxidation processes involved when these surfaces interact with gases and metals. The role of imperfections at metal

  14. Step tunneling enhanced asymmetry in metal-insulator-insulator-metal (MIIM) diodes for rectenna applications

    NASA Astrophysics Data System (ADS)

    Alimardani, N.; Conley, J. F.

    2013-09-01

    We combine nanolaminate bilayer insulator tunnel barriers (Al2O3/HfO2, HfO2/Al2O3, Al2O3/ZrO2) deposited via atomic layer deposition (ALD) with asymmetric work function metal electrodes to produce MIIM diodes with enhanced I-V asymmetry and non-linearity. We show that the improvements in MIIM devices are due to step tunneling rather than resonant tunneling. We also investigate conduction processes as a function of temperature in MIM devices with Nb2O5 and Ta2O5 high electron affinity insulators. For both Nb2O5 and Ta2O5 insulators, the dominant conduction process is established as Schottky emission at small biases and Frenkel-Poole emission at large biases. The energy depth of the traps that dominate Frenkel-Poole emission in each material are estimated.

  15. Reliability Evaluation of Base-Metal-Electrode (BME) Multilayer Ceramic Capacitors for Space Applications

    NASA Technical Reports Server (NTRS)

    Liu, David (Donghang)

    2011-01-01

    This paper reports reliability evaluation of BME ceramic capacitors for possible high reliability space-level applications. The study is focused on the construction and microstructure of BME capacitors and their impacts on the capacitor life reliability. First, the examinations of the construction and microstructure of commercial-off-the-shelf (COTS) BME capacitors show great variance in dielectric layer thickness, even among BME capacitors with the same rated voltage. Compared to PME (precious-metal-electrode) capacitors, BME capacitors exhibit a denser and more uniform microstructure, with an average grain size between 0.3 and approximately 0.5 micrometers, which is much less than that of most PME capacitors. The primary reasons that a BME capacitor can be fabricated with more internal electrode layers and less dielectric layer thickness is that it has a fine-grained microstructure and does not shrink much during ceramic sintering. This results in the BME capacitors a very high volumetric efficiency. The reliability of BME and PME capacitors was investigated using highly accelerated life testing (HALT) and regular life testing as per MIL-PRF-123. Most BME capacitors were found to fail· with an early dielectric wearout, followed by a rapid wearout failure mode during the HALT test. When most of the early wearout failures were removed, BME capacitors exhibited a minimum mean time-to-failure of more than 10(exp 5) years. Dielectric thickness was found to be a critical parameter for the reliability of BME capacitors. The number of stacked grains in a dielectric layer appears to play a significant role in determining BME capacitor reliability. Although dielectric layer thickness varies for a given rated voltage in BME capacitors, the number of stacked grains is relatively consistent, typically between 10 and 20. This may suggest that the number of grains per dielectric layer is more critical than the thickness itself for determining the rated voltage and the life

  16. Current-induced switching in a magnetic insulator

    NASA Astrophysics Data System (ADS)

    Avci, Can Onur; Quindeau, Andy; Pai, Chi-Feng; Mann, Maxwell; Caretta, Lucas; Tang, Astera S.; Onbasli, Mehmet C.; Ross, Caroline A.; Beach, Geoffrey S. D.

    2017-03-01

    The spin Hall effect in heavy metals converts charge current into pure spin current, which can be injected into an adjacent ferromagnet to exert a torque. This spin-orbit torque (SOT) has been widely used to manipulate the magnetization in metallic ferromagnets. In the case of magnetic insulators (MIs), although charge currents cannot flow, spin currents can propagate, but current-induced control of the magnetization in a MI has so far remained elusive. Here we demonstrate spin-current-induced switching of a perpendicularly magnetized thulium iron garnet film driven by charge current in a Pt overlayer. We estimate a relatively large spin-mixing conductance and damping-like SOT through spin Hall magnetoresistance and harmonic Hall measurements, respectively, indicating considerable spin transparency at the Pt/MI interface. We show that spin currents injected across this interface lead to deterministic magnetization reversal at low current densities, paving the road towards ultralow-dissipation spintronic devices based on MIs.

  17. New Concentric Electrode Metal-Semiconductor-Metal Photodetectors

    NASA Technical Reports Server (NTRS)

    Towe, Elias

    1996-01-01

    A new metal-semiconductor-metal (MSM) photodetector geometry is proposed. The new device has concentric metal electrodes which exhibit a high degree of symmetry and a design flexibility absent in the conventional MSM device. The concentric electrodes are biased to alternating potentials as in the conventional interdigitated device. Because of the high symmetry configuration, however, the new device also has a lower effective capacitance. This device and the conventional MSM structure are analyzed within a common theoretical framework which allows for the comparison of the important performance characteristics.

  18. Theoretical and experimental investigations of superconductivity. Amorphous semiconductors, superconductivity and magnetism

    NASA Technical Reports Server (NTRS)

    Cohen, M. H.

    1973-01-01

    The research activities from 1 March 1963 to 28 February 1973 are summarized. Major lectures are listed along with publications on superconductivity, superfluidity, electronic structures and Fermi surfaces of metals, optical spectra of solids, electronic structure of insulators and semiconductors, theory of magnetic metals, physics of surfaces, structures of metals, and molecular physics.

  19. Role of the dielectric for the charging dynamics of the dielectric/barrier interface in AlGaN/GaN based metal-insulator-semiconductor structures under forward gate bias stress

    NASA Astrophysics Data System (ADS)

    Lagger, P.; Steinschifter, P.; Reiner, M.; Stadtmüller, M.; Denifl, G.; Naumann, A.; Müller, J.; Wilde, L.; Sundqvist, J.; Pogany, D.; Ostermaier, C.

    2014-07-01

    The high density of defect states at the dielectric/III-N interface in GaN based metal-insulator-semiconductor structures causes tremendous threshold voltage drifts, ΔVth, under forward gate bias conditions. A comprehensive study on different dielectric materials, as well as varying dielectric thickness tD and barrier thickness tB, is performed using capacitance-voltage analysis. It is revealed that the density of trapped electrons, ΔNit, scales with the dielectric capacitance under spill-over conditions, i.e., the accumulation of a second electron channel at the dielectric/AlGaN barrier interface. Hence, the density of trapped electrons is defined by the charging of the dielectric capacitance. The scaling behavior of ΔNit is explained universally by the density of accumulated electrons at the dielectric/III-N interface under spill-over conditions. We conclude that the overall density of interface defects is higher than what can be electrically measured, due to limits set by dielectric breakdown. These findings have a significant impact on the correct interpretation of threshold voltage drift data and are of relevance for the development of normally off and normally on III-N/GaN high electron mobility transistors with gate insulation.

  20. Current-voltage characteristics of the semiconductor nanowires under the metal-semiconductor-metal structure

    NASA Astrophysics Data System (ADS)

    Wen, Jing; Zhang, Xitian; Gao, Hong; Wang, Mingjiao

    2013-12-01

    We present a method to calculate the I-V characteristics of semiconductor nanowires under the metal-semiconductor-metal (MSM) structure. The carrier concentration as an important parameter is introduced into the expression of the current. The subband structure of the nanowire has been considered for associating it with the position of the Fermi level and circumventing the uncertainties of the contact areas in the contacts. The tunneling and thermionic emission currents in the two Schottky barriers at the two metal-semiconductor contacts are discussed. We find that the two barriers have different influences on the I-V characteristics of the MSM structure, one of which under the forward bias plays the role of threshold voltage if its barrier height is large and the applied voltage is small, and the other under the reverse bias controls the shapes of I-V curves. Our calculations show that the shapes of the I-V curves for the MSM structure are mainly determined by the barrier heights of the contacts and the carrier concentration. The nearly identical I-V characteristics can be obtained by using different values of the barrier heights and carrier concentration, which means that the contact type conversion can be ascribed not only to the changes of the barrier heights but also that of the carrier concentration. We also discuss the mechanisms of the ohmic-Schottky conversions and clarify the ambiguity in the literature. The possibility about the variation of the carrier concentration under the applied fields has been confirmed by experimental results.

  1. Electrical characterization of MIM capacitor comprises an adamantane film at room temperature

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tiwari, Rajanish N., E-mail: rajanisht@gmail.com; Toyota Technological Institute, 2-12-1Hisakata, Tempaku-Ku, Nagoya 468-8511; Yoshimura, Masamichi

    2016-06-15

    We fabricated a new metal-insulator-metal capacitor at room temperature, comprising a ∼90 nm thin low–k adamantane film on a Si substrate. The surface morphology of deposited organic film was investigated by using scanning electron microscopy and Raman spectroscopy, which is confirmed that the adamantane thin film was uniformly distributed on the Si surface. The adamantane film exhibits a low leakage current density of 7.4 x 10{sup −7} A/cm{sup 2} at 13.5 V, better capacitance density of 2.14 fF/μm{sup 2} at 100 KHz.

  2. Ultrafast photoinduced charge separation in metal-semiconductor nanohybrids.

    PubMed

    Mongin, Denis; Shaviv, Ehud; Maioli, Paolo; Crut, Aurélien; Banin, Uri; Del Fatti, Natalia; Vallée, Fabrice

    2012-08-28

    Hybrid nano-objects formed by two or more disparate materials are among the most promising and versatile nanosystems. A key parameter in their properties is interaction between their components. In this context we have investigated ultrafast charge separation in semiconductor-metal nanohybrids using a model system of gold-tipped CdS nanorods in a matchstick architecture. Experiments are performed using an optical time-resolved pump-probe technique, exciting either the semiconductor or the metal component of the particles, and probing the light-induced change of their optical response. Electron-hole pairs photoexcited in the semiconductor part of the nanohybrids are shown to undergo rapid charge separation with the electron transferred to the metal part on a sub-20 fs time scale. This ultrafast gold charging leads to a transient red-shift and broadening of the metal surface plasmon resonance, in agreement with results for free clusters but in contrast to observation for static charging of gold nanoparticles in liquid environments. Quantitative comparison with a theoretical model is in excellent agreement with the experimental results, confirming photoexcitation of one electron-hole pair per nanohybrid followed by ultrafast charge separation. The results also point to the utilization of such metal-semiconductor nanohybrids in light-harvesting applications and in photocatalysis.

  3. Spin transport in normal metal/insulator/topological insulator coupled to ferromagnetic insulator structures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kondo, Kenji, E-mail: kkondo@es.hokudai.ac.jp

    In this study, we investigate the spin transport in normal metal (NM)/insulator (I)/topological insulator (TI) coupled to ferromagnetic insulator (FI) structures. In particular, we focus on the barrier thickness dependence of the spin transport inside the bulk gap of the TI with FI. The TI with FI is described by two-dimensional (2D) Dirac Hamiltonian. The energy profile of the insulator is assumed to be a square with barrier height V and thickness d along the transport-direction. This structure behaves as a tunnel device for 2D Dirac electrons. The calculation is performed for the spin conductance with changing the barrier thicknessmore » and the components of magnetization of FI layer. It is found that the spin conductance decreases with increasing the barrier thickness. Also, the spin conductance is strongly dependent on the polar angle θ, which is defined as the angle between the axis normal to the FI and the magnetization of FI layer. These results indicate that the structures are promising candidates for novel tunneling magnetoresistance devices.« less

  4. Harnessing the metal-insulator transition for tunable metamaterials

    NASA Astrophysics Data System (ADS)

    Charipar, Nicholas A.; Charipar, Kristin M.; Kim, Heungsoo; Bingham, Nicholas S.; Suess, Ryan J.; Mathews, Scott A.; Auyeung, Raymond C. Y.; Piqué, Alberto

    2017-08-01

    The control of light-matter interaction through the use of subwavelength structures known as metamaterials has facilitated the ability to control electromagnetic radiation in ways not previously achievable. A plethora of passive metamaterials as well as examples of active or tunable metamaterials have been realized in recent years. However, the development of tunable metamaterials is still met with challenges due to lack of materials choices. To this end, materials that exhibit a metal-insulator transition are being explored as the active element for future metamaterials because of their characteristic abrupt change in electrical conductivity across their phase transition. The fast switching times (▵t < 100 fs) and a change in resistivity of four orders or more make vanadium dioxide (VO2) an ideal candidate for active metamaterials. It is known that the properties associated with thin film metal-insulator transition materials are strongly dependent on the growth conditions. For this work, we have studied how growth conditions (such as gas partial pressure) influence the metalinsulator transition in VO2 thin films made by pulsed laser deposition. In addition, strain engineering during the growth process has been investigated as a method to tune the metal-insulator transition temperature. Examples of both the optical and electrical transient dynamics facilitating the metal-insulator transition will be presented together with specific examples of thin film metamaterial devices.

  5. Capacitor-type micrometeoroid detectors

    NASA Technical Reports Server (NTRS)

    Wortman, J. J.; Griffis, D. P.; Bryan, S. R.; Kinard, W.

    1986-01-01

    The metal oxide semiconductor (MOS) capacitor micrometeroid detector consists of a thin dielectric capacitor fabricated on a silicon wafer. In operation, the device is charged to a voltage level sufficiently near breakdown that micrometeoroid impacts will cause dielectric deformation or heating and subsequent arc-over at the point of impact. Each detector is capable of recording multiple impacts because of the self-healing characteristics of the device. Support instrumentation requirements consist of a voltage source and pulse counters that monitor the pulse of recharging current following every impact. An investigation has been conducted in which 0.5 to 5 micron diameter carbonized iron spheres traveling at velocities of 4 to 10 Km/sec were impacted on to detectors with either a dielectric thickness of 0.4 or 1.0 micron. This study demonstrated that an ion microprobe tuned to sufficiently high resolution can detect Fe remaining on the detector after the impact. Furthermore, it is also possible to resolve Fe ion images free of mass interferences from Si, for example, giving its spatial distribution after impact. Specifically this technique has shown that significant amounts of impacting particles remain in the crater and near it which can be analyzed for isotopic content. Further testing and calibration could lead to quantitive analysis. This study has shown that the capacitor type micrometeroid detector is capable of not only time and flux measurements but can also be used for isotopic analysis.

  6. Spontaneously formed high-performance charge-transport layers of organic single-crystal semiconductors on precisely synthesized insulating polymers

    NASA Astrophysics Data System (ADS)

    Makita, Tatsuyuki; Sasaki, Masayuki; Annaka, Tatsuro; Sasaki, Mari; Matsui, Hiroyuki; Mitsui, Chikahiko; Kumagai, Shohei; Watanabe, Shun; Hayakawa, Teruaki; Okamoto, Toshihiro; Takeya, Jun

    2017-04-01

    Charge-transporting semiconductor layers with high carrier mobility and low trap-density, desired for high-performance organic transistors, are spontaneously formed as a result of thermodynamic phase separation from a blend of π-conjugated small molecules and precisely synthesized insulating polymers dissolved in an aromatic solvent. A crystal film grows continuously to the size of centimeters, with the critical conditions of temperature, concentrations, and atmosphere. It turns out that the molecular weight of the insulating polymers plays an essential role in stable film growth and interfacial homogeneity at the phase separation boundary. Fabricating the transistor devices directly at the semiconductor-insulator boundaries, we demonstrate that the mixture of 3,11-didecyldinaphtho[2,3-d:2',3'-d']benzo[1,2-b:4,5-b']dithiophene and poly(methyl methacrylate) with the optimized weight-average molecular weight shows excellent device performances. The spontaneous phase separation with a one-step fabrication process leads to a high mobility up to 10 cm2 V-1 s-1 and a low subthreshold swing of 0.25 V dec-1 even without any surface treatment such as self-assembled monolayer modifications on oxide gate insulators.

  7. Ultrathin and Atomically Flat Transition-Metal Oxide: Promising Building Blocks for Metal-Insulator Electronics.

    PubMed

    Cui, Qingsong; Sakhdari, Maryam; Chamlagain, Bhim; Chuang, Hsun-Jen; Liu, Yi; Cheng, Mark Ming-Cheng; Zhou, Zhixian; Chen, Pai-Yen

    2016-12-21

    We present a new and viable template-assisted thermal synthesis method for preparing amorphous ultrathin transition-metal oxides (TMOs) such as TiO 2 and Ta 2 O 5 , which are converted from crystalline two-dimensional (2D) transition-metal dichalcogenides (TMDs) down to a few atomic layers. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and scanning transmission electron microscopy (STEM) were used to characterize the chemical composition and bonding, surface morphology, and atomic structure of these ultrathin amorphous materials to validate the effectiveness of our synthesis approach. Furthermore, we have fabricated metal-insulator-metal (MIM) diodes using the TiO 2 and Ta 2 O 5 as ultrathin insulating layers with low potential barrier heights. Our MIM diodes show a clear transition from direct tunneling to Fowler-Nordheim tunneling, which was not observed in previously reported MIM diodes with TiO 2 or Ta 2 O 5 as the insulating layer. We attribute the improved performance of our MIM diodes to the excellent flatness and low pinhole/defect densities in our TMO insulting layers converted from 2D TMDs, which enable the low-threshold and controllable electron tunneling transport. We envision that it is possible to use the ultrathin TMOs converted from 2D TMDs as the insulating layer of a wide variety of metal-insulator and field-effect electronic devices for various applications ranging from microwave mixing, parametric conversion, infrared photodetection, emissive energy harvesting, to ultrafast electronic switching.

  8. Metallization of electronic insulators

    DOEpatents

    Gottesfeld, Shimshon; Uribe, Francisco A.

    1994-01-01

    An electroplated element is formed to include an insulating substrate, a conducting polymer polymerized in situ on the substrate, and a metal layer deposited on the conducting polymer. In one application a circuit board is formed by polymerizing pyrrole on an epoxy-fiberglass substrate in a single step process and then electrodepositing a metal over the resulting polypyrrole polymer. No chemical deposition of the metal is required prior to electroplating and the resulting layer of substrate-polymer-metal has excellent adhesion characteristics. The metal deposition is surprisingly smooth and uniform over the relatively high resistance film of polypyrrole. A continuous manufacturing process is obtained by filtering the solution between successive substrates to remove polymer formed in the solution, by maintaining the solution oxidizing potential within selected limits, and by adding a strong oxidant, such as KMnO.sub.4 at periodic intervals to maintain a low sheet resistivity in the resulting conducting polymer film.

  9. In-situ thermal annealing of on-membrane silicon-on-insulator semiconductor-based devices after high gamma dose irradiation.

    PubMed

    Amor, S; André, N; Kilchytska, V; Tounsi, F; Mezghani, B; Gérard, P; Ali, Z; Udrea, F; Flandre, D; Francis, L A

    2017-05-05

    In this paper, we investigate the recovery of some semiconductor-based components, such as N/P-type field-effect transistors (FETs) and a complementary metal-oxide-semiconductor (CMOS) inverter, after being exposed to a high total dose of gamma ray radiation. The employed method consists mainly of a rapid, low power and in situ annealing mitigation technique by silicon-on-insulator micro-hotplates. Due to the ionizing effect of the gamma irradiation, the threshold voltages showed an average shift of -580 mV for N-channel transistors, and -360 mV for P-MOSFETs. A 4 min double-cycle annealing of components with a heater temperature up to 465 °C, corresponding to a maximum power of 38 mW, ensured partial recovery but was not sufficient for full recovery. The degradation was completely recovered after the use of a built-in high temperature annealing process, up to 975 °C for 8 min corresponding to a maximum power of 112 mW, which restored the normal operating characteristics for all devices after their irradiation.

  10. In-situ thermal annealing of on-membrane silicon-on-insulator semiconductor-based devices after high gamma dose irradiation

    NASA Astrophysics Data System (ADS)

    Amor, S.; André, N.; Kilchytska, V.; Tounsi, F.; Mezghani, B.; Gérard, P.; Ali, Z.; Udrea, F.; Flandre, D.; Francis, L. A.

    2017-05-01

    In this paper, we investigate the recovery of some semiconductor-based components, such as N/P-type field-effect transistors (FETs) and a complementary metal-oxide-semiconductor (CMOS) inverter, after being exposed to a high total dose of gamma ray radiation. The employed method consists mainly of a rapid, low power and in situ annealing mitigation technique by silicon-on-insulator micro-hotplates. Due to the ionizing effect of the gamma irradiation, the threshold voltages showed an average shift of -580 mV for N-channel transistors, and -360 mV for P-MOSFETs. A 4 min double-cycle annealing of components with a heater temperature up to 465 °C, corresponding to a maximum power of 38 mW, ensured partial recovery but was not sufficient for full recovery. The degradation was completely recovered after the use of a built-in high temperature annealing process, up to 975 °C for 8 min corresponding to a maximum power of 112 mW, which restored the normal operating characteristics for all devices after their irradiation.

  11. Design and evaluation of thin metal surface insulation for hypersonic flight

    NASA Technical Reports Server (NTRS)

    Miller, R. C.; Petach, A. M.

    1976-01-01

    An all-metal insulation was studied as a thermal protection system for hypersonic vehicles. Key program goals included fabricating the insulation in thin packages which are optimized for high temperature insulation of an actively cooled aluminum structure, and the use of state-of-the-art alloys. The insulation was fabricated from 300 series stainless steel in thicknesses of 0.8 to 12 mm. The outer, 0.127 mm thick, skin was textured to accommodate thermal expansion and oxidized to increase emittance. The thin insulating package was achieved using an insulation concept consisting of foil radiation shields spaced within the package, and conical foil supports to carry loads from the skin and maintain package dimensions. Samples of the metal-insulation were tested to evaluate thermal insulation capability, rain and sand erosion resistance, high temperature oxidation resistance, applied load capability, and high temperature emittance.

  12. Properties of TiO2 thin films and a study of the TiO2-GaAs interface

    NASA Technical Reports Server (NTRS)

    Chen, C. Y.; Littlejohn, M. A.

    1977-01-01

    Titanium dioxide (TiO2) films prepared by chemical vapor deposition were investigated in this study for the purpose of the application in the GaAs metal-insulator-semiconductor field-effect transistor. The degree of crystallization increases with the deposition temperature. The current-voltage study, utilizing an Al-TiO2-Al MIM structure, reveals that the d-c conduction through the TiO2 film is dominated by the bulk-limited Poole-Frenkel emission mechanism. The dependence of the resistivity of the TiO2 films on the deposition environment is also shown. The results of the capacitance-voltage study indicate that an inversion layer in an n-type substrate can be achieved in the MIS capacitor if the TiO2 films are deposited at a temperature higher than 275 C. A process of low temperature deposition followed by the pattern definition and a higher temperature annealing is suggested for device fabrications. A model, based on the assumption that the surface state densities are continuously distributed in energy within the forbidden band gap, is proposed to interpret the lack of an inversion layer in the Al-TiO2-GaAs MIS structure with the TiO2 films deposited at 200 C.

  13. Computer modeling of inversion layer MOS solar cells and arrays

    NASA Technical Reports Server (NTRS)

    Ho, Fat Duen

    1991-01-01

    A two dimensional numerical model of the inversion layer metal insulator semiconductor (IL/MIS) solar cell is proposed by using the finite element method. The two-dimensional current flow in the device is taken into account in this model. The electrostatic potential distribution, the electron concentration distribution, and the hole concentration distribution for different terminal voltages are simulated. The results of simple calculation are presented. The existing problems for this model are addressed. Future work is proposed. The MIS structures are studied and some of the results are reported.

  14. Method for making a monolithic integrated high-T.sub.c superconductor-semiconductor structure

    NASA Technical Reports Server (NTRS)

    Burns, Michael J. (Inventor); de la Houssaye, Paul R. (Inventor); Russell, Stephen D. (Inventor); Garcia, Graham A. (Inventor); Barfknecht, Andrew T. (Inventor); Clayton, Stanley R. (Inventor)

    2000-01-01

    A method for the fabrication of active semiconductor and high-temperature perconducting devices on the same substrate to form a monolithically integrated semiconductor-superconductor (MISS) structure is disclosed. A common insulating substrate, preferably sapphire or yttria-stabilized zirconia, is used for deposition of semiconductor and high-temperature superconductor substructures. Both substructures are capable of operation at a common temperature of at least 77 K. The separate semiconductor and superconductive regions may be electrically interconnected by normal metals, refractory metal silicides, or superconductors. Circuits and devices formed in the resulting MISS structures display operating characteristics which are equivalent to those of circuits and devices prepared on separate substrates.

  15. LaF3 insulators for MIS structures

    NASA Technical Reports Server (NTRS)

    Sher, A.; Tsuo, Y. H.; Moriarty, J. A.; Miller, W. E.; Crouch, R. K.; Seiber, B. A.

    1979-01-01

    Thin films of LaF3 deposited on Si or GaAs substrates have been observed to form blocking contacts with very high capacitances. This results in comparatively hysteresis-free and sharp C-V (capacitance-voltage) characteristics for MIS structures. Such structures have been used to study the interface states of GaAs with increased resolution and to construct improved photocapacitive infrared detectors.

  16. Electronic structure of negative charge transfer CaFeO3 across the metal-insulator transition

    NASA Astrophysics Data System (ADS)

    Rogge, Paul C.; Chandrasena, Ravini U.; Cammarata, Antonio; Green, Robert J.; Shafer, Padraic; Lefler, Benjamin M.; Huon, Amanda; Arab, Arian; Arenholz, Elke; Lee, Ho Nyung; Lee, Tien-Lin; Nemšák, Slavomír; Rondinelli, James M.; Gray, Alexander X.; May, Steven J.

    2018-01-01

    We investigated the metal-insulator transition for epitaxial thin films of the perovskite CaFeO3, a material with a significant oxygen ligand hole contribution to its electronic structure. We find that biaxial tensile and compressive strain suppress the metal-insulator transition temperature. By combining hard x-ray photoelectron spectroscopy, soft x-ray absorption spectroscopy, and density functional calculations, we resolve the element-specific changes to the electronic structure across the metal-insulator transition. We demonstrate that the Fe sites undergo no observable spectroscopic change between the metallic and insulating states, whereas the O electronic configuration undergoes significant changes. This strongly supports the bond-disproportionation model of the metal-insulator transition for CaFeO3 and highlights the importance of ligand holes in its electronic structure. By sensitively measuring the ligand hole density, however, we find that it increases by ˜5 -10 % in the insulating state, which we ascribe to a further localization of electron charge on the Fe sites. These results provide detailed insight into the metal-insulator transition of negative charge transfer compounds and should prove instructive for understanding metal-insulator transitions in other late transition metal compounds such as the nickelates.

  17. Ultralow-power complementary metal-oxide-semiconductor inverters constructed on Schottky barrier modified nanowire metal-oxide-semiconductor field-effect-transistors.

    PubMed

    Ma, R M; Peng, R M; Wen, X N; Dai, L; Liu, C; Sun, T; Xu, W J; Qin, G G

    2010-10-01

    We show that the threshold voltages of both n- and p-channel metal-oxide-semiconductor field-effect-transistors (MOSFETs) can be lowered to close to zero by adding extra Schottky contacts on top of nanowires (NWs). Novel complementary metal-oxide-semiconductor (CMOS) inverters are constructed on these Schottky barrier modified n- and p-channel NW MOSFETs. Based on the high performances of the modified n- and p-channel MOSFETs, especially the low threshold voltages, the as-fabricated CMOS inverters have low operating voltage, high voltage gain, and ultra-low static power dissipation.

  18. Theoretical investigation of silicide Schottky barrier detector integrated in horizontal metal-insulator-silicon-insulator-metal nanoplasmonic slot waveguide.

    PubMed

    Zhu, Shiyang; Lo, G Q; Kwong, D L

    2011-08-15

    An ultracompact integrated silicide Schottky barrier detector (SBD) is designed and theoretically investigated to electrically detect the surface plasmon polariton (SPP) propagating along horizontal metal-insulator-silicon-insulator-metal nanoplasmonic slot waveguides at the telecommunication wavelength of 1550 nm. An ultrathin silicide layer inserted between the silicon core and the insulator, which can be fabricated precisely using the well-developed self-aligned silicide process, absorbs the SPP power effectively if a suitable silicide is chosen. Moreover, the Schottky barrier height in the silicide-silicon-silicide configuration can be tuned substantially by the external voltage through the Schottky effect owing to the very narrow silicon core. For a TaSi(2) detector with optimized dimensions, numerical simulation predicts responsivity of ~0.07 A/W, speed of ~60 GHz, dark current of ~66 nA at room temperature, and minimum detectable power of ~-29 dBm. The design also suggests that the device's size can be reduced and the overall performances will be further improved if a silicide with smaller permittivity is used. © 2011 Optical Society of America

  19. Ferroelectric control of metal-insulator transition

    NASA Astrophysics Data System (ADS)

    He, Xu; Jin, Kui-juan; Ge, Chen; Ma, Zhong-shui; Yang, Guo-zhen

    2016-03-01

    We propose a method of controlling the metal-insulator transition of one perovskite material at its interface with another ferroelectric material based on first principle calculations. The operating principle is that the rotation of oxygen octahedra tuned by the ferroelectric polarization can modulate the superexchange interaction in this perovskite. We designed a tri-color superlattice of (BiFeO3)N/LaNiO3/LaTiO3, in which the BiFeO3 layers are ferroelectric, the LaNiO3 layer is the layer of which the electronic structure is to be tuned, and LaTiO3 layer is inserted to enhance the inversion asymmetry. By reversing the ferroelectric polarization in this structure, there is a metal-insulator transition of the LaNiO3 layer because of the changes of crystal field splitting of the Ni eg orbitals and the bandwidth of the Ni in-plane eg orbital. It is highly expected that a metal-transition can be realized by designing the structures at the interfaces for more materials.

  20. Photoinduced metal-to-insulator transition in a manganite thin film.

    PubMed

    Takubo, N; Onishi, I; Takubo, K; Mizokawa, T; Miyano, K

    2008-10-24

    A persistent photoinduced metal-to-insulator transition has been confirmed in a manganite thin film, Pr_(0.55)(Ca_(0.75)Sr_(0.25))_(0.45)MnO3, near a multicritical point by monitoring with transport measurements and x-ray photoemission spectroscopy. Together with the previously reported reverse effect, the photoinduced insulator-to-metal transition, it is found that the relative stability of the metallic and insulating phases interchanges around 80 K in the middle of a very wide hysteresis loop, which is a manifestation of the large potential barrier due to the long-range elastic energy. It is shown that photons are much more effective in overcoming the barrier via the electronically excited intermediate states than via the heat mode.

  1. Increased Multilayer Fabrication and RF Characterization of a High-Density Stacked MIM Capacitor Based on Selective Etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tseng, VFG; Xie, HK

    2014-07-01

    This paper presents the fabrication and characterization of a high-density multilayer stacked metal-insulator-metal (MIM) capacitor based on a novel process of depositing the MIM multilayer on pillars followed by polishing and selective etching steps to form a stacked capacitor with merely three photolithography steps. In this paper, the pillars were made of glass to prevent substrate loss, whereas an oxide-nitride-oxide dielectric was employed for lower leakage, better voltage/frequency linearity, and better stress compensation. MIM capacitors with six dielectric layers were successfully fabricated, yielding capacitance density of 3.8 fF/mu m(2), maximum capacitance of 2.47 nF, and linear and quadratic voltage coefficientsmore » of capacitance below 21.2 ppm/V and 2.31 ppm/V-2. The impedance was measured from 40 Hz to 3 GHz, and characterized by an analytically derived equivalent circuit model to verify the radio frequency applicability. The multilayer stacking-induced plate resistance mismatch and its effect on the equivalent series resistance (ESR) and effective capacitance was also investigated, which can be counteracted by a corrected metal thickness design. A low ESR of 800 m Omega was achieved, whereas the self-resonance frequency was >760 MHz, successfully demonstrating the feasibility of this method to scale up capacitance densities for high-quality-factor, high-frequency, and large-value MIM capacitors.« less

  2. Combinatorial Investigation of ZrO2-Based Dielectric Materials for Dynamic Random-Access Memory Capacitors

    NASA Astrophysics Data System (ADS)

    Kiyota, Yuji; Itaka, Kenji; Iwashita, Yuta; Adachi, Tetsuya; Chikyow, Toyohiro; Ogura, Atsushi

    2011-06-01

    We investigated zirconia (ZrO2)-based material libraries in search of new dielectric materials for dynamic random-access memory (DRAM) by combinatorial-pulsed laser deposition (combi-PLD). We found that the substitution of yttrium (Y) to Zr sites in the ZrO2 system suppressed the leakage current effectively. The metal-insulator-metal (MIM) capacitor property of this system showed a leakage current density of less than 5×10-7 A/cm2 and the dielectric constant was 20. Moreover, the addition of titanium (Ti) or tantalum (Ta) to this system caused the dielectric constant to increase to ˜25 within the allowed leakage level of 5×10-7 A/cm2. Therefore, Zr-Y-Ti-O and Zr-Y-Ta-O systems have good potentials for use as new materials with high dielectric constants of DRAM capacitors instead of silicon dioxides (SiO2).

  3. Hall effect at a tunable metal-insulator transition

    NASA Astrophysics Data System (ADS)

    Teizer, W.; Hellman, F.; Dynes, R. C.

    2003-03-01

    Using a rotating magnetic field, the Hall effect in three-dimensional amorphous GdxSi1-x has been measured in the critical regime of the metal-insulator transition for a constant total magnetic field. The Hall coefficient R0 is negative, indicating electronlike conductivity, with a magnitude that increases with decreasing conductivity. R0 diverges at the metal-insulator transition, and displays critical behavior with exponent -1 [R0˜(H-HC)-1]. This dependence is interpreted as a linear decrease in the density of mobile carriers n˜R-10˜H-HC, indicative of the dominant influence of interaction effects.

  4. Development of Room Temperature Excitonic Lasing From ZnO and MgZnO Thin Film Based Metal-Semiconductor-Metal Devices

    NASA Astrophysics Data System (ADS)

    Suja, Mohammad Zahir Uddin

    Room temperature excitonic lasing is demonstrated and developed by utilizing metal-semiconductor-metal devices based on ZnO and MgZnO materials. At first, Cu-doped p-type ZnO films are grown on c-sapphire substrates by plasma-assisted molecular beam epitaxy. Photoluminescence (PL) experiments reveal a shallow acceptor state at 0.15 eV above the valence band edge. Hall effect results indicate that a growth condition window is found for the formation of p-type ZnO thin films and the best conductivity is achieved with a high hole concentration of 1.54x1018 cm-3, a low resistivity of 0.6 O cm and a moderate mobility of 6.65 cm2 V -1 s-1 at room temperature. Metal oxide semiconductor (MOS) capacitor devices have been fabricated on the Cu-doped ZnO films and the characteristics of capacitance-voltage measurements demonstrate that the Cu-doped ZnO thin films under proper growth conditions are p-type. Seebeck measurements on these Cu-doped ZnO samples lead to positive Seebeck coefficients and further confirm the p-type conductivity. Other measurements such as XRD, XPS, Raman and absorption are also performed to elucidate the structural and optical characteristics of the Cu-doped p-type ZnO films. The p-type conductivity is explained to originate from Cu substitution of Zn with a valency of +1 state. However, all p-type samples are converted to n-type over time, which is mostly due to the carrier compensation from extrinsic defects of ZnO. To overcome the stability issue of p-type ZnO film, alternate devices other than p-n junction has been developed. Electrically driven plasmon-exciton coupled random lasing is demonstrated by incorporating Ag nanoparticles on Cu-doped ZnO metal-semiconductor-metal (MSM) devices. Both photoluminescence and electroluminescence studies show that emission efficiencies have been enhanced significantly due to coupling between ZnO excitons and Ag surface plasmons. With the incorporation of Ag nanoparticles on ZnO MSM structures, internal quantum

  5. Fabrication and Characterization of ZnO Langmuir-Blodgett Film and Its Use in Metal-Insulator-Metal Tunnel Diode.

    PubMed

    Azad, Ibrahim; Ram, Manoj K; Goswami, D Yogi; Stefanakos, Elias

    2016-08-23

    Metal-insulator-metal tunnel diodes have great potential for use in infrared detection and energy harvesting applications. The quantum based tunneling mechanism of electrons in MIM (metal-insulator-metal) or MIIM (metal-insulator-insulator-metal) diodes can facilitate rectification at THz frequencies. In this study, the required nanometer thin insulating layer (I) in the MIM diode structure was fabricated using the Langmuir-Blodgett technique. The zinc stearate LB film was deposited on Au/Cr coated quartz, FTO, and silicon substrates, and then heat treated by varying the temperature from 100 to 550 °C to obtain nanometer thin ZnO layers. The thin films were characterized by XRD, AFM, FTIR, and cyclic voltammetry methods. The final MIM structure was fabricated by depositing chromium/nickel over the ZnO on Au/Cr film. The current voltage (I-V) characteristics of the diode showed that the conduction mechanism is electron tunneling through the thin insulating layer. The sensitivity of the diodes was as high as 32 V(-1). The diode resistance was ∼80 Ω (at a bias voltage of 0.78 V), and the rectification ratio at that bias point was about 12 (for a voltage swing of ±200 mV). The diode response exhibited significant nonlinearity and high asymmetry at the bias point, very desirable diode performance parameters for IR detection applications.

  6. Understanding Metal-Insulator transitions in ultra-thin films of LaNiO3

    NASA Astrophysics Data System (ADS)

    Ravichandran, Jayakanth; King, Philip D. C.; Schlom, Darrell G.; Shen, Kyle M.; Kim, Philip

    2014-03-01

    LaNiO3 (LNO) is a bulk paramagnetic metal and a member of the family of RENiO3 Nickelates (RE = Rare Earth Metals), which is on the verge of the metal-insulator transition. Ultra-thin films of LNO has been studied extensively in the past and due to its sensitivity to disorder, the true nature of the metal-insulator transition in these films have been hard to decipher. We grow high quality ultra-thin films of LNO using reactive molecular beam epitaxy (MBE) and use a combination of ionic liquid gating and magneto-transport measurements to understand the nature and tunability of metal-insulator transition as a function of thickness for LNO. The underlying mechanisms for the transition are discussed in the framework of standard transport models. These results are discussed in the light of other Mott insulators such as Sr2IrO4, where we have performed similar measurements around the insulating state.

  7. 49 CFR 178.356 - Specification 20PF phenolic-foam insulated, metal overpack.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 49 Transportation 3 2011-10-01 2011-10-01 false Specification 20PF phenolic-foam insulated, metal overpack. 178.356 Section 178.356 Transportation Other Regulations Relating to Transportation (Continued... Specification 20PF phenolic-foam insulated, metal overpack. ...

  8. Colossal magnetoresistance in a Mott insulator via magnetic field-driven insulator-metal transition

    DOE PAGES

    Zhu, M.; Peng, J.; Zou, T.; ...

    2016-05-25

    Here, we present a new type of colossal magnetoresistance (CMR) arising from an anomalous collapse of the Mott insulating state via a modest magnetic field in a bilayer ruthenate, Ti-doped Ca 3Ru 2O 7. Such an insulator-metal transition is accompanied by changes in both lattice and magnetic structures. Our findings have important implications because a magnetic field usually stabilizes the insulating ground state in a Mott-Hubbard system, thus calling for a deeper theoretical study to reexamine the magnetic field tuning of Mott systems with magnetic and electronic instabilities and spin-lattice-charge coupling. This study further provides a model approach to searchmore » for CMR systems other than manganites, such as Mott insulators in the vicinity of the boundary between competing phases.« less

  9. SOI metal-oxide-semiconductor field-effect transistor photon detector based on single-hole counting.

    PubMed

    Du, Wei; Inokawa, Hiroshi; Satoh, Hiroaki; Ono, Atsushi

    2011-08-01

    In this Letter, a scaled-down silicon-on-insulator (SOI) metal-oxide-semiconductor field-effect transistor (MOSFET) is characterized as a photon detector, where photogenerated individual holes are trapped below the negatively biased gate and modulate stepwise the electron current flowing in the bottom channel induced by the positive substrate bias. The output waveforms exhibit clear separation of current levels corresponding to different numbers of trapped holes. Considering this capability of single-hole counting, a small dark count of less than 0.02 s(-1) at room temperature, and low operation voltage of 1 V, SOI MOSFET could be a unique photon-number-resolving detector if the small quantum efficiency were improved. © 2011 Optical Society of America

  10. Large Lateral Photovoltaic Effect in Metal-(Oxide-) Semiconductor Structures

    PubMed Central

    Yu, Chongqi; Wang, Hui

    2010-01-01

    The lateral photovoltaic effect (LPE) can be used in position-sensitive detectors to detect very small displacements due to its output of lateral photovoltage changing linearly with light spot position. In this review, we will summarize some of our recent works regarding LPE in metal-semiconductor and metal-oxide-semiconductor structures, and give a theoretical model of LPE in these two structures. PMID:22163463

  11. 49 CFR 178.356 - Specification 20PF phenolic-foam insulated, metal overpack.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 49 Transportation 2 2010-10-01 2010-10-01 false Specification 20PF phenolic-foam insulated, metal overpack. 178.356 Section 178.356 Transportation Other Regulations Relating to Transportation PIPELINE AND... Specification 20PF phenolic-foam insulated, metal overpack. ...

  12. Damage free Ar ion plasma surface treatment on In{sub 0.53}Ga{sub 0.47}As-on-silicon metal-oxide-semiconductor device

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koh, Donghyi; Shin, Seung Heon; Ahn, Jaehyun

    2015-11-02

    In this paper, we investigated the effect of in-situ Ar ion plasma surface pre-treatment in order to improve the interface properties of In{sub 0.53}Ga{sub 0.47}As for high-κ top-gate oxide deposition. X-ray photoelectron spectroscopy (XPS) and metal-oxide-semiconductor capacitors (MOSCAPs) demonstrate that Ar ion treatment removes the native oxide on In{sub 0.53}Ga{sub 0.47}As. The XPS spectra of Ar treated In{sub 0.53}Ga{sub 0.47}As show a decrease in the AsO{sub x} and GaO{sub x} signal intensities, and the MOSCAPs show higher accumulation capacitance (C{sub acc}), along with reduced frequency dispersion. In addition, Ar treatment is found to suppress the interface trap density (D{sub it}),more » which thereby led to a reduction in the threshold voltage (V{sub th}) degradation during constant voltage stress and relaxation. These results outline the potential of surface treatment for III-V channel metal-oxide-semiconductor devices and application to non-planar device process.« less

  13. Semiconductor-Insulator-Semiconductor Diode Consisting of Monolayer MoS2, h-BN, and GaN Heterostructure.

    PubMed

    Jeong, Hyun; Bang, Seungho; Oh, Hye Min; Jeong, Hyeon Jun; An, Sung-Jin; Han, Gang Hee; Kim, Hyun; Kim, Ki Kang; Park, Jin Cheol; Lee, Young Hee; Lerondel, Gilles; Jeong, Mun Seok

    2015-10-27

    We propose a semiconductor-insulator-semiconductor (SIS) heterojunction diode consisting of monolayer (1-L) MoS2, hexagonal boron nitride (h-BN), and epitaxial p-GaN that can be applied to high-performance nanoscale optoelectronics. The layered materials of 1-L MoS2 and h-BN, grown by chemical vapor deposition, were vertically stacked by a wet-transfer method on a p-GaN layer. The final structure was verified by confocal photoluminescence and Raman spectroscopy. Current-voltage (I-V) measurements were conducted to compare the device performance with that of a more classical p-n structure. In both structures (the p-n and SIS heterojunction diode), clear current-rectifying characteristics were observed. In particular, a current and threshold voltage were obtained for the SIS structure that was higher compared to that of the p-n structure. This indicated that tunneling is the predominant carrier transport mechanism. In addition, the photoresponse of the SIS structure induced by the illumination of visible light was observed by photocurrent measurements.

  14. An X-Band SOS Resistive Gate-Insulator-Semiconductor /RIS/ switch

    NASA Astrophysics Data System (ADS)

    Kwok, S. P.

    1980-02-01

    The new X-Band Resistive Gate-Insulator-Semiconductor (RIS) switch has been fabricated on silicon-on-sapphire, and its equivalent circuit model characterized. An RIS SPST switch with 20-dB on/off isolation, 1.2-dB insertion loss, and power handling capacity in excess of 20-W peak has been achieved at X band. The device switching time is on the order of 600 ns, and it requires negligible control holding current in both on and off states. The device is compatible with monolithic integrated-circuit technology and thus is suitable for integration into low-cost monolithic phase shifters or other microwave integrated circuits.

  15. Light-Immune pH Sensor with SiC-Based Electrolyte-Insulator-Semiconductor Structure

    NASA Astrophysics Data System (ADS)

    Lin, Yi-Ting; Huang, Chien-Shiang; Chow, Lee; Lan, Jyun-Ming; Yang, Chia-Ming; Chang, Liann-Be; Lai, Chao-Sung

    2013-12-01

    An electrolyte-insulator-semiconductor (EIS) structure with high-band-gap semiconductor of silicon carbide is demonstrated as a pH sensor in this report. Two different sensing membranes, i.e., gadolinium oxide (Gd2O3) and hafnium oxide (HfO2), were investigated. The HfO2 film deposited by atomic layer deposition (ALD) at low temperature shows high pH sensing properties with a sensitivity of 52.35 mV/pH and a low signal of 4.95 mV due to light interference. The EIS structures with silicon carbide can provide better visible light immunity due to its high band gap that allows pH detection in an outdoor environment without degradation of pH sensitivity.

  16. Multilevel metallization method for fabricating a metal oxide semiconductor device

    NASA Technical Reports Server (NTRS)

    Hollis, B. R., Jr.; Feltner, W. R.; Bouldin, D. L.; Routh, D. E. (Inventor)

    1978-01-01

    An improved method is described of constructing a metal oxide semiconductor device having multiple layers of metal deposited by dc magnetron sputtering at low dc voltages and low substrate temperatures. The method provides multilevel interconnections and cross over between individual circuit elements in integrated circuits without significantly reducing the reliability or seriously affecting the yield.

  17. Metal oxide semiconductor thin-film transistors for flexible electronics

    NASA Astrophysics Data System (ADS)

    Petti, Luisa; Münzenrieder, Niko; Vogt, Christian; Faber, Hendrik; Büthe, Lars; Cantarella, Giuseppe; Bottacchi, Francesca; Anthopoulos, Thomas D.; Tröster, Gerhard

    2016-06-01

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This review reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In particular

  18. Metal oxide semiconductor thin-film transistors for flexible electronics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Petti, Luisa; Vogt, Christian; Büthe, Lars

    The field of flexible electronics has rapidly expanded over the last decades, pioneering novel applications, such as wearable and textile integrated devices, seamless and embedded patch-like systems, soft electronic skins, as well as imperceptible and transient implants. The possibility to revolutionize our daily life with such disruptive appliances has fueled the quest for electronic devices which yield good electrical and mechanical performance and are at the same time light-weight, transparent, conformable, stretchable, and even biodegradable. Flexible metal oxide semiconductor thin-film transistors (TFTs) can fulfill all these requirements and are therefore considered the most promising technology for tomorrow's electronics. This reviewmore » reflects the establishment of flexible metal oxide semiconductor TFTs, from the development of single devices, large-area circuits, up to entirely integrated systems. First, an introduction on metal oxide semiconductor TFTs is given, where the history of the field is revisited, the TFT configurations and operating principles are presented, and the main issues and technological challenges faced in the area are analyzed. Then, the recent advances achieved for flexible n-type metal oxide semiconductor TFTs manufactured by physical vapor deposition methods and solution-processing techniques are summarized. In particular, the ability of flexible metal oxide semiconductor TFTs to combine low temperature fabrication, high carrier mobility, large frequency operation, extreme mechanical bendability, together with transparency, conformability, stretchability, and water dissolubility is shown. Afterward, a detailed analysis of the most promising metal oxide semiconducting materials developed to realize the state-of-the-art flexible p-type TFTs is given. Next, the recent progresses obtained for flexible metal oxide semiconductor-based electronic circuits, realized with both unipolar and complementary technology, are reported. In

  19. Rocksalt nitride metal/semiconductor superlattices: A new class of artificially structured materials

    NASA Astrophysics Data System (ADS)

    Saha, Bivas; Shakouri, Ali; Sands, Timothy D.

    2018-06-01

    Artificially structured materials in the form of superlattice heterostructures enable the search for exotic new physics and novel device functionalities, and serve as tools to push the fundamentals of scientific and engineering knowledge. Semiconductor heterostructures are the most celebrated and widely studied artificially structured materials, having led to the development of quantum well lasers, quantum cascade lasers, measurements of the fractional quantum Hall effect, and numerous other scientific concepts and practical device technologies. However, combining metals with semiconductors at the atomic scale to develop metal/semiconductor superlattices and heterostructures has remained a profoundly difficult scientific and engineering challenge. Though the potential applications of metal/semiconductor heterostructures could range from energy conversion to photonic computing to high-temperature electronics, materials challenges primarily had severely limited progress in this pursuit until very recently. In this article, we detail the progress that has taken place over the last decade to overcome the materials engineering challenges to grow high quality epitaxial, nominally single crystalline metal/semiconductor superlattices based on transition metal nitrides (TMN). The epitaxial rocksalt TiN/(Al,Sc)N metamaterials are the first pseudomorphic metal/semiconductor superlattices to the best of our knowledge, and their physical properties promise a new era in superlattice physics and device engineering.

  20. Mott insulator-to-metal transition in yttrium-doped CaIrO₃.

    PubMed

    Gunasekera, J; Chen, Y; Kremenak, J W; Miceli, P F; Singh, D K

    2015-02-11

    We report on the study of insulator-to-metal transition in post-perovskite compound CaIrO3. It is discovered that a gradual chemical substitution of calcium by yttrium leads to the onset of strong metallic behavior in this compound. This observation is in stark contrast to BaIrO3, which preserves its Mott insulating behavior despite excess of the charge carriers due to yttrium doping. Magnetic measurements reveal that both compounds tend to exhibit magnetic character irrespective of the chemical substitution of Ca or Ba. We analyze these unusual observations in light of recent researches that suggest that CaIrO3 does not necessarily possess j = 1/2 ground state due to structural distortion. The insulator-to-metal transition in CaIrO3 will spur new researches to explore more exotic ground state, including superconductivity, in post-perovskite Mott insulators.

  1. Method of manufacturing a shapeable short-resistant capacitor

    DOEpatents

    Taylor, Ralph S.; Myers, John D.; Baney, William J.

    2013-04-02

    A method that employs a novel combination of conventional fabrication techniques provides a ceramic short-resistant capacitor that is bendable and/or shapeable to provide a multiple layer capacitor that is extremely compact and amenable to desirable geometries. The method allows thinner and more flexible ceramic capacitors to be made. The method includes forming a first thin metal layer on a substrate; depositing a thin, ceramic dielectric layer over the metal layer; depositing a second thin metal layer over the dielectric layer to form a capacitor exhibiting a benign failure mode; and separating the capacitor from the substrate. The method may also include bending the resulting capacitor into a serpentine arrangement with gaps between the layers that allow venting of evaporated electrode material in the event of a benign failure.

  2. Non-contact, non-destructive, quantitative probing of interfacial trap sites for charge carrier transport at semiconductor-insulator boundary

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choi, Wookjin; Miyakai, Tomoyo; Sakurai, Tsuneaki

    The density of traps at semiconductor–insulator interfaces was successfully estimated using microwave dielectric loss spectroscopy with model thin-film organic field-effect transistors. The non-contact, non-destructive analysis technique is referred to as field-induced time-resolved microwave conductivity (FI-TRMC) at interfaces. Kinetic traces of FI-TRMC transients clearly distinguished the mobile charge carriers at the interfaces from the immobile charges trapped at defects, allowing both the mobility of charge carriers and the number density of trap sites to be determined at the semiconductor-insulator interfaces. The number density of defects at the interface between evaporated pentacene on a poly(methylmethacrylate) insulating layer was determined to be 10{supmore » 12 }cm{sup −2}, and the hole mobility was up to 6.5 cm{sup 2} V{sup −1} s{sup −1} after filling the defects with trapped carriers. The FI-TRMC at interfaces technique has the potential to provide rapid screening for the assessment of interfacial electronic states in a variety of semiconductor devices.« less

  3. A room-temperature magnetic semiconductor from a ferromagnetic metallic glass

    NASA Astrophysics Data System (ADS)

    Liu, Wenjian; Zhang, Hongxia; Shi, Jin-An; Wang, Zhongchang; Song, Cheng; Wang, Xiangrong; Lu, Siyuan; Zhou, Xiangjun; Gu, Lin; Louzguine-Luzgin, Dmitri V.; Chen, Mingwei; Yao, Kefu; Chen, Na

    2016-12-01

    Emerging for future spintronic/electronic applications, magnetic semiconductors have stimulated intense interest due to their promises for new functionalities and device concepts. So far, the so-called diluted magnetic semiconductors attract many attentions, yet it remains challenging to increase their Curie temperatures above room temperature, particularly those based on III-V semiconductors. In contrast to the concept of doping magnetic elements into conventional semiconductors to make diluted magnetic semiconductors, here we propose to oxidize originally ferromagnetic metals/alloys to form new species of magnetic semiconductors. We introduce oxygen into a ferromagnetic metallic glass to form a Co28.6Fe12.4Ta4.3B8.7O46 magnetic semiconductor with a Curie temperature above 600 K. The demonstration of p-n heterojunctions and electric field control of the room-temperature ferromagnetism in this material reflects its p-type semiconducting character, with a mobility of 0.1 cm2 V-1 s-1. Our findings may pave a new way to realize high Curie temperature magnetic semiconductors with unusual multifunctionalities.

  4. A room-temperature magnetic semiconductor from a ferromagnetic metallic glass.

    PubMed

    Liu, Wenjian; Zhang, Hongxia; Shi, Jin-An; Wang, Zhongchang; Song, Cheng; Wang, Xiangrong; Lu, Siyuan; Zhou, Xiangjun; Gu, Lin; Louzguine-Luzgin, Dmitri V; Chen, Mingwei; Yao, Kefu; Chen, Na

    2016-12-08

    Emerging for future spintronic/electronic applications, magnetic semiconductors have stimulated intense interest due to their promises for new functionalities and device concepts. So far, the so-called diluted magnetic semiconductors attract many attentions, yet it remains challenging to increase their Curie temperatures above room temperature, particularly those based on III-V semiconductors. In contrast to the concept of doping magnetic elements into conventional semiconductors to make diluted magnetic semiconductors, here we propose to oxidize originally ferromagnetic metals/alloys to form new species of magnetic semiconductors. We introduce oxygen into a ferromagnetic metallic glass to form a Co 28.6 Fe 12.4 Ta 4.3 B 8.7 O 46 magnetic semiconductor with a Curie temperature above 600 K. The demonstration of p-n heterojunctions and electric field control of the room-temperature ferromagnetism in this material reflects its p-type semiconducting character, with a mobility of 0.1 cm 2  V -1  s -1 . Our findings may pave a new way to realize high Curie temperature magnetic semiconductors with unusual multifunctionalities.

  5. A room-temperature magnetic semiconductor from a ferromagnetic metallic glass

    PubMed Central

    Liu, Wenjian; Zhang, Hongxia; Shi, Jin-an; Wang, Zhongchang; Song, Cheng; Wang, Xiangrong; Lu, Siyuan; Zhou, Xiangjun; Gu, Lin; Louzguine-Luzgin, Dmitri V.; Chen, Mingwei; Yao, Kefu; Chen, Na

    2016-01-01

    Emerging for future spintronic/electronic applications, magnetic semiconductors have stimulated intense interest due to their promises for new functionalities and device concepts. So far, the so-called diluted magnetic semiconductors attract many attentions, yet it remains challenging to increase their Curie temperatures above room temperature, particularly those based on III–V semiconductors. In contrast to the concept of doping magnetic elements into conventional semiconductors to make diluted magnetic semiconductors, here we propose to oxidize originally ferromagnetic metals/alloys to form new species of magnetic semiconductors. We introduce oxygen into a ferromagnetic metallic glass to form a Co28.6Fe12.4Ta4.3B8.7O46 magnetic semiconductor with a Curie temperature above 600 K. The demonstration of p–n heterojunctions and electric field control of the room-temperature ferromagnetism in this material reflects its p-type semiconducting character, with a mobility of 0.1 cm2 V−1 s−1. Our findings may pave a new way to realize high Curie temperature magnetic semiconductors with unusual multifunctionalities. PMID:27929059

  6. Electrically insulating films deposited on V-4%Cr-4%Ti by reactive CVD

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, J.H.

    1998-04-01

    In the design of liquid-metal blankets for magnetic fusion reactors, corrosion resistance of structural materials and the magnetohydrodynamic forces and their influence on thermal hydraulics and corrosion are major concerns. Electrically insulating CaO films deposited on V-4%Cr-4%Ti exhibit high-ohmic insulator behavior even though a small amount of vanadium from the alloy become incorporated into the film. However, when vanadium concentration in the film is > 15 wt.%, the film becomes conductive. When the vanadium concentration is high in localized areas, a calcium vanadate phase that exhibits semiconductor behavior can form. The objective of this study is to evaluate electrically insulatingmore » films that were deposited on V-4%Cr-4%Ti by a reactive chemical vapor deposition (CVD) method. To this end, CaO and Ca-V-O coatings were produced on vanadium alloys by CVD and by a metallic-vapor process to investigate the electrical resistance of the coatings. The authors found that the Ca-V-O films exhibited insulator behavior when the ratio of calcium concentration to vanadium concentration R in the film > 0.9, and semiconductor or conductor behavior when R < 0.8. However, in some cases, semiconductor behavior was observed when CaO-coated samples with R > 0.98 were exposed in liquid lithium. Based on these studies, they conclude that semiconductor behavior occurs if a conductive calcium vanadate phase is present in localized regions in the CaO coating.« less

  7. 2014 NEPP Tasks Update for Ceramic and Tantalum Capacitors

    NASA Technical Reports Server (NTRS)

    Teverovsky, Alexander A.

    2014-01-01

    Presentation describes recent development in research on MnO2, wet, and polymer tantalum capacitors. Low-voltage failures in multilayer ceramic capacitors and techniques to reveal precious metal electrode (PME) and base metal electrode (BME) capacitors with cracks are discussed. A voltage breakdown technique is suggested to select high quality low-voltage BME ceramic capacitors.

  8. Metal-Insulator Transition in W-doped VO2 Nanowires

    NASA Astrophysics Data System (ADS)

    Long, Gen; Parry, James; Whittaker, Luisa; Banerjee, Sarbajit; Zeng, Hao

    2010-03-01

    We report a systematic study of the metal-insulator transition in W-doped VO2 nanowires. Magnetic susceptibility were measured for a bulk amount of VO2 nanowire powder. The susceptibility shows a sharp drop with decreasing temperature corresponding to the metal-insulator transition. The transition shows large temperature hysteresis for cooling and heating. With increasing doping concentration, the transition temperatures decreases systematically from 320 K to 275K. Charge transport measurements on the same nanowires showed similar behavior. XRD and TEM measurements were taken to further determine the structure of the materials in study.

  9. PLZT capacitor on glass substrate

    DOEpatents

    Fairchild, Manuel Ray; Taylor, Ralph S.; Berlin, Carl W.; Wong, Celine Wk; Ma, Beihai; Balachandran, Uthamalingam

    2016-03-29

    A lead-lanthanum-zirconium-titanate (PLZT) capacitor on a substrate formed of glass. The first metallization layer is deposited on a top side of the substrate to form a first electrode. The dielectric layer of PLZT is deposited over the first metallization layer. The second metallization layer deposited over the dielectric layer to form a second electrode. The glass substrate is advantageous as glass is compatible with an annealing process used to form the capacitor.

  10. PLZT capacitor on glass substrate

    DOEpatents

    Fairchild, M. Ray; Taylor, Ralph S.; Berlin, Carl W.; Wong, Celine W. K.; Ma, Beihai; Balachandran, Uthamalingam

    2016-01-05

    A lead-lanthanum-zirconium-titanate (PLZT) capacitor on a substrate formed of glass. The first metallization layer is deposited on a top side of the substrate to form a first electrode. The dielectric layer of PLZT is deposited over the first metallization layer. The second metallization layer deposited over the dielectric layer to form a second electrode. The glass substrate is advantageous as glass is compatible with an annealing process used to form the capacitor.

  11. M-I-S solar cell - Theory and experimental results

    NASA Technical Reports Server (NTRS)

    Childs, R.; Fortuna, J.; Geneczko, J.; Fonash, S. J.

    1976-01-01

    The paper presents an operating-mode analysis of an MIS solar cell and discusses the advantages which can arise as a result of the use of transport control, field shaping (increased n factor), and zero bias barrier height modification. It is noted that for an n-type semiconductor, it is relatively easy to obtain an enhanced n factor using acceptor-like states without an increase in diode saturation current, the converse being true for p-type semiconductors. Several MIS configurations are examined: an acceptor-like, localized state configuration producing field shaping and no change in diode saturation current, and acceptor-like localized configurations producing field shaping, with a decrease of diode saturation current, in one case, and an increase in the other.

  12. Transport Physics in Thin-Film Oxides: From Capacitors to Memristors1

    NASA Astrophysics Data System (ADS)

    Tierney, Brian; Hjalmarson, Harold; McLain, Michael; Hughart, David; Marinella, Matthew; Mamaluy, Denis; Gao, Xujiao

    A physics-based model of transport mechanisms in metal-insulator-metal (M-I-M) systems is developed to explain transport through the metal-oxide interfaces and in the bulk of the insulating oxide. Interface tunneling, such as that between the metal to the conduction band or bound defect states, is accounted for by a WKB model. Our model also incorporates the evolution of the associated oxide defect chemistry. Continuum calculations are performed for both Ta2O5 M-I-M capacitors and TaOx-Based M-I-M memristors, as both devices are structurally similar and can be characterized by a common set of transport mechanisms. However, due to the electroforming process for which memristors are subjected, different transport mechanisms dominate for each type of device. Also, the effects of pulsed ionizing radiation from an external source are included in the model. It is shown that such radiation can be used to probe whether the M-I-M system is in a capacitive or memristive state. 1Sandia National Laboratories is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.

  13. Metal-oxide assisted surface treatment of polyimide gate insulators for high-performance organic thin-film transistors.

    PubMed

    Kim, Sohee; Ha, Taewook; Yoo, Sungmi; Ka, Jae-Won; Kim, Jinsoo; Won, Jong Chan; Choi, Dong Hoon; Jang, Kwang-Suk; Kim, Yun Ho

    2017-06-14

    We developed a facile method for treating polyimide-based organic gate insulator (OGI) surfaces with self-assembled monolayers (SAMs) by introducing metal-oxide interlayers, called the metal-oxide assisted SAM treatment (MAST). To create sites for surface modification with SAM materials on polyimide-based OGI (KPI) surfaces, the metal-oxide interlayer, here amorphous alumina (α-Al 2 O 3 ), was deposited on the KPI gate insulator using spin-coating via a rapid sol-gel reaction, providing an excellent template for the formation of a high-quality SAM with phosphonic acid anchor groups. The SAM of octadecylphosphonic acid (ODPA) was successfully treated by spin-coating onto the α-Al 2 O 3 -deposited KPI film. After the surface treatment by ODPA/α-Al 2 O 3 , the surface energy of the KPI thin film was remarkably decreased and the molecular compatibility of the film with an organic semiconductor (OSC), 2-decyl-7-phenyl-[1]benzothieno[3,2-b][1]benzothiophene (Ph-BTBT-C 10 ), was increased. Ph-BTBT-C 10 molecules were uniformly deposited on the treated gate insulator surface and grown with high crystallinity, as confirmed by atomic force microscopy (AFM) and X-ray diffraction (XRD) analysis. The mobility of Ph-BTBT-C 10 thin-film transistors (TFTs) was approximately doubled, from 0.56 ± 0.05 cm 2 V -1 s -1 to 1.26 ± 0.06 cm 2 V -1 s -1 , after the surface treatment. The surface treatment of α-Al 2 O 3 and ODPA significantly decreased the threshold voltage from -21.2 V to -8.3 V by reducing the trap sites in the OGI and improving the interfacial properties with the OSC. We suggest that the MAST method for OGIs can be applied to various OGI materials lacking reactive sites using SAMs. It may provide a new platform for the surface treatment of OGIs, similar to that of conventional SiO 2 gate insulators.

  14. Formation of multiple levels of porous silicon for buried insulators and conductors in silicon device technologies

    DOEpatents

    Blewer, Robert S.; Gullinger, Terry R.; Kelly, Michael J.; Tsao, Sylvia S.

    1991-01-01

    A method of forming a multiple level porous silicon substrate for semiconductor integrated circuits including anodizing non-porous silicon layers of a multi-layer silicon substrate to form multiple levels of porous silicon. At least one porous silicon layer is then oxidized to form an insulating layer and at least one other layer of porous silicon beneath the insulating layer is metallized to form a buried conductive layer. Preferably the insulating layer and conductive layer are separated by an anodization barrier formed of non-porous silicon. By etching through the anodization barrier and subsequently forming a metallized conductive layer, a fully or partially insulated buried conductor may be fabricated under single crystal silicon.

  15. Miniaturized Metal (Metal Alloy)/PdO(x)/SiC Hydrogen and Hydrocarbon Gas Sensors

    NASA Technical Reports Server (NTRS)

    Hunter, Gary W. (Inventor); Xu, Jennifer C. (Inventor); Lukco, Dorothy (Inventor)

    2008-01-01

    A miniaturized Schottky diode hydrogen and hydrocarbon sensor and the method of making same is disclosed and claimed. The sensor comprises a catalytic metal layer, such as palladium, a silicon carbide substrate layer and a thin barrier layer in between the catalytic and substrate layers made of palladium oxide (PdO(x)). This highly stable device provides sensitive gas detection at temperatures ranging from at least 450 to 600 C. The barrier layer prevents reactions between the catalytic metal layer and the substrate layer. Conventional semiconductor fabrication techniques are used to fabricate the small-sided sensors. The use of a thicker palladium oxide barrier layer for other semiconductor structures such as a capacitor and transistor structures is also disclosed.

  16. Optimal design of high temperature metalized thin-film polymer capacitors: A combined numerical and experimental method

    NASA Astrophysics Data System (ADS)

    Wang, Zhuo; Li, Qi; Trinh, Wei; Lu, Qianli; Cho, Heejin; Wang, Qing; Chen, Lei

    2017-07-01

    The objective of this paper is to design and optimize the high temperature metalized thin-film polymer capacitor by a combined computational and experimental method. A finite-element based thermal model is developed to incorporate Joule heating and anisotropic heat conduction arising from anisotropic geometric structures of the capacitor. The anisotropic thermal conductivity and temperature dependent electrical conductivity required by the thermal model are measured from the experiments. The polymer represented by thermally crosslinking benzocyclobutene (BCB) in the presence of boron nitride nanosheets (BNNSs) is selected for high temperature capacitor design based on the results of highest internal temperature (HIT) and the time to achieve thermal equilibrium. The c-BCB/BNNS-based capacitor aiming at the operating temperature of 250 °C is geometrically optimized with respect to its shape and volume. "Safe line" plot is also presented to reveal the influence of the cooling strength on capacitor geometry design.

  17. Anomalously small resistivity and thermopower of strongly compensated semiconductors and topological insulators

    NASA Astrophysics Data System (ADS)

    Chen, Tianran; Shklovskii, B. I.

    2013-04-01

    In the recent paper, we explained why the maximum bulk resistivity of topological insulators (TIs) such as Bi2Se3 is so small [B. Skinner, T. Chen, and B. I. Shklovskii, Phys. Rev. Lett.PRLTAO0031-900710.1103/PhysRevLett.109.176801 109, 176801 (2012)]. Using the model of completely compensated semiconductor we showed that when the Fermi level is pinned in the middle of the gap the activation energy of resistivity is Δ=0.3(Eg/2), where Eg is the semiconductor gap. In this paper, we consider a strongly compensated n-type semiconductor. We find the position of the Fermi level μ calculated from the bottom of the conduction band Ec and the activation energy of resistivity Δ as a function of compensation K, and show that Δ=0.3(Ec-μ) holds at any 0<1-K≪1. In the same range of relatively high temperatures, the Peltier energy (heat) Π is even smaller: Π≃Δ/2=0.15(Ec-μ). We also show that at low temperatures, the activated conductivity crosses over to variable range hopping (VRH) and find the characteristic temperature of VRH, TES, as a function of K.

  18. Electrochemical capacitor

    DOEpatents

    Anderson, Marc A.; Liu, Kuo -Chuan; Mohr, Charles M.

    1999-10-05

    An inexpensive porous metal oxide material having high surface area, good conductivity and high specific capacitance is advantageously used in an electrochemical capacitor. The materials are formed in a sol-gel process which affords control over the properties of the resultant metal oxide materials.

  19. MEMS fabrication and frequency sweep for suspending beam and plate electrode in electrostatic capacitor

    NASA Astrophysics Data System (ADS)

    Zhu, Jianxiong; Song, Weixing

    2018-01-01

    We report a MEMS fabrication and frequency sweep for a high-order mode suspending beam and plate layer in electrostatic micro-gap semiconductor capacitor. This suspended beam and plate was designed with silicon oxide (SiO2) film which was fabricated using bulk silicon micromachining technology on both side of a silicon substrate. The designed semiconductor capacitors were driven by a bias direct current (DC) and a sweep frequency alternative current (AC) in a room temperature for an electrical response test. Finite element calculating software was used to evaluate the deformation mode around its high-order response frequency. Compared a single capacitor with a high-order response frequency (0.42 MHz) and a 1 × 2 array parallel capacitor, we found that the 1 × 2 array parallel capacitor had a broader high-order response range. And it concluded that a DC bias voltage can be used to modulate a high-order response frequency for both a single and 1 × 2 array parallel capacitors.

  20. Evaluation of Commercial Automotive-Grade BME Capacitors

    NASA Technical Reports Server (NTRS)

    Liu, Donhang

    2014-01-01

    Three Ni-BaTiO3 ceramic capacitor lots with the same specification (chip size, capacitance, and rated voltage) and the same reliability level, made by three different manufacturers, were degraded using highly accelerated life stress testing (HALST) with the same temperature and applied voltage conditions. The reliability, as characterized by mean time to failure (MTTF), differed by more than one order of magnitude among the capacitor lots. A theoretical model based on the existence of depletion layers at grain boundaries and the entrapment of oxygen vacancies has been proposed to explain the MTTF difference among these BME capacitors. It is the conclusion of this model that reliability will not be improved simply by increasing the insulation resistance of a BME capacitor. Indeed, Ni-BaTiO3 ceramic capacitors with a smaller degradation rate constant K will always give rise to a longer reliability life.

  1. Evaluation of Commercial Automotive-Grade BME Capacitors

    NASA Technical Reports Server (NTRS)

    Liu, Donhang

    2014-01-01

    Three Ni-BaTiO3 ceramic capacitor lots with the same specification (chip size, capacitance, and rated voltage) and the same reliability level, made by three different manufacturers, were degraded using highly accelerated life stress testing (HALST) with the same temperature and applied voltage conditions. The reliability, as characterized by mean time to failure (MTTF), differed by more than one order of magnitude among the capacitor lots. A theoretical model based on the existence of depletion layers at grain boundaries and the entrapment of oxygen vacancies has been proposed to explain the MTTF difference among these BME capacitors. It is the conclusion of this model that reliability will not be improved simply by increasing the insulation resistance of a BME capacitor. Indeed, Ni-BaTiO3 ceramic capacitors with a smaller degradation rate constant K will always give rise to a longer reliability life

  2. Superabsorbing, Artificial Metal Films Constructed from Semiconductor Nanoantennas.

    PubMed

    Kim, Soo Jin; Park, Junghyun; Esfandyarpour, Majid; Pecora, Emanuele F; Kik, Pieter G; Brongersma, Mark L

    2016-06-08

    In 1934, Wilhelm Woltersdorff demonstrated that the absorption of light in an ultrathin, freestanding film is fundamentally limited to 50%. He concluded that reaching this limit would require a film with a real-valued sheet resistance that is exactly equal to R = η/2 ≈ 188.5Ω/□, where [Formula: see text] is the impedance of free space. This condition can be closely approximated over a wide frequency range in metals that feature a large imaginary relative permittivity εr″, that is, a real-valued conductivity σ = ε0εr″ω. A thin, continuous sheet of semiconductor material does not facilitate such strong absorption as its complex-valued permittivity with both large real and imaginary components preclude effective impedance matching. In this work, we show how a semiconductor metafilm constructed from optically resonant semiconductor nanostructures can be created whose optical response mimics that of a metallic sheet. For this reason, the fundamental absorption limit mentioned above can also be reached with semiconductor materials, opening up new opportunities for the design of ultrathin optoelectronic and light harvesting devices.

  3. Light-induced metal-insulator transition in a switchable mirror.

    PubMed

    Hoekstra, A F; Roy, A S; Rosenbaum, T F; Griessen, R; Wijngaarden, R J; Koeman, N J

    2001-06-04

    Rare earth hydride films can be converted reversibly from metallic mirrors to insulating windows simply by changing the surrounding hydrogen gas pressure at room temperature. At low temperatures, in situ doping is not possible in this way as hydrogen cannot diffuse. However, our finding of persistent photoconductivity under ultraviolet illumination offers an attractive possibility to tune yttrium hydride through the T = 0 metal-insulator transition. Conductivity and Hall measurements are used to determine critical exponents. The unusually large value for the product of the static and dynamical critical exponents appears to signify the important role played by electron-electron interactions.

  4. Metal-insulator transition in NiS2-xSex

    NASA Astrophysics Data System (ADS)

    Kuneš, J.; Baldassarre, L.; Schächner, B.; Rabia, K.; Kuntscher, C. A.; Korotin, Dm. M.; Anisimov, V. I.; McLeod, J. A.; Kurmaev, E. Z.; Moewes, A.

    2010-01-01

    The origin of the gap in NiS2 as well as the pressure- and doping-induced metal-insulator transition in the NiS2-xSex solid solutions are investigated both theoretically using the first-principles band structures combined with the dynamical mean-field approximation for the electronic correlations and experimentally by means of infrared and x-ray absorption spectroscopies. The bonding-antibonding splitting in the S-S (Se-Se) dimer is identified as the main parameter controlling the size of the charge gap. The implications for the metal-insulator transition driven by pressure and Se doping are discussed.

  5. A novel technique to measure interface trap density in a GaAs MOS capacitor using time-varying magnetic fields

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choudhury, Aditya N. Roy, E-mail: aditya@physics.iisc.ernet.in; Venkataraman, V.

    Interface trap density (D{sub it}) in a GaAs metal-oxide-semiconductor (MOS) capacitor can be measured electrically by measuring its impedance, i.e. by exciting it with a small signal voltage source and measuring the resulting current through the circuit. We propose a new method of measuring D{sub it} where the MOS capacitor is subjected to a (time-varying) magnetic field instead, which produces an effect equivalent to a (time-varying) voltage drop across the sample. This happens because the electron chemical potential of GaAs changes with a change in an externally applied magnetic field (unlike that of the gate metal); this is not themore » voltage induced by Faraday’s law of electromagnetic induction. So, by measuring the current through the MOS, D{sub it} can be found similarly. Energy band diagrams and equivalent circuits of a MOS capacitor are drawn in the presence of a magnetic field, and analyzed. The way in which a magnetic field affects a MOS structure is shown to be fundamentally different compared to an electrical voltage source.« less

  6. Fabrication of solid-state secondary battery using semiconductors and evaluation of its charge/discharge characteristics

    NASA Astrophysics Data System (ADS)

    Sasaki, Atsuya; Sasaki, Akito; Hirabayashi, Hideaki; Saito, Shuichi; Aoki, Katsuaki; Kataoka, Yoshinori; Suzuki, Koji; Yabuhara, Hidehiko; Ito, Takahiro; Takagi, Shigeyuki

    2018-04-01

    Li-ion batteries have attracted interest for use as storage batteries. However, the risk of fire has not yet been resolved. Although solid Li-ion batteries are possible alternatives, their performance characteristics are unsatisfactory. Recently, research on utilizing the accumulation of carriers at the trap levels of semiconductors has been performed. However, the detailed charge/discharge characteristics and principles have not been reported. In this report, we attempted to form new n-type oxide semiconductor/insulator/p-type oxide semiconductor structures. The battery characteristics of these structures were evaluated by charge/discharge measurements. The obtained results clearly indicated the characteristics of rechargeable batteries. Furthermore, the fabricated structure accumulated an approximately 5000 times larger number of carriers than a parallel plate capacitor. Additionally, by constructing circuit models based on the experimental results, the charge/discharge mechanisms were considered. This is the first detailed experimental report on a rechargeable battery that operates without the double injection of ions and electrons.

  7. A 94GHz Temperature Compensated Low Noise Amplifier in 45nm Silicon-on-Insulator Complementary Metal-Oxide Semiconductor (SOI CMOS)

    DTIC Science & Technology

    2014-01-01

    ring oscillator based temperature sensor will be designed to compensate for gain variations over temperature. For comparison to a competing solution...Simulated (Green) Capacitance of the GSG Pads ........................ 9 Figure 6: Die Picture and Schematic of the L-2L Coplanar Waveguides...complementary metal-oxide-semiconductor (CMOS) technology. A ring oscillator based temperature sensor was designed to compensate for gain variations

  8. Berry phase mechanism of the anomalous Hall effect in a disordered two-dimensional magnetic semiconductor structure.

    DOE PAGES

    Oveshnikov, L. N.; Kulbachinskii, V. A.; Davydov, A. B.; ...

    2015-11-24

    In this study, the anomalous Hall effect (AHE) arises from the interplay of spin-orbit interactions and ferromagnetic order and is a potentially useful probe of electron spin polarization, especially in nanoscale systems where direct measurement is not feasible. While AHE is rather well-understood in metallic ferromagnets, much less is known about the relevance of different physical mechanisms governing AHE in insulators. As ferromagnetic insulators, but not metals, lend themselves to gatecontrol of electron spin polarization, understanding AHE in the insulating state is valuable from the point of view of spintronic applications. Among the mechanisms proposed in the literature for AHEmore » in insulators, the one related to a geometric (Berry) phase effect has been elusive in past studies. The recent discovery of quantized AHE in magnetically doped topological insulators - essentially a Berry phase effect - provides strong additional motivation to undertake more careful search for geometric phase effects in AHE in the magnetic semiconductors. Here we report our experiments on the temperature and magnetic field dependences of AHE in insulating, strongly-disordered two-dimensional Mn delta-doped semiconductor heterostructures in the hopping regime. In particular, it is shown that at sufficiently low temperatures, the mechanism of AHE related to the Berry phase is favoured.« less

  9. Berry phase mechanism of the anomalous Hall effect in a disordered two-dimensional magnetic semiconductor structure

    PubMed Central

    Oveshnikov, L. N.; Kulbachinskii, V. A.; Davydov, A. B.; Aronzon, B. A.; Rozhansky, I. V.; Averkiev, N. S.; Kugel, K. I.; Tripathi, V.

    2015-01-01

    The anomalous Hall effect (AHE) arises from the interplay of spin-orbit interactions and ferromagnetic order and is a potentially useful probe of electron spin polarization, especially in nanoscale systems where direct measurement is not feasible. While AHE is rather well-understood in metallic ferromagnets, much less is known about the relevance of different physical mechanisms governing AHE in insulators. As ferromagnetic insulators, but not metals, lend themselves to gate-control of electron spin polarization, understanding AHE in the insulating state is valuable from the point of view of spintronic applications. Among the mechanisms proposed in the literature for AHE in insulators, the one related to a geometric (Berry) phase effect has been elusive in past studies. The recent discovery of quantized AHE in magnetically doped topological insulators - essentially a Berry phase effect - provides strong additional motivation to undertake more careful search for geometric phase effects in AHE in the magnetic semiconductors. Here we report our experiments on the temperature and magnetic field dependences of AHE in insulating, strongly-disordered two-dimensional Mn delta-doped semiconductor heterostructures in the hopping regime. In particular, it is shown that at sufficiently low temperatures, the mechanism of AHE related to the Berry phase is favoured. PMID:26596472

  10. Metal Insulator transition in Vanadium Dioxide

    NASA Astrophysics Data System (ADS)

    Jovaini, Azita; Fujita, Shigeji; Suzuki, Akira; Godoy, Salvador

    2012-02-01

    MAR12-2011-000262 Abstract Submitted for the MAR12 Meeting of The American Physical Society Sorting Category: 03.9 (T) On the metal-insulator-transition in vanadium dioxide AZITA JOVAINI, SHIGEJI FUJITA, University at Buffalo, SALVADOR GODOY, UNAM, AKIRA SUZUKI, Tokyo University of Science --- Vanadium dioxide (VO2) undergoes a metal-insulator transition (MIT) at 340 K with the structural change from tetragonal to monoclinic crystal. The conductivity _/ drops at MIT by four orders of magnitude. The low temperature monoclinic phase is known to have a lower ground-state energy. The existence of the k-vector k is prerequisite for the conduction since the k appears in the semiclassical equation of motion for the conduction electron (wave packet). The tetragonal (VO2)3 unit is periodic along the crystal's x-, y-, and z-axes, and hence there is a three-dimensional k-vector. There is a one-dimensional k for a monoclinic crystal. We believe this difference in the dimensionality of the k-vector is the cause of the conductivity drop. Prefer Oral Session X Prefer .

  11. Thermoelectricity in correlated narrow-gap semiconductors

    NASA Astrophysics Data System (ADS)

    Tomczak, Jan M.

    2018-05-01

    We review many-body effects, their microscopic origin, as well as their impact on thermoelectricity in correlated narrow-gap semiconductors. Members of this class—such as FeSi and FeSb2—display an unusual temperature dependence in various observables: insulating with large thermopowers at low temperatures, they turn bad metals at temperatures much smaller than the size of their gaps. This insulator-to-metal crossover is accompanied by spectral weight-transfers over large energies in the optical conductivity and by a gradual transition from activated to Curie–Weiss-like behaviour in the magnetic susceptibility. We show a retrospective of the understanding of these phenomena, discuss the relation to heavy-fermion Kondo insulators—such as Ce3Bi4Pt3 for which we present new results—and propose a general classification of paramagnetic insulators. From the latter, FeSi emerges as an orbital-selective Kondo insulator. Focussing on intermetallics such as silicides, antimonides, skutterudites, and Heusler compounds we showcase successes and challenges for the realistic simulation of transport properties in the presence of electronic correlations. Further, we explore new avenues in which electronic correlations may contribute to the improvement of thermoelectric performance.

  12. Transition-Metal Substitution Doping in Synthetic Atomically Thin Semiconductors

    DOE PAGES

    Gao, Jian; Kim, Young Duck; Liang, Liangbo; ...

    2016-09-20

    Semiconductor impurity doping has enabled an entire generation of technology. The emergence of alternative semiconductor material systems, such as transition metal dichalcogenides (TMDCs), requires the development of scalable doping strategies. We report an unprecedented one-pot synthesis for transition-metal substitution in large-area, synthetic monolayer TMDCs. Electron microscopy, optical and electronic transport characterization and ab initio calculations indicate that our doping strategy preserves the attractive qualities of TMDC monolayers, including semiconducting transport and strong direct-gap luminescence. These results are expected to encourage exploration of transition-metal substitution in two-dimensional systems, potentially enabling next-generation optoelectronic technology in the atomically-thin regime.

  13. Metal-oxide-metal point contact junction detectors. [detection mechanism and mechanical stability

    NASA Technical Reports Server (NTRS)

    Baird, J.; Havemann, R. H.; Fults, R. D.

    1973-01-01

    The detection mechanism(s) and design of a mechanically stable metal-oxide-metal point contact junction detector are considered. A prototype for a mechanically stable device has been constructed and tested. A technique has been developed which accurately predicts microwave video detector and heterodyne mixer SIM (semiconductor-insulator-metal) diode performance from low dc frequency volt-ampere curves. The difference in contact potential between the two metals and geometrically induced rectification constitute the detection mechanisms.

  14. Visualization of a stable intermediate phase in photoinduced metal-to-insulator transition in manganites

    NASA Astrophysics Data System (ADS)

    Lin, Hanxuan; Liu, Hao; Bai, Yu; Miao, Tian; Yu, Yang; Zhu, Yinyan; Chen, Hongyan; Kou, Yunfang; Niu, Jiebin; Wang, Wenbin; Yin, Lifeng; Shen, Jian

    First order metal-insulator transition, accounting for various intriguing phenomena, is one of the most important phase transitions in condensed matter systems. Aside from the initial and final states, i.e. the metallic and insulating phases, no stable intermediate phase has been experimentally identified in such first order phase transition, though some transient phases do exist at the ultrafast time scale. Here, using our unique low-temperature, high-field magnetic force microscopy with photoexcitation, we directly observed a stable intermediate phase emerging and mediating the photoinduced first order metal-insulator transition in manganites. This phase is characteristic of low net magnetization and high resistivity. Our observations unveil the microscopic details of the photoinduced metal-insulator transition in manganites, which may be insightful to study first order metal-insulator transition in other condensed matter systems. This work was supported by National Key Research Program of China (2016YFA0300702), National Basic Research Program of China (973 Program) under the Grant No. 2013CB932901 and 2014CB921104; National Natural Science Foundation of China (11274071, 11504053).

  15. Metal–insulator transition in a transition metal dichalcogenide: Dependence on metal contacts

    NASA Astrophysics Data System (ADS)

    Shimazu, Y.; Arai, K.; Iwabuchi, T.

    2018-03-01

    Transition metal dichalcogenides are promising layered materials for realizing novel nanoelectronic and nano-optoelectronic devices. Molybdenum disulfide (MoS2), a typical transition metal dichalcogenide, has been extensively investigated due to the presence of a sizable band gap, which enables the use of MoS2 as a channel material in field-effect transistors (FET). The gate-voltage-tunable metal–insulator transition and superconductivity using MoS2 have been demonstrated in previous studies. These interesting phenomena can be considered as quantum phase transitions in two-dimensional systems. In this study, we observed that the transport properties of thin MoS2 flakes in FET geometry significantly depend on metal contacts. On comparing Ti/Au with Al contacts, it was found that the threshold voltages for FET switching and metal–insulator transition were considerably lower for the device with Al contacts. This result indicated the significant influence of the Al contacts on the properties of MoS2 devices.

  16. Planar heterostructures of single-layer transition metal dichalcogenides: Composite structures, Schottky junctions, tunneling barriers, and half metals

    NASA Astrophysics Data System (ADS)

    Aras, Mehmet; Kılıç, ćetin; Ciraci, S.

    2017-02-01

    Planar composite structures formed from the stripes of transition metal dichalcogenides joined commensurately along their zigzag or armchair edges can attain different states in a two-dimensional (2D), single-layer, such as a half metal, 2D or one-dimensional (1D) nonmagnetic metal and semiconductor. Widening of stripes induces metal-insulator transition through the confinements of electronic states to adjacent stripes, that results in the metal-semiconductor junction with a well-defined band lineup. Linear bending of the band edges of the semiconductor to form a Schottky barrier at the boundary between the metal and semiconductor is revealed. Unexpectedly, strictly 1D metallic states develop in a 2D system along the boundaries between stripes, which pins the Fermi level. Through the δ doping of a narrow metallic stripe one attains a nanowire in the 2D semiconducting sheet or narrow band semiconductor. A diverse combination of constituent stripes in either periodically repeating or finite-size heterostructures can acquire critical fundamental features and offer device capacities, such as Schottky junctions, nanocapacitors, resonant tunneling double barriers, and spin valves. These predictions are obtained from first-principles calculations performed in the framework of density functional theory.

  17. Tunable geometric Fano resonances in a metal/insulator stack

    NASA Astrophysics Data System (ADS)

    Grotewohl, Herbert

    We present a theoretical analysis of surface-plasmon-mediated mode-coupling in a planar thin film metal/insulator stack. The spatial overlap of a surface plasmon polariton (SPP) and a waveguide mode results in a Fano interference analog. Tuning of the material parameters effects the modes and output fields of the system. Lastly, the intensity and phase sensitivity of the system are compared to a standard surface plasmon resonance (SPR). We begin with background information on Fano interference, an interference effect between two indistinguishable pathways. Originally described for autoionization, we discuss the analogs in other systems. We discuss the features of Fano interference in the mode diagrams, and the Fano resonance observed in the output field. The idea of a geometric Fano resonance (GFR) occurring in the angular domain is presented. Background information on surface plasmon polaritons is covered next. The dielectric properties of metals and how they relate to surface plasmons is first reviewed. The theoretical background of SPPs on an infinite planar surface is covered. The modes of a two planar interface metal/insulator stack are reviewed and the leaky properties of the waveguide are shown in the reflectance. We solve for modes of a three interface metal/insulator stack and shows an avoided crossing in the modes indicative of Fano interference. We observe the asymmetric Fano resonance in the angular domain in the reflectance. The tunability of the material parameters tunes the GFR of the system. The GFR tuning is explored and different Fano lineshapes are observed. We also observe a reversal of the asymmetry Fano lineshape, attributed to the relate phase interactions of the non-interacting modes. The phase of the GFR is calculated and discussed for the variations of the parameters. The reflected field is explored as the insulator permittivities are varied. As the waveguide permittivity is varied, we show there is little response from the system. As the

  18. Electrical transport and capacitance characteristics of metal-insulator-metal structures using hexagonal and cubic boron nitride films as dielectrics

    NASA Astrophysics Data System (ADS)

    Teii, Kungen; Kawamoto, Shinsuke; Fukui, Shingo; Matsumoto, Seiichiro

    2018-04-01

    Metal-insulator-metal capacitor structures using thick hexagonal and cubic boron nitride (hBN and cBN) films as dielectrics are produced by plasma jet-enhanced chemical vapor deposition, and their electrical transport and capacitance characteristics are studied in a temperature range of 298 to 473 K. The resistivity of the cBN film is of the order of 107 Ω cm at 298 K, which is lower than that of the hBN film by two orders of magnitude, while it becomes the same order as the hBN film above ˜423 K. The dominant current transport mechanism at high fields (≥1 × 104 V cm-1) is described by the Frenkel-Poole emission and thermionic emission models for the hBN and cBN films, respectively. The capacitance of the hBN film remains stable for a change in alternating-current frequency and temperature, while that of the cBN film has variations of at most 18%. The dissipation factor as a measure of energy loss is satisfactorily low (≤5%) for both films. The origin of leakage current and capacitance variation is attributed to a high defect density in the film and a transition interlayer between the substrate and the film, respectively. This suggests that cBN films with higher crystallinity, stoichiometry, and phase purity are potentially applicable for dielectrics like hBN films.

  19. High energy density capacitors for low cost applications

    NASA Astrophysics Data System (ADS)

    Iyore, Omokhodion David

    Polyvinylidene fluoride (PVDF) and its copolymers with trifluoroethylene, hexafluoropropylene and chlorotrifluoroethylene are the most widely investigated ferroelectric polymers, due to their relatively high electromechanical properties and potential to achieve high energy density. [Bauer, 2010; Zhou et al., 2009] The research community has focused primarily on melt pressed or extruded films of PVDF-based polymers to obtain the highest performance with energy density up to 25 Jcm-3. [Zhou et al., 2009] Solution processing offers an inexpensive, low temperature alternative, which is also easily integrated with flexible electronics. This dissertation focuses on the fabrication of solution-based polyvinylidene fluoride-hexafluoropropylene metal-insulator-metal capacitors on flexible substrates using a photolithographic process. Capacitors were optimized for maximum energy density, high dielectric strength and low leakage current density. It is demonstrated that with the right choice of solvent, electrodes, spin-casting and annealing conditions, high energy density thin film capacitors can be fabricated repeatably and reproducibly. The high electric field dielectric constants were measured and the reliabilities of the polymer capacitors were also evaluated via time-zero breakdown and time-dependent breakdown techniques. Chapter 1 develops the motivation for this work and provides a theoretical overview of dielectric materials, polarization, leakage current and dielectric breakdown. Chapter 2 is a literature review of polymer-based high energy density dielectrics and covers ferroelectric polymers, highlighting PVDF and some of its derivatives. Chapter 3 summarizes some preliminary experimental work and presents materials and electrical characterization that support the rationale for materials selection and process development. Chapter 4 discusses the fabrication of solution-processed PVDF-HFP and modification of its properties by photo-crosslinking. It is followed by a

  20. Ultrafast photo-induced dynamics across the metal-insulator transition of VO2

    NASA Astrophysics Data System (ADS)

    Wang, Siming; Ramírez, Juan Gabriel; Jeffet, Jonathan; Bar-Ad, Shimshon; Huppert, Dan; Schuller, Ivan K.

    2017-04-01

    The transient reflectivity of VO2 films across the metal-insulator transition clearly shows that with low-fluence excitation, when insulating domains are dominant, energy transfer from the optically excited electrons to the lattice is not instantaneous, but precedes the superheating-driven expansion of the metallic domains. This implies that the phase transition in the coexistence regime is lattice-, not electronically-driven, at weak laser excitation. The superheated phonons provide the latent heat required for the propagation of the optically-induced phase transition. For VO2 this transition path is significantly different from what has been reported in the strong-excitation regime. We also observe a slow-down of the superheating-driven expansion of the metallic domains around the metal-insulator transition, which is possibly due to the competition among several co-existing phases, or an emergent critical-like behavior.

  1. Pressure-driven insulator-metal transition in cubic phase UO2

    NASA Astrophysics Data System (ADS)

    Huang, Li; Wang, Yilin; Werner, Philipp

    2017-09-01

    Understanding the electronic properties of actinide oxides under pressure poses a great challenge for experimental and theoretical studies. Here, we investigate the electronic structure of cubic phase uranium dioxide at different volumes using a combination of density functional theory and dynamical mean-field theory. The ab initio calculations predict an orbital-selective insulator-metal transition at a moderate pressure of ∼45 GPa. At this pressure the uranium's 5f 5/2 state becomes metallic, while the 5f 7/2 state remains insulating up to about 60 GPa. In the metallic state, we observe a rapid decrease of the 5f occupation and total angular momentum with pressure. Simultaneously, the so-called “Zhang-Rice state”, which is of predominantly 5f 5/2 character, quickly disappears after the transition into the metallic phase.

  2. PLL jitter reduction by utilizing a ferroelectric capacitor as a VCO timing element.

    PubMed

    Pauls, Greg; Kalkur, Thottam S

    2007-06-01

    Ferroelectric capacitors have steadily been integrated into semiconductor processes due to their potential as storage elements within memory devices. Polarization reversal within ferroelectric capacitors creates a high nonlinear dielectric constant along with a hysteresis profile. Due to these attributes, a phase-locked loop (PLL), when based on a ferroelectric capacitor, has the advantage of reduced cycle-to-cycle jitter. PLLs based on ferroelectric capacitors represent a new research area for reduction of oscillator jitter.

  3. Cellulose Triacetate Dielectric Films For Capacitors

    NASA Technical Reports Server (NTRS)

    Yen, Shiao-Ping S.; Jow, T. Richard

    1994-01-01

    Cellulose triacetate investigated for use as dielectric material in high-energy-density capacitors for pulsed-electrical-power systems. Films of cellulose triacetate metalized on one or both sides for use as substrates for electrodes and/or as dielectrics between electrodes in capacitors. Used without metalization as simple dielectric films. Advantages include high breakdown strength and self-healing capability.

  4. The metal-insulator triple point in vanadium dioxide

    NASA Astrophysics Data System (ADS)

    Cobden, David

    2014-03-01

    The metal-insulator transition (MIT) in vanadium dioxide is a candidate for optical and electrical switching applications. However, being a first-order solid-state phase transition makes it challenging to study reproducibly in any detail. The combination of the change in unit cell shape, symmetry reduction, long range of elastic distortion, and latent heat leads to domain structure, hysteresis, and cracking of even the highest quality samples. At the MIT two stable insulating phases (M1 and M2) occur in addition to the metallic phase (R), but their phase stability diagram was poorly known. To establish it precisely we studied single-crystal nanobeams of VO2 in a purpose-built nanomechanical strain apparatus. We were able to measure the transition temperature accurately to be 65.0 +- 0.1 oC, to determine the phase boundary slopes, and to detect the intermediate metastable triclinic (T) phase where it is metastable towards M2. We were surprised to find that the transition occurs precisely at the solid-state triple point of the metallic and two insulating phases, a fact that is not explained by existing theories. See J.H. Park et al, Nature 500, 431-4 (August 2013), doi:10.1038/nature12425. Supported by US Department of Energy, Office of Basic Energy Sciences, Division of Materials Science and Engineering, award DE-SC0002197.

  5. Neutral beam and ICP etching of HKMG MOS capacitors: Observations and a plasma-induced damage model

    NASA Astrophysics Data System (ADS)

    Kuo, Tai-Chen; Shih, Tzu-Lang; Su, Yin-Hsien; Lee, Wen-Hsi; Current, Michael Ira; Samukawa, Seiji

    2018-04-01

    In this study, TiN/HfO2/Si metal-oxide-semiconductor (MOS) capacitors were etched by a neutral beam etching technique under two contrasting conditions. The configurations of neutral beam etching technique were specially designed to demonstrate a "damage-free" condition or to approximate "reactive-ion-etching-like" conditions to verify the effect of plasma-induced damage on electrical characteristics of MOS capacitors. The results show that by neutral beam etching (NBE), the interface state density (Dit) and the oxide trapped charge (Qot) were lower than routine plasma etching. Furthermore, the decrease in capacitor size does not lead to an increase in leakage current density, indicating less plasma induced side-wall damage. We present a plasma-induced gate stack damage model which we demonstrate by using these two different etching configurations. These results show that NBE is effective in preventing plasma-induced damage at the high-k/Si interface and on the high-k oxide sidewall and thus improve the electrical performance of the gate structure.

  6. Magnetic fluctuations driven insulator-to-metal transition in Ca(Ir(1-x)Rux)O3.

    PubMed

    Gunasekera, J; Harriger, L; Dahal, A; Heitmann, T; Vignale, G; Singh, D K

    2015-12-09

    Magnetic fluctuations in transition metal oxides are a subject of intensive research because of the key role they are expected to play in the transition from the Mott insulator to the unconventional metallic phase of these materials, and also as drivers of superconductivity. Despite much effort, a clear link between magnetic fluctuations and the insulator-to-metal transition has not yet been established. Here we report the discovery of a compelling link between magnetic fluctuations and the insulator-to-metal transition in Ca(Ir1-xRux)O3 perovskites as a function of the substitution coefficient x. We show that when the material turns from insulator to metal, at a critical value of x ~ 0.3, magnetic fluctuations tend to change their character from antiferromagnetic, a Mott insulator phase, to ferromagnetic, an itinerant electron state with Hund's orbital coupling. These results are expected to have wide-ranging implications for our understanding of the unconventional properties of strongly correlated electrons systems.

  7. Nonstoichiometric Solution-Processed BaTiO₃ Film for Gate Insulator Applications.

    PubMed

    Lau, Joyce; Kim, Sangsub; Kim, Hyunki; Koo, Kwangjun; Lee, Jaeseob; Kim, Sangsoo; Choi, Byoungdeog

    2018-09-01

    Solution processed barium titanate (BTO) was used to fabricate an Al/BaTiO3/p-Si metal-insulator-semiconductor (MIS) structure, which was used as a gate insulator. Changes in the electrical characteristics of the film were investigated as a function of the film thickness and post deposition annealing conditions. Our results showed that a thickness of 5 layers and an annealing temperature of 650 °C produced the highest electrical performance. BaxTi1-xO3 was altered at x = 0.10, 0.30, 0.50, 0.70, 0.90, and 1.0 to investigate changes in the electrical properties as a function of composition. The highest dielectric constant of 87 was obtained for x = 0.10, while the leakage current density was suppressed as Ba content increased. The lowest leakage current density was 1.34×10-10 A/cm2, which was observed at x = 0.90. The leakage current was related to the resistivity of the film, the interface states, and grain densification. Space charge limited current (SCLC) was the dominant leakage mechanism in BTO films based on leakage current analysis. Although a Ba content of x = 0.90 had the highest trap density, the traps were mainly composed of Ti-vacancies, which acted as strong electron traps and affected the film resistivity. A secondary phase, Ba2TiO4, which was observed in cases of excess Ba, acted as a grain refiner and provided faster densification of the film during the thermal process. The absence of a secondary phase in BaO (x = 1.0) led to the formation of many interface states and degradation in the electrical properties. Overall, the insulator properties of BTO were improved when the composition ratio was x = 0.90.

  8. On Practical Charge Injection at the Metal/Organic Semiconductor Interface

    PubMed Central

    Kumatani, Akichika; Li, Yun; Darmawan, Peter; Minari, Takeo; Tsukagoshi, Kazuhito

    2013-01-01

    We have revealed practical charge injection at metal and organic semiconductor interface in organic field effect transistor configurations. We have developed a facile interface structure that consisted of double-layer electrodes in order to investigate the efficiency through contact metal dependence. The metal interlayer with few nanometers thickness between electrode and organic semiconductor drastically reduces the contact resistance at the interface. The improvement has clearly obtained when the interlayer is a metal with lower standard electrode potential of contact metals than large work function of the contact metals. The electrode potential also implies that the most dominant effect on the mechanism at the contact interface is induced by charge transfer. This mechanism represents a step forward towards understanding the fundamental physics of intrinsic charge injection in all organic devices. PMID:23293741

  9. Normal metal - insulator - superconductor thermometers and coolers with titanium-gold bilayer as the normal metal

    NASA Astrophysics Data System (ADS)

    Räisänen, I. M. W.; Geng, Z.; Kinnunen, K. M.; Maasilta, I. J.

    2018-03-01

    We have fabricated superconductor - insulator - normal metal - insulator - superconductor (SINIS) tunnel junctions in which Al acts as the superconductor, AlOx is the insulator, and the normal metal consists of a thin Ti layer (5 nm) covered with a thicker Au layer (40 nm). We have characterized the junctions by measuring their current-voltage curves between 60 mK and 750 mK. For comparison, the same measurements have been performed for a SINIS junction pair whose normal metal is Cu. The Ti-Au bilayer decreases the SINIS tunneling resistance by an order of magnitude compared to junctions where Cu is used as normal metal, made with the same oxidation parameters. The Ti-Au devices are much more robust against chemical attacks, and their lower tunneling resistance makes them more robust against static charge. More significantly, they exhibit significantly stronger electron cooling than Cu devices with identical fabrication steps, when biased close to the energy gap of the superconducting Al. By using a self-consistent thermal model, we can fit the current-voltage characteristics well, and show an electron cooling from 200 mK to 110 mK, with a non-optimized device.

  10. Si and GaAs photocapacitive MIS infrared detectors

    NASA Technical Reports Server (NTRS)

    Sher, A.; Tsuo, Y. H.; Moriarty, J. A.; Miller, W. E.; Crouch, R. K.

    1980-01-01

    Improvement of the previously reported photocapacitive MIS infrared detectors has led to the development of exceptional room-temperature devices. Unoptimized peak detectivities on the order of 10 to the 13th cm sq rt Hz/W, a value which exceeds the best obtainable from existing solid-state detectors, have now been consistently obtained in Si and GaAs devices using high-capacitance LaF3 or composite LaF3/native-oxide insulating layers. The measured spectral response of representative samples is presented and discussed in detail together with a simple theory which accounts for the observed behavior. The response of an ideal MIS photocapacitor is also contrasted with that of both a conventional photoconductor and a p-i-n photodiode, and reasons for the superior performance of the MIS detectors are given. Finally, fundamental studies on the electrical, optical, and noise characteristics of the MIS structures are analyzed and discussed in the context of infrared-detector applications.

  11. Adjustable metal-semiconductor transition of FeS thin films by thermal annealing

    NASA Astrophysics Data System (ADS)

    Fu, Ganhua; Polity, Angelika; Volbers, Niklas; Meyer, Bruno K.; Mogwitz, Boris; Janek, Jürgen

    2006-12-01

    FeS polycrystalline thin films were prepared on float glass at 500°C by radio-frequency reactive sputtering. The influence of vacuum annealing on the metal-semiconductor transition of FeS films was investigated. It has been found that with the increase of the annealing temperature from 360to600°C, the metal-semiconductor transition temperature of FeS films first decreases and then increases, associated with first a reduction and then an enhancement of hysteresis width. The thermal stress is considered to give rise to the abnormal change of the metal-semiconductor transition of the FeS film during annealing.

  12. Plasmonic and metallic optical properties of Au/SiO2 metal-insulator films

    NASA Astrophysics Data System (ADS)

    Battie, Yann; En Naciri, Aotmane; Vergnat, Michel

    2017-12-01

    In this paper, the optical properties and the growth mechanism of Au/SiO2 metal-insulator films (MIFs) are investigated by combining ellipsometry and transmission electron microscopy. The ellipsometric measurements, analyzed by using effective medium theories, show that the growth mechanism involves a Volmer-Weber growth mode while the morphology and the optical properties of Au/SiO2 MIFs are directly related to the percolation of the Au nanostructures. Indeed, below the percolation threshold of Au, the MIFs consist of ellipsoidal Au inclusions embedded in a SiO2 matrix. These insulating films present anisotropic plasmonic properties, attributed to the asymmetric interactions between nanaoparticles (NPs), which can be modeled according to the interacted shape distributed nanoparticle effective medium theory. At the percolation threshold of Au, an insulator-to-metal transition is observed. The MIFs simultaneously exhibit plasmonic and metallic optical properties, which can be described by the Bruggeman theory. The density of free electrons increases and the MIFs become more and more conductive as the Au volume fraction increases. We also demonstrate that for a high Au volume fraction, Bruggeman and Maxwell Garnett theories converge toward the same results, suggesting that the film is composed of isolated SiO2 inclusion embedded in a gold matrix.

  13. Enhanced performance of solution-processed organic thin-film transistors with a low-temperature-annealed alumina interlayer between the polyimide gate insulator and the semiconductor.

    PubMed

    Yoon, Jun-Young; Jeong, Sunho; Lee, Sun Sook; Kim, Yun Ho; Ka, Jae-Won; Yi, Mi Hye; Jang, Kwang-Suk

    2013-06-12

    We studied a low-temperature-annealed sol-gel-derived alumina interlayer between the organic semiconductor and the organic gate insulator for high-performance organic thin-film transistors. The alumina interlayer was deposited on the polyimide gate insulator by a simple spin-coating and 200 °C-annealing process. The leakage current density decreased by the interlayer deposition: at 1 MV/cm, the leakage current densities of the polyimide and the alumina/polyimide gate insulators were 7.64 × 10(-7) and 3.01 × 10(-9) A/cm(2), respectively. For the first time, enhancement of the organic thin-film transistor performance by introduction of an inorganic interlayer between the organic semiconductor and the organic gate insulator was demonstrated: by introducing the interlayer, the field-effect mobility of the solution-processed organic thin-film transistor increased from 0.35 ± 0.15 to 1.35 ± 0.28 cm(2)/V·s. Our results suggest that inorganic interlayer deposition could be a simple and efficient surface treatment of organic gate insulators for enhancing the performance of solution-processed organic thin-film transistors.

  14. Efficient Carrier-to-Exciton Conversion in Field Emission Tunnel Diodes Based on MIS-Type van der Waals Heterostack.

    PubMed

    Wang, Shunfeng; Wang, Junyong; Zhao, Weijie; Giustiniano, Francesco; Chu, Leiqiang; Verzhbitskiy, Ivan; Zhou Yong, Justin; Eda, Goki

    2017-08-09

    We report on efficient carrier-to-exciton conversion and planar electroluminescence from tunnel diodes based on a metal-insulator-semiconductor (MIS) van der Waals heterostack consisting of few-layer graphene (FLG), hexagonal boron nitride (hBN), and monolayer tungsten disulfide (WS 2 ). These devices exhibit excitonic electroluminescence with extremely low threshold current density of a few pA·μm -2 , which is several orders of magnitude lower compared to the previously reported values for the best planar EL devices. Using a reference dye, we estimate the EL quantum efficiency to be ∼1% at low current density limit, which is of the same order of magnitude as photoluminescence quantum yield at the equivalent excitation rate. Our observations reveal that the efficiency of our devices is not limited by carrier-to-exciton conversion efficiency but by the inherent exciton-to-photon yield of the material. The device characteristics indicate that the light emission is triggered by injection of hot minority carriers (holes) to n-doped WS 2 by Fowler-Nordheim tunneling and that hBN serves as an efficient hole-transport and electron-blocking layer. Our findings offer insight into the intelligent design of van der Waals heterostructures and avenues for realizing efficient excitonic devices.

  15. Strain-induced metal-insulator transitions in d1 perovskites within DFT+DMFT

    NASA Astrophysics Data System (ADS)

    Dymkowski, Krzysztof; Ederer, Claude

    2014-03-01

    We present results of combined density functional theory plus dynamical mean-field theory (DFT+DMFT) calculations, assessing the effect of epitaxial strain on the electronic properties of the Mott insulator LaTiO3 and the correlated metal SrVO3. In particular, we take into account the effect of strain on the collective tilts and rotations of the oxygen octahedra in the orthorhombically distorted Pbnm perovskite structure of LaTiO3. We find that LaTiO3 undergoes an insulator-to-metal transition under a compressive strain of about - 2 %, consistent with recent experimental observations. We show that this transition is driven mainly by strain-induced changes in the crystal-field splitting between the Ti t2 g orbitals, which in turn are related to changes in the octahedral tilt distortion. We compare this with the case of SrVO3, without octahedral tilts, where we find a metal-to-insulator transition under tensile epitaxial strain. Similar to LaTiO3, this metal-insulator transition is linked to the strain-induced change in the crystal-field splitting within the t2 g orbitals.

  16. Carbon monoxide sensor and method of use thereof

    DOEpatents

    McDaniel; Anthony H. , Medlin; J. Will , Bastasz; Robert J.

    2007-09-04

    Carbon monoxide sensors suitable for use in hydrogen feed streams and methods of use thereof are disclosed. The sensors are palladium metal/insulator/semiconductor (Pd-MIS) sensors which may possess a gate metal layer having uniform, Type 1, or non-uniform, Type 2, film morphology. Type 1 sensors display an increased sensor response in the presence of carbon monoxide while Type 2 sensors display a decreased response to carbon monoxide. The methods and sensors disclosed herein are particularly suitable for use in proton exchange membrane fuel cells (PEMFCs).

  17. Miniaturized metal (metal alloy)/ PdO.sub.x/SiC hydrogen and hydrocarbon gas sensors

    NASA Technical Reports Server (NTRS)

    Hunter, Gary W. (Inventor); Xu, Jennifer C. (Inventor); Lukco, Dorothy (Inventor)

    2011-01-01

    A miniaturized Schottky diode hydrogen and hydrocarbon sensor and the method of making same is disclosed and claimed. The sensor comprises a catalytic metal layer, such as palladium, a silicon carbide substrate layer and a thin barrier layer in between the catalytic and substrate layers made of palladium oxide (PdO.sub.x ). This highly stable device provides sensitive gas detection at temperatures ranging from at least 450 to 600.degree. C. The barrier layer prevents reactions between the catalytic metal layer and the substrate layer. Conventional semiconductor fabrication techniques are used to fabricate the small-sized sensors. The use of a thicker palladium oxide barrier layer for other semiconductor structures such as a capacitor and transistor structures is also disclosed.

  18. Miniaturized metal (metal alloy)/ PdO.sub.x/SiC hydrogen and hydrocarbon gas sensors

    NASA Technical Reports Server (NTRS)

    Xu, Jennifer C. (Inventor); Hunter, Gary W. (Inventor); Lukco, Dorothy (Inventor)

    2008-01-01

    A miniaturized Schottky diode hydrogen and hydrocarbon sensor and the method of making same is disclosed and claimed. The sensor comprises a catalytic metal layer, such as palladium, a silicon carbide substrate layer and a thin barrier layer in between the catalytic and substrate layers made of palladium oxide (PdO.sub.x). This highly stable device provides sensitive gas detection at temperatures ranging from at least 450 to 600.degree. C. The barrier layer prevents reactions between the catalytic metal layer and the substrate layer. Conventional semiconductor fabrication techniques are used to fabricate the small-sized sensors. The use of a thicker palladium oxide barrier layer for other semiconductor structures such as a capacitor and transistor structures is also disclosed.

  19. Pressure-driven insulator-metal transition in cubic phase UO 2

    DOE PAGES

    Huang, Li; Wang, Yilin; Werner, Philipp

    2017-09-21

    Understanding the electronic properties of actinide oxides under pressure poses a great challenge for experimental and theoretical studies. Here, we investigate the electronic structure of cubic phase uranium dioxide at different volumes using a combination of density functional theory and dynamical mean-field theory. The ab initio calculations predict an orbital-selective insulator-metal transition at a moderate pressure of ~45 GPa. At this pressure the uranium's 5f 5/2 state becomes metallic, while the 5f 7/2 state remains insulating up to about 60 GPa. In the metallic state, we observe a rapid decrease of the 5f occupation and total angular momentum with pressure.more » Simultaneously, the so-called "Zhang-Rice state", which is of predominantly 5f 5/2 character, quickly disappears after the transition into the metallic phase.« less

  20. Design and fabrication of metal-insulator-metal diode for high frequency applications

    NASA Astrophysics Data System (ADS)

    Azad, Ibrahim; Ram, Manoj K.; Goswami, D. Yogi; Stefanakos, Elias

    2017-02-01

    Metal-insulator-metal (MIM) diodes play significant role in high speed electronics where high frequency rectification is needed. Quantum based tunneling mechanism helps MIM diodes to rectify at high frequency signals. Rectenna, antenna coupled MIM diodes are becoming popular due to their potential use as IR detectors and energy harvesters. Because of small active area, MIM diodes could easily be incorporated into integrated circuits (IC's). The objective of the work is to design and develop MIM diodes for high frequency rectification. In this work, thin insulating layer of ZnO was fabricated using Langmuir-Blodgett (LB) technique which facilitates ultrathin thin, uniform and pinhole free fabrication of insulating layer. The ZnO layer was synthesized from organic precursor of zinc acetate layer. The optimization in the LB technique of fabrication process led to fabricate MIM diodes with high non-linearity and sensitivity. Moreover, the top and bottom electrodes as well as active area of the diodes were patterned using UV-tunneling conduction mechanism. The highest sensitivity of the diode was measured around 37 (A/W), and the rectification ratio was found around 36 under low applied bias at +/-100 mV.

  1. Algorithmic implementation of particle-particle ladder diagram approximation to study strongly-correlated metals and semiconductors

    NASA Astrophysics Data System (ADS)

    Prayogi, A.; Majidi, M. A.

    2017-07-01

    In condensed-matter physics, strongly-correlated systems refer to materials that exhibit variety of fascinating properties and ordered phases, depending on temperature, doping, and other factors. Such unique properties most notably arise due to strong electron-electron interactions, and in some cases due to interactions involving other quasiparticles as well. Electronic correlation effects are non-trivial that one may need a sufficiently accurate approximation technique with quite heavy computation, such as Quantum Monte-Carlo, in order to capture particular material properties arising from such effects. Meanwhile, less accurate techniques may come with lower numerical cost, but the ability to capture particular properties may highly depend on the choice of approximation. Among the many-body techniques derivable from Feynman diagrams, we aim to formulate algorithmic implementation of the Ladder Diagram approximation to capture the effects of electron-electron interactions. We wish to investigate how these correlation effects influence the temperature-dependent properties of strongly-correlated metals and semiconductors. As we are interested to study the temperature-dependent properties of the system, the Ladder diagram method needs to be applied in Matsubara frequency domain to obtain the self-consistent self-energy. However, at the end we would also need to compute the dynamical properties like density of states (DOS) and optical conductivity that are defined in the real frequency domain. For this purpose, we need to perform the analytic continuation procedure. At the end of this study, we will test the technique by observing the occurrence of metal-insulator transition in strongly-correlated metals, and renormalization of the band gap in strongly-correlated semiconductors.

  2. Thin-film solar cell fabricated on a flexible metallic substrate

    DOEpatents

    Tuttle, John R.; Noufi, Rommel; Hasoon, Falah S.

    2006-05-30

    A thin-film solar cell (10) is provided. The thin-film solar cell (10) comprises a flexible metallic substrate (12) having a first surface and a second surface. A back metal contact layer (16) is deposited on the first surface of the flexible metallic substrate (12). A semiconductor absorber layer (14) is deposited on the back metal contact. A photoactive film deposited on the semiconductor absorber layer (14) forms a heterojunction structure and a grid contact (24) deposited on the heterjunction structure. The flexible metal substrate (12) can be constructed of either aluminium or stainless steel. Furthermore, a method of constructing a solar cell is provided. The method comprises providing an aluminum substrate (12), depositing a semiconductor absorber layer (14) on the aluminum substrate (12), and insulating the aluminum substrate (12) from the semiconductor absorber layer (14) to inhibit reaction between the aluminum substrate (12) and the semiconductor absorber layer (14).

  3. Thin-Film Solar Cell Fabricated on a Flexible Metallic Substrate

    DOEpatents

    Tuttle, J. R.; Noufi, R.; Hasoon, F. S.

    2006-05-30

    A thin-film solar cell (10) is provided. The thin-film solar cell (10) comprises a flexible metallic substrate (12) having a first surface and a second surface. A back metal contact layer (16) is deposited on the first surface of the flexible metallic substrate (12). A semiconductor absorber layer (14) is deposited on the back metal contact. A photoactive film deposited on the semiconductor absorber layer (14) forms a heterojunction structure and a grid contact (24) deposited on the heterjunction structure. The flexible metal substrate (12) can be constructed of either aluminium or stainless steel. Furthermore, a method of constructing a solar cell is provided. The method comprises providing an aluminum substrate (12), depositing a semiconductor absorber layer (14) on the aluminum substrate (12), and insulating the aluminum substrate (12) from the semiconductor absorber layer (14) to inhibit reaction between the aluminum substrate (12) and the semiconductor absorber layer (14).

  4. High Temperature DC Bus Capacitor Cost Reduction & Performance Improvements

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yializis, Angelo; Taylor, Ralph S.

    The goal of this DOE program is to develop high temperature, high energy density, lower cost DC- Link capacitors, for inverters used in electric drive vehicles. Most electric motors in Hybrid Electric Vehicles (“HEVs”), Plug-in Hybrid Vehicles (“PHVs”) and Electric Vehicles (“EVs”) are driven with variable AC voltage supplied by an inverter/converter power module that converts the DC battery voltage to three-phase AC voltage. A key component of the inverter circuit is the DC- Link capacitor used to minimize ripple current, voltage fluctuation, and transient suppression. The DC-Link capacitor is one of the largest, costliest, and most failure-prone components inmore » today’s electric drive invertersystems. The principal weakness of present day DC- Link capacitors is their reliance on a low temperature thermoplastic polypropylene (“PP”) film dielectric. PP is the dielectric of choice for inverter capacitor applications due to its high breakdown strength and low dissipation factor. Major limitations of metallized PP film capacitors include volumetric efficiency, performance under high thermal loads and cost. The latter is especially effectual at lower voltage applications (400V) where PP films with a thickness of about 2.5 m are required that are costly to process. Metallized PP capacitors also do not meet the traditional “under-the-hood” requirements for automotive electronics. The standard temperature requirement for most passive components in the automotive industry has been 125ºC and it is evolving to 140°C. The industry has addressed this problem by reducing the ambient temperature specification for PP capacitors from 125ºC to 105ºC, and also by placing the capacitors on a water-cooled bus bar to extend their life and reliably. The supply chain for the production of PP capacitors is, for the most part, horizontally integrated. It includes the producer of the PP film, the toll metallizer, that deposits a patterned aluminum conductor

  5. Polyvinylidene fluoride film as a capacitor dielectric

    NASA Technical Reports Server (NTRS)

    Dematos, H. V.

    1981-01-01

    Thin strips of polyvinylidene fluoride film (PVDF) with vacuum deposited electrodes were made into capacitors by conventional winding and fabrication techniques. These devices were used to identify and evaluate the performance characteristics offered by the PVDF in metallized film capacitors. Variations in capacitor parameters with temperature and frequence were evaluated and compared with other dielectric films. Their impact on capacitor applications is discussed.

  6. Metal Oxide Semi-Conductor Gas Sensors in Environmental Monitoring

    PubMed Central

    Fine, George F.; Cavanagh, Leon M.; Afonja, Ayo; Binions, Russell

    2010-01-01

    Metal oxide semiconductor gas sensors are utilised in a variety of different roles and industries. They are relatively inexpensive compared to other sensing technologies, robust, lightweight, long lasting and benefit from high material sensitivity and quick response times. They have been used extensively to measure and monitor trace amounts of environmentally important gases such as carbon monoxide and nitrogen dioxide. In this review the nature of the gas response and how it is fundamentally linked to surface structure is explored. Synthetic routes to metal oxide semiconductor gas sensors are also discussed and related to their affect on surface structure. An overview of important contributions and recent advances are discussed for the use of metal oxide semiconductor sensors for the detection of a variety of gases—CO, NOx, NH3 and the particularly challenging case of CO2. Finally a description of recent advances in work completed at University College London is presented including the use of selective zeolites layers, new perovskite type materials and an innovative chemical vapour deposition approach to film deposition. PMID:22219672

  7. Role of phonons in the metal-insulator phase transition.

    NASA Technical Reports Server (NTRS)

    Langer, W. D.

    1972-01-01

    Review, for the transition series oxides, of the Mattis and Lander model, which is one of electrons interacting with lattice vibrations (electron and phonon interaction). The model displays superconducting, insulating, and metallic phases. Its basic properties evolve from a finite crystallographic distortion associated with a dominant phonon mode and the splitting of the Brillouin zone into two subzones, a property of simple cubic and body centered cubic lattices. The order of the metal-insulator phase transition is examined. The basic model has a second-order phase transition and the effects of additional mechanisms on the model are calculated. The way in which these mechanisms affect the magnetically ordered transition series oxides as described by the Hubbard model is discussed.

  8. Fabrication of metal/semiconductor nanocomposites by selective laser nano-welding.

    PubMed

    Yu, Huiwu; Li, Xiangyou; Hao, Zhongqi; Xiong, Wei; Guo, Lianbo; Lu, Yongfeng; Yi, Rongxing; Li, Jiaming; Yang, Xinyan; Zeng, Xiaoyan

    2017-06-01

    A green and simple method to prepare metal/semiconductor nanocomposites by selective laser nano-welding metal and semiconductor nanoparticles was presented, in which the sizes, phases, and morphologies of the components can be maintained. Many types of nanocomposites (such as Ag/TiO 2 , Ag/SnO 2 , Ag/ZnO 2 , Pt/TiO 2 , Pt/SnO 2 , and Pt/ZnO) can be prepared by this method and their corresponding performances were enhanced.

  9. Hafnium transistor process design for neural interfacing.

    PubMed

    Parent, David W; Basham, Eric J

    2009-01-01

    A design methodology is presented that uses 1-D process simulations of Metal Insulator Semiconductor (MIS) structures to design the threshold voltage of hafnium oxide based transistors used for neural recording. The methodology is comprised of 1-D analytical equations for threshold voltage specification, and doping profiles, and 1-D MIS Technical Computer Aided Design (TCAD) to design a process to implement a specific threshold voltage, which minimized simulation time. The process was then verified with a 2-D process/electrical TCAD simulation. Hafnium oxide films (HfO) were grown and characterized for dielectric constant and fixed oxide charge for various annealing temperatures, two important design variables in threshold voltage design.

  10. Surface Passivation for 3-5 Semiconductor Processing: Stable Gallium Sulphide Films by MOCVD

    NASA Technical Reports Server (NTRS)

    Macinnes, Andrew N.; Jenkins, Phillip P.; Power, Michael B.; Kang, Soon; Barron, Andrew R.; Hepp, Aloysius F.; Tabib-Azar, Massood

    1994-01-01

    Gallium sulphide (GaS) has been deposited on GaAs to form stable, insulating, passivating layers. Spectrally resolved photoluminescence and surface recombination velocity measurements indicate that the GaS itself can contribute a significant fraction of the photoluminescence in GaS/GaAs structures. Determination of surface recombination velocity by photoluminescence is therefore difficult. By using C-V analysis of metal-insulator-semiconductor structures, passivation of the GaAs with GaS films is quantified.

  11. Electrical characteristics and thermal stability of HfO{sub 2} metal-oxide-semiconductor capacitors fabricated on clean reconstructed GaSb surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Miyata, Noriyuki, E-mail: nori.miyata@aist.go.jp; Mori, Takahiro; Yasuda, Tetsuji

    2014-06-09

    HfO{sub 2}/GaSb interfaces fabricated by high-vacuum HfO{sub 2} deposition on clean reconstructed GaSb surfaces were examined to explore a thermally stable GaSb metal-oxide-semiconductor structure with low interface-state density (D{sub it}). Interface Sb-O bonds were electrically and thermally unstable, and post-metallization annealing at temperatures higher than 200 °C was required to stabilize the HfO{sub 2}/GaSb interfaces. However, the annealing led to large D{sub it} in the upper-half band gap. We propose that the decomposition products that are associated with elemental Sb atoms act as interface states, since a clear correlation between the D{sub it} and the Sb coverage on the initial GaSbmore » surfaces was observed.« less

  12. Two-order parameters theory of the metal-insulator phase transition kinetics in the magnetic field

    NASA Astrophysics Data System (ADS)

    Dubovskii, L. B.

    2018-05-01

    The metal-insulator phase transition is considered within the framework of the Ginzburg-Landau approach for the phase transition described with two coupled order parameters. One of the order parameters is the mass density which variation is responsible for the origin of nonzero overlapping of the two different electron bands and the appearance of free electron carriers. This transition is assumed to be a first-order phase one. The free electron carriers are described with the vector-function representing the second-order parameter responsible for the continuous phase transition. This order parameter determines mostly the physical properties of the metal-insulator transition and leads to a singularity of the surface tension at the metal-insulator interface. The magnetic field is involved into the consideration of the system. The magnetic field leads to new singularities of the surface tension at the metal-insulator interface and results in a drastic variation of the phase transition kinetics. A strong singularity in the surface tension results from the Landau diamagnetism and determines anomalous features of the metal-insulator transition kinetics.

  13. Insulator Charging in RF MEMS Capacitive Switches

    DTIC Science & Technology

    2005-06-01

    and Simulations,” Journal of Microelectromechanical Systems, 8: 208-217 (June 1999). 5. Neaman , Donald. Semiconductor Physics & Devices. Boston...227-230 (2001). 5. Sze, S.M. Semiconductor Devices: Physics and Technology. New York: Wiley, 1985. 6. Neaman , Donald A. Semiconductor Physics...Radiation Response of Hafnium-Silicate Capacitors,” IEEE Transactions on Nuclear Science, 49: 3191-3196 (December 2002). 3. Neaman , D.A

  14. Phase diagram of the ultrafast photoinduced insulator-metal transition in vanadium dioxide

    NASA Astrophysics Data System (ADS)

    Cocker, T. L.; Titova, L. V.; Fourmaux, S.; Holloway, G.; Bandulet, H.-C.; Brassard, D.; Kieffer, J.-C.; El Khakani, M. A.; Hegmann, F. A.

    2012-04-01

    We use time-resolved terahertz spectroscopy to probe the ultrafast dynamics of the insulator-metal phase transition induced by femtosecond laser pulses in a nanogranular vanadium dioxide (VO2) film. Based on the observed thresholds for characteristic transient terahertz dynamics, a phase diagram of critical pump fluence versus temperature for the insulator-metal phase transition in VO2 is established for the first time over a broad range of temperatures down to 17 K. We find that both Mott and Peierls mechanisms are present in the insulating state and that the photoinduced transition is nonthermal. We propose a critical-threshold model for the ultrafast photoinduced transition based on a critical density of electrons and a critical density of coherently excited phonons necessary for the structural transition to the metallic state. As a result, evidence is found at low temperatures for an intermediate metallic state wherein the Mott state is melted but the Peierls distortion remains intact, consistent with recent theoretical predictions. Finally, the observed terahertz conductivity dynamics above the photoinduced transition threshold reveal nucleation and growth of metallic nanodomains over picosecond time scales.

  15. Electric Field Simulation of Surge Capacitors with Typical Defects

    NASA Astrophysics Data System (ADS)

    Zhang, Chenmeng; Mao, Yuxiang; Xie, Shijun; Zhang, Yu

    2018-03-01

    The electric field of power capacitors with different typical defects in DC working condition and impulse oscillation working condition is studied in this paper. According to the type and location of defects and considering the influence of space charge, two-dimensional models of surge capacitors with different typical defects are simulated based on ANSYS. The distribution of the electric field inside the capacitor is analyzed, and the concentration of electric field and its influence on the insulation performance are obtained. The results show that the type of defects, the location of defects and the space charge all affect the electric field distribution inside the capacitor in varying degrees. Especially the electric field distortion in the local area such as sharp corners and burrs is relatively larger, which increases the probability of partial discharge inside the surge capacitor.

  16. Transient transition from free carrier metallic state to exciton insulating state in GaAs by ultrafast photoexcitation

    NASA Astrophysics Data System (ADS)

    Nie, X. C.; Song, Hai-Ying; Zhang, Xiu; Gu, Peng; Liu, Shi-Bing; Li, Fan; Meng, Jian-Qiao; Duan, Yu-Xia; Liu, H. Y.

    2018-03-01

    We present systematic studies of the transient dynamics of GaAs by ultrafast time-resolved reflectivity. In photoexcited non-equilibrium states, we found a sign reverse in reflectivity change ΔR/R, from positive around room temperature to negative at cryogenic temperatures. The former corresponds to a free carrier metallic state, while the latter is attributed to an exciton insulating state, in which the transient electronic properties is mostly dominated by excitons, resulting in a transient metal–insulator transition (MIT). Two transition temperatures (T 1 and T 2) are well identified by analyzing the intensity change of the transient reflectivity. We found that photoexcited MIT starts emerging at T 1 as high as ∼ 230 K, in terms of a dip feature at 0.4 ps, and becomes stabilized below T 2 that is up to ∼ 180 K, associated with a negative constant after 40 ps. Our results address a phase diagram that provides a framework for the inducing of MIT through temperature and photoexcitation, and may shed light on the understanding of light-semiconductor interaction and exciton physics.

  17. Conductivity Dynamics of the Metal to Insulator Transition in EuNiO3/LANiO3 Superlattices

    DTIC Science & Technology

    2016-02-07

    lead to emergent phenomena with the insulator -to- insulator transition (IMT) being one of the most enigmatic from fundamental and applied perspectives...2015 Approved for Public Release; Distribution Unlimited Final Report: Conductivity Dynamics of the Metal to Insulator Transition in EuNiO3/LANiO3...Conductivity Dynamics of the Metal to Insulator Transition in EuNiO3/LANiO3 Superlattices Report Title In numerous transition metal oxides (TMO

  18. Spin injection and transport in semiconductor and metal nanostructures

    NASA Astrophysics Data System (ADS)

    Zhu, Lei

    In this thesis we investigate spin injection and transport in semiconductor and metal nanostructures. To overcome the limitation imposed by the low efficiency of spin injection and extraction and strict requirements for retention of spin polarization within the semiconductor, novel device structures with additional logic functionality and optimized device performance have been developed. Weak localization/antilocalization measurements and analysis are used to assess the influence of surface treatments on elastic, inelastic and spin-orbit scatterings during the electron transport within the two-dimensional electron layer at the InAs surface. Furthermore, we have used spin-valve and scanned probe microscopy measurements to investigate the influence of sulfur-based surface treatments and electrically insulating barrier layers on spin injection into, and spin transport within, the two-dimensional electron layer at the surface of p-type InAs. We also demonstrate and analyze a three-terminal, all-electrical spintronic switching device, combining charge current cancellation by appropriate device biasing and ballistic electron transport. The device yields a robust, electrically amplified spin-dependent current signal despite modest efficiency in electrical injection of spin-polarized electrons. Detailed analyses provide insight into the advantages of ballistic, as opposed to diffusive, transport in device operation, as well as scalability to smaller dimensions, and allow us to eliminate the possibility of phenomena unrelated to spin transport contributing to the observed device functionality. The influence of the device geometry on magnetoresistance of nanoscale spin-valve structures is also demonstrated and discussed. Shortcomings of the simplified one-dimensional spin diffusion model for spin valve are elucidated, with comparison of the thickness and the spin diffusion length in the nonmagnetic channel as the criterion for validity of the 1D model. Our work contributes

  19. Engineering an Insulating Ferroelectric Superlattice with a Tunable Band Gap from Metallic Components

    NASA Astrophysics Data System (ADS)

    Ghosh, Saurabh; Borisevich, Albina Y.; Pantelides, Sokrates T.

    2017-10-01

    The recent discovery of "polar metals" with ferroelectriclike displacements offers the promise of designing ferroelectrics with tunable energy gaps by inducing controlled metal-insulator transitions. Here we employ first-principles calculations to design a metallic polar superlattice from nonpolar metal components and show that controlled intermixing can lead to a true insulating ferroelectric with a tunable band gap. We consider a 2 /2 superlattice made of two centrosymmetric metallic oxides, La0.75 Sr0.25 MnO3 and LaNiO3 , and show that ferroelectriclike displacements are induced. The ferroelectriclike distortion is found to be strongly dependent on the carrier concentration (Sr content). Further, we show that a metal-to-insulator (MI) transition is feasible in this system via disproportionation of the Ni sites. Such a disproportionation and, hence, a MI transition can be driven by intermixing of transition metal ions between Mn and Ni layers. As a result, the energy gap of the resulting ferroelectric can be tuned by varying the degree of intermixing in the experimental fabrication method.

  20. Capacitor electrode stimulates nerve or muscle without oxidation-reduction reactions.

    PubMed

    Guyton, D L; Hambrecht, F T

    1973-07-06

    Porous tantalum disks, available as "slugs" from the capacitor industry, have large available surface area and a thin insulating coating of tantalum pentoxide. When implanted, they fill with extracellular fluid and operate as capacitor-stimulating electrodes having high capacitance per unit volume. Capable of stimulating excitable tissute without generating electrochemical by-products, these electrodes should provide a safer interface between neural prosthetic devices and human tissue.

  1. Pure electronic metal-insulator transition at the interface of complex oxides

    DOE PAGES

    Meyers, D.; Liu, Jian; Freeland, J. W.; ...

    2016-06-21

    We observed complex materials in electronic phases and transitions between them often involve coupling between many degrees of freedom whose entanglement convolutes understanding of the instigating mechanism. Metal-insulator transitions are one such problem where coupling to the structural, orbital, charge, and magnetic order parameters frequently obscures the underlying physics. We demonstrate a way to unravel this conundrum by heterostructuring a prototypical multi-ordered complex oxide NdNiO3 in ultra thin geometry, which preserves the metal-to-insulator transition and bulk-like magnetic order parameter, but entirely suppresses the symmetry lowering and long-range charge order parameter. Furthermore, these findings illustrate the utility of heterointerfaces as amore » powerful method for removing competing order parameters to gain greater insight into the nature of the transition, here revealing that the magnetic order generates the transition independently, leading to an exceptionally rare purely electronic metal-insulator transition with no symmetry change.« less

  2. The effect of surface conditions on the work function of insulators and semiconductors

    NASA Technical Reports Server (NTRS)

    George, A.

    1973-01-01

    Ionization energies of organic semiconductors were determined using single crystals of the material. The theory of the method is essentially that of Millikan's oil drop experiment. The technique employed in the experiment is based on the electrostatic method of balancing a charged particle in an electric field against the force of gravity for different excitation energies above the threshold value, and from an estimate of the balancing voltages, read off the ionization energy from the intercept of the energy axis in a plot wavelength corresponding to the balancing potential for the incident radiation of wavelength. In the modified technique which is adopted in the present experimental investigation, a small single crystal is suspended by a fine quartz fiber between two vertical capacitor plates to which a suitable high voltage is applied.

  3. Correlation-driven insulator-metal transition in near-ideal vanadium dioxide films

    DOE PAGES

    Gray, A. X.; Jeong, J.; Aetukuri, N. P.; ...

    2016-03-18

    We use polarization- and temperature-dependent x-ray absorption spectroscopy, in combination with photoelectron microscopy, x-ray diffraction, and electronic transport measurements, to study the driving force behind the insulator-metal transition in VO 2. We show that both the collapse of the insulating gap and the concomitant change in crystal symmetry in homogeneously strained single-crystalline VO 2 films are preceded by the purely electronic softening of Coulomb correlations within V-V singlet dimers. Furthermore, this process starts 7 K (±0.3 K) below the transition temperature, as conventionally defined by electronic transport and x-ray diffraction measurements, and sets the energy scale for driving the near-room-temperaturemore » insulator-metal transition in this technologically promising material.« less

  4. Inversion channel diamond metal-oxide-semiconductor field-effect transistor with normally off characteristics.

    PubMed

    Matsumoto, Tsubasa; Kato, Hiromitsu; Oyama, Kazuhiro; Makino, Toshiharu; Ogura, Masahiko; Takeuchi, Daisuke; Inokuma, Takao; Tokuda, Norio; Yamasaki, Satoshi

    2016-08-22

    We fabricated inversion channel diamond metal-oxide-semiconductor field-effect transistors (MOSFETs) with normally off characteristics. At present, Si MOSFETs and insulated gate bipolar transistors (IGBTs) with inversion channels are widely used because of their high controllability of electric power and high tolerance. Although a diamond semiconductor is considered to be a material with a strong potential for application in next-generation power devices, diamond MOSFETs with an inversion channel have not yet been reported. We precisely controlled the MOS interface for diamond by wet annealing and fabricated p-channel and planar-type MOSFETs with phosphorus-doped n-type body on diamond (111) substrate. The gate oxide of Al2O3 was deposited onto the n-type diamond body by atomic layer deposition at 300 °C. The drain current was controlled by the negative gate voltage, indicating that an inversion channel with a p-type character was formed at a high-quality n-type diamond body/Al2O3 interface. The maximum drain current density and the field-effect mobility of a diamond MOSFET with a gate electrode length of 5 μm were 1.6 mA/mm and 8.0 cm(2)/Vs, respectively, at room temperature.

  5. Formation of metal and dielectric liners using a solution process for deep trench capacitors.

    PubMed

    Ham, Yong-Hyun; Kim, Dong-Pyo; Baek, Kyu-Ha; Park, Kun-Sik; Kim, Moonkeun; Kwon, Kwang-Ho; Shin, Hong-Sik; Lee, Kijun; Do, Lee-Mi

    2012-07-01

    We demonstrated the feasibility of metal and dielectric liners using a solution process for deep trench capacitor application. The deep Si trench via with size of 10.3 microm and depth of 71 microm were fabricated by Bosch process in deep reactive ion etch (DRIE) system. The aspect ratio was about 7. Then, nano-Ag ink and poly(4-vinylphenol) (PVPh) were used to form metal and dielectric liners, respectively. The thicknesses of the Ag and PVPh liners were about 144 and 830 nm, respectively. When the curing temperature of Ag film increased from 120 to 150 degrees C, the sheet resistance decreased rapidly from 2.47 to 0.72 Omega/sq and then slightly decreased to 0.6 Omega/sq with further increasing the curing temperature beyond 150 degrees C. The proposed liner formation method using solution process is a simple and cost effective process for the high capacity of deep trench capacitor.

  6. Atomistic characterization of SAM coatings as gate insulators in Si-based FET devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gala, F.; Zollo, G.

    2014-06-19

    Many nano-material systems are currently under consideration as possible candidates for gate dielectric insulators in both metal-oxide-semiconductor (MOSFET) and organic (OFET) field-effect transistors. In this contribution, the possibility of employing self-assembled monolayers (SAMs) of hydroxylated octadecyltrichlorosilane (OTS) chains on a (111) Si substrate as gate dielectrics is discussed; in particular ab initio theoretical simulations have been employed to study the structural properties, work function modifications, and the insulating properties of OTS thin film coatings on Si substrates.

  7. Atomistic characterization of SAM coatings as gate insulators in Si-based FET devices

    NASA Astrophysics Data System (ADS)

    Gala, F.; Zollo, G.

    2014-06-01

    Many nano-material systems are currently under consideration as possible candidates for gate dielectric insulators in both metal-oxide-semiconductor (MOSFET) and organic (OFET) field-effect transistors. In this contribution, the possibility of employing self-assembled monolayers (SAMs) of hydroxylated octadecyltrichlorosilane (OTS) chains on a (111) Si substrate as gate dielectrics is discussed; in particular ab initio theoretical simulations have been employed to study the structural properties, work function modifications, and the insulating properties of OTS thin film coatings on Si substrates.

  8. Magnetic fluctuations driven insulator-to-metal transition in Ca(Ir1−xRux)O3

    PubMed Central

    Gunasekera, J.; Harriger, L.; Dahal, A.; Heitmann, T.; Vignale, G.; Singh, D. K.

    2015-01-01

    Magnetic fluctuations in transition metal oxides are a subject of intensive research because of the key role they are expected to play in the transition from the Mott insulator to the unconventional metallic phase of these materials, and also as drivers of superconductivity. Despite much effort, a clear link between magnetic fluctuations and the insulator-to-metal transition has not yet been established. Here we report the discovery of a compelling link between magnetic fluctuations and the insulator-to-metal transition in Ca(Ir1−xRux)O3 perovskites as a function of the substitution coefficient x. We show that when the material turns from insulator to metal, at a critical value of x ~ 0.3, magnetic fluctuations tend to change their character from antiferromagnetic, a Mott insulator phase, to ferromagnetic, an itinerant electron state with Hund’s orbital coupling. These results are expected to have wide-ranging implications for our understanding of the unconventional properties of strongly correlated electrons systems. PMID:26647965

  9. Atomically engineered epitaxial anatase TiO 2 metal-semiconductor field-effect transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Brian S. Y.; Minohara, Makoto; Hikita, Yasuyuki

    Here, anatase TiO 2 is a promising material for a vast array of electronic, energy, and environmental applications, including photocatalysis, photovoltaics, and sensors. A key requirement for these applications is the ability to modulate its electrical properties without dominant dopant scattering and while maintaining high carrier mobility. Here, we demonstrate the room temperature field-effect modulation of the conducting epitaxial interface between anatase TiO 2 and LaAlO 3 (001), which arises for LaO-terminated LaAlO 3, while the AlO 2-terminated interface is insulating. This approach, together with the metal-semiconductor field-effect transistor geometry, naturally bypasses the gate/channel interface traps, resulting in a highmore » field-effect mobility μ FE of 3.14 cm 2 (V s) –1 approaching 98% of the corresponding Hall mobility μ Hall. Accordingly, the channel conductivity is modulated over 6 orders of magnitude over a gate voltage range of ~4 V.« less

  10. Atomically engineered epitaxial anatase TiO 2 metal-semiconductor field-effect transistors

    DOE PAGES

    Kim, Brian S. Y.; Minohara, Makoto; Hikita, Yasuyuki; ...

    2018-03-26

    Here, anatase TiO 2 is a promising material for a vast array of electronic, energy, and environmental applications, including photocatalysis, photovoltaics, and sensors. A key requirement for these applications is the ability to modulate its electrical properties without dominant dopant scattering and while maintaining high carrier mobility. Here, we demonstrate the room temperature field-effect modulation of the conducting epitaxial interface between anatase TiO 2 and LaAlO 3 (001), which arises for LaO-terminated LaAlO 3, while the AlO 2-terminated interface is insulating. This approach, together with the metal-semiconductor field-effect transistor geometry, naturally bypasses the gate/channel interface traps, resulting in a highmore » field-effect mobility μ FE of 3.14 cm 2 (V s) –1 approaching 98% of the corresponding Hall mobility μ Hall. Accordingly, the channel conductivity is modulated over 6 orders of magnitude over a gate voltage range of ~4 V.« less

  11. Atomically engineered epitaxial anatase TiO2 metal-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Kim, Brian S. Y.; Minohara, Makoto; Hikita, Yasuyuki; Bell, Christopher; Hwang, Harold Y.

    2018-03-01

    Anatase TiO2 is a promising material for a vast array of electronic, energy, and environmental applications, including photocatalysis, photovoltaics, and sensors. A key requirement for these applications is the ability to modulate its electrical properties without dominant dopant scattering and while maintaining high carrier mobility. Here, we demonstrate the room temperature field-effect modulation of the conducting epitaxial interface between anatase TiO2 and LaAlO3 (001), which arises for LaO-terminated LaAlO3, while the AlO2-terminated interface is insulating. This approach, together with the metal-semiconductor field-effect transistor geometry, naturally bypasses the gate/channel interface traps, resulting in a high field-effect mobility μ FE of 3.14 cm2 (V s)-1 approaching 98% of the corresponding Hall mobility μ Hall . Accordingly, the channel conductivity is modulated over 6 orders of magnitude over a gate voltage range of ˜4 V.

  12. Semiconductor Metal-Organic Frameworks: Future Low-Bandgap Materials.

    PubMed

    Usman, Muhammad; Mendiratta, Shruti; Lu, Kuang-Lieh

    2017-02-01

    Metal-organic frameworks (MOFs) with low density, high porosity, and easy tunability of functionality and structural properties, represent potential candidates for use as semiconductor materials. The rapid development of the semiconductor industry and the continuous miniaturization of feature sizes of integrated circuits toward the nanometer (nm) scale require novel semiconductor materials instead of traditional materials like silicon, germanium, and gallium arsenide etc. MOFs with advantageous properties of both the inorganic and the organic components promise to serve as the next generation of semiconductor materials for the microelectronics industry with the potential to be extremely stable, cheap, and mechanically flexible. Here, a perspective of recent research is provided, regarding the semiconducting properties of MOFs, bandgap studies, and their potential in microelectronic devices. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Plasmonic mode interferences and Fano resonances in Metal-Insulator- Metal nanostructured interface

    PubMed Central

    Nicolas, Rana; Lévêque, Gaëtan; Marae-Djouda, Joseph; Montay, Guillame; Madi, Yazid; Plain, Jérôme; Herro, Ziad; Kazan, Michel; Adam, Pierre-Michel; Maurer, Thomas

    2015-01-01

    Metal-insulator-metal systems exhibit a rich underlying physics leading to a high degree of tunability of their spectral properties. We performed a systematic study on a metal-insulator-nanostructured metal system with a thin 6 nm dielectric spacer and showed how the nanoparticle sizes and excitation conditions lead to the tunability and coupling/decoupling of localized and delocalized plasmonic modes. We also experimentally evidenced a tunable Fano resonance in a broad spectral window 600 to 800 nm resulting from the interference of gap modes with white light broad band transmitted waves at the interface playing the role of the continuum. By varying the incident illumination angle shifts in the resonances give the possibility to couple or decouple the localized and delocalized modes and to induce a strong change of the asymmetric Fano profile. All these results were confirmed with a crossed comparison between experimental and theoretical measurements, confirming the nature of different modes. The high degree of control and tunability of this plasmonically rich system paves the way for designing and engineering of similar systems with numerous applications. In particular, sensing measurements were performed and a figure of merit of 3.8 was recorded ranking this sensor among the highest sensitive in this wavelength range. PMID:26399425

  14. 2 p -insulator heterointerfaces: Creation of half-metallicity and anionogenic ferromagnetism via double exchange

    NASA Astrophysics Data System (ADS)

    Zhang, Baomin; Cao, Chonglong; Li, Guowei; Li, Feng; Ji, Weixiao; Zhang, Shufeng; Ren, Miaojuan; Zhang, Haikun; Zhang, Rui-Qin; Zhong, Zhicheng; Yuan, Zhe; Yuan, Shengjun; Blake, Graeme R.

    2018-04-01

    We use first-principles calculations to predict the occurrence of half-metallicity and anionogenic ferromagnetism at the heterointerface between two 2p insulators, taking the KO2/BaO2 (001) interface as an example. Whereas a sharp heterointerface is semiconducting, a heterointerface with a moderate concentration of swapped K and Ba atoms is half-metallic and ferromagnetic at ambient pressure due to the double exchange mechanism. The K-Ba swap renders the interfacial K-O and Ba-O atomic layers electron-doped and hole-doped, respectively. Our findings pave the way to realize metallicity and ferromagnetism at the interface between two 2 p insulators, and such systems can constitute a new family of heterostructures with novel properties, expanding studies on heterointerfaces from 3 d insulators to 2 p insulators.

  15. Strain-induced insulator-to-metal transition in LaTiO3 within DFT + DMFT

    NASA Astrophysics Data System (ADS)

    Dymkowski, Krzysztof; Ederer, Claude

    2014-04-01

    We present results of combined density functional theory plus dynamical mean-field theory (DFT + DMFT) calculations, which show that the Mott insulator LaTiO3 undergoes an insulator-to-metal transition under compressive epitaxial strain of about -2%. This transition is driven by strain-induced changes in the crystal-field splitting between the Ti t2g orbitals, which in turn are intimately related to the collective tilts and rotations of the oxygen octahedra in the orthorhombically distorted Pbnm perovskite structure. An accurate treatment of the underlying crystal structure is therefore crucial for a correct description of the observed metal-insulator transition. Our theoretical results are consistent with recent experimental observations and demonstrate that metallic behavior in heterostructures of otherwise insulating materials can emerge also from mechanisms other than genuine interface effects.

  16. Controlled modification of resonant tunneling in metal-insulator-insulator-metal structures

    NASA Astrophysics Data System (ADS)

    Mitrovic, I. Z.; Weerakkody, A. D.; Sedghi, N.; Ralph, J. F.; Hall, S.; Dhanak, V. R.; Luo, Z.; Beeby, S.

    2018-01-01

    We present comprehensive experimental and theoretical work on tunnel-barrier rectifiers comprising bilayer (Nb2O5/Al2O3) insulator configurations with similar (Nb/Nb) and dissimilar (Nb/Ag) metal electrodes. The electron affinity, valence band offset, and metal work function were ascertained by X-ray photoelectron spectroscopy, variable angle spectroscopic ellipsometry, and electrical measurements on fabricated reference structures. The experimental band line-up parameters were fed into a theoretical model to predict available bound states in the Nb2O5/Al2O3 quantum well and generate tunneling probability and transmittance curves under applied bias. The onset of strong resonance in the sub-V regime was found to be controlled by a work function difference of Nb/Ag electrodes in agreement with the experimental band alignment and theoretical model. A superior low-bias asymmetry of 35 at 0.1 V and a responsivity of 5 A/W at 0.25 V were observed for the Nb/4 nm Nb2O5/1 nm Al2O3/Ag structure, sufficient to achieve a rectification of over 90% of the input alternate current terahertz signal in a rectenna device.

  17. Alkaline Capacitors Based on Nitride Nanoparticles

    NASA Technical Reports Server (NTRS)

    Aldissi, Matt

    2003-01-01

    High-energy-density alkaline electrochemical capacitors based on electrodes made of transition-metal nitride nanoparticles are undergoing development. Transition- metal nitrides (in particular, Fe3N and TiN) offer a desirable combination of high electrical conductivity and electrochemical stability in aqueous alkaline electrolytes like KOH. The high energy densities of these capacitors are attributable mainly to their high capacitance densities, which, in turn, are attributable mainly to the large specific surface areas of the electrode nanoparticles. Capacitors of this type could be useful as energy-storage components in such diverse equipment as digital communication systems, implanted medical devices, computers, portable consumer electronic devices, and electric vehicles.

  18. Reentrant Metal-Insulator Transitions in Silicon -

    NASA Astrophysics Data System (ADS)

    Campbell, John William M.

    This thesis describes a study of reentrant metal -insulator transitions observed in the inversion layer of extremely high mobility Si-MOSFETs. Magneto-transport measurements were carried out in the temperature range 20mK-4.2 K in a ^3He/^4 He dilution refrigerator which was surrounded by a 15 Tesla superconducting magnet. Below a melting temperature (T_{M}~500 mK) and a critical electron density (n_{s }~9times10^{10} cm^{-2}), the Shubnikov -de Haas oscillations in the diagonal resistivity enormous maximum values at the half filled Landau levels while maintaining deep minima corresponding to the quantum Hall effect at filled Landau levels. At even lower electron densities the insulating regions began to spread and eventually a metal-insulator transition could be induced at zero magnetic field. The measurement of extremely large resistances in the milliKelvin temperature range required the use of very low currents (typically in the 10^ {-12} A range) and in certain measurements minimizing the noise was also a consideration. The improvements achieved in these areas through the use of shielding, optical decouplers and battery operated instruments are described. The transport signatures of the insulating state are considered in terms of two basic mechanisms: single particle localization with transport by variable range hopping and the formation of a collective state such as a pinned Wigner crystal or electron solid with transport through the motion of bound dislocation pairs. The experimental data is best described by the latter model. Thus the two dimensional electron system in these high mobility Si-MOSFETs provides the first and only experimental demonstration to date of the formation of an electron solid at zero and low magnetic fields in the quantum limit where the Coulomb interaction energy dominates over the zero point oscillation energy. The role of disorder in favouring either single particle localization or the formation of a Wigner crystal is explored by

  19. Origin of flatband voltage shift and unusual minority carrier generation in thermally grown GeO2/Ge metal-oxide-semiconductor devices

    NASA Astrophysics Data System (ADS)

    Hosoi, Takuji; Kutsuki, Katsuhiro; Okamoto, Gaku; Saito, Marina; Shimura, Takayoshi; Watanabe, Heiji

    2009-05-01

    Improvement in electrical properties of thermally grown GeO2/Ge metal-oxide-semiconductor (MOS) capacitors, such as significantly reduced flatband voltage (VFB) shift, small hysteresis, and minimized minority carrier response in capacitance-voltage (C-V) characteristics, has been demonstrated by in situ low temperature vacuum annealing prior to gate electrode deposition. Thermal desorption analysis has revealed that not only water but also hydrocarbons are easily infiltrated into GeO2 layers during air exposure and desorbed at around 300 °C, indicating that organic molecules within GeO2/Ge MOS structures are possible origins of electrical defects. The inversion capacitance, indicative of minority carrier generation, increases with air exposure time for Au/GeO2/Ge MOS capacitors, while maintaining an interface state density (Dit) of about a few 1011 cm-2 eV-1. Unusual increase in inversion capacitance was found to be suppressed by Al2O3 capping (Au/Al2O3/GeO2/Ge structures). This suggests that electrical defects induced outside the Au electrode by infiltrated molecules may enhance the minority carrier generation, and thus acting as a minority carrier source just like MOS field-effect transistors.

  20. Correlation of interface states/border traps and threshold voltage shift on AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wu, Tian-Li, E-mail: Tian-Li.Wu@imec.be; Groeseneken, Guido; Department of Electrical Engineering, KU Leuven, Leuven

    2015-08-31

    In this paper, three electrical techniques (frequency dependent conductance analysis, AC transconductance (AC-g{sub m}), and positive gate bias stress) were used to evaluate three different gate dielectrics (Plasma-Enhanced Atomic Layer Deposition Si{sub 3}N{sub 4}, Rapid Thermal Chemical Vapor Deposition Si{sub 3}N{sub 4}, and Atomic Layer Deposition (ALD) Al{sub 2}O{sub 3}) for AlGaN/GaN Metal-Insulator-Semiconductor High-Electron-Mobility Transistors. From these measurements, the interface state density (D{sub it}), the amount of border traps, and the threshold voltage (V{sub TH}) shift during a positive gate bias stress can be obtained. The results show that the V{sub TH} shift during a positive gate bias stress ismore » highly correlated to not only interface states but also border traps in the dielectric. A physical model is proposed describing that electrons can be trapped by both interface states and border traps. Therefore, in order to minimize the V{sub TH} shift during a positive gate bias stress, the gate dielectric needs to have a lower interface state density and less border traps. However, the results also show that the commonly used frequency dependent conductance analysis technique to extract D{sub it} needs to be cautiously used since the resulting value might be influenced by the border traps and, vice versa, i.e., the g{sub m} dispersion commonly attributed to border traps might be influenced by interface states.« less

  1. Critical behavior at a dynamic vortex insulator-to-metal transition

    DOE PAGES

    Poccia, Nicola; Baturina, Tatyana I.; Coneri, Francesco; ...

    2015-09-10

    An array of superconducting islands placed on a normal metal film offers a tunable realization of nanopatterned superconductivity. This system enables elucidating open questions concerning the nature of competing vortex states and phase transitions between them. A square array creates the egg crate potential in which magnetic field-induced vortices are frozen into a vortex insulator. We observe a vortex insulator-to-vortex metal transition driven by the applied electric current and determine critical exponents strikingly coinciding with those for thermodynamic liquid-gas transition. Lastly, our findings offer a comprehensive description of dynamic critical behavior and establish a deep connection between equilibrium and nonequilibriummore » phase transitions.« less

  2. Critical behavior at a dynamic vortex insulator-to-metal transition.

    PubMed

    Poccia, Nicola; Baturina, Tatyana I; Coneri, Francesco; Molenaar, Cor G; Wang, X Renshaw; Bianconi, Ginestra; Brinkman, Alexander; Hilgenkamp, Hans; Golubov, Alexander A; Vinokur, Valerii M

    2015-09-11

    An array of superconducting islands placed on a normal metal film offers a tunable realization of nanopatterned superconductivity. This system enables investigation of the nature of competing vortex states and phase transitions between them. A square array creates the eggcrate potential in which magnetic field-induced vortices are frozen into a vortex insulator. We observed a vortex insulator-vortex metal transition driven by the applied electric current and determined critical exponents that coincided with those for thermodynamic liquid-gas transition. Our findings offer a comprehensive description of dynamic critical behavior and establish a deep connection between equilibrium and nonequilibrium phase transitions. Copyright © 2015, American Association for the Advancement of Science.

  3. Voltage-induced Metal-Insulator Transitions in Perovskite Oxide Thin Films Doped with Strongly Correlelated Electrons

    NASA Astrophysics Data System (ADS)

    Wang, Yudi; Gil Kim, Soo; Chen, I.-Wei

    2007-03-01

    We have observed a reversible metal-insulator transition in perovskite oxide thin films that can be controlled by charge trapping pumped by a bipolar voltage bias. In the as-fabricated state, the thin film is metallic with a very low resistance comparable to that of the metallic bottom electrode, showing decreasing resistance with decreasing temperature. This metallic state switches to a high-resistance state after applying a voltage bias: such state is non-ohmic showing a negative temperature dependence of resistance. Switching at essentially the same voltage bias was observed down to 2K. The metal-insulator transition is attributed to charge trapping that disorders the energy of correlated electron states in the conduction band. By increasing the amount of charge trapped, which increases the disorder relative to the band width, increasingly more insulating states with a stronger temperature dependence of resistivity are accessed. This metal-insulator transition provides a platform to engineer new nonvolatile memory that does not require heat (as in phase transition) or dielectric breakdown (as in most other oxide resistance devices).

  4. Measurement of n-type Dry Thermally Oxidized 6H-SiC Metal-oxide Semiconductor Diodes by Quasistatic and High-Frequency Capacitance Versus Voltage and Capacitance Transient Techniques

    NASA Technical Reports Server (NTRS)

    Neudeck, P.; Kang, S.; Petit, J.; Tabib-Azar, M.

    1994-01-01

    Dry-oxidized n-type 6H-SiC metal-oxide-semiconductor capacitors are investigated using quasistatic capacitance versus voltage (C-V), high-frequency C-V, and pulsed high-frequency capacitance transient (C-t) analysis over the temperature range from 297 to 573 K. The quasistatic C - V characteristics presented are the first reported for 6H-SiC MOS capacitors, and exhibit startling nonidealities due to nonequilibrium conditions that arise from the fact that the recombination/generation process in 6H-SiC is extraordinarily slow even at the highest measurement temperature employed. The high-frequency dark C-V characteristics all showed deep depletion with no observable hysteresis. The recovery of the high-frequency capacitance from deep depletion to inversion was used to characterize the minority-carrier generation process as a function of temperature. Zerbst analysis conducted on the resulting C-t transients, which were longer than 1000 s at 573 K, showed a generation lifetime thermal activation energy of 0.49 eV.

  5. Voltage-Dependent Charge Storage in Cladded Zn0.56Cd0.44Se Quantum Dot MOS Capacitors for Multibit Memory Applications

    NASA Astrophysics Data System (ADS)

    Khan, J.; Lingalugari, M.; Al-Amoody, F.; Jain, F.

    2013-11-01

    As conventional memories approach scaling limitations, new storage methods must be utilized to increase Si yield and produce higher on-chip memory density. Use of II-VI Zn0.56Cd0.44Se quantum dots (QDs) is compatible with epitaxial gate insulators such as ZnS-ZnMgS. Voltage-dependent charging effects in cladded Zn0.56Cd0.44Se QDs are presented in a conventional metal-oxide-semiconductor capacitor structure. Charge storage capabilities in Si and ZnMgS QDs have been reported by various researchers; this work is focused on II-VI material Zn0.56Cd0.44Se QDs nucleated using photoassisted microwave plasma metalorganic chemical vapor deposition. Using capacitance-voltage hysteresis characterization, the multistep charging and discharging capabilities of the QDs at room temperature are presented. Three charging states are presented within a 10 V charging voltage range. These characteristics exemplify discrete charge states in the QD layer, perfect for multibit, QD-functionalized high-density memory applications. Multiple charge states with low operating voltage provide device characteristics that can be used for multibit storage by allowing varying charges to be stored in a QD layer based on the applied "write" voltage.

  6. Neural Implants, Packaging for Biocompatible Implants, and Improving Fabricated Capacitors

    NASA Astrophysics Data System (ADS)

    Agger, Elizabeth Rose

    We have completed the circuit design and packaging procedure for an NIH-funded neural implant, called a MOTE (Microscale Optoelectronically Transduced Electrode). Neural recording implants for mice have greatly advanced neuroscience, but they are often damaging and limited in their recording location. This project will result in free-floating implants that cause less damage, provide rapid electronic recording, and increase range of recording across the cortex. A low-power silicon IC containing amplification and digitization sub-circuits is powered by a dual-function gallium arsenide photovoltaic and LED. Through thin film deposition, photolithography, and chemical and physical etching, the Molnar Group and the McEuen Group (Applied and Engineering Physics department) will package the IC and LED into a biocompatible implant approximately 100microm3. The IC and LED are complete and we have begun refining this packaging procedure in the Cornell NanoScale Science & Technology Facility. ICs with 3D time-resolved imaging capabilities can image microorganisms and other biological samples given proper packaging. A portable, flat, easily manufactured package would enable scientists to place biological samples on slides directly above the Molnar group's imaging chip. We have developed a packaging procedure using laser cutting, photolithography, epoxies, and metal deposition. Using a flip-chip method, we verified the process by aligning and adhering a sample chip to a holder wafer. In the CNF, we have worked on a long-term metal-insulator-metal (MIM) capacitor characterization project. Former Fellow and continuing CNF user Kwame Amponsah developed the original procedure for the capacitor fabrication, and another former fellow, Jonilyn Longenecker, revised the procedure and began the arduous process of characterization. MIM caps are useful to clean room users as testing devices to verify electronic characteristics of their active circuitry. This project's objective is to

  7. MIS diode structure in As/+/ implanted CdS

    NASA Technical Reports Server (NTRS)

    Hutchby, J. A.

    1977-01-01

    Structure made by As implantation of carefully prepared high-conductivity CdS surfaces followed by Pt deposition and 450 C anneal display rectifying, although substantially different, I-V characteristics in the dark and during illumination with subband-gap light. Structures prepared in the same way on an unimplanted portion of the substrate have similar I-V characteristics, except that the forward turnover voltage for an illuminated unimplanted diode is much smaller than that for an implanted diode. It is suggested that the charge conduction in both structures is dominated by hole and/or electron tunneling through a metal-semiconductor potential barrier. The tunneling processes appear to be quite sensitive to subband-gap illumination, which causes the dramatic decreases of turnover voltages and apparent series resistances. The difference in turnover voltage appears to be caused by interface states between the Pt electrode and the implanted layer, which suggests a MIS model.

  8. Presence of Peierls pairing and absence of insulator-to-metal transition in VO2 (A): a structure-property relationship study.

    PubMed

    Popuri, S R; Artemenko, A; Decourt, R; Villesuzanne, A; Pollet, M

    2017-03-01

    Layered vanadium oxides have been extensively explored due to their interesting metal-insulator transitions and energy conversion/storage applications. In the present study, we have successfully synthesized VO 2 (A) polymorph powder samples by a single-step hydrothermal synthesis process and consolidated them using spark plasma sintering. The structural and electronic properties of VO 2 (A) are measured over a large temperature range from liquid helium, across the structural transition (400-440 K) and up to 500 K. The structural analysis around this transition reveals an antiferrodistorsive to partially ferrodistorsive ordering upon cooling. It is followed by a progressive antiferromagnetic spin pairing which fully settles at about 150 K. The transport measurements show that, in contrast to the rutile archetype VO 2 (R/M1), the structural transition comes with a transition from semiconductor to band-type insulator. Under these circumstances, we propose a scenario with a high temperature antiferrodistorsive paramagnetic semiconducting phase, followed by an intermediate regime with a partially ferrodistorsive paramagnetic semiconducting phase, and finally a low temperature partially ferrodistorsive antiferromagnetic band insulator phase with a possible V-V Peierls-type pairing.

  9. Ultrathin metal-semiconductor-metal resonator for angle invariant visible band transmission filters

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Kyu-Tae; Seo, Sungyong; Yong Lee, Jae

    We present transmission visible wavelength filters based on strong interference behaviors in an ultrathin semiconductor material between two metal layers. The proposed devices were fabricated on 2 cm × 2 cm glass substrate, and the transmission characteristics show good agreement with the design. Due to a significantly reduced light propagation phase change associated with the ultrathin semiconductor layer and the compensation in phase shift of light reflecting from the metal surface, the filters show an angle insensitive performance up to ±70°, thus, addressing one of the key challenges facing the previously reported photonic and plasmonic color filters. This principle, described in this paper, canmore » have potential for diverse applications ranging from color display devices to the image sensors.« less

  10. Tunable all-optical plasmonic rectifier in nanoscale metal-insulator-metal waveguides.

    PubMed

    Xu, Yi; Wang, Xiaomeng; Deng, Haidong; Guo, Kangxian

    2014-10-15

    We propose a tunable all-optical plasmonic rectifier based on the nonlinear Fano resonance in a metal-insulator-metal plasmonic waveguide and cavities coupling system. We develop a theoretical model based on the temporal coupled-mode theory to study the device physics of the nanoscale rectifier. We further demonstrate via the finite difference time domain numerical experiment that our idea can be realized in a plasmonic system with an ultracompact size of ~120×800  nm². The tunable plasmonic rectifier could facilitate the all-optical signal processing in nanoscale.

  11. Assembling non-ferromagnetic materials to ferromagnetic architectures using metal-semiconductor interfaces

    PubMed Central

    Ma, Ji; Liu, Chunting; Chen, Kezheng

    2016-01-01

    In this work, a facile and versatile solution route was used to fabricate room-temperature ferromagnetic fish bone-like, pteridophyte-like, poplar flower-like, cotton-like Cu@Cu2O architectures and golfball-like Cu@ZnO architecture. The ferromagnetic origins in these architectures were found to be around metal-semiconductor interfaces and defects, and the root cause for their ferromagnetism lay in charge transfer processes from metal Cu to semiconductors Cu2O and ZnO. Owing to different metallization at their interfaces, these architectures exhibited different ferromagnetic behaviors, including coercivity, saturation magnetization as well as magnetic interactions. PMID:27680286

  12. Shapeable short circuit resistant capacitor

    DOEpatents

    Taylor, Ralph S.; Myers, John D.; Baney, William J.

    2015-10-06

    A ceramic short circuit resistant capacitor that is bendable and/or shapeable to provide a multiple layer capacitor that is extremely compact and amenable to desirable geometries. The capacitor that exhibits a benign failure mode in which a multitude of discrete failure events result in a gradual loss of capacitance. Each event is a localized event in which localized heating causes an adjacent portion of one or both of the electrodes to vaporize, physically cleaning away electrode material from the failure site. A first metal electrode, a second metal electrode, and a ceramic dielectric layer between the electrodes are thin enough to be formed in a serpentine-arrangement with gaps between the first electrode and the second electrode that allow venting of vaporized electrode material in the event of a benign failure.

  13. Magnetic states, correlation effects and metal-insulator transition in FCC lattice

    NASA Astrophysics Data System (ADS)

    Timirgazin, M. A.; Igoshev, P. A.; Arzhnikov, A. K.; Irkhin, V. Yu

    2016-12-01

    The ground-state magnetic phase diagram (including collinear and spiral states) of the single-band Hubbard model for the face-centered cubic lattice and related metal-insulator transition (MIT) are investigated within the slave-boson approach by Kotliar and Ruckenstein. The correlation-induced electron spectrum narrowing and a comparison with a generalized Hartree-Fock approximation allow one to estimate the strength of correlation effects. This, as well as the MIT scenario, depends dramatically on the ratio of the next-nearest and nearest electron hopping integrals {{t}\\prime}/t . In contrast with metallic state, possessing substantial band narrowing, insulator one is only weakly correlated. The magnetic (Slater) scenario of MIT is found to be superior over the Mott one. Unlike simple and body-centered cubic lattices, MIT is the first order transition (discontinuous) for most {{t}\\prime}/t . The insulator state is type-II or type-III antiferromagnet, and the metallic state is spin-spiral, collinear antiferromagnet or paramagnet depending on {{t}\\prime}/t . The picture of magnetic ordering is compared with that in the standard localized-electron (Heisenberg) model.

  14. Electrically Variable or Programmable Nonvolatile Capacitors

    NASA Technical Reports Server (NTRS)

    Shangqing, Liu; NaiJuan, Wu; Ignatieu, Alex; Jianren, Li

    2009-01-01

    Electrically variable or programmable capacitors based on the unique properties of thin perovskite films are undergoing development. These capacitors show promise of overcoming two important deficiencies of prior electrically programmable capacitors: Unlike in the case of varactors, it is not necessary to supply power continuously to make these capacitors retain their capacitance values. Hence, these capacitors may prove useful as components of nonvolatile analog and digital electronic memories. Unlike in the case of ferroelectric capacitors, it is possible to measure the capacitance values of these capacitors without changing the values. In other words, whereas readout of ferroelectric capacitors is destructive, readout of these capacitors can be nondestructive. A capacitor of this type is a simple two terminal device. It includes a thin film of a suitable perovskite as the dielectric layer, sandwiched between two metal or metal oxide electrodes (for example, see Figure 1). The utility of this device as a variable capacitor is based on a phenomenon, known as electrical-pulse-induced capacitance (EPIC), that is observed in thin perovskite films and especially in those thin perovskite films that exhibit the colossal magnetoresistive (CMR) effect. In EPIC, the application of one or more electrical pulses that exceed a threshold magnitude (typically somewhat less than 1 V) gives rise to a nonvolatile change in capacitance. The change in capacitance depends on the magnitude duration, polarity, and number of pulses. It is not necessary to apply a magnetic field or to cool the device below (or heat it above) room temperature to obtain EPIC. Examples of suitable CMR perovskites include Pr(1-x)Ca(x)MnO3, La(1-x)S-r(x)MnO3,and Nb(1-x)Ca(x)MnO3. Figure 2 is a block diagram showing an EPIC capacitor connected to a circuit that can vary the capacitance, measure the capacitance, and/or measure the resistance of the capacitor.

  15. Capacitors with low equivalent series resistance

    NASA Technical Reports Server (NTRS)

    Lakeman, Charles D. E. (Inventor); Fuge, Mark (Inventor); Fleig, Patrick Franz (Inventor)

    2011-01-01

    An electric double layer capacitor (EDLC) in a coin or button cell configuration having low equivalent series resistance (ESR). The capacitor comprises mesh or other porous metal that is attached via conducting adhesive to one or both the current collectors. The mesh is embedded into the surface of the adjacent electrode, thereby reducing the interfacial resistance between the electrode and the current collector, thus reducing the ESR of the capacitor.

  16. ALD-Developed Plasmonic Two-Dimensional Au-WO3-TiO2 Heterojunction Architectonics for Design of Photovoltaic Devices.

    PubMed

    Karbalaei Akbari, Mohammad; Hai, Zhenyin; Wei, Zihan; Detavernier, Christophe; Solano, Eduardo; Verpoort, Francis; Zhuiykov, Serge

    2018-03-28

    Electrically responsive plasmonic devices, which benefit from the privilege of surface plasmon excited hot carries, have supported fascinating applications in the visible-light-assisted technologies. The properties of plasmonic devices can be tuned by controlling charge transfer. It can be attained by intentional architecturing of the metal-semiconductor (MS) interfaces. In this study, the wafer-scaled fabrication of two-dimensional (2D) TiO 2 semiconductors on the granular Au metal substrate is achieved using the atomic layer deposition (ALD) technique. The ALD-developed 2D MS heterojunctions exhibited substantial enhancement of the photoresponsivity and demonstrated the improvement of response time for 2D Au-TiO 2 -based plasmonic devices under visible light illumination. To circumvent the undesired dark current in the plasmonic devices, a 2D WO 3 nanofilm (∼0.7 nm) was employed as the intermediate layer on the MS interface to develop the metal-insulator-semiconductor (MIS) 2D heterostructure. As a result, 13.4% improvement of the external quantum efficiency was obtained for fabricated 2D Au-WO 3 -TiO 2 heterojunctions. The impedancometry measurements confirmed the modulation of charge transfer at the 2D MS interface using MIS architectonics. Broadband photoresponsivity from the UV to the visible light region was observed for Au-TiO 2 and Au-WO 3 -TiO 2 heterostructures, whereas near-infrared responsivity was not observed. Consequently, considering the versatile nature of the ALD technique, this approach can facilitate the architecturing and design of novel 2D MS and MIS heterojunctions for efficient plasmonic devices.

  17. Organic memory device with self-assembly monolayered aptamer conjugated nanoparticles

    NASA Astrophysics Data System (ADS)

    Oh, Sewook; Kim, Minkeun; Kim, Yejin; Jung, Hunsang; Yoon, Tae-Sik; Choi, Young-Jin; Jung Kang, Chi; Moon, Myeong-Ju; Jeong, Yong-Yeon; Park, In-Kyu; Ho Lee, Hyun

    2013-08-01

    An organic memory structure using monolayered aptamer conjugated gold nanoparticles (Au NPs) as charge storage nodes was demonstrated. Metal-pentacene-insulator-semiconductor device was adopted for the non-volatile memory effect through self assembly monolayer of A10-aptamer conjugated Au NPs, which was formed on functionalized insulator surface with prostate-specific membrane antigen protein. The capacitance versus voltage (C-V) curves obtained for the monolayered Au NPs capacitor exhibited substantial flat-band voltage shift (ΔVFB) or memory window of 3.76 V under (+/-)7 V voltage sweep. The memory device format can be potentially expanded to a highly specific capacitive sensor for the aptamer-specific biomolecule detection.

  18. An Ultrathin Single Crystalline Relaxor Ferroelectric Integrated on a High Mobility Semiconductor.

    PubMed

    Moghadam, Reza M; Xiao, Zhiyong; Ahmadi-Majlan, Kamyar; Grimley, Everett D; Bowden, Mark; Ong, Phuong-Vu; Chambers, Scott A; Lebeau, James M; Hong, Xia; Sushko, Peter V; Ngai, Joseph H

    2017-10-11

    The epitaxial growth of multifunctional oxides on semiconductors has opened a pathway to introduce new functionalities to semiconductor device technologies. In particular, the integration of gate materials that enable nonvolatile or hysteretic functionality in field-effect transistors could lead to device technologies that consume less power or allow for novel modalities in computing. Here we present electrical characterization of ultrathin single crystalline SrZr x Ti 1-x O 3 (x = 0.7) films epitaxially grown on a high mobility semiconductor, Ge. Epitaxial films of SrZr x Ti 1-x O 3 exhibit relaxor behavior, characterized by a hysteretic polarization that can modulate the surface potential of Ge. We find that gate layers as thin as 5 nm corresponding to an equivalent-oxide thickness of just 1.0 nm exhibit a ∼2 V hysteretic window in the capacitance-voltage characteristics. The development of hysteretic metal-oxide-semiconductor capacitors with nanoscale gate thicknesses opens new vistas for nanoelectronic devices.

  19. Engineering an Insulating Ferroelectric Superlattice with a Tunable Band Gap from Metallic Components

    DOE PAGES

    Ghosh, Saurabh; Borisevich, Albina Y.; Pantelides, Sokrates T.

    2017-10-25

    The recent discovery of “polar metals” with ferroelectriclike displacements offers the promise of designing ferroelectrics with tunable energy gaps by inducing controlled metal-insulator transitions. Here in this work, we employ first-principles calculations to design a metallic polar superlattice from nonpolar metal components and show that controlled intermixing can lead to a true insulating ferroelectric with a tunable band gap. We consider a 2/2 superlattice made of two centrosymmetric metallic oxides, La 0.75Sr 0.25MnO 3 and LaNiO 3, and show that ferroelectriclike displacements are induced. The ferroelectriclike distortion is found to be strongly dependent on the carrier concentration (Sr content). Further,more » we show that a metal-to-insulator (MI) transition is feasible in this system via disproportionation of the Ni sites. Such a disproportionation and, hence, a MI transition can be driven by intermixing of transition metal ions between Mn and Ni layers. Finally, as a result, the energy gap of the resulting ferroelectric can be tuned by varying the degree of intermixing in the experimental fabrication method.« less

  20. Engineering an Insulating Ferroelectric Superlattice with a Tunable Band Gap from Metallic Components

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ghosh, Saurabh; Borisevich, Albina Y.; Pantelides, Sokrates T.

    The recent discovery of “polar metals” with ferroelectriclike displacements offers the promise of designing ferroelectrics with tunable energy gaps by inducing controlled metal-insulator transitions. Here in this work, we employ first-principles calculations to design a metallic polar superlattice from nonpolar metal components and show that controlled intermixing can lead to a true insulating ferroelectric with a tunable band gap. We consider a 2/2 superlattice made of two centrosymmetric metallic oxides, La 0.75Sr 0.25MnO 3 and LaNiO 3, and show that ferroelectriclike displacements are induced. The ferroelectriclike distortion is found to be strongly dependent on the carrier concentration (Sr content). Further,more » we show that a metal-to-insulator (MI) transition is feasible in this system via disproportionation of the Ni sites. Such a disproportionation and, hence, a MI transition can be driven by intermixing of transition metal ions between Mn and Ni layers. Finally, as a result, the energy gap of the resulting ferroelectric can be tuned by varying the degree of intermixing in the experimental fabrication method.« less

  1. Temperature dependence of frequency response characteristics in organic field-effect transistors

    NASA Astrophysics Data System (ADS)

    Lu, Xubing; Minari, Takeo; Liu, Chuan; Kumatani, Akichika; Liu, J.-M.; Tsukagoshi, Kazuhito

    2012-04-01

    The frequency response characteristics of semiconductor devices play an essential role in the high-speed operation of electronic devices. We investigated the temperature dependence of dynamic characteristics in pentacene-based organic field-effect transistors and metal-insulator-semiconductor capacitors. As the temperature decreased, the capacitance-voltage characteristics showed large frequency dispersion and a negative shift in the flat-band voltage at high frequencies. The cutoff frequency shows Arrhenius-type temperature dependence with different activation energy values for various gate voltages. These phenomena demonstrate the effects of charge trapping on the frequency response characteristics, since decreased mobility prevents a fast charge response for alternating current signals at low temperatures.

  2. Physicochemical and Electrophysical Properties of Metal/Semiconductor Containing Nanostructured Composites

    NASA Astrophysics Data System (ADS)

    Gerasimov, G. N.; Gromov, V. F.; Trakhtenberg, L. I.

    2018-06-01

    The properties of nanostructured composites based on metal oxides and metal-polymer materials are analyzed, along with ways of preparing them. The effect the interaction between metal and semiconductor nanoparticles has on the conductivity, photoconductivity, catalytic activity, and magnetic, dielectric, and sensor properties of nanocomposites is discussed. It is shown that as a result of this interaction, a material can acquire properties that do not exist in systems of isolated particles. The transfer of electrons between metal particles of different sizes in polymeric matrices leads to specific dielectric losses, and to an increase in the rate and a change in the direction of chemical reactions catalyzed by these particles. The interaction between metal-oxide semiconductor particles results in the electronic and chemical sensitization of sensor effects in nanostructured composite materials. Studies on creating molecular machines (Brownian motors), devices for magnetic recording of information, and high-temperature superconductors based on nanostructured systems are reviewed.

  3. Antimonene Oxides: Emerging Tunable Direct Bandgap Semiconductor and Novel Topological Insulator.

    PubMed

    Zhang, Shengli; Zhou, Wenhan; Ma, Yandong; Ji, Jianping; Cai, Bo; Yang, Shengyuan A; Zhu, Zhen; Chen, Zhongfang; Zeng, Haibo

    2017-06-14

    Highly stable antimonene, as the cousin of phosphorene from group-VA, has opened up exciting realms in the two-dimensional (2D) materials family. However, pristine antimonene is an indirect band gap semiconductor, which greatly restricts its applications for optoelectronics devices. Identifying suitable materials, both responsive to incident photons and efficient for carrier transfer, is urgently needed for ultrathin devices. Herein, by means of first-principles computations we found that it is rather feasible to realize a new class of 2D materials with a direct bandgap and high carrier mobility, namely antimonene oxides with different content of oxygen. Moreover, these tunable direct bandgaps cover a wide range from 0 to 2.28 eV, which are crucial for solar cell and photodetector applications. Especially, the antimonene oxide (18Sb-18O) is a 2D topological insulator with a sizable global bandgap of 177 meV, which has a nontrivial Z 2 topological invariant in the bulk and the topological states on the edge. Our findings not only introduce new vitality into 2D group-VA materials family and enrich available candidate materials in this field but also highlight the potential of these 2D semiconductors as appealing ultrathin materials for future flexible electronics and optoelectronics devices.

  4. Pressure-induced metal-insulator transitions in chalcogenide NiS2-xSex

    NASA Astrophysics Data System (ADS)

    Hussain, Tayyaba; Oh, Myeong-jun; Nauman, Muhammad; Jo, Younjung; Han, Garam; Kim, Changyoung; Kang, Woun

    2018-05-01

    We report the temperature-dependent resistivity ρ(T) of chalcogenide NiS2-xSex (x = 0.1) using hydrostatic pressure as a control parameter in the temperature range of 4-300 K. The insulating behavior of ρ(T) survives at low temperatures in the pressure regime below 7.5 kbar, whereas a clear insulator-to-metallic transition is observed above 7.5 kbar. Two types of magnetic transitions, from the paramagnetic (PM) to the antiferromagnetic (AFM) state and from the AFM state to the weak ferromagnetic (WF) state, were evaluated and confirmed by magnetization measurement. According to the temperature-pressure phase diagram, the WF phase survives up to 7.5 kbar, and the transition temperature of the WF transition decreases as the pressure increases, whereas the metal-insulator transition temperature increases up to 9.4 kbar. We analyzed the metallic behavior and proposed Fermi-liquid behavior of NiS1.9Se0.1.

  5. Metal insulator transitions in perovskite SrIrO{sub 3} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Biswas, Abhijit; Jeong, Yoon Hee, E-mail: yhj@postech.ac.kr; Kim, Ki-Seok

    Understanding of metal insulator transitions in a strongly correlated system, driven by Anderson localization (disorder) and/or Mott localization (correlation), is a long standing problem in condensed matter physics. The prevailing fundamental question would be how these two mechanisms contrive to accomplish emergent anomalous behaviors. Here, we have grown high quality perovskite SrIrO{sub 3} thin films, containing a strong spin orbit coupled 5d element Ir, on various substrates such as GdScO{sub 3} (110), DyScO{sub 3} (110), SrTiO{sub 3} (001), and NdGaO{sub 3} (110) with increasing lattice mismatch, in order to carry out a systematic study on the transport properties. We foundmore » that metal insulator transitions can be induced in this system; by either reducing thickness (on best lattice matched substrate) or changing degree of lattice strain (by lattice mismatch between film and substrates) of films. Surprisingly these two pathways seek two distinct types of metal insulator transitions; the former falls into disorder driven Anderson type whereas the latter turns out to be of unconventional Mott-Anderson type with the interplay of disorder and correlation. More interestingly, in the metallic phases of SrIrO{sub 3}, unusual non-Fermi liquid characteristics emerge in resistivity as Δρ ∝ T{sup ε} with ε evolving from 4/5 to 1 to 3/2 with increasing lattice strain. We discuss theoretical implications of these phenomena to shed light on the metal insulator transitions.« less

  6. Humidity Testing of PME and BME Ceramic Capacitors with Cracks

    NASA Technical Reports Server (NTRS)

    Teverovsky, Alexander A.; Herzberger, Jaemi

    2014-01-01

    Cracks in ceramic capacitors are one of the major causes of failures during operation of electronic systems. Humidity testing has been successfully used for many years to verify the absence of cracks and assure quality of military grade capacitors. Traditionally, only precious metal electrode (PME) capacitors were used in high reliability applications and the existing requirements for humidity testing were developed for this type of parts. With the advance of base metal electrode (BME) capacitors, there is a need for assessment of the applicability of the existing techniques for the new technology capacitors. In this work, variety of different PME and BME capacitors with introduced cracks were tested in humid environments at different voltages and temperatures. Analysis of the test results indicates differences in the behavior and failure mechanisms for BME and PME capacitors and the need for different testing conditions.

  7. Realization of synaptic learning and memory functions in Y2O3 based memristive device fabricated by dual ion beam sputtering

    NASA Astrophysics Data System (ADS)

    Das, Mangal; Kumar, Amitesh; Singh, Rohit; Than Htay, Myo; Mukherjee, Shaibal

    2018-02-01

    Single synaptic device with inherent learning and memory functions is demonstrated based on a forming-free amorphous Y2O3 (yttria) memristor fabricated by dual ion beam sputtering system. Synaptic functions such as nonlinear transmission characteristics, long-term plasticity, short-term plasticity and ‘learning behavior (LB)’ are achieved using a single synaptic device based on cost-effective metal-insulator-semiconductor (MIS) structure. An ‘LB’ function is demonstrated, for the first time in the literature, for a yttria based memristor, which bears a resemblance to certain memory functions of biological systems. The realization of key synaptic functions in a cost-effective MIS structure would promote much cheaper synapse for artificial neural network.

  8. Thermal stability of atomic layer deposition Al2O3 film on HgCdTe

    NASA Astrophysics Data System (ADS)

    Zhang, P.; Sun, C. H.; Zhang, Y.; Chen, X.; He, K.; Chen, Y. Y.; Ye, Z. H.

    2015-06-01

    Thermal stability of Atomic Layer Deposition Al2O3 film on HgCdTe was investigated by Al2O3 film post-deposition annealing treatment and Metal-Insulator-Semiconductor device low-temperature baking treatment. The effectiveness of Al2O3 film was evaluated by measuring the minority carrier lifetime and capacitance versus voltage characteristics. After annealing treatment, the minority carrier lifetime of the HgCdTe sample presented a slight decrease. Furthermore, the fixed charge density and the slow charge density decreased significantly in the annealed MIS device. After baking treatment, the fixed charge density and the slow charge density of the unannealed and annealed MIS devices decreased and increased, respectively.

  9. A new constituent of electrostatic energy in semiconductors. An attempt to reformulate electrostatic energy in matter

    NASA Astrophysics Data System (ADS)

    Sallese, Jean-Michel

    2016-06-01

    The concept of electric energy is revisited in detail for semiconductors. We come to the conclusion that the main relationship used to calculate the energy related to the penetration of the electric field in semiconductors is missing a fundamental term. For instance, spatial derivate of the electrostatic energy using the traditional formula fails at giving the correct electrostatic force between semiconductor based capacitor plates, and reveals unambiguously the existence of an extra contribution to the standard electrostatic free energy. The additional term is found to be related to the generation of space charge regions which are predicted when combining electrostatics with semiconductor physics laws, such as for accumulation and inversion layers. On the contrary, no such energy is needed when relying on electrostatics only, as for instance when adopting the so-called full depletion approximation. The same holds for neutral and charged insulators that are still consistent with the customary definition, but these two examples are in fact singular cases. In semiconductors for instance, this additional energy can largely exceed the energy gained by the dipoles, thus becoming the dominant term. This unexpected result clearly asks for a generalization of electrostatic energy in matter in order to reconcile basic concepts of electrostatic energy in the framework of classical physics.

  10. Magnetic and metal-insulator transitions in coupled spin-fermion systems

    DOE PAGES

    Mondaini, R.; Paiva, T.; Scalettar, R. T.

    2014-10-14

    We use quantum Monte Carlo to determine the magnetic and transport properties of coupled square lattice spin and fermionic planes as a model for a metal-insulator interface. Specifically, layers of Ising spins with an intra-layer exchange constant J interact with the electronic spins of several adjoining metallic sheets via a coupling JH. When the chemical potential cuts across the band center, that is, at half-filling, the Neel temperature of antiferromagnetic (J > 0) Ising spins is enhanced by the coupling to the metal, while in the ferromagnetic case (J < 0) the metallic degrees of freedom reduce the ordering temperature.more » In the former case, a gap opens in the fermionic spectrum, driving insulating behavior, and the electron spins also order. This induced antiferromagnetism penetrates more weakly as the distance from the interface increases, and also exhibits a non-monotonic dependence on JH. For doped lattices an interesting charge disproportionation occurs where electrons move to the interface layer to maintain half-filling there.« less

  11. Evaluating nanoscale ultra-thin metal films by means of lateral photovoltaic effect in metal-semiconductor structure.

    PubMed

    Zheng, Diyuan; Yu, Chongqi; Zhang, Qian; Wang, Hui

    2017-12-15

    Nanoscale metal-semiconductor (MS) structure materials occupy an important position in semiconductor and microelectronic field due to their abundant physical phenomena and effects. The thickness of metal films is a critical factor in determining characteristics of MS devices. How to detect or evaluate the metal thickness is always a key issue for realizing high performance MS devices. In this work, we propose a direct surface detection by use of the lateral photovoltaic effect (LPE) in MS structure, which can not only measure nanoscale thickness, but also detect the fluctuation of metal films. This method is based on the fact that the output of lateral photovoltaic voltage (LPV) is closely linked with the metal thickness at the laser spot. We believe this laser-based contact-free detection is a useful supplement to the traditional methods, such as AFM, SEM, TEM or step profiler. This is because these traditional methods are always incapable of directly detecting ultra-thin metal films in MS structure materials.

  12. Evaluating nanoscale ultra-thin metal films by means of lateral photovoltaic effect in metal-semiconductor structure

    NASA Astrophysics Data System (ADS)

    Zheng, Diyuan; Yu, Chongqi; Zhang, Qian; Wang, Hui

    2017-12-01

    Nanoscale metal-semiconductor (MS) structure materials occupy an important position in semiconductor and microelectronic field due to their abundant physical phenomena and effects. The thickness of metal films is a critical factor in determining characteristics of MS devices. How to detect or evaluate the metal thickness is always a key issue for realizing high performance MS devices. In this work, we propose a direct surface detection by use of the lateral photovoltaic effect (LPE) in MS structure, which can not only measure nanoscale thickness, but also detect the fluctuation of metal films. This method is based on the fact that the output of lateral photovoltaic voltage (LPV) is closely linked with the metal thickness at the laser spot. We believe this laser-based contact-free detection is a useful supplement to the traditional methods, such as AFM, SEM, TEM or step profiler. This is because these traditional methods are always incapable of directly detecting ultra-thin metal films in MS structure materials.

  13. Role of thermal heating on the voltage induced insulator-metal transition in VO2.

    PubMed

    Zimmers, A; Aigouy, L; Mortier, M; Sharoni, A; Wang, Siming; West, K G; Ramirez, J G; Schuller, Ivan K

    2013-02-01

    We show that the main mechanism for the dc voltage or dc current induced insulator-metal transition in vanadium dioxide VO(2) is due to local Joule heating and not a purely electronic effect. This "tour de force" experiment was accomplished by using the fluorescence spectra of rare-earth doped micron sized particles as local temperature sensors. As the insulator-metal transition is induced by a dc voltage or dc current, the local temperature reaches the transition temperature indicating that Joule heating plays a predominant role. This has critical implications for the understanding of the dc voltage or dc current induced insulator-metal transition and has a direct impact on applications which use dc voltage or dc current to externally drive the transition.

  14. Electrode influence on the number of oxygen vacancies at the gate/high-κ dielectric interface in nanoscale MIM capacitors

    NASA Astrophysics Data System (ADS)

    Stojanovska-Georgievska, Lihnida

    2015-02-01

    In this paper, a particular attention has been paid in determining the impact of the type of top electrode (the gate), on the overall characteristics of the examined metal-insulator-metal structures, that contain doped Ta2O5:Hf high-κ dielectric as an insulator. For that purpose MIM capacitors with different metal gates (conventional Al and also W, Au, Pt, Mo, TiN, Ta) were formed. The results obtained, consider both the influence of metal work function and oxygen affinity, as possible reasons for increasing of number of oxygen vacancies at the gate/dielectric interface. Here we use capacitance-voltage alteration (C-V measurements) under constant current stress (CCS) conditions as characterization technique. The measurements show grater creation of positive oxygen vacancies in the case of metal electrodes with high work function, like Au and Pt, for almost one order of magnitude. It is also indicative that these metals have also the lowest values of heat of oxygen formation, which also favors the creation of oxygen vacancies. All results are discussed taking into consideration the nanoscale thickness of the dielectric layer (of the order of 8 nm), implicating the stronger effect of interface properties on the overall behavior rather than the one originating from the bulk of material.

  15. Mott transition between a spin-liquid insulator and a metal in three dimensions.

    PubMed

    Podolsky, Daniel; Paramekanti, Arun; Kim, Yong Baek; Senthil, T

    2009-05-08

    We study a bandwidth controlled Mott metal-insulator transition (MIT) from a Fermi-liquid metal to a quantum spin-liquid insulator in three dimensions. Using a slave rotor approach including gauge fluctuations, we obtain a continuous MIT and discuss finite temperature crossovers in its vicinity. We show that the specific heat C approximately Tlnln(1/T) at the MIT and that the metallic state near the MIT should exhibit a "conductivity minimum" as a function of temperature. We suggest Na4Ir3O8 as a candidate to test our predictions and compute its electron spectral function at the MIT.

  16. MSM-Metal Semiconductor Metal Photo-detector Using Black Silicon Germanium (SiGe) for Extended Wavelength Near Infrared Detection

    DTIC Science & Technology

    2012-09-01

    MSM) photodectors fabricated using black silicon-germanium on silicon substrate (Si1–xGex//Si) for I-V, optical response, external quantum ...material for Si for many applications in low-power and high-speed semiconductor device technologies (4, 5). It is a promising material for quantum well ...MSM-Metal Semiconductor Metal Photo-detector Using Black Silicon Germanium (SiGe) for Extended Wavelength Near Infrared Detection by Fred

  17. Quantum metallicity on the high-field side of the superconductor-insulator transition.

    PubMed

    Baturina, T I; Strunk, C; Baklanov, M R; Satta, A

    2007-03-23

    We investigate ultrathin superconducting TiN films, which are very close to the localization threshold. Perpendicular magnetic field drives the films from the superconducting to an insulating state, with very high resistance. Further increase of the magnetic field leads to an exponential decay of the resistance towards a finite value. In the limit of low temperatures, the saturation value can be very accurately extrapolated to the universal quantum resistance h/e2. Our analysis suggests that at high magnetic fields a new ground state, distinct from the normal metallic state occurring above the superconducting transition temperature, is formed. A comparison with other studies on different materials indicates that the quantum metallic phase following the magnetic-field-induced insulating phase is a generic property of systems close to the disorder-driven superconductor-insulator transition.

  18. Low-temperature electron cyclotron resonance plasma-enhanced chemical-vapor deposition silicon dioxide as gate insulator for polycrystalline silicon thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maiolo, L.; Pecora, A.; Fortunato, G.

    2006-03-15

    Silicon dioxide films have been deposited at temperatures below 270 deg. C in an electron cyclotron resonance (ECR) plasma reactor from O{sub 2}, SiH{sub 4}, and He gas mixture. Pinhole density analysis as a function of substrate temperature for different microwave powers was carried out. Films deposited at higher microwave power and at room temperature show defect densities (<7 pinhole/mm{sup 2}), ensuring low-temperature process integration on large area. From Fourier transform infrared analysis and thermal desorption spectrometry we also evaluated very low hydrogen content if compared to conventional rf-plasma-enhanced chemical-vapor-deposited (PECVD) SiO{sub 2} deposited at 350 deg. C. Electrical propertiesmore » have been measured in metal-oxide-semiconductor (MOS) capacitors, depositing SiO{sub 2} at RT as gate dielectric; breakdown electric fields >10 MV/cm and charge trapping at fields >6 MV/cm have been evaluated. From the study of interface quality in MOS capacitors, we found that even for low annealing temperature (200 deg. C), it is possible to considerably reduce the interface state density down to 5x10{sup 11} cm{sup -2} eV{sup -1}. To fully validate the ECR-PECVD silicon dioxide we fabricated polycrystalline silicon thin-film transistors using RT-deposited SiO{sub 2} as gate insulator. Different postdeposition thermal treatments have been studied and good device characteristics were obtained even for annealing temperature as low as 200 deg. C.« less

  19. Covalency and the metal-insulator transition in titanate and vanadate perovskites

    NASA Astrophysics Data System (ADS)

    Dang, Hung T.; Millis, Andrew J.; Marianetti, Chris A.

    2014-04-01

    A combination of density functional and dynamical mean-field theory is applied to the perovskites SrVO3, LaTiO3, and LaVO3. We show that DFT + DMFT in conjunction with the standard fully localized-limit (FLL) double-counting predicts that LaTiO3 and LaVO3 are metals even though experimentally they are correlation-driven ("Mott") insulators. In addition, the FLL double counting implies a splitting between oxygen p and transition metal d levels, which differs from experiment. Introducing into the theory an ad hoc double counting correction, which reproduces the experimentally measured insulating gap leads also to a p-d splitting consistent with experiment if the on-site interaction U is chosen in a relatively narrow range (˜6±1 eV). The results indicate that these early transition metal oxides will serve as critical test for the formulation of a general ab initio theory of correlated electron metals.

  20. Transition-metal impurities in semiconductors and heterojunction band lineups

    NASA Astrophysics Data System (ADS)

    Langer, Jerzy M.; Delerue, C.; Lannoo, M.; Heinrich, Helmut

    1988-10-01

    The validity of a recent proposal that transition-metal impurity levels in semiconductors may serve as a reference in band alignment in semiconductor heterojunctions is positively verified by using the most recent data on band offsets in the following lattice-matched heterojunctions: Ga1-xAlxAs/GaAs, In1-xGaxAsyP1-y/InP, In1-xGaxP/GaAs, and Cd1-xHgxTe/CdTe. The alignment procedure is justified theoretically by showing that transition-metal energy levels are effectively pinned to the average dangling-bond energy level, which serves as the reference level for the heterojunction band alignment. Experimental and theoretical arguments showing that an increasingly popular notion on transition-metal energy-level pinning to the vacuum level is unjustified and must be abandoned in favor of the internal-reference rule proposed recently [J. M. Langer and H. Heinrich, Phys. Rev. Lett. 55, 1414 (1985)] are presented.

  1. Linearized self-consistent quasiparticle GW method: Application to semiconductors and simple metals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kutepov, A. L.; Oudovenko, V. S.; Kotliar, G.

    We present a code implementing the linearized self-consistent quasiparticle GW method (QSGW) in the LAPW basis. Our approach is based on the linearization of the self-energy around zero frequency which differs it from the existing implementations of the QSGW method. The linearization allows us to use Matsubara frequencies instead of working on the real axis. This results in efficiency gains by switching to the imaginary time representation in the same way as in the space time method. The all electron LAPW basis set eliminates the need for pseudopotentials. We discuss the advantages of our approach, such as its N 3more » scaling with the system size N, as well as its shortcomings. We apply our approach to study the electronic properties of selected semiconductors, insulators, and simple metals and show that our code produces the results very close to the previously published QSGW data. Our implementation is a good platform for further many body diagrammatic resummations such as the vertex-corrected GW approach and the GW+DMFT method.« less

  2. Linearized self-consistent quasiparticle GW method: Application to semiconductors and simple metals

    DOE PAGES

    Kutepov, A. L.; Oudovenko, V. S.; Kotliar, G.

    2017-06-23

    We present a code implementing the linearized self-consistent quasiparticle GW method (QSGW) in the LAPW basis. Our approach is based on the linearization of the self-energy around zero frequency which differs it from the existing implementations of the QSGW method. The linearization allows us to use Matsubara frequencies instead of working on the real axis. This results in efficiency gains by switching to the imaginary time representation in the same way as in the space time method. The all electron LAPW basis set eliminates the need for pseudopotentials. We discuss the advantages of our approach, such as its N 3more » scaling with the system size N, as well as its shortcomings. We apply our approach to study the electronic properties of selected semiconductors, insulators, and simple metals and show that our code produces the results very close to the previously published QSGW data. Our implementation is a good platform for further many body diagrammatic resummations such as the vertex-corrected GW approach and the GW+DMFT method.« less

  3. Electronic structure of metal-semiconductor nanojunctions in gold CdSe nanodumbbells.

    PubMed

    Steiner, D; Mokari, T; Banin, U; Millo, O

    2005-07-29

    The electronic properties of metal-semiconductor nanojunctions are investigated by scanning tunneling spectroscopy of gold-tipped CdSe rods. A gap similar to that in bare CdSe nanorods is observed near the nanodumbbell center, while subgap structure emerges near the metal-semiconductor nanocontact. This behavior is attributed to the formation of subgap interface states that vanish rapidly towards the center of the rod, consistent with theoretical predictions. These states lead also to modified Coulomb staircase, and in some cases to negative differential conductance, on the gold tips.

  4. Nanostructured Electrode Materials for Electrochemical Capacitor Applications.

    PubMed

    Choi, Hojin; Yoon, Hyeonseok

    2015-06-02

    The advent of novel organic and inorganic nanomaterials in recent years, particularly nanostructured carbons, conducting polymers, and metal oxides, has enabled the fabrication of various energy devices with enhanced performance. In this paper, we review in detail different nanomaterials used in the fabrication of electrochemical capacitor electrodes and also give a brief overview of electric double-layer capacitors, pseudocapacitors, and hybrid capacitors. From a materials point of view, the latest trends in electrochemical capacitor research are also discussed through extensive analysis of the literature and by highlighting notable research examples (published mostly since 2013). Finally, a perspective on next-generation capacitor technology is also given, including the challenges that lie ahead.

  5. MBE Growth of Ferromagnetic Metal/Compound Semiconductor Heterostructures for Spintronics

    ScienceCinema

    Palmstrom, Chris [University of California, Santa Barbara, California, United States

    2017-12-09

    Electrical transport and spin-dependent transport across ferromagnet/semiconductor contacts is crucial in the realization of spintronic devices. Interfacial reactions, the formation of non-magnetic interlayers, and conductivity mismatch have been attributed to low spin injection efficiency. MBE has been used to grow epitaxial ferromagnetic metal/GA(1-x)AL(x)As heterostructures with the aim of controlling the interfacial structural, electronic, and magnetic properties. In situ, STM, XPS, RHEED and LEED, and ex situ XRD, RBS, TEM, magnetotransport, and magnetic characterization have been used to develop ferromagnetic elemental and metallic compound/compound semiconductor tunneling contacts for spin injection. The efficiency of the spin polarized current injected from the ferromagnetic contact has been determined by measuring the electroluminescence polarization of the light emitted from/GA(1-x)AL(x)As light-emitting diodes as a function of applied magnetic field and temperature. Interfacial reactions during MBE growth and post-growth anneal, as well as the semiconductor device band structure, were found to have a dramatic influence on the measured spin injection, including sign reversal. Lateral spin-transport devices with epitaxial ferromagnetic metal source and drain tunnel barrier contacts have been fabricated with the demonstration of electrical detection and the bias dependence of spin-polarized electron injection and accumulation at the contacts. This talk emphasizes the progress and achievements in the epitaxial growth of a number of ferromagnetic compounds/III-V semiconductor heterostructures and the progress towards spintronic devices.

  6. Orientation-adjusted anomalous insulator-metal transition in NdNiO3/LaMnO3 bilayers

    NASA Astrophysics Data System (ADS)

    Pan, S. Y.; Shi, L.; Zhao, J. Y.; Zhou, S. M.; Xu, X. M.

    2018-04-01

    NdNiO3/LaMnO3 (NNO/LMO) bilayers were epitaxially grown on SrTiO3 (STO) substrates with different orientations by the polymer-assisted deposition technique. A well crystallization quality of the bilayers is confirmed by X-ray diffraction. Two consecutive transitions, an anomalous insulator-metal transition at ˜100 K followed by the typical metal-insulator transition at ˜171 K, are observed in the (001)-oriented NNO/LMO/STO bilayer. The anomalous insulator-metal transition temperature increases to 142 K for the (111)-oriented NNO/LMO/STO bilayer. Meanwhile, the magnetic properties of the NNO/LMO bilayers show an obvious difference with [100] and [111] orientations. Considering the different strain directions and the related oxygen octahedral distortion/rotation, it is suggested that the magnetic changes and the low-temperature anomalous insulator-metal transition in the NNO/LMO bilayers are attributed to the strong interlayer exchange coupling and charge transfer adjusted by the substrate orientation, which can be an effective technique to tune the properties of transition-metal oxide films.

  7. Charge transport in nanoscale "all-inorganic" networks of semiconductor nanorods linked by metal domains.

    PubMed

    Lavieville, Romain; Zhang, Yang; Casu, Alberto; Genovese, Alessandro; Manna, Liberato; Di Fabrizio, Enzo; Krahne, Roman

    2012-04-24

    Charge transport across metal-semiconductor interfaces at the nanoscale is a crucial issue in nanoelectronics. Chains of semiconductor nanorods linked by Au particles represent an ideal model system in this respect, because the metal-semiconductor interface is an intrinsic feature of the nanosystem and does not manifest solely as the contact to the macroscopic external electrodes. Here we investigate charge transport mechanisms in all-inorganic hybrid metal-semiconductor networks fabricated via self-assembly in solution, in which CdSe nanorods were linked to each other by Au nanoparticles. Thermal annealing of our devices changed the morphology of the networks and resulted in the removal of small Au domains that were present on the lateral nanorod facets, and in ripening of the Au nanoparticles in the nanorod junctions with more homogeneous metal-semiconductor interfaces. In such thermally annealed devices the voltage dependence of the current at room temperature can be well described by a Schottky barrier lowering at a metal semiconductor contact under reverse bias, if the spherical shape of the gold nanoparticles is considered. In this case the natural logarithm of the current does not follow the square-root dependence of the voltage as in the bulk, but that of V(2/3). From our fitting with this model we extract the effective permittivity that agrees well with theoretical predictions for the permittivity near the surface of CdSe nanorods. Furthermore, the annealing improved the network conductance at cryogenic temperatures, which could be related to the reduction of the number of trap states.

  8. Metal-doped semiconductor nanoparticles and methods of synthesis thereof

    NASA Technical Reports Server (NTRS)

    Ren, Zhifeng (Inventor); Wang, Wenzhong (Inventor); Chen, Gang (Inventor); Dresselhaus, Mildred (Inventor); Poudel, Bed (Inventor); Kumar, Shankar (Inventor)

    2009-01-01

    The present invention generally relates to binary or higher order semiconductor nanoparticles doped with a metallic element, and thermoelectric compositions incorporating such nanoparticles. In one aspect, the present invention provides a thermoelectric composition comprising a plurality of nanoparticles each of which includes an alloy matrix formed of a Group IV element and Group VI element and a metallic dopant distributed within the matrix.

  9. Metal-doped semiconductor nanoparticles and methods of synthesis thereof

    DOEpatents

    Ren, Zhifeng [Newton, MA; Chen, Gang [Carlisle, MA; Poudel, Bed [West Newton, MA; Kumar, Shankar [Newton, MA; Wang, Wenzhong [Beijing, CN; Dresselhaus, Mildred [Arlington, MA

    2009-09-08

    The present invention generally relates to binary or higher order semiconductor nanoparticles doped with a metallic element, and thermoelectric compositions incorporating such nanoparticles. In one aspect, the present invention provides a thermoelectric composition comprising a plurality of nanoparticles each of which includes an alloy matrix formed of a Group IV element and Group VI element and a metallic dopant distributed within the matrix.

  10. Metal Building Insulation System Provides Energy Savings and Noise Control.

    ERIC Educational Resources Information Center

    School Business Affairs, 1979

    1979-01-01

    Thermal efficiency increased substantially when an underdeck metal building insulation system was used at the North Valley Occupational Center, an aircraft mechanic's school located at the edge of the Van Nuys, California, airport. (Author)

  11. 49 CFR 178.358 - Specification 21PF fire and shock resistant, phenolic-foam insulated, metal overpack.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 49 Transportation 3 2011-10-01 2011-10-01 false Specification 21PF fire and shock resistant, phenolic-foam insulated, metal overpack. 178.358 Section 178.358 Transportation Other Regulations Relating...) Materials § 178.358 Specification 21PF fire and shock resistant, phenolic-foam insulated, metal overpack. ...

  12. Thin-film metal coated insulation barrier in a Josephson tunnel junction. [Patent application

    DOEpatents

    Hawkins, G.A.; Clarke, J.

    1975-10-31

    A highly stable, durable, and reproducible Josephson tunnel junction consists of a thin-film electrode of a hard superconductor, a thin oxide insulation layer over the electrode constituting a Josephson tunnel junction barrier, a thin-film layer of stabilizing metal over the barrier, and a second thin-film hard superconductive electrode over the stabilizing film. The thin stabilizing metal film is made only thick enough to limit penetration of the electrode material through the insulation layer so as to prevent a superconductive short.

  13. Single-step fabrication of thin-film linear variable bandpass filters based on metal-insulator-metal geometry.

    PubMed

    Williams, Calum; Rughoobur, Girish; Flewitt, Andrew J; Wilkinson, Timothy D

    2016-11-10

    A single-step fabrication method is presented for ultra-thin, linearly variable optical bandpass filters (LVBFs) based on a metal-insulator-metal arrangement using modified evaporation deposition techniques. This alternate process methodology offers reduced complexity and cost in comparison to conventional techniques for fabricating LVBFs. We are able to achieve linear variation of insulator thickness across a sample, by adjusting the geometrical parameters of a typical physical vapor deposition process. We demonstrate LVBFs with spectral selectivity from 400 to 850 nm based on Ag (25 nm) and MgF2 (75-250 nm). Maximum spectral transmittance is measured at ∼70% with a Q-factor of ∼20.

  14. Mode conversion in metal-insulator-metal waveguide with a shifted cavity

    NASA Astrophysics Data System (ADS)

    Wang, Yueke; Yan, Xin

    2018-01-01

    We propose a method, which is utilized to achieve the plasmonic mode conversion in metal-insulator-metal (MIM) waveguide, theoretically. Our proposed structure is composed of bus waveguides and a shifted cavity. The shifted cavity can choose out a plasmonic mode (a- or s-mode) when it is in Fabry-Perot (FP) resonance. The length of the shifted cavity L is carefully chosen, and our structure can achieve the mode conversion between a- and s-mode in the communication region. Besides, our proposed structure can also achieve plasmonic mode-division multiplexing. All the numerical simulations are carried on by the finite element method to verify our design.

  15. Helicon wave excitation to produce energetic electrons for manufacturing semiconductors

    DOEpatents

    Molvik, Arthur W.; Ellingboe, Albert R.

    1998-01-01

    A helicon plasma source is controlled by varying the axial magnetic field or rf power controlling the formation of the helicon wave. An energetic electron current is carried on the wave when the magnetic field is 90 G; but there is minimal energetic electron current when the magnetic field is 100 G in one particular plasma source. Similar performance can be expected from other helicon sources by properly adjusting the magnetic field and power to the particular geometry. This control for adjusting the production of energetic electrons can be used in the semiconductor and thin-film manufacture process. By applying energetic electrons to the insulator layer, such as silicon oxide, etching ions are attracted to the insulator layer and bombard the insulator layer at higher energy than areas that have not accumulated the energetic electrons. Thus, silicon and metal layers, which can neutralize the energetic electron currents will etch at a slower or non-existent rate. This procedure is especially advantageous in the multilayer semiconductor manufacturing because trenches can be formed that are in the range of 0.18-0.35 mm or less.

  16. Helicon wave excitation to produce energetic electrons for manufacturing semiconductors

    DOEpatents

    Molvik, A.W.; Ellingboe, A.R.

    1998-10-20

    A helicon plasma source is controlled by varying the axial magnetic field or rf power controlling the formation of the helicon wave. An energetic electron current is carried on the wave when the magnetic field is 90 G; but there is minimal energetic electron current when the magnetic field is 100 G in one particular plasma source. Similar performance can be expected from other helicon sources by properly adjusting the magnetic field and power to the particular geometry. This control for adjusting the production of energetic electrons can be used in the semiconductor and thin-film manufacture process. By applying energetic electrons to the insulator layer, such as silicon oxide, etching ions are attracted to the insulator layer and bombard the insulator layer at higher energy than areas that have not accumulated the energetic electrons. Thus, silicon and metal layers, which can neutralize the energetic electron currents will etch at a slower or non-existent rate. This procedure is especially advantageous in the multilayer semiconductor manufacturing because trenches can be formed that are in the range of 0.18--0.35 mm or less. 16 figs.

  17. Semiconductor-metal transition of Se in Ru-Se Catalyst Nanoparticles

    NASA Astrophysics Data System (ADS)

    Babu, P. K.; Lewera, Adam; Oldfield, Eric; Wieckowski, Andrzej

    2009-03-01

    Ru-Se composite nanoparticles are promising catalysts for the oxygen reduction reaction (ORR) in fuel cells. Though the role of Se in enhancing the chemical stability of Ru nanoparticles is well established, the microscopic nature of Ru-Se interaction was not clearly understood. We carried out a combined investigation of ^77Se NMR and XPS on Ru-Se nanoparticles and our results indicate that Se, a semiconductor in elemental form, becomes metallic when interacting with Ru. ^77Se spin-lattice relaxation rates are found to be proportional to T, the well-known Korringa behavior characteristic of metals. The NMR results are supported by the XPS binding energy shifts which suggest that a possible Ru->Se charge transfer could be responsible for the semiconductor->metal transition of Se which also makes Ru less susceptible to oxidation during ORR.

  18. Effect of atomic layer deposition temperature on current conduction in Al2O3 films formed using H2O oxidant

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Matsumura, Daisuke; Kawarada, Hiroshi

    2016-08-01

    To develop high-performance, high-reliability gate insulation and surface passivation technologies for wide-bandgap semiconductor devices, the effect of atomic layer deposition (ALD) temperature on current conduction in Al2O3 films is investigated based on the recently proposed space-charge-controlled field emission model. Leakage current measurement shows that Al2O3 metal-insulator-semiconductor capacitors formed on the Si substrates underperform thermally grown SiO2 capacitors at the same average field. However, using equivalent oxide field as a more practical measure, the Al2O3 capacitors are found to outperform the SiO2 capacitors in the cases where the capacitors are negatively biased and the gate material is adequately selected to reduce virtual dipoles at the gate/Al2O3 interface. The Al2O3 electron affinity increases with the increasing ALD temperature, but the gate-side virtual dipoles are not affected. Therefore, the leakage current of negatively biased Al2O3 capacitors is approximately independent of the ALD temperature because of the compensation of the opposite effects of increased electron affinity and permittivity in Al2O3. By contrast, the substrate-side sheet of charge increases with increasing ALD temperature above 210 °C and hence enhances the current of positively biased Al2O3 capacitors more significantly at high temperatures. Additionally, an anomalous oscillatory shift of the current-voltage characteristics with ALD temperature was observed in positively biased capacitors formed by low-temperature (≤210 °C) ALD. This shift is caused by dipoles at the Al2O3/underlying SiO2 interface. Although they have a minimal positive-bias leakage current, the low-temperature-grown Al2O3 films cause the so-called blisters problem when heated above 400 °C. Therefore, because of the absence of blistering, a 450 °C ALD process is presently the most promising technology for growing high-reliability Al2O3 films.

  19. Bilayer insulator tunnel barriers for graphene-based vertical hot-electron transistors

    NASA Astrophysics Data System (ADS)

    Vaziri, S.; Belete, M.; Dentoni Litta, E.; Smith, A. D.; Lupina, G.; Lemme, M. C.; Östling, M.

    2015-07-01

    Vertical graphene-based device concepts that rely on quantum mechanical tunneling are intensely being discussed in the literature for applications in electronics and optoelectronics. In this work, the carrier transport mechanisms in semiconductor-insulator-graphene (SIG) capacitors are investigated with respect to their suitability as electron emitters in vertical graphene base transistors (GBTs). Several dielectric materials as tunnel barriers are compared, including dielectric double layers. Using bilayer dielectrics, we experimentally demonstrate significant improvements in the electron injection current by promoting Fowler-Nordheim tunneling (FNT) and step tunneling (ST) while suppressing defect mediated carrier transport. High injected tunneling current densities approaching 103 A cm-2 (limited by series resistance), and excellent current-voltage nonlinearity and asymmetry are achieved using a 1 nm thick high quality dielectric, thulium silicate (TmSiO), as the first insulator layer, and titanium dioxide (TiO2) as a high electron affinity second layer insulator. We also confirm the feasibility and effectiveness of our approach in a full GBT structure which shows dramatic improvement in the collector on-state current density with respect to the previously reported GBTs. The device design and the fabrication scheme have been selected with future CMOS process compatibility in mind. This work proposes a bilayer tunnel barrier approach as a promising candidate to be used in high performance vertical graphene-based tunneling devices.

  20. Evaluation of Case Size 0603 BME Ceramic Capacitors

    NASA Technical Reports Server (NTRS)

    Teverovsky, Alexander A.

    2015-01-01

    High volumetric efficiency of commercial base metal electrode (BME) ceramic capacitors allows for a substantial reduction of weight and sizes of the parts compared to currently used military grade precious metal electrode (PME) capacitors. Insertion of BME capacitors in space applications requires a thorough analysis of their performance and reliability. In this work, six types of cases size 0603 BME capacitors from three vendors have been evaluated. Three types of multilayer ceramic capacitors (MLCCs) were designed for automotive industry and three types for general purposes. Leakage currents in the capacitors have been measured in a wide range of voltages and temperatures, and measurements of breakdown voltages (VBR) have been used to assess the proportion and severity of defects in the parts. The effect of soldering-related thermal shock stresses was evaluated by analysis of distributions of VBR for parts in 'as is' condition and after terminal solder dip testing at 350 C. Highly Accelerated Life Testing (HALT) at different temperatures was used to assess the activation energy of degradation of leakage currents and predict behavior of the parts at life test and normal operating conditions. To address issues related to rework and manual soldering, capacitors were soldered onto different substrates at different soldering conditions. The results show that contrary to a common assumption that large-size capacitors are mostly vulnerable to soldering stresses, cracking in small size capacitors does happen unless special measures are taken during assembly processes.

  1. Thermal conductivity switch: Optimal semiconductor/metal melting transition

    NASA Astrophysics Data System (ADS)

    Kim, Kwangnam; Kaviany, Massoud

    2016-10-01

    Scrutinizing distinct solid/liquid (s /l ) and solid/solid (s /s ) phase transitions (passive transitions) for large change in bulk (and homogenous) thermal conductivity, we find the s /l semiconductor/metal (S/M) transition produces the largest dimensionless thermal conductivity switch (TCS) figure of merit ZTCS (change in thermal conductivity divided by smaller conductivity). At melting temperature, the solid phonon and liquid molecular thermal conductivities are comparable and generally small, so the TCS requires localized electron solid and delocalized electron liquid states. For cyclic phase reversibility, the congruent phase transition (no change in composition) is as important as the thermal transport. We identify X Sb and X As (X =Al , Cd, Ga, In, Zn) and describe atomic-structural metrics for large ZTCS, then show the superiority of S/M phonon- to electron-dominated transport melting transition. We use existing experimental results and theoretical and ab initio calculations of the related properties for both phases (including the Kubo-Greenwood and Bridgman formulations of liquid conductivities). The 5 p orbital of Sb contributes to the semiconductor behavior in the solid-phase band gap and upon disorder and bond-length changes in the liquid phase this changes to metallic, creating the large contrast in thermal conductivity. The charge density distribution, electronic localization function, and electron density of states are used to mark this S/M transition. For optimal TCS, we examine the elemental selection from the transition, basic, and semimetals and semiconductor groups. For CdSb, addition of residual Ag suppresses the bipolar conductivity and its ZTCS is over 7, and for Zn3Sb2 it is expected to be over 14, based on the structure and transport properties of the better-known β -Zn4Sb3 . This is the highest ZTCS identified. In addition to the metallic melting, the high ZTCS is due to the electron-poor nature of II-V semiconductors, leading to the

  2. Fabrication and characterization of NiO based metal-insulator-metal diode using Langmuir-Blodgett method for high frequency rectification

    NASA Astrophysics Data System (ADS)

    Azad, Ibrahim; Ram, Manoj K.; Goswami, D. Yogi; Stefanakos, Elias

    2018-04-01

    Thin film metal-insulator-metal (MIM) diodes have attracted significant attention for use in infrared energy harvesting and detection applications. As demonstrated over the past decades, MIM or metal-insulator-insulator-metal (MIIM) diodes can operate at the THz frequencies range by quantum tunneling of electrons. The aim of this work is to synthesize required ultra-thin insulating layers and fabricate MIM diodes using the Langmuir-Blodgett (LB) technique. The nickel stearate (NiSt) LB precursor film was deposited on glass, silicon (Si), ITO glass and gold coated silicon substrates. The photodesorption (UV exposure) and the thermodesorption (annealing at 100 °C and 350 °C) methods were used to remove organic components from the NiSt LB film and to achieve a uniform homogenous nickel oxide (NiO) film. These ultrathin NiO films were characterized by EDS, AFM, FTIR and cyclic voltammetry methods, respectively. The MIM diode was fabricated by depositing nickel (Ni) on the NiO film, all on a gold (Au) plated silicon (Si) substrate. The current (I)-voltage (V) characteristics of the fabricated diode were studied to understand the conduction mechanism assumed to be tunneling of electron through the ultra-thin insulating layer. The sensitivity of the diode was measured to be as high as 35 V-1. The diode resistance was ˜100 ohms (at a bias voltage of 0.60 V), and the rectification ratio was about 22 (for a signal voltage of ±200 mV). At the bias point, the diode response demonstrated significant non-linearity and high asymmetry, which are very desirable characteristics for applications in infrared detection and harvesting.

  3. Nanostructured Electrode Materials for Electrochemical Capacitor Applications

    PubMed Central

    Choi, Hojin; Yoon, Hyeonseok

    2015-01-01

    The advent of novel organic and inorganic nanomaterials in recent years, particularly nanostructured carbons, conducting polymers, and metal oxides, has enabled the fabrication of various energy devices with enhanced performance. In this paper, we review in detail different nanomaterials used in the fabrication of electrochemical capacitor electrodes and also give a brief overview of electric double-layer capacitors, pseudocapacitors, and hybrid capacitors. From a materials point of view, the latest trends in electrochemical capacitor research are also discussed through extensive analysis of the literature and by highlighting notable research examples (published mostly since 2013). Finally, a perspective on next-generation capacitor technology is also given, including the challenges that lie ahead. PMID:28347044

  4. Ferroelectric-field-effect-enhanced electroresistance in metal/ferroelectric/semiconductor tunnel junctions

    NASA Astrophysics Data System (ADS)

    Wen, Zheng; Li, Chen; Wu, Di; Li, Aidong; Ming, Naiben

    2013-07-01

    Ferroelectric tunnel junctions (FTJs), composed of two metal electrodes separated by an ultrathin ferroelectric barrier, have attracted much attention as promising candidates for non-volatile resistive memories. Theoretical and experimental works have revealed that the tunnelling resistance switching in FTJs originates mainly from a ferroelectric modulation on the barrier height. However, in these devices, modulation on the barrier width is very limited, although the tunnelling transmittance depends on it exponentially as well. Here we propose a novel tunnelling heterostructure by replacing one of the metal electrodes in a normal FTJ with a heavily doped semiconductor. In these metal/ferroelectric/semiconductor FTJs, not only the height but also the width of the barrier can be electrically modulated as a result of a ferroelectric field effect, leading to a greatly enhanced tunnelling electroresistance. This idea is implemented in Pt/BaTiO3/Nb:SrTiO3 heterostructures, in which an ON/OFF conductance ratio above 104, about one to two orders greater than those reported in normal FTJs, can be achieved at room temperature. The giant tunnelling electroresistance, reliable switching reproducibility and long data retention observed in these metal/ferroelectric/semiconductor FTJs suggest their great potential in non-destructive readout non-volatile memories.

  5. Graphene-based half-metal and spin-semiconductor for spintronic applications.

    PubMed

    Qi, Jingshan; Chen, Xiaofang; Hu, Kaige; Feng, Ji

    2016-03-31

    In this letter we propose a strategy to make graphene become a half-metal or spin-semiconductor by combining the magnetic proximity effects and sublattice symmetry breaking in graphone/graphene and graphone/graphene/BN heterostructures. Exchange interactions lift the spin degeneracy and sublattice symmetry breaking opens a band gap in graphene. More interestingly, the gap opening depends on the spin direction and the competition between the sublattice asymmetry and exchange field determines the system is a half-metal or a spin-semiconductor. By first-principles calculations and a low-energy effective model analysis, we elucidate the underlying physical mechanism of spin-dependent gap opening and spin degeneracy splitting. This offers an alternative practical platform for graphene-based spintronics.

  6. Gamma radiation in ceramic capacitors: a study for space missions

    NASA Astrophysics Data System (ADS)

    dos Santos Ferreira, Eduardo; Sarango Souza, Juliana

    2017-10-01

    We studied the real time effects of the gamma radiation in ceramic capacitors, in order to evaluate the effects of cosmic radiation on these devices. Space missions have electronic circuits with various types of devices, many studies have been done on semiconductor devices exposed to gamma radiation, but almost no studies for passive components, in particular ceramic capacitors. Commercially sold ceramic capacitors were exposed to gamma radiation, and the capacitance was measured before and after exposure. The results clearly show that the capacitance decreases with exposure to gamma radiation. We confirmed this observation in a real time capacitance measurement, obtained using a data logging system developed by us using the open source Arduino platform.

  7. Emerging Hierarchical Aerogels: Self-Assembly of Metal and Semiconductor Nanocrystals.

    PubMed

    Cai, Bin; Sayevich, Vladimir; Gaponik, Nikolai; Eychmüller, Alexander

    2018-06-19

    Aerogels assembled from colloidal metal or semiconductor nanocrystals (NCs) feature large surface area, ultralow density, and high porosity, thus rendering them attractive in various applications, such as catalysis, sensors, energy storage, and electronic devices. Morphological and structural modification of the aerogel backbones while maintaining the aerogel properties enables a second stage of the aerogel research, which is defined as hierarchical aerogels. Different from the conventional aerogels with nanowire-like backbones, those hierarchical aerogels are generally comprised of at least two levels of architectures, i.e., an interconnected porous structure on the macroscale and a specially designed configuration at local backbones at the nanoscale. This combination "locks in" the inherent properties of the NCs, so that the beneficial genes obtained by nanoengineering are retained in the resulting monolithic hierarchical aerogels. Herein, groundbreaking advances in the design, synthesis, and physicochemical properties of the hierarchical aerogels are reviewed and organized in three sections: i) pure metallic hierarchical aerogels, ii) semiconductor hierarchical aerogels, and iii) metal/semiconductor hybrid hierarchical aerogels. This report aims to define and demonstrate the concept, potential, and challenges of the hierarchical aerogels, thereby providing a perspective on the further development of these materials. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. New insight into enhanced superconductivity in metals near the metal-insulator transition.

    PubMed

    Osofsky, M S; Soulen, R J; Claassen, J H; Trotter, G; Kim, H; Horwitz, J S

    2001-11-05

    We have studied the transport properties of disordered WSi films near the metal/insulator transition (MIT) and we have also reviewed the data for several other disordered materials near their MIT. In all cases, we found the presence of enhanced superconductivity. We constructed a superconductivity "phase diagram" (i.e., T(c) versus sigma) for each system, which reveals a striking correlation: In all cases, T(c) values are significantly enhanced only for samples whose conductivities lie within a narrow range on the metallic side of, and moderately near, the MIT. We present a heuristic model to explain this phenomenon.

  9. Metal-core/semiconductor-shell nanocones for broadband solar absorption enhancement.

    PubMed

    Zhou, Lin; Yu, Xiaoqiang; Zhu, Jia

    2014-02-12

    Nanostructure-based photovoltaic devices have exhibited several advantages, such as reduced reflection, extraordinary light trapping, and so forth. In particular, semiconductor nanostructures provide optical modes that have strong dependence on the size and geometry. Metallic nanostructures also attract a lot of attention because of the appealing plasmonic effect on the near-field enhancement. In this study, we propose a novel design, the metal-core/semiconductor-shell nanocones with the core radius varying in a linearly gradient style. With a thin layer of semiconductor absorber coated on a metallic cone, such a design can lead to significant and broadband absorption enhancement across the entire visible and near-infrared solar spectrum. As an example of demonstration, a layer of 16 nm thick crystalline silicon (c-Si) coated on a silver nanocone can absorb 27% of standard solar radiation across a broad spectral range of 300-1100 nm, which is equivalent to a 700 nm thick flat c-Si film. Therefore, the absorption enhancement factor approaching the Yablonovitch limit is achieved with this design. The significant absorption enhancement can be ascribed to three types of optical modes, that is, Fabry-Perot modes, plasmonic modes, and hybrid modes that combine the features of the previous two. In addition, the unique nanocone geometry enables the linearly gradient radius of the semiconductor shell, which can support multiple optical resonances, critical for the broadband absorption. Our design may find general usage as elements for the low cost, high efficiency solar conversion and water-splitting devices.

  10. One-Dimensional Nanostructures and Devices of II–V Group Semiconductors

    PubMed Central

    2009-01-01

    The II–V group semiconductors, with narrow band gaps, are important materials with many applications in infrared detectors, lasers, solar cells, ultrasonic multipliers, and Hall generators. Since the first report on trumpet-like Zn3P2nanowires, one-dimensional (1-D) nanostructures of II–V group semiconductors have attracted great research attention recently because these special 1-D nanostructures may find applications in fabricating new electronic and optoelectronic nanoscale devices. This article covers the 1-D II–V semiconducting nanostructures that have been synthesized till now, focusing on nanotubes, nanowires, nanobelts, and special nanostructures like heterostructured nanowires. Novel electronic and optoelectronic devices built on 1-D II–V semiconducting nanostructures will also be discussed, which include metal–insulator-semiconductor field-effect transistors, metal-semiconductor field-effect transistors, andp–nheterojunction photodiode. We intent to provide the readers a brief account of these exciting research activities. PMID:20596452

  11. Andreev Reflection in an s-Type Superconductor Proximized 3D Topological Insulator.

    PubMed

    Tikhonov, E S; Shovkun, D V; Snelder, M; Stehno, M P; Huang, Y; Golden, M S; Golubov, A A; Brinkman, A; Khrapai, V S

    2016-09-30

    We investigate transport and shot noise in lateral normal-metal-3D topological-insulator-superconductor contacts, where the 3D topological insulator (TI) is based on Bi. In the normal state, the devices are in the elastic diffusive transport regime, as demonstrated by a nearly universal value of the shot noise Fano factor F_{N}≈1/3 in magnetic field and in a reference normal-metal contact. In the absence of magnetic field, we identify the Andreev reflection (AR) regime, which gives rise to the effective charge doubling in shot noise measurements. Surprisingly, the Fano factor F_{AR}≈0.22±0.02 is considerably reduced in the AR regime compared to F_{N}, in contrast to previous AR experiments in normal metals and semiconductors. We suggest that this effect is related to a finite thermal conduction of the proximized, superconducting TI owing to a residual density of states at low energies.

  12. Aluminum nitride insulating films for MOSFET devices

    NASA Technical Reports Server (NTRS)

    Lewicki, G. W.; Maserjian, J.

    1972-01-01

    Application of aluminum nitrides as electrical insulator for electric capacitors is discussed. Electrical properties of aluminum nitrides are analyzed and specific use with field effect transistors is defined. Operational limits of field effect transistors are developed.

  13. The dependence of Schottky junction (I-V) characteristics on the metal probe size in nano metal-semiconductor contacts

    NASA Astrophysics Data System (ADS)

    Rezeq, Moh'd.; Ali, Ahmed; Patole, Shashikant P.; Eledlebi, Khouloud; Dey, Ripon Kumar; Cui, Bo

    2018-05-01

    We have studied the dependence of Schottky junction (I-V) characteristics on the metal contact size in metal-semiconductor (M-S) junctions using different metal nanoprobe sizes. The results show strong dependence of (I-V) characteristics on the nanoprobe size when it is in contact with a semiconductor substrate. The results show the evolution from sub-10 nm reversed Schottky diode behavior to the normal diode behavior at 100 nm. These results also indicate the direct correlation between the electric field at the M-S interface and the Schottky rectification behavior. The effect of the metal contact size on nano-Schottky diode structure is clearly demonstrated, which would help in designing a new type of nano-devices at sub-10 nm scale.

  14. First-order metal-insulator transitions in vanadates from first principles

    NASA Astrophysics Data System (ADS)

    Kumar, Anil; Rabe, Karin

    2013-03-01

    Materials that exhibit first-order metal-insulator transitions, with the accompanying abrupt change in the conductivity, have potential applications as switches in future electronic devices. Identification of materials and exploration of the atomic-scale mechanisms for switching between the two electronic states is a focus of current research. In this work, we search for first-order metal-insulator transitions in transition metal compounds, with a particular focus on d1 and d2 systems, by using first principles calculations to screen for an alternative low-energy state having not only a electronic character opposite to that of the ground state, but a distinct structure and/or magnetic ordering which would permit switching by an applied field or stress. We will present the results of our investigation of the perovskite compounds SrVO3, LaVO3, CaVO3, YVO3, LaTiO3 and related layered phase, including superlattices and Ruddlesden-Popper phases. While the pure compounds do not satisfy the search criteria, the layered phases show promising results.

  15. Coexistence of metallic and insulating channels in compressed YbB6

    NASA Astrophysics Data System (ADS)

    Ying, Jianjun; Tang, Lingyun; Chen, Fei; Chen, Xianhui; Struzhkin, Viktor V.

    2018-03-01

    It remains controversial whether compressed YbB6 material is a topological insulator or a Kondo topological insulator. We performed high-pressure transport, x-ray diffraction (XRD), x-ray absorption spectroscopy, and Raman-scattering measurements on YbB6 samples in search for its topological Kondo phase. Both high-pressure powder XRD and Raman measurements show no trace of structural phase transitions in YbB6 up to 50 GPa. The nonmagnetic Yb2 + gradually change to magnetic Yb3 + above 18 GPa concomitantly with the increase in resistivity. However, the transition to the insulating state occurs only around 30 GPa, accompanied by the increase in the shear stress, and anomalies in the pressure dependence of the Raman T2 g mode and in the B atomic position. The resistivity at high pressures can be described by a model taking into account coexisting insulating and metallic channels with the activation energy for the insulating channel about 30 meV. We argue that YbB6 may become a topological Kondo insulator at high pressures above 35 GPa.

  16. Extended self-similarity in the two-dimensional metal-insulator transition

    NASA Astrophysics Data System (ADS)

    Moriconi, L.

    2003-09-01

    We show that extended self-similarity, a scaling phenomenon first observed in classical turbulent flows, holds for a two-dimensional metal-insulator transition that belongs to the universality class of random Dirac fermions. Deviations from multifractality, which in turbulence are due to the dominance of diffusive processes at small scales, appear in the condensed-matter context as a large-scale, finite-size effect related to the imposition of an infrared cutoff in the field theory formulation. We propose a phenomenological interpretation of extended self-similarity in the metal-insulator transition within the framework of the random β-model description of multifractal sets. As a natural step, our discussion is bridged to the analysis of strange attractors, where crossovers between multifractal and nonmultifractal regimes are found and extended self-similarity turns out to be verified as well.

  17. Metallic Interface at the Boundary Between Band and Mott Insulators

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kancharla, Srivenkateswara S; Dagotto, Elbio R

    2006-01-01

    Motivated by experiments on atomically smooth layers of LaTiO3, a Mott insulator, sandwiched between layers of SrTiO3, a band insulator, a simple model for such heterostructures is studied using quasi one-dimensional lattices and the Lanczos method. Taking both the local and long-range Coulomb interactions into account, and computing the layer dependent local density of states, a metallic state was found at the interface whose extent strongly depends on the dielectric constant of the material. We also observed that the antiferromagnetic correlations in the bulk Mott phase persist into the metallic region. Our conclusions are in excellent agreement with recently reportedmore » results for this model in the opposite limit of infinite dimensions6,7, thus providing an alternative tool to study electronic reconstruction effects in heterostructures.« less

  18. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yoshitake, Michiko, E-mail: yoshitake.michiko@nims.go.jp

    Transition-metal carbides and nitrides (TMCs and TMNs) are promising electrode materials for various electronic devices such as metal-oxide-semiconductor field-effect transistors and metal-insulator-metal capacitors. In this paper, the work functions of TMCs and TMNs are discussed systematically. Based upon the origin of the work function, the effect upon transition metal species by different periodic table groups is explained, carbides are compared with nitrides for the same transition metal, and the effect of carbon or nitrogen vacancies is discussed. In addition, a method to estimate the generic trend of the work function is proposed for TMC{sub x}, TMN{sub x}, TMC{sub 1−y}N{sub y}more » (transition metal carbonitrides), and TM{sub 1−z}TM′{sub z}C (alloy carbides)« less

  19. Tracking ion irradiation effects using buried interface devices

    NASA Astrophysics Data System (ADS)

    Cutshall, D. B.; Kulkarni, D. D.; Miller, A. J.; Harriss, J. E.; Harrell, W. R.; Sosolik, C. E.

    2018-05-01

    We discuss how a buried interface device, specifically a metal-oxide-semiconductor (MOS) capacitor, can be utilized to track effects of ion irradiation on insulators. We show that the exposure of oxides within unfinished capacitor devices to ions can lead to significant changes in the capacitance of the finished devices. For multicharged ions, these capacitive effects can be traced to defect production within the oxide and ultimately point to a role for charge-dependent energy loss. In particular, we attribute the stretchout of the capacitance-voltage curves of MOS devices that include an irradiated oxide to the ion irradiation. The stretchout shows a power law dependence on the multicharged ion charge state (Q) that is similar to that observed for multicharged ion energy loss in other systems.

  20. First-Principles Framework to Compute Sum-Frequency Generation Vibrational Spectra of Semiconductors and Insulators.

    PubMed

    Wan, Quan; Galli, Giulia

    2015-12-11

    We present a first-principles framework to compute sum-frequency generation (SFG) vibrational spectra of semiconductors and insulators. The method is based on density functional theory and the use of maximally localized Wannier functions to compute the response to electric fields, and it includes the effect of electric field gradients at surfaces. In addition, it includes quadrupole contributions to SFG spectra, thus enabling the verification of the dipole approximation, whose validity determines the surface specificity of SFG spectroscopy. We compute the SFG spectra of ice I_{h} basal surfaces and identify which spectra components are affected by bulk contributions. Our results are in good agreement with experiments at low temperature.