Sample records for microscopic annealing process

  1. Local Resistance Profiling of Ultra Shallow Junction Annealed with Combination of Spike Lamp and Laser Annealing Processes using Scanning Spreading Resistance Microscope

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Abo, Satoshi; Nishikawa, Kazuhisa; Ushigome, Naoya

    2011-01-07

    Local resistance profiles of ultra shallow boron and arsenic implanted into silicon with energies of 2.0 and 4.0 keV and doses of 2.0x10{sup 15} and 1.0x10{sup 15} ions/cm{sup 2} activated by a combination of conventional spike lamp and laser annealing processes were measured by scanning spreading resistance microscope (SSRM) with a depth resolution of less than 10 nm. The lowest local resistance at the low resistance region in 2.0 keV boron implanted silicon with 1050 deg. C spike lamp annealing followed by 0.35 kW/mm{sup 2} laser annealing was half of that without laser annealing. The lowest local resistance at themore » low resistance region in the arsenic implanted silicon activated by 1050 deg. C spike lamp annealing followed by 0.39 kW/mm{sup 2} laser annealing was 74% lower than that followed by 0.36 kW/mm{sup 2} laser annealing. The lowest local resistances at the low resistance regions in the arsenic implanted silicon with 0.36 and 0.39 kW/mm{sup 2} laser annealing followed by 1050 deg. C spike lamp annealing were 41 and 33% lower than those with spike lamp annealing followed by laser annealing. Laser annealing followed by spike lamp annealing could suppress the diffusion of the impurities and was suitable for making the ultra shallow and low resistance regions.« less

  2. Effect of Annealing Processes on Cu-Zr Alloy Film for Copper Metallization

    NASA Astrophysics Data System (ADS)

    Wang, Ying; Li, Fu-yin; Tang, Bin-han

    2017-12-01

    The effect of two different annealing processes on the microstructure and barrier-forming ability of Cu-Zr alloy films has been investigated. Cu-Zr alloy films were deposited directly onto SiO2/Si substrates via direct current magnetron sputtering and subsequently annealed by the vacuum annealing process (VAP) or rapid annealing process under argon atmosphere at temperatures 350°C, 450°C, and 550°C. Then, the microstructure, interface characteristics, and electrical properties of the samples were measured. After annealing, the samples showed a preferential (111) crystal orientation, independent of the annealing process. After two annealing methods, Zr aggregated at the Cu-Zr/SiO2 interface and no serious interdiffusion occurred between Cu and Si. The leakage current measurements revealed that the samples annealed by VAP show a higher reliability. According to the results, the vacuum annealing has better barrier performance than the rapid annealing when used for the fabrication of Cu-based interconnects.

  3. Formation of a Polycrystalline Silicon Thin Film by Using Blue Laser Diode Annealing

    NASA Astrophysics Data System (ADS)

    Choi, Young-Hwan; Ryu, Han-Youl

    2018-04-01

    We report the crystallization of an amorphous silicon thin film deposited on a SiO2/Si wafer using an annealing process with a high-power blue laser diode (LD). The laser annealing process was performed using a continuous-wave blue LD of 450 nm in wavelength with varying laser output power in a nitrogen atmosphere. The crystallinity of the annealed poly-silicon films was investigated using ellipsometry, electron microscope observation, X-ray diffraction, and Raman spectroscopy. Polysilicon grains with > 100-nm diameter were observed to be formed after the blue LD annealing. The crystal quality was found to be improved as the laser power was increased up to 4 W. The demonstrated blue LD annealing is expected to provide a low-cost and versatile solution for lowtemperature poly-silicon processes.

  4. Annealing of gallium nitride under high-N 2 pressure

    NASA Astrophysics Data System (ADS)

    Porowski, S.; Jun, J.; Krukowski, S.; Grzegory, I.; Leszczynski, M.; Suski, T.; Teisseyre, H.; Foxon, C. T.; Korakakis, D.

    1999-04-01

    GaN is the key material for blue and ultraviolet optoelectronics. It is a strongly bonded wurztite structure semiconductor with the direct energy gap 3.5 eV. Due to strong bonding, the diffusion processes require high temperatures, above 1300 K. However at this temperature range at ambient pressure, GaN becomes unstable and dissociates into Ga and N 2. Therefore high pressure of N 2 is required to study the diffusion and other annealing related processes. We studied annealing of bulk GaN nitride single crystals grown under high pressure and also annealing of homo- and heteroepitaxial GaN layers grown by MOCVD technique. Annealing at temperatures above 1300 K influences strongly the structural and optical properties of GaN crystals and layers. At this temperature diffusion of the Mg and Zn acceptors have been observed. In spite of very interesting experimental observations the understanding of microscopic mechanisms of these processes is limited.

  5. Toward understanding dynamic annealing processes in irradiated ceramics

    NASA Astrophysics Data System (ADS)

    Myers, Michael Thomas

    High energy particle irradiation inevitably generates defects in solids in the form of collision cascades. The ballistic formation and thermalization of cascades occur rapidly and are believed to be reasonably well understood. However, knowledge of the evolution of defects after damage cascade thermalization, referred to as dynamic annealing, is quite limited. Unraveling the mechanisms associated with dynamic an- nealing is crucial since such processes play an important role in the formation of stable post-irradiation disorder in ion-beam-processed semiconductors and determines the "radiation tolerance" of many nuclear materials. The purpose of this dissertation is to further our understanding of the processes involved in dynamic annealing. In order to achieve this, two main tasks are undertaken. First, the effects of dynamic annealing are investigated in ZnO, a technologically relevant material that exhibits very high dynamic defect annealing at room temper- ature. Such high dynamic annealing leads to unusual defect accumulation in heavy ion bombarded ZnO. Through this work, the puzzling features that were observed more than a decade ago in ion-channeling spectra have finally been explained. We show that the presence of a polar surface substantially alters damage accumulation. Non-polar surface terminations of ZnO are shown to exhibit enhanced dynamic an- nealing compared to polar surface terminated ZnO. Additionally, we demonstrate one method to reduce radiation damage in polar surface terminated ZnO by means of a surface modification. These results advance our efforts in the long-sought-after goal of understanding complex radiation damage processes in ceramics. Second, a pulsed-ion-beam method is developed and demonstrated in the case of Si as a prototypical non-metallic target. Such a method is shown to be a novel experimental technique for direct extraction of dynamic annealing parameters. The relaxation times and effective diffusion lengths of mobile defects

  6. Microstructure evolution of the Ir-inserted Ni silicides with additional annealing

    NASA Astrophysics Data System (ADS)

    Yoon, Kijeong; Song, Ohsung

    2009-02-01

    Thermally-evaporated 10 nm-Ni/1 nm-Ir/(poly)Si structures were fabricated in order to investigate the thermal stability of Ir-inserted nickel silicide after additional annealing. The silicide samples underwent rapid thermal annealing at 300 ° C to 1200 ° C for 40 s, followed by 30 min annealing at the given RTA temperatures. Silicides suitable for the salicide process were formed on the top of the single crystal and polycrystalline silicon substrates, mimicking actives and gates. The sheet resistance was measured using a four-point probe. High resolution x-ray diffraction and Auger depth profiling were used for phase and chemical composition analysis, respectively. Transmission electron microscope and scanning probe microscope were used to determine the cross-section structure and surface roughness. The silicide, which formed on single crystal silicon substrate with surface agglomeration after additional annealing, could defer the transformation of Ni(Ir)Si to Ni(Ir)Si2 and was stable at temperatures up to 1200 °C. Moreover, the silicide thickness doubled. There were no outstanding changes in the silicide thickness on polycrystalline silicon. However, after additional annealing, the silicon-silicide mixing became serious and showed high resistance at temperatures >700 °C. Auger depth profiling confirmed the increased thickness of the silicide layers after additional annealing without a change in composition. For a single crystal silicon substrate, the sheet resistance increased slightly due to the significant increases in surface roughness caused by surface agglomeration after additional annealing. Otherwise, there were almost no changes in surface roughness on the polycrystalline silicon substrate. The Ir-inserted nickel monosilicide was able to maintain a low resistance in a wide temperature range and is considered suitable for the nano-thick silicide process.

  7. Significant improvement in the thermal annealing process of optical resonators

    NASA Astrophysics Data System (ADS)

    Salzenstein, Patrice; Zarubin, Mikhail

    2017-05-01

    Thermal annealing performed during process improves the quality of the roughness of optical resonators reducing stresses at the periphery of their surface thus allowing higher Q-factors. After a preliminary realization, the design of the oven and the electronic method were significantly improved thanks to nichrome resistant alloy wires and chopped basalt fibers for thermal isolation during the annealing process. Q-factors can then be improved.

  8. Effect of annealing process on the heterostructure CuO/Cu2O as a highly efficient photocathode for photoelectrochemical water reduction

    NASA Astrophysics Data System (ADS)

    Du, Fan; Chen, Qing-Yun; Wang, Yun-Hai

    2017-05-01

    CuO/Cu2O photocathodes were successfully prepared via simply annealing the electrodeposited Cu2O on fluoride doped tin oxide (FTO) substrate. They were characterized by X-ray diffraction, scanning electron microscopy (SEM), transmission electron microscope (TEM), UV-vis absorption spectra and X-ray photoelectron spectroscopy (XPS). The results showed that the heterojunction of CuO/Cu2O was formed during the annealing process and presented the nature of p-type semiconductor. The photocurrent density and photoelectrochemical (PEC) stability of the p-type heterostructure CuO/Cu2O photocathode was improved greatly compared with the pure Cu2O, which was greatly affected by annealing time and temperature. The highest photo current density of -0.451 mA/cm2 and highest stability was obtained via annealing at 650 °C for 15 min (at -0.3 V vs. Ag/AgCl), which gave a remarkable improvement than the as-deposited Cu2O (-0.08 mA/cm2). This suggested that the CuO/Cu2O heterojunction facilitated the electron-hole pair separation and improved the photocathode's current and stability.

  9. Enhanced reactivity of nanoscale iron particles through a vacuum annealing process

    NASA Astrophysics Data System (ADS)

    Riba, Olga; Barnes, Robert J.; Scott, Thomas B.; Gardner, Murray N.; Jackman, Simon A.; Thompson, Ian P.

    2011-10-01

    A reactivity study was undertaken to compare and assess the rate of dechlorination of chlorinated aliphatic hydrocarbons (CAHs) by annealed and non-annealed nanoscale iron particles. The current study aims to resolve the uncertainties in recently published work studying the effect of the annealing process on the reduction capability of nanoscale Fe particles. Comparison of the normalized rate constants (m2/h/L) obtained for dechlorination reactions of trichloroethene (TCE) and cis-1,2-dichloroethene (cis-1,2-DCE) indicated that annealing nanoscale Fe particles increases their reactivity 30-fold. An electron transfer reaction mechanism for both types of nanoscale particles was found to be responsible for CAH dechlorination, rather than a reduction reaction by activated H2 on the particle surface (i.e., hydrogenation, hydrogenolysis). Surface analysis of the particulate material using X-ray diffraction (XRD) and transmission electron microscopy (TEM) together with surface area measurement by Brunauer, Emmett, Teller (BET) indicate that the vacuum annealing process decreases the surface area and increases crystallinity. BET surface area analysis recorded a decrease in nanoscale Fe particle surface area from 19.0 to 4.8 m2/g and crystallite dimensions inside the particle increased from 8.7 to 18.2 nm as a result of annealing.

  10. Improved cost-effectiveness of the block co-polymer anneal process for DSA

    NASA Astrophysics Data System (ADS)

    Pathangi, Hari; Stokhof, Maarten; Knaepen, Werner; Vaid, Varun; Mallik, Arindam; Chan, Boon Teik; Vandenbroeck, Nadia; Maes, Jan Willem; Gronheid, Roel

    2016-04-01

    This manuscript first presents a cost model to compare the cost of ownership of DSA and SAQP for a typical front end of line (FEoL) line patterning exercise. Then, we proceed to a feasibility study of using a vertical furnace to batch anneal the block co-polymer for DSA applications. We show that the defect performance of such a batch anneal process is comparable to the process of record anneal methods. This helps in increasing the cost benefit for DSA compared to the conventional multiple patterning approaches.

  11. Increased electrical conductivity of peptides through annealing process

    NASA Astrophysics Data System (ADS)

    Namgung, Seok Daniel; Lee, Jaehun; Choe, Ik Rang; Sung, Taehoon; Kim, Young-O.; Lee, Yoon-Sik; Nam, Ki Tae; Kwon, Jang-Yeon

    2017-08-01

    Biocompatible biologically occurring polymer is suggested as a component of human implantable devices since conventional inorganic materials are apt to trigger inflammation and toxicity problem within human body. Peptides consisting of aromatic amino acid, tyrosine, are chosen, and enhancement on electrical conductivity is studied. Annealing process gives rise to the decrease on resistivity of the peptide films and the growth of the carrier concentration is a plausible reason for such a decrease on resistivity. The annealed peptides are further applied to an active layer of field effect transistor, in which low on/off current ratio (˜10) is obtained.

  12. Live-monitoring of Te inclusions laser-induced thermo-diffusion and annealing in CdZnTe crystals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zappettini, A.; Zambelli, N.; Benassi, G.

    2014-06-23

    The presence of Te inclusions is one of the main factors limiting performances of CdZnTe crystals as X-ray detectors. We show that by means of infrared laser radiation it is possible to move and anneal tellurium inclusions exploiting a thermo-diffusion mechanism. The process is studied live during irradiation by means of an optical microscope equipment. Experimental conditions, and, in particular, energy laser fluence, for annealing inclusions of different dimensions are determined.

  13. Interfacial layers evolution during annealing in Ti-Al multi-laminated composite processed using hot press and roll bonding

    NASA Astrophysics Data System (ADS)

    Assari, A. H.; Eghbali, B.

    2016-09-01

    Ti-Al multi-laminated composites have great potential in high strength and low weight structures. In the present study, tri-layer Ti-Al composite was synthesized by hot press bonding under 40 MPa at 570 °C for 1 h and subsequent hot roll bonding at about 450 °C. This process was conducted in two accumulative passes to 30% and to 67% thickness reduction in initial and final passes, respectively. Then, the final annealing treatments were done at 550, 600, 650, 700 and 750 °C for 2, 4 and 6 h. Investigations on microstructural evolution and thickening of interfacial layers were performed by scanning electron microscopes, energy dispersive spectrometer, X-ray diffraction and micro-hardness tests. The results showed that the thickening of diffusion layers corresponds to amount of deformation. In addition to thickening of the diffusion layers, the thickness of aluminum layers decreased and after annealing treatment at 750 °C for 6 h the aluminum layers were consumed entirely, which occurred because of the enhanced interdiffusion of Ti and Al elements. Scanning electron microscope equipped with energy dispersive spectrometer showed that the sequence of interfacial layers as Ti3Al-TiAl-TiAl2-TiAl3 which are believed to be the result of thermodynamic and kinetic of phase formation. Micro-hardness results presented the variation profile in accordance with the sequence of intermetallic phases and their different structures.

  14. Rapid preparation of solution-processed InGaZnO thin films by microwave annealing and photoirradiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cheong, Heajeong; Ogura, Shintaro; Ushijima, Hirobumi

    We fabricated solution-processed indium–gallium–zinc oxide (IGZO) thin-film transistors (TFTs) by microwave (MW) annealing an IGZO precursor film followed by irradiating with vacuum ultraviolet (VUV) light. MW annealing allows more rapid heating of the precursor film than conventional annealing processes using a hot plate or electric oven and promotes the crystallization of IGZO. VUV irradiation was used to reduce the duration and temperature of the post-annealing step. Consequently, the IGZO TFTs fabricated through MW annealing for 5 min and VUV irradiation for 1 min exhibited an on/off current ratio of 10{sup 8} and a field-effect mobility of 0.3 cm{sup 2} V{sup −1} s{supmore » −1}. These results indicate that MW annealing and photoirradiation is an effective combination for annealing solution processed IGZO precursor films to prepare the semiconductor layers of TFTs.« less

  15. Structural transformation study of TiO2 nanoparticles annealing at different temperatures and the photodegradation process of eosin-Y

    NASA Astrophysics Data System (ADS)

    Pandi, P.; Gopinathan, C.

    2018-04-01

    Hydrothermal method was used to prepare TiO2 nanoparticles with annealing temperature at 500 °C-700 °C. The mixture of anatase-rutile phase was investigated by powerful tool of X-ray diffraction (XRD). The structural parameters of anatase and rutile mixture phaseTiO2 nanoparticles were calculated from the Rietveld refinement. The transformation rate of rutile was increased linearly with an annealing temperature of 500 °C-700 °C. The spherical morphology of the anatase and rutile mixed phase were obtained by scanning electron microscope and transmission electron microscope. The spherical particle of the anatase and rutile TiO2 shows with great aggregation with different size and within the range of few tens nm. The EDAX study revealed the presence of titanium and oxygen. The best photocatalytic activity was identified as the 87.04% of anatase and 12.96% of rutile mixer phase of TiO2. Various factors could be involved for a better photocatalytic activity.

  16. Amplified Self-replication of DNA Origami Nanostructures through Multi-cycle Fast-annealing Process

    NASA Astrophysics Data System (ADS)

    Zhou, Feng; Zhuo, Rebecca; He, Xiaojin; Sha, Ruojie; Seeman, Nadrian; Chaikin, Paul

    We have developed a non-biological self-replication process using templated reversible association of components and irreversible linking with annealing and UV cycles. The current method requires a long annealing time, up to several days, to achieve the specific self-assembly of DNA nanostructures. In this work, we accomplished the self-replication with a shorter time and smaller replication rate per cycle. By decreasing the ramping time, we obtained the comparable replication yield within 90 min. Systematic studies show that the temperature and annealing time play essential roles in the self-replication process. In this manner, we can amplify the self-replication process to a factor of 20 by increasing the number of cycles within the same amount of time.

  17. Effect of annealing temperature on the properties of copper oxide films prepared by dip coating technique

    NASA Astrophysics Data System (ADS)

    Raship, N. A.; Sahdan, M. Z.; Adriyanto, F.; Nurfazliana, M. F.; Bakri, A. S.

    2017-01-01

    Copper oxide films were grown on silicon substrates by sol-gel dip coating method. In order to study the effects of annealing temperature on the properties of copper oxide films, the temperature was varied from 200 °C to 450 °C. In the process of dip coating, the substrate is withdrawn from the precursor solution with uniform velocity to obtain a uniform coating before undergoing an annealing process to make the copper oxide film polycrystalline. The physical properties of the copper oxide films were measured by an X-ray diffraction (XRD), a field emission scanning electron microscope (FESEM), an atomic force microscopy (AFM) and a four point probe instrument. From the XRD results, we found that pure cuprite (Cu2O) phase can be obtained by annealing the films annealed at 200 °C. Films annealed at 300 °C had a combination phase which consists of tenorite (CuO) and cuprite (Cu2O) phase while pure tenorite (CuO) phase can be obtained at 450 °C annealing temperature. The surface microstructure showed that the grains size is increased whereas the surface roughness is increased and then decreases by increasing in annealing temperature. The films showed that the resistivity decreased with increasing annealing temperature. Consequently, it was observed that annealing temperature has strong effects on the structural, morphological and electrical properties of copper oxide films.

  18. Processing-Structure-Property Relationships in Laser-Annealed PbSe Nanocrystal Thin Films.

    PubMed

    Treml, Benjamin E; Robbins, Andrew B; Whitham, Kevin; Smilgies, Detlef-M; Thompson, Michael O; Hanrath, Tobias

    2015-01-01

    As nanocrystal (NC) synthesis techniques and device architectures advance, it becomes increasingly apparent that new ways of connecting NCs with each other and their external environment are required to realize their considerable potential. Enhancing inter-NC coupling by thermal annealing has been a long-standing challenge. Conventional thermal annealing approaches are limited by the challenge of annealing the NC at sufficiently high temperatures to remove surface-bound ligands while at the same time limiting the thermal budget to prevent large-scale aggregation. Here we investigate nonequilibrium laser annealing of NC thin films that enables separation of the kinetic and thermodynamic aspects of nanocrystal fusion. We show that laser annealing of NC assemblies on nano- to microsecond time scales can transform initially isolated NCs in a thin film into an interconnected structure in which proximate dots "just touch". We investigate both pulsed laser annealing and laser spike annealing and show that both annealing methods can produce "confined-but-connected" nanocrystal films. We develop a thermal transport model to rationalize the differences in resulting film morphologies. Finally we show that the insights gained from study of nanocrystal mono- and bilayers can be extended to three-dimensional NC films. The basic processing-structure-property relationships established in this work provide guidance to future advances in creating functional thin films in which constituent NCs can purposefully interact.

  19. Effects of annealing on the physical properties of therapeutic proteins during freeze drying process.

    PubMed

    Lim, Jun Yeul; Lim, Dae Gon; Kim, Ki Hyun; Park, Sang-Koo; Jeong, Seong Hoon

    2018-02-01

    Effects of annealing steps during the freeze drying process on etanercept, model protein, were evaluated using various analytical methods. The annealing was introduced in three different ways depending on time and temperature. Residual water contents of dried cakes varied from 2.91% to 6.39% and decreased when the annealing step was adopted, suggesting that they are directly affected by the freeze drying methods Moreover, the samples were more homogenous when annealing was adopted. Transition temperatures of the excipients (sucrose, mannitol, and glycine) were dependent on the freeze drying steps. Size exclusion chromatography showed that monomer contents were high when annealing was adopted and also they decreased less after thermal storage at 60°C. Dynamic light scattering results exhibited that annealing can be helpful in inhibiting aggregation and that thermal storage of freeze-dried samples preferably induced fragmentation over aggregation. Shift of circular dichroism spectrum and of the contents of etanercept secondary structure was observed with different freeze drying steps and thermal storage conditions. All analytical results suggest that the physicochemical properties of etanercept formulation can differ in response to different freeze drying steps and that annealing is beneficial for maintaining stability of protein and reducing the time of freeze drying process. Copyright © 2017 Elsevier B.V. All rights reserved.

  20. Evolution of the properties of helium nanobubbles during in situ annealing probed by spectrum imaging in the transmission electron microscope

    NASA Astrophysics Data System (ADS)

    Alix, K.; David, M.-L.; Dérès, J.; Hébert, C.; Pizzagalli, L.

    2018-03-01

    The evolution of nanometric helium bubbles in silicon has been investigated using spatially resolved electron energy-loss spectroscopy during in situ annealing in the transmission electron microscope. This approach allows the simultaneous determination of both the morphology and the helium density in the bubbles at each step of the annealing. Structural modification and helium emission from bubbles of various diameters in the range 7.5 to 20 nm and various aspect ratios of 1.1 to 1.9 have been studied. We clearly show that helium emission takes place at temperatures where bubble migration had hardly started. At higher temperatures, the migration (and coalescence) of voids is clearly revealed. For helium density lower than 150 He nm-3 , the Cerofolini's model taking into account the thermodynamical properties of an ultradense fluid reproduces well the helium emission from the bubbles, leading to an activation energy of 1.8 eV. When bubbles exhibit a higher initial helium density, the Cerofolini's model fails to reproduce the helium emission kinetics. We ascribe this to the fact that helium may be in the solid phase and we propose a tentative model to take into account the properties of the solid.

  1. Processing of silicon solar cells by ion implantation and laser annealing

    NASA Technical Reports Server (NTRS)

    Minnucci, J. A.; Matthei, K. W.; Greenwald, A. C.

    1981-01-01

    Methods to improve the radiation tolerance of silicon cells for spacecraft use are described. The major emphasis of the program was to reduce the process-induced carbon and oxygen impurities in the junction and base regions of the solar cell, and to measure the effect of reduced impurity levels on the radiation tolerance of cells. Substrates of 0.1, 1.0 and 10.0 ohm-cm float-zone material were used as starting material in the process sequence. High-dose, low-energy ion implantation was used to form the junction in n+p structures. Implant annealing was performed by conventional furnace techniques and by pulsed laser and pulsed electron beam annealing. Cells were tested for radiation tolerance at Spire and NASA-LeRC. After irradiation by 1 MeV electrons to a fluence of 10 to the 16th power per sq cm, the cells tested at Spire showed no significant process induced variations in radiation tolerance. However, for cells tested at Lewis to a fluence of 10 to the 15th power per sq cm, ion-implanted cells annealed in vacuum by pulsed electron beam consistently showed the best radiation tolerance for all cell resistivities.

  2. Effects of cooling rate and stabilization annealing on fatigue behavior of β-processed Ti-6Al-4V alloys

    NASA Astrophysics Data System (ADS)

    Seo, Wongyu; Jeong, Daeho; Lee, Dongjun; Sung, Hyokyung; Kwon, Yongnam; Kim, Sangshik

    2017-07-01

    The effects of stabilization annealing and cooling rate on high cycle fatigue (HCF) and fatigue crack propagation (FCP) behaviors of β-processed Ti64 alloys were examined. After β-process heating above β transus, two different cooling rates of air cooling (β-annealing) and water quenching (β-quenching) were utilized. Selected specimens were then underwent stabilization annealing. The tensile tests, HCF and FCP tests on conducted on the β-processed Ti64 specimens with and without stabilization annealing. No notable microstructural and mechanical changes with stabilization annealing was observed for the β-annealed Ti64 alloys. However, significant effect of stabilization annealing was found on the FCP behavior of β-quenched Ti64 alloys, which appeared to be related to the built-up of residual stress after quenching. The mechanical behavior of β-processed Ti64 alloys with and with stabilization annealing was discussed based on the micrographic examination, including crack growth path and crack nucleation site, and fractographic analysis.

  3. Influence of annealing temperature on the Dy diffusion process in NdFeB magnets

    NASA Astrophysics Data System (ADS)

    Hu, Sheng-qing; Peng, Kun; Chen, Hong

    2017-03-01

    Sintered NdFeB magnets were coated with a layer of Dy metal using electron beam evaporation method and then annealed at various temperatures to investigate the temperature dependence of Dy diffusion process in NdFeB magnets. A Dy-rich phase was observed along the grain boundaries after the grain boundary diffusion process, the diffusion coefficients of various temperatures were obtained, the diffusion coefficients of Dy along the grain boundaries at 800 °C and 900 °C were determined to be 9.8×10-8 cm2 s-1 and 2.4×10-7 cm2 s-1, respectively. The diffusion length depended on the annealing temperature and the maximum diffusion length of approximately 1.8 mm and 3.0 mm can be obtained after annealing at 800 °C and 900 °C for 8 h. Higher diffusion temperature results in the diffusion not only along the grain boundaries but also into grains and then decrease in magnetic properties. The optimum annealing conditions can be determined as 900 °C for 8 h. The coercivity was improved from 1040 kA/m to 1450 kA/m and its magnetization has no significant reduction after the grain boundary diffusion process at the optimum annealing conditions.

  4. Gas expanded polymer process to anneal nanoparticle dispersion in thin films

    DOE PAGES

    Ambuken, Preejith V.; Stretz, Holly A.; Dadmun, Mark; ...

    2015-04-21

    A spin-coating solution comprising poly(3-hexylthiophene) (P3HT) and phenyl-C61-butyric acid methyl ester (PCBM) nanoparticles used to create organic photovoltaic (OPV) active layers have been shown to adopt a non-uniform concentration profile across the thin film dimension. This inhomogeneous distribution can reduce the efficiency of the device. For our new process, gas expanded polymer (GXP) annealing, is applied to P3HT/PCBM thin film blends, enabling the distribution of the PCBM nanoparticles to be manipulated by varying the GXP processing conditions. Films of 50 nm thickness (nominally) created by spin casting a blend of P3HT mixed with PCBM were annealed by oscillatory GXP andmore » GXP at constant pressure using high pressure CO 2. An increase in P3HT crystallinity (detected by X-ray diffraction and UV-vis spectroscopy) along with a more uniform distribution of PCBM nanoparticles in the thickness dimension, as interpreted from neutron reflectivity measurements, were observed after oscillatory GXP annealing. In addition, static water contact angles suggest that the film/air interface is enriched in PCBM relative to the as-cast film. Finally, these results demonstrate that GXP annealing, which is commercially scalable, can be successfully used to create a uniform distribution of PCBM nanoparticles across the thickness dimension in a P3HT thin film.« less

  5. Phase formation polycrystalline vanadium oxide via thermal annealing process under controlled nitrogen pressure

    NASA Astrophysics Data System (ADS)

    Jessadaluk, S.; Khemasiri, N.; Rahong, S.; Rangkasikorn, A.; Kayunkid, N.; Wirunchit, S.; Horprathum, M.; Chananonnawathron, C.; Klamchuen, A.; Nukeaw, J.

    2017-09-01

    This article provides an approach to improve and control crystal phases of the sputtering vanadium oxide (VxOy) thin films by post-thermal annealing process. Usually, as-deposited VxOy thin films at room temperature are amorphous phase: post-thermal annealing processes (400 °C, 2 hrs) under the various nitrogen (N2) pressures are applied to improve and control the crystal phase of VxOy thin films. The crystallinity of VxOy thin films changes from amorphous to α-V2O5 phase or V9O17 polycrystalline, which depend on the pressure of N2 carrier during annealing process. Moreover, the electrical resistivity of the VxOy thin films decrease from 105 Ω cm (amorphous) to 6×10-1 Ω cm (V9O17). Base on the results, our study show a simply method to improve and control phase formation of VxOy thin films.

  6. Phase transformation from cubic ZnS to hexagonal ZnO by thermal annealing

    NASA Astrophysics Data System (ADS)

    Mahmood, K.; Asghar, M.; Amin, N.; Ali, Adnan

    2015-03-01

    We have investigated the mechanism of phase transformation from ZnS to hexagonal ZnO by high-temperature thermal annealing. The ZnS thin films were grown on Si (001) substrate by thermal evaporation system using ZnS powder as source material. The grown films were annealed at different temperatures and characterized by X-ray diffraction (XRD), photoluminescence (PL), four-point probe, scanning electron microscope (SEM) and energy dispersive X-ray diffraction (EDX). The results demonstrated that as-deposited ZnS film has mixed phases but high-temperature annealing leads to transition from ZnS to ZnO. The observed result can be explained as a two-step process: (1) high-energy O atoms replaced S atoms in lattice during annealing process, and (2) S atoms diffused into substrate and/or diffused out of the sample. The dissociation energy of ZnS calculated from the Arrhenius plot of 1000/T versus log (resistivity) was found to be 3.1 eV. PL spectra of as-grown sample exhibits a characteristic green emission at 2.4 eV of ZnS but annealed samples consist of band-to-band and defect emission of ZnO at 3.29 eV and 2.5 eV respectively. SEM and EDX measurements were additionally performed to strengthen the argument.

  7. Microscopic information processing and communication in crowd dynamics

    NASA Astrophysics Data System (ADS)

    Henein, Colin Marc; White, Tony

    2010-11-01

    Due, perhaps, to the historical division of crowd dynamics research into psychological and engineering approaches, microscopic crowd models have tended toward modelling simple interchangeable particles with an emphasis on the simulation of physical factors. Despite the fact that people have complex (non-panic) behaviours in crowd disasters, important human factors in crowd dynamics such as information discovery and processing, changing goals and communication have not yet been well integrated at the microscopic level. We use our Microscopic Human Factors methodology to fuse a microscopic simulation of these human factors with a popular microscopic crowd model. By tightly integrating human factors with the existing model we can study the effects on the physical domain (movement, force and crowd safety) when human behaviour (information processing and communication) is introduced. In a large-room egress scenario with ample exits, information discovery and processing yields a crowd of non-interchangeable individuals who, despite close proximity, have different goals due to their different beliefs. This crowd heterogeneity leads to complex inter-particle interactions such as jamming transitions in open space; at high crowd energies, we found a freezing by heating effect (reminiscent of the disaster at Central Lenin Stadium in 1982) in which a barrier formation of naïve individuals trying to reach blocked exits prevented knowledgeable ones from exiting. Communication, when introduced, reduced this barrier formation, increasing both exit rates and crowd safety.

  8. Effect of annealing on the laser induced damage of polished and CO2 laser-processed fused silica surfaces

    NASA Astrophysics Data System (ADS)

    Doualle, T.; Gallais, L.; Cormont, P.; Donval, T.; Lamaignère, L.; Rullier, J. L.

    2016-06-01

    We investigate the effect of different heat treatments on the laser-induced damage probabilities of fused silica samples. Isothermal annealing in a furnace is applied, with different temperatures in the range 700-1100 °C and 12 h annealing time, to super-polished fused silica samples. The surface flatness and laser damage probabilities at 3 ns, 351 nm are measured before and after the different annealing procedures. We have found a significant improvement of the initial laser damage probabilities of the silica surface after annealing at 1050 °C for 12 h. A similar study has been conducted on CO2 laser-processed sites on the surface of the samples. Before and after annealing, we have studied the morphology of the sites, the evolution of residual stress, and the laser-induced damage threshold measured at 351 nm, 3 ns. In this case, we observe that the laser damage resistance of the laser created craters can reach the damage level of the bare fused silica surface after the annealing process, with a complete stress relieve. The obtained results are then compared to the case of local annealing process by CO2 laser irradiation during 1 s, and we found similar improvements in both cases. The different results obtained in the study are compared to numerical simulations made with a thermo-mechanical model based on finite-element method that allows the simulation of the isothermal or the local annealing process, the evolution of stress and fictive temperature. The simulation results were found to be very consistent with experimental observations for the stresses evolution after annealing and estimation of the heat affected area during laser-processing based on the density dependence with fictive temperature. Following this work, the temperature for local annealing should reach 1330-1470 °C for an optimized reduction of damage probability and be below the threshold for material removal, whereas furnace annealing should be kept below the annealing point to avoid sample

  9. Bragg reflector based gate stack architecture for process integration of excimer laser annealing

    NASA Astrophysics Data System (ADS)

    Fortunato, G.; Mariucci, L.; Cuscunà, M.; Privitera, V.; La Magna, A.; Spinella, C.; Magrı, A.; Camalleri, M.; Salinas, D.; Simon, F.; Svensson, B.; Monakhov, E.

    2006-12-01

    An advanced gate stack structure, which incorporates a Bragg reflector, has been developed for the integration of excimer laser annealing into the power metal-oxide semiconductor (MOS) transistor fabrication process. This advanced gate structure effectively protects the gate stack from melting, thus solving the problem related to protrusion formation. By using this gate stack configuration, power MOS transistors were fabricated with improved electrical characteristics. The Bragg reflector based gate stack architecture can be applied to other device structures, such as scaled MOS transistors, thus extending the possibilities of process integration of excimer laser annealing.

  10. Rapid curing of solution-processed zinc oxide films by pulse-light annealing for thin-film transistor applications

    NASA Astrophysics Data System (ADS)

    Kim, Dong Wook; Park, Jaehoon; Hwang, Jaeeun; Kim, Hong Doo; Ryu, Jin Hwa; Lee, Kang Bok; Baek, Kyu Ha; Do, Lee-Mi; Choi, Jong Sun

    2015-01-01

    In this study, a pulse-light annealing method is proposed for the rapid fabrication of solution-processed zinc oxide (ZnO) thinfilm transistors (TFTs). Transistors that were fabricated by the pulse-light annealing method, with the annealing being carried out at 90℃ for 15 s, exhibited a mobility of 0.05 cm2/Vs and an on/off current ratio of 106. Such electrical properties are quite close to those of devices that are thermally annealed at 165℃ for 40 min. X-ray photoelectron spectroscopy analysis of ZnO films showed that the activation energy required to form a Zn-O bond is entirely supplied within 15 s of pulse-light exposure. We conclude that the pulse-light annealing method is viable for rapidly curing solution-processable oxide semiconductors for TFT applications.

  11. Combined Intercritical Annealing and Q&P Processing of Medium Mn Steel

    NASA Astrophysics Data System (ADS)

    De Cooman, Bruno C.; Lee, Seon Jong; Shin, Sunmi; Seo, Eun Jung; Speer, John G.

    2017-01-01

    The microstructure and mechanical properties of intercritically annealed medium Mn steel are dependent on the selection of the intercritical annealing (IA) temperature. While the yield strength (YS) decreases with increasing IA temperature, the ultimate tensile strength increases with increasing IA temperature. Strain aging phenomena, both static and dynamic, are also often observed. The present contribution shows that, by combining IA with the quench and partitioning processing of the intercritical austenite, it is possible to obtain non-aging mechanical properties which combine a high YS with an ultra-high tensile strength. These properties are particularly suitable for automotive parts related to passenger safety.

  12. Characteristics of OMVPE grown GaAsBi QW lasers and impact of post-growth thermal annealing

    NASA Astrophysics Data System (ADS)

    Kim, Honghyuk; Guan, Yingxin; Babcock, Susan E.; Kuech, Thomas F.; Mawst, Luke J.

    2018-03-01

    Laser diodes employing a strain-compensated GaAs1-xBix/GaAs1-yPy single quantum well (SQW) active region were grown by organometallic vapor phase epitaxy (OMVPE). High resolution x-ray diffraction, room temperature photoluminescence, and real-time optical reflectance measurements during the OMVPE growth were used to find the optimum process window for the growth of the active region material. Systematic post-growth in situ thermal anneals of various lengths were carried out in order to investigate the impacts of thermal annealing on the laser device performance characteristics. While the lowest threshold current density was achieved after the thermal annealing for 30 min at 630 °C, a gradual decrease in the external differential quantum efficiency was observed as the annealing time increases. It was observed that the temperature sensitivities of the threshold current density increase while those of lasing wavelength and slope efficiency remain nearly constant with increasing annealing time. Z-contrast scanning transmission electron microscopic) analysis revealed inhomogeneous Bi distribution within the QW active region.

  13. a Study on the Effect of Annealing Process on Sound Velocity and Internal Friction Using the Vibrating Reed Technique

    NASA Astrophysics Data System (ADS)

    Mukhopadhyay, P. K.; Kumar, Uday; Badawi, Emad

    Al has unique intrinsic characteristics, which are of interest to scientists as well as engineers. Al and its alloys are slightly paramagnetic materials. Al has very low cross section for thermal neutrons of 0.23 barn, hence Al can be used in nuclear fields as a structural material which is virtually transparent to neutrons. We report VRT as a new technique to study material problems. We also discuss, the results of sound velocity and internal friction, and resonance frequency as a function of temperature range for a pure aluminum sample under investigation. By using VRT, we found that the annealing temperature (450°C) is sufficient to remove the type of defects introduced in the materials under study. The activation energy to remove point defect for Al samples was calculated and found to be about 0.0624 eV. Also, we could easily observe that Q-1 is a function of annealing time. From these measurements of sound velocity and internal friction we can conclude that VRT is a powerful tool for detecting and probing the physical properties of the material under study. Internal friction is a microscopic property for the indication of the purity of the sample. Sound velocity depends on the state of the materials (which depends on the process of treatment).

  14. Microstructure Analysis on 6061 Aluminum Alloy after Casting and Diffuses Annealing Process

    NASA Astrophysics Data System (ADS)

    Wang, H. Q.; Sun, W. L.; Xing, Y. Q.

    One factory using semi-continuous casting process produce the ф200×6000 mm 6061 aluminium alloy barstock, and then rotary forged for car wheels. 6061 distorting aluminium alloy is an forged aluminum alloy, and mainly containing Mg, Si, Cu and other alloying elements. The main strengthening phase is Mg2Si, and also has few phase of (FeMn) 3Si2Al15. In order to eliminate the segregation and separation which present in the crystal boundary, and make the distortion to be uniform, and does not present ear and fracture defects after the forging. So the 6061 distorting aluminium alloy adopt the diffusion annealing heat treatment before the forging process.According to the current conditions, we use the diffusion annealing which have the different heating temperature and different holding time.The best process we can obtain from the test which can improve the production efficiency and reduce the material waste, improve the mechanical properties, and eliminate the overheated film on the surface.Then,we using OM,SEM and EDS to analyse the microstructure and the chemical composition of compound between the surface and centre. The result shows that the amount of segregation were different in the surface and in the center, and the different diffusion annealing can cause the phase change in the surface and the center.

  15. Post Deformation Annealing Behaviour of Mg-Al-Sn Alloys

    NASA Astrophysics Data System (ADS)

    Kabir, Abu Syed Humaun; Su, Jing; Sanjari, Mehdi; Jung, In-Ho; Yue, Stephen

    In this study, effects of dynamically formed precipitates on the microstructure and texture evolutions were investigated after the post deformation annealing for various times. Two ternary alloys of Mg, Al and Sn were designed, produced and deformed at 300°C at a strain rate of 0.01s-1 to form different amounts of strain induced precipitates during deformation. Subsequent annealing at deformation temperature was performed for up to 4 hours. Microstructures and precipitation were investigated by optical and scanning electron microscopes and macro and micro-texture were measured by X-ray diffraction (XRD) and Electron Back-Scattered Diffraction (EBSD) techniques, respectively. It was found that certain amount of strain induced precipitates was necessary to prevent grain growth for a certain time during annealing by grain boundary pinning effect. Also, texture randomization was possible with the presence of precipitates after certain time of annealing.

  16. High-temperature annealing of proton irradiated beryllium – A dilatometry-based study

    DOE PAGES

    Simos, Nikolaos; Elbakhshwan, Mohamed; Zhong, Zhong; ...

    2016-04-07

    S—200 F grade beryllium has been irradiated with 160 MeV protons up to 1.2 10 20 cm –2 peak fluence and irradiation temperatures in the range of 100–200 °C. To address the effect of proton irradiation on dimensional stability, an important parameter in its consideration in fusion reactor applications, and to simulate high temperature irradiation conditions, multi-stage annealing using high precision dilatometry to temperatures up to 740 °C were conducted in air. X-ray diffraction studies were also performed to compliment the macroscopic thermal study and offer a microscopic view of the irradiation effects on the crystal lattice. The primary objectivemore » was to qualify the competing dimensional change processes occurring at elevated temperatures namely manufacturing defect annealing, lattice parameter recovery, transmutation 4He and 3H diffusion and swelling and oxidation kinetics. Further, quantification of the effect of irradiation dose and annealing temperature and duration on dimensional changes is sought. Here, the study revealed the presence of manufacturing porosity in the beryllium grade, the oxidation acceleration effect of irradiation including the discontinuous character of oxidation advancement, the effect of annealing duration on the recovery of lattice parameters recovery and the triggering temperature for transmutation gas diffusion leading to swelling.« less

  17. Inert gas annealing effect in solution-processed amorphous indium-gallium-zinc-oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    Lee, Seungwoon; Jeong, Jaewook

    2017-08-01

    In this paper, the annealing effect of solution-processed amorphous indium-gallium-zinc-oxide thin-film transistors (a-IGZO TFTs), under ambient He (He-device), is systematically analyzed by comparison with those under ambient O2 (O2-device) and N2 (N2-device), respectively. The He-device shows high field-effect mobility and low subthreshold slope owing to the minimization of the ambient effect. The degradation of the O2- and N2-device performances originate from their respective deep acceptor-like and shallow donor-like characteristics, which can be verified by comparison with the He-device. However, the three devices show similar threshold voltage instability under prolonged positive bias stress due to the effect of excess oxygen. Therefore, annealing in ambient He is the most suitable method for the fabrication of reference TFTs to study the various effects of the ambient during the annealing process in solution-processed a-IGZO TFTs.

  18. Integrating Microscopic Analysis into Existing Quality Assurance Processes

    NASA Astrophysics Data System (ADS)

    Frühberger, Peter; Stephan, Thomas; Beyerer, Jürgen

    When technical goods, like mainboards and other electronic components, are produced, quality assurance (QA) is very important. To achieve this goal, different optical microscopes can be used to analyze a variety of specimen to gain comprehensive information by combining the acquired sensor data. In many industrial processes, cameras are used to examine these technical goods. Those cameras can analyze complete boards at once and offer a high level of accuracy when used for completeness checks. When small defects, e.g. soldered points, need to be examined in detail, those wide area cameras are limited. Microscopes with large magnification need to be used to analyze those critical areas. But microscopes alone cannot fulfill this task within a limited time schedule, because microscopic analysis of complete motherboards of a certain size is time demanding. Microscopes are limited concerning their depth of field and depth of focus, which is why additional components like XY moving tables need to be used to examine the complete surface. Yet today's industrial production quality standards require a 100 % control of the soldered components within a given time schedule. This level of quality, while keeping inspection time low, can only be achieved when combining multiple inspection devices in an optimized manner. This paper presents results and methods of combining industrial cameras with microscopy instrumenting a classificatory based approach intending to keep already deployed QA processes in place but extending them with the purpose of increasing the quality level of the produced technical goods while maintaining high throughput.

  19. Effects of rolling temperature and subsequent annealing on mechanical properties of ultrafine-grained Cu–Zn–Si alloy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Xiangkai; Yang, Xuyue, E-mail: yangxuyue@csu.edu.cn; Institute for Materials Microstructure, Central South University, Changsha 410083

    2015-08-15

    The effects of rolling temperature and subsequent annealing on mechanical properties of Cu–Zn–Si alloy were investigated by using X-ray diffraction, transmission electron microscope, electron back scattered diffraction and tensile tests. The Cu–Zn–Si alloy has been processed at cryogenic temperature (approximately 77 K) and room temperature up to different rolling strains. It has been identified that the cryorolled Cu–Zn–Si alloy samples show a higher strength compared with those room temperature rolled samples. The improved strength of cryorolled samples is resulted from grain size effect and higher densities of dislocations and deformation twins. And subsequent annealing, as a post-heat treatment, enhanced themore » ductility. An obvious increase in uniform elongation appears when the volume fraction of static recrystallization grains exceeds 25%. The strength–ductility combination of the annealed cryorolled samples is superior to that of annealed room temperature rolled samples, owing to the finer grains, high fractions of high angle grain boundaries and twins. - Highlights: • An increase in hardness of Cu–Zn–Si alloy is noticed during annealing process. • Thermal stability is reduced in Cu–Zn–Si alloy by cryorolling. • An obvious enhancement in UE is noticed when fraction of SRX grains exceeds 25%. • A superior strength–ductility combination is achieved in the cryorolling samples.« less

  20. Deformation and annealing response of TD-nickel chromium

    NASA Technical Reports Server (NTRS)

    Kane, R. D.; Ebert, L. J.

    1975-01-01

    The recrystallization and grain growth processes occurring in TD-NiCr were examined with respect to deformation severity, annealing time, and temperature. Results indicated that two different annealing responses of TD-NiCr are possible, depending on the initial state and processing history prior to annealing. As-received sheet showed a dramatic increase in grain size with decreasing annealing temperature, whereas sheet prior-annealed at 1316 C for 1 hr exhibited very little variation with subsequent annealing temperature.

  1. Modular Scanning Confocal Microscope with Digital Image Processing.

    PubMed

    Ye, Xianjun; McCluskey, Matthew D

    2016-01-01

    In conventional confocal microscopy, a physical pinhole is placed at the image plane prior to the detector to limit the observation volume. In this work, we present a modular design of a scanning confocal microscope which uses a CCD camera to replace the physical pinhole for materials science applications. Experimental scans were performed on a microscope resolution target, a semiconductor chip carrier, and a piece of etched silicon wafer. The data collected by the CCD were processed to yield images of the specimen. By selecting effective pixels in the recorded CCD images, a virtual pinhole is created. By analyzing the image moments of the imaging data, a lateral resolution enhancement is achieved by using a 20 × / NA = 0.4 microscope objective at 532 nm laser wavelength.

  2. Effects of annealing process on magnetic properties and structures of Nd-Pr-Ce-Fe-B melt-spun powders

    NASA Astrophysics Data System (ADS)

    Pei, Kun; Lin, Min; Yan, Aru; Zhang, Xing

    2016-05-01

    The effects of annealing process on magnetic properties and structures of Nd-Pr-Ce-Fe-B melt-spun powders have been investigated. The magnetic properties improve a lot when the annealing temperature is 590-650 °C and the annealing time exceeds 1 min. The magnetic properties is stable when the annealing time is 590-650 °C. The powders contains obvious grains when the annealing time is only 1 min, while the grains grow up obviously, leading to the decrease of Br and (BH)max, when the annealing time is more than 9 min. The Hcj changes little for different annealing time. The cooling rate also affects the magnetic properties of powders with different Ce-content. Faster cooling rate is favorable to improve magnetic properties with low Ce-content powders, while high Ce-content powders need slower cooling rate.

  3. A track process for solvent annealing of high-χ BCPs

    NASA Astrophysics Data System (ADS)

    Guerrero, Douglas J.; Sakavuyi, Kaumba; Xu, Kui; Gharbi, Ahmed; Tiron, Raluca; Servin, Isabelle; Pain, Laurent; Claveau, Guillaume; Stokes, Harold; Harumoto, Masahiko; Nicolet, Célia; Chevalier, Xavier

    2017-03-01

    High chi organic lamellar-forming block copolymers were prepared with 18 nm intrinsic period Lo value. The BCPs were coated on a neutral layer on silicon substrates and were either thermally annealed or exposed to solvent vapors both in a 300mm track. The effect of lowering the glass transition temperature (Tg) on the high chi BCP was investigated. Process temperatures and times were varied. It was found that the BCP having lower Tg exhibits faster kinetics and is able to reach alignment in a shorter time than a similar BCP having higher Tg. Fingerprint defect analysis also shows that the BCP with lower Tg has lower defects. The results show that fingerprint formation can be achieved with either ether or ester type solvents depending on the BCP used. The results show that a track process for solvent annealing of high-χ BCPs is feasible and could provide the path forward for incorporation of BCP in future nodes. Finally, directed self-assembly was demonstrated by implemented high chi polymers on a graphoepitaxy test vehicles. CD and line width roughness was evaluated on patterns with a multiplication factor up to 7.

  4. Effect of In Situ Annealing Treatment on the Mobility and Morphology of TIPS-Pentacene-Based Organic Field-Effect Transistors.

    PubMed

    Yang, Fuqiang; Wang, Xiaolin; Fan, Huidong; Tang, Ying; Yang, Jianjun; Yu, Junsheng

    2017-08-23

    In this work, organic field-effect transistors (OFETs) with a bottom gate top contact structure were fabricated by using a spray-coating method, and the influence of in situ annealing treatment on the OFET performance was investigated. Compared to the conventional post-annealing method, the field-effect mobility of OFET with 60 °C in situ annealing treatment was enhanced nearly four times from 0.056 to 0.191 cm 2 /Vs. The surface morphologies and the crystallization of TIPS-pentacene films were characterized by optical microscope, atomic force microscope, and X-ray diffraction. We found that the increased mobility was mainly attributed to the improved crystallization and highly ordered TIPS-pentacene molecules.

  5. Effect of In Situ Annealing Treatment on the Mobility and Morphology of TIPS-Pentacene-Based Organic Field-Effect Transistors

    NASA Astrophysics Data System (ADS)

    Yang, Fuqiang; Wang, Xiaolin; Fan, Huidong; Tang, Ying; Yang, Jianjun; Yu, Junsheng

    2017-08-01

    In this work, organic field-effect transistors (OFETs) with a bottom gate top contact structure were fabricated by using a spray-coating method, and the influence of in situ annealing treatment on the OFET performance was investigated. Compared to the conventional post-annealing method, the field-effect mobility of OFET with 60 °C in situ annealing treatment was enhanced nearly four times from 0.056 to 0.191 cm2/Vs. The surface morphologies and the crystallization of TIPS-pentacene films were characterized by optical microscope, atomic force microscope, and X-ray diffraction. We found that the increased mobility was mainly attributed to the improved crystallization and highly ordered TIPS-pentacene molecules.

  6. Pulsed Laser Annealing of Carbon

    NASA Astrophysics Data System (ADS)

    Abrahamson, Joseph P.

    micro-cracks upon rapid evolution in the form of H2S and CS2, upon subsequent heat treatment. Laboratory generated synthetic soot from benzene and benzene-thiophene were Nd:YAG laser and furnace annealed. Furnace annealing of sulfur doped synthetic soot results in cracks and rupturing due to the high pressures caused by explosive sulfur evolution at elevated temperature. Whereas Nd:YAG laser heating of the sulfur doped sample acted to induce curvature. The observed curvature is owed to annealing occurring simultaneously with sulfur evolution. The unset lamellae are strongly influenced by the defect formed upon sulfur evolution. Coke and char samples were prepared via carbonization in sealed tubing reactors. The extent of mesophase development was assessed by measuring the materials optical anisotropy with a polarized light microscope. Physical and chemical transformations from annealing were measured with electron microscopy, energy dispersive X-ray spectroscopy, selected area electron diffraction, and electron energy loss spectroscopy. Virgin samples and traditional furnace annealed samples available in bulk were analyzed with X-ray diffraction. The potential technological importance of laser annealing carbon is demonstrated as annealing can be performed continuously and rapidly. Examples of material processing and synthesis not possible via traditional furnace annealing are provided.

  7. Modular Scanning Confocal Microscope with Digital Image Processing

    PubMed Central

    McCluskey, Matthew D.

    2016-01-01

    In conventional confocal microscopy, a physical pinhole is placed at the image plane prior to the detector to limit the observation volume. In this work, we present a modular design of a scanning confocal microscope which uses a CCD camera to replace the physical pinhole for materials science applications. Experimental scans were performed on a microscope resolution target, a semiconductor chip carrier, and a piece of etched silicon wafer. The data collected by the CCD were processed to yield images of the specimen. By selecting effective pixels in the recorded CCD images, a virtual pinhole is created. By analyzing the image moments of the imaging data, a lateral resolution enhancement is achieved by using a 20 × / NA = 0.4 microscope objective at 532 nm laser wavelength. PMID:27829052

  8. Combining a multi deposition multi annealing technique with a scavenging (Ti) to improve the high-k/metal gate stack performance for a gate-last process

    NASA Astrophysics Data System (ADS)

    ShuXiang, Zhang; Hong, Yang; Bo, Tang; Zhaoyun, Tang; Yefeng, Xu; Jing, Xu; Jiang, Yan

    2014-10-01

    ALD HfO2 films fabricated by a novel multi deposition multi annealing (MDMA) technique are investigated, we have included samples both with and without a Ti scavenging layer. As compared to the reference gate stack treated by conventional one-time deposition and annealing (D&A), devices receiving MDMA show a significant reduction in leakage current. Meanwhile, EOT growth is effectively controlled by the Ti scavenging layer. This improvement strongly correlates with the cycle number of D&A (while keeping the total annealing time and total dielectrics thickness the same). Transmission electron microscope and energy-dispersive X-ray spectroscopy analysis suggests that oxygen incorporation into both the high-k film and the interfacial layer is likely to be responsible for the improvement of the device. This novel MDMA is promising for the development of gate stack technology in a gate last integration scheme.

  9. Effect of annealing induced residual stress on the resonance frequency of SiO2 microcantilevers

    NASA Astrophysics Data System (ADS)

    Balasubramanian, S.; Prabakar, K.; Tripura Sundari, S.

    2018-04-01

    In the present work, effect of residual stress, induced due to annealing of SiO2 microcantilevers (MCs) on their resonance frequency is studied. SiO2MCs of various dimensions were fabricated using direct laser writer & wet chemical etching method and were annealed at 800 °C in oxygen environment, post release. The residual stress was estimated from the deflection profile of the MCs measured using 3D optical microscope, before and after annealing. Resonance frequency of the MCs was measured using nano-vibration analyzer and was found to change after annealing. Further the frequency shift was found to depend on the MC dimensions. This is attributed to the large stress gradients induced by annealing and associated stiffness changes.

  10. Preparation of nickel oxide thin films at different annealing temperature by sol-gel spin coating method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Abdullah, M. A. R., E-mail: ameerridhwan89@gmail.com; Mamat, M. H., E-mail: hafiz-030@yahoo.com; Ismail, A. S., E-mail: kyrin-samaxi@yahoo.com

    2016-07-06

    Preparation of NiO thin films at different annealing temperature by sol-gel method was conducted to synthesize the quality of the surface thin films. The effects of annealing temperature on the surface topology were systematically investigated. Our studies confirmed that the surface roughness of the thin films was increased whenever annealing temperature was increase. NiO thin films morphology structure analysis was confirmed by field emission scanning electron microscope. Surface roughness of the thin films was investigated by atomic force microscopy.

  11. A low thermal impact annealing process for SiO2-embedded Si nanocrystals with optimized interface quality

    NASA Astrophysics Data System (ADS)

    Hiller, Daniel; Gutsch, Sebastian; Hartel, Andreas M.; Löper, Philipp; Gebel, Thoralf; Zacharias, Margit

    2014-04-01

    Silicon nanocrystals (Si NCs) for 3rd generation photovoltaics or optoelectronic applications can be produced by several industrially compatible physical or chemical vapor deposition technologies. A major obstacle for the integration into a fabrication process is the typical annealing to form and crystallize these Si quantum dots (QDs) which involves temperatures ≥1100 °C for 1 h. This standard annealing procedure allows for interface qualities that correspond to more than 95% dangling bond defect free Si NCs. We study the possibilities to use rapid thermal annealing (RTA) and flash lamp annealing to crystallize the Si QDs within seconds or milliseconds at high temperatures. The Si NC interface of such samples exhibits huge dangling bond defect densities which makes them inapplicable for photovoltaics or optoelectronics. However, if the RTA high temperature annealing is combined with a medium temperature inert gas post-annealing and a H2 passivation, luminescent Si NC fractions of up to 90% can be achieved with a significantly reduced thermal load. A new figure or merit, the relative dopant diffusion length, is introduced as a measure for the impact of a Si NC annealing procedure on doping profiles of device structures.

  12. Development of Annealing-Free, Solution-Processable Inverted Organic Solar Cells with N-Doped Graphene Electrodes using Zinc Oxide Nanoparticles.

    PubMed

    Jung, Seungon; Lee, Junghyun; Seo, Jihyung; Kim, Ungsoo; Choi, Yunseong; Park, Hyesung

    2018-02-14

    An annealing-free process is considered as a technological advancement for the development of flexible (or wearable) organic electronic devices, which can prevent the distortion of substrates and damage to the active components of the device and simplify the overall fabrication process to increase the industrial applications. Owing to its outstanding electrical, optical, and mechanical properties, graphene is seen as a promising material that could act as a transparent conductive electrode for flexible optoelectronic devices. Owing to their high transparency and electron mobility, zinc oxide nanoparticles (ZnO-NP) are attractive and promising for their application as charge transporting materials for low-temperature processes in organic solar cells (OSCs), particularly because most charge transporting materials require annealing treatments at elevated temperatures. In this study, graphene/annealing-free ZnO-NP hybrid materials were developed for inverted OSC by successfully integrating ZnO-NP on the hydrophobic surface of graphene, thus aiming to enhance the applicability of graphene as a transparent electrode in flexible OSC systems. Chemical, optical, electrical, and morphological analyses of ZnO-NPs showed that the annealing-free process generates similar results to those provided by the conventional annealing process. The approach was effectively applied to graphene-based inverted OSCs with notable power conversion efficiencies of 8.16% and 7.41% on the solid and flexible substrates, respectively, which promises the great feasibility of graphene for emerging optoelectronic device applications.

  13. Transparent and Flexible Zinc Tin Oxide Thin Film Transistors and Inverters using Low-pressure Oxygen Annealing Process

    NASA Astrophysics Data System (ADS)

    Lee, Kimoon; Kim, Yong-Hoon; Kim, Jiwan; Oh, Min Suk

    2018-05-01

    We report on the transparent and flexible enhancement-load inverters which consist of zinc tin oxide (ZTO) thin film transistors (TFTs) fabricated at low process temperature. To control the electrical characteristics of oxide TFTs by oxygen vacancies, we applied low-pressure oxygen rapid thermal annealing (RTA) process to our devices. When we annealed the ZTO TFTs in oxygen ambient of 2 Torr, they showed better electrical characteristics than those of the devices annealed in the air ambient of 760 Torr. To realize oxide thin film transistor and simple inverter circuits on flexible substrate, we annealed the devices in O2 of 2 Torr at 150° C and could achieve the decent electrical properties. When we used transparent conductive oxide electrodes such as indium zinc oxide (IZO) and indium tin oxide (ITO), our transparent and flexible inverter showed the total transmittance of 68% in the visible range and the voltage gain of 5. And the transition voltage in voltage transfer curve was located well within the range of operation voltage.

  14. Oxygen Annealing in the Synthesis of the Electron-Doped Cuprates

    NASA Astrophysics Data System (ADS)

    Higgins, J. S.; Bach, P. L.; Yu, W.; Weaver, B. D.; Greene, R. L.

    2015-03-01

    Post-synthesis oxygen reduction (annealing) in the electron-doped, high-temperature superconducting cuprates is necessary for the establishment of superconductivity. It is not established what effect this reduction has microscopically on the lattice structure. Several mechanisms have been put forth as explanations; they range from disorder minimization1, antiferromagnetic suppression2, and copper migration3. Here we present an electronic transport study on electron-doped cuprate Pr2-xCexCuO4+/-δ (PCCO) thin films in an attempt to better understand the need for this post-synthesis process. Several different cerium doping concentrations of PCCO were grown. Within each doping, a series of films were grown with varying levels of oxygen concentration. As a measure of disorder on the properties of PCCO, several films were irradiated with various doses of 2 MeV protons. Analysis within each series, and among the different dopings, favors disorder minimization through the removal of apical oxygen as the explanation for the necessary post-synthesis annealing process. 1P. K. Mang, et al., Physical Review Letters, 93(2):027002, 2004. 2P. Richard, et al., Physical Review B, 70 (6), 064513, 2004. 3Hye Jung Kang, et al., Nature Materials, 2007. Supported by NSF DMR 1104256.

  15. Influence of film structure on the dewetting kinetics of thin polymer films in the solvent annealing process.

    PubMed

    Zhang, Huanhuan; Xu, Lin; Lai, Yuqing; Shi, Tongfei

    2016-06-28

    On a non-wetting solid substrate, the solvent annealing process of a thin polymer film includes the swelling process and the dewetting process. Owing to difficulties in the in situ analysis of the two processes simultaneously, a quantitative study on the solvent annealing process of thin polymer films on the non-wetting solid substrate is extremely rare. In this paper, we design an experimental method by combining spectroscopic ellipsometry with optical microscopy to achieve the simultaneous in situ study. Using this method, we investigate the influence of the structure of swollen film on its dewetting kinetics during the solvent annealing process. The results show that for a thin PS film with low Mw (Mw = 4.1 kg mol(-1)), acetone molecules can form an ultrathin enriched layer between the PS film and the solid substrate during the swelling process. The presence of the acetone enriched layer accounts for the exponential kinetic behavior in the case of a thin PS film with low Mw. However, the acetone enriched layer is not observed in the case of a thin PS film with high Mw (Mw = 400 kg mol(-1)) and the slippage effect of polymer chains is valid during the dewetting process.

  16. Athermal Annealing of Silicon

    NASA Astrophysics Data System (ADS)

    Fischer, R. P.; Grun, J.; Ting, A.; Felix, C.; Peckerar, M.; Fatemi, M.; Manka, C. K.

    1999-11-01

    Current semiconductor annealing methods are based on thermal processes which are accompanied by diffusion that degrades the definition of device features or causes other problems. This will be a serious obstacle for the production of next-generation ultra-high density, low power semiconductor devices. Experiments underway at NRL utilize a new annealing method which is much faster than thermal annealing and does not depend upon thermal energy (J. Grun, et al)., Phys. Rev. Letters 78, 1584 (1997).. A 10 J, 30 nsec, 1.053 nm wavelength laser pulse is focussed to approximately 1 mm diameter on a silicon sample. Acoustic and shock waves propagate from the impact region, which deposit mechanical energy into the material and anneal the silicon. Experimental results will be presented on annealing neutron-transmutation-doped (NTD) and ion implanted silicon samples with impurity concentrations from 1 × 10^15-3 × 10^20/cm^3.

  17. Review of microscopic plasma processes of occurring during refilling of the plasmasphere

    NASA Technical Reports Server (NTRS)

    Singh, N.; Torr, D. G.

    1988-01-01

    Refilling of the plasmashere after geomagnetic storms involves both macroscopic and microscopic plasma processes. The latter types of processes facilitate the refilling by trapping the plasma in the flux tube and by thermalizing the interhemispheric flow. A review of studies on microscopic processes is presented. The primary focus in this review is on the processes when the density is low and the plasma is collisionless. The discussion includes electrostatic shock formation, pitch angle scatterring extended ion heating and localized ion heating in the equatorial region.

  18. Effects of a modular two-step ozone-water and annealing process on silicon carbide graphene

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Webb, Matthew J., E-mail: matthew.webb@cantab.net; Lundstedt, Anna; Grennberg, Helena

    By combining ozone and water, the effect of exposing epitaxial graphene on silicon carbide to an aggressive wet-chemical process has been evaluated after high temperature annealing in ultra high vacuum. The decomposition of ozone in water produces a number of oxidizing species, however, despite long exposure times to the aqueous-ozone environment, no graphene oxide was observed after the two-step process. The systems were comprehensively characterized before and after processing using Raman spectroscopy, core level photoemission spectroscopy, and angle resolved photoemission spectroscopy together with low energy electron diffraction, low energy electron microscopy, and atomic force microscopy. In spite of the chemicalmore » potential of the aqueous-ozone reaction environment, the graphene domains were largely unaffected raising the prospect of employing such simple chemical and annealing protocols to clean or prepare epitaxial graphene surfaces.« less

  19. Annealing of Solar Cells and Other Thin Film Devices

    NASA Technical Reports Server (NTRS)

    Escobar, Hector; Kuhlman, Franz; Dils, D. W.; Lush, G. B.; Mackey, Willie R. (Technical Monitor)

    2001-01-01

    Annealing is a key step in most semiconductor fabrication processes, especially for thin films where annealing enhances performance by healing defects and increasing grain sizes. We have employed a new annealing oven for the annealing of CdTe-based solar cells and have been using this system in an attempt to grow US on top of CdTe by annealing in the presence of H2S gas. Preliminary results of this process on CdTe solar cells and other thin-film devices will be presented.

  20. Data-based hybrid tension estimation and fault diagnosis of cold rolling continuous annealing processes.

    PubMed

    Liu, Qiang; Chai, Tianyou; Wang, Hong; Qin, Si-Zhao Joe

    2011-12-01

    The continuous annealing process line (CAPL) of cold rolling is an important unit to improve the mechanical properties of steel strips in steel making. In continuous annealing processes, strip tension is an important factor, which indicates whether the line operates steadily. Abnormal tension profile distribution along the production line can lead to strip break and roll slippage. Therefore, it is essential to estimate the whole tension profile in order to prevent the occurrence of faults. However, in real annealing processes, only a limited number of strip tension sensors are installed along the machine direction. Since the effects of strip temperature, gas flow, bearing friction, strip inertia, and roll eccentricity can lead to nonlinear tension dynamics, it is difficult to apply the first-principles induced model to estimate the tension profile distribution. In this paper, a novel data-based hybrid tension estimation and fault diagnosis method is proposed to estimate the unmeasured tension between two neighboring rolls. The main model is established by an observer-based method using a limited number of measured tensions, speeds, and currents of each roll, where the tension error compensation model is designed by applying neural networks principal component regression. The corresponding tension fault diagnosis method is designed using the estimated tensions. Finally, the proposed tension estimation and fault diagnosis method was applied to a real CAPL in a steel-making company, demonstrating the effectiveness of the proposed method.

  1. Reduced water vapor transmission rates of low-temperature solution-processed metal oxide barrier films via ultraviolet annealing

    NASA Astrophysics Data System (ADS)

    Park, Seonuk; Jeong, Yong Jin; Baek, Yonghwa; Kim, Lae Ho; Jang, Jin Hyuk; Kim, Yebyeol; An, Tae Kyu; Nam, Sooji; Kim, Se Hyun; Jang, Jaeyoung; Park, Chan Eon

    2017-08-01

    Here, we report the fabrication of low-temperature sol-gel-derived aluminum oxide (AlOx) films via ultraviolet (UV) annealing and the investigation of their water vapor blocking properties by measuring the water vapor transmission rates (WVTRs). The UV annealing process induced the formation of a dense metal-oxygen-metal bond (Al-O-Al structure) at low temperatures (<200 °C) that are compatible with commercial plastic substrates. The density of the UV-annealed AlOx thin film at 180 °C was comparable to that of AlOx thin films that have been thermally annealed at 350 °C. Furthermore, the UV-annealed AlOx thin films exhibited a high optical transparency in the visible region (>99%) and good electrical insulating properties (∼10-7 A/cm2 at 2 MV/cm). Finally, we confirmed that a dense AlOx thin film was successfully deposited onto the plastic substrate via UV annealing at low temperatures, leading to a substantial reduction in the WVTRs. The Ca corrosion test was used to measure the WVTRs of AlOx thin films deposited onto polyethylene naphthalate or polyimide substrates, determined to be 0.0095 g m-2 day-1 (25 °C, 50% relative humidity) and 0.26 g m-2 day-1, respectively.

  2. Annealing Temperature Dependent Structural and Optical Properties of RF Sputtered ZnO Thin Films.

    PubMed

    Sharma, Shashikant; Varma, Tarun; Asokan, K; Periasamy, C; Boolchandani, Dharmendar

    2017-01-01

    This work investigates the effect of annealing temperature on structural and optical properties of ZnO thin films grown over Si 100 and glass substrates using RF sputtering technique. Annealing temperature has been varied from 300 °C to 600 °C in steps of 100, and different microstructural parameters such as grain size, dislocation density, lattice constant, stress and strain have been evaluated. The structural and surface morphological characterization has been done using X-ray Diffraction (XRD) and Scanning Electron Microscope (SEM). XRD analysis reveals that the peak intensity of 002 crystallographic orientation increases with increased annealing temperature. Optical characterization of deposited films have been done using UV-Vis-NIR spectroscopy and photoluminescence spectrometer. An increase in optical bandgap of deposited ZnO thin films with increasing annealing temperature has been observed. The average optical transmittance was found to be more than 85% for all deposited films. Photoluminiscense spectra (PL) suggest that the crystalline quality of deposited film has increased at higher annealing temperature.

  3. The Role of Annealing Process in Ag-Based BaSnO3 Multilayer Thin Films.

    PubMed

    Wu, Muying; Yu, Shihui; He, Lin; Yang, Lei; Zhang, Weifeng

    2016-12-01

    The BaSnO3/Ag/BaSnO3 multilayer structure was designed and fabricated on a quartz glass by magnetron sputtering, followed by an annealing process at a temperature from 150 to 750 °C in air. In this paper, we investigated the influence of the annealing temperature on the structural, optical, and electrical properties of the multilayers and proposed the mechanisms of conduction and transmittance. The maximum value of the figure of merit of 31.8 × 10(-3) Ω(-1) was achieved for the BaSnO3/Ag/BaSnO3 multilayer thin films annealed at 150 °C, while the average optical transmittance in the visible ranges was >84 %, the resistivity was 5.71 × 10(-5) Ω cm, and the sheet resistance was 5.57 Ω/sq. When annealed at below 600 °C, the values of resistivity and transmittance of the multilayers were within an acceptable range (resistivity <5.0 × 10(-4) Ω cm, transmittance >80 %). The observed property of the multilayer film is suitable for the application of transparent conductive electrodes.

  4. Pattern Laser Annealing by a Pulsed Laser

    NASA Astrophysics Data System (ADS)

    Komiya, Yoshio; Hoh, Koichiro; Murakami, Koichi; Takahashi, Tetsuo; Tarui, Yasuo

    1981-10-01

    Preliminary experiments with contact-type pattern laser annealing were made for local polycrystallization of a-Si, local evaporation of a-Si and local formation of Ni-Si alloy. These experiments showed that the mask patterns can be replicated as annealed regions with a resolution of a few microns on substrates. To overcome shortcomings due to the contact type pattern annealing, a projection type reduction pattern laser annealing system is proposed for resistless low temperature pattern forming processes.

  5. Modulation of drug release kinetics of shellac-based matrix tablets by in-situ polymerization through annealing process.

    PubMed

    Limmatvapirat, Sontaya; Limmatvapirat, Chutima; Puttipipatkhachorn, Satit; Nunthanid, Jurairat; Luangtana-anan, Manee; Sriamornsak, Pornsak

    2008-08-01

    A new oral-controlled release matrix tablet based on shellac polymer was designed and developed, using metronidazole (MZ) as a model drug. The shellac-based matrix tablets were prepared by wet granulation using different amounts of shellac and lactose. The effect of annealing temperature and pH of medium on drug release from matrix tablets was investigated. The increased amount of shellac and increased annealing temperature significantly affected the physical properties (i.e., tablet hardness and tablet disintegration) and MZ release from the matrix tablets. The in-situ polymerization played a major role on the changes in shellac properties during annealing process. Though the shellac did not dissolve in acid medium, the MZ release in 0.1N HCl was faster than in pH 7.3 buffer, resulting from a higher solubility of MZ in acid medium. The modulation of MZ release kinetics from shellac-based matrix tablets could be accomplished by varying the amount of shellac or annealing temperature. The release kinetics was shifted from relaxation-controlled release to diffusion-controlled release when the amount of shellac or the annealing temperature was increased.

  6. Sb-Te alloy nanostructures produced on a graphite surface by a simple annealing process

    NASA Astrophysics Data System (ADS)

    Kuwahara, Masashi; Uratsuji, Hideaki; Abe, Maho; Sone, Hayato; Hosaka, Sumio; Sakai, Joe; Uehara, Yoichi; Endo, Rie; Tsuruoka, Tohru

    2015-08-01

    We have produced Sb-Te alloy nanostructures from a thin Sb2Te3 layer deposited on a highly oriented pyrolytic graphite substrate using a simple rf-magnetron sputtering and annealing technique. The size, shape, and chemical composition of the structures were investigated by scanning electron microscopy (SEM), atomic force microscopy (AFM), and energy dispersive X-ray spectrometry (EDX), respectively. The shape of the nanostructures was found to depend on the annealing temperature; nanoparticles appear on the substrate by annealing at 200 °C, while nanoneedles are formed at higher temperatures. Chemical composition analysis has revealed that all the structures were in the composition of Sb:Te = 1:3, Te rich compared to the target composition Sb2Te3, probably due to the higher movability of Te atoms on the substrate compared with Sb. We also tried to observe the production process of nanostructures in situ using SEM. Unfortunately, this was not possible because of evaporation in vacuum, suggesting that the formation of nanostructures is highly sensitive to the ambient pressure.

  7. Effect of diffusion annealing regimes on the structure of Nb3Sn layers in ITER-type bronze-processed wires

    NASA Astrophysics Data System (ADS)

    Valova-Zaharevskaya, E. G.; Popova, E. N.; Deryagina, I. L.; Abdyukhanov, I. M.; Tsapleva, A. S.

    2018-03-01

    The goal of the present study is to characterize the growth kinetics and structural parameters of the Nb3Sn layers formed under various regimes of the diffusion annealing of bronze-processed Nb/Cu-Sn composites. The structure of the superconducting layers is characterized by their thickness, average size of equiaxed grains and by the ratio of fractions of columnar and equiaxed grains. It was found that at higher diffusion annealing temperatures (above 650°C) thicker superconducting layers are obtained, but the average sizes of equiaxed Nb3Sn grains even under short exposures (10 h) are much larger than after the long low-temperature annealing. At the low-temperature (575 °C) annealing the relative fraction of columnar grains increases with increasing annealing time. Based on the data obtained, optimal regimes of the diffusion annealing can be chosen, which would on the one hand ensure complete transformation of Nb into Nb3Sn of close to the stoichiometric composition, and on the other hand prevent the formation of coarse and columnar grains.

  8. Microstructure based simulations for prediction of flow curves and selection of process parameters for inter-critical annealing in DP steel

    NASA Astrophysics Data System (ADS)

    Deepu, M. J.; Farivar, H.; Prahl, U.; Phanikumar, G.

    2017-04-01

    Dual phase steels are versatile advanced high strength steels that are being used for sheet metal applications in automotive industry. It also has the potential for application in bulk components like gear. The inter-critical annealing in dual phase steels is one of the crucial steps that determine the mechanical properties of the material. Selection of the process parameters for inter-critical annealing, in particular, the inter-critical annealing temperature and time is important as it plays a major role in determining the volume fractions of ferrite and martensite, which in turn determines the mechanical properties. Selection of these process parameters to obtain a particular required mechanical property requires large number of experimental trials. Simulation of microstructure evolution and virtual compression/tensile testing can help in reducing the number of such experimental trials. In the present work, phase field modeling implemented in the commercial software Micress® is used to predict the microstructure evolution during inter-critical annealing. Virtual compression tests are performed on the simulated microstructure using finite element method implemented in the commercial software, to obtain the effective flow curve of the macroscopic material. The flow curves obtained by simulation are experimentally validated with physical simulation in Gleeble® and compared with that obtained using linear rule of mixture. The methodology could be used in determining the inter-critical annealing process parameters required for achieving a particular flow curve.

  9. Advanced processing of gallium nitride and gallium nitride-based devices: Ultra-high temperature annealing and implantation incorporation

    NASA Astrophysics Data System (ADS)

    Yu, Haijiang

    This dissertation is focused on three fields: ultra-high temperature annealing of GaN, activation of implanted GaN and the implantation incorporation into AlGaN/GaN HEMT processing, with an aim to increase the performance, manufacturability and reliability of AlGaN/GaN HEMTs. First, the ultra high temperature (around 1500°C) annealing of MOCVD grown GaN on sapphire has been studied, and a thermally induced threading dislocation (TD) motion and reaction are reported. Using a rapid thermal annealing (RTA) approach capable of heating 2 inch wafers to around 1500°C with 100 bar N2 over-pressure, evidence of dislocation motion was first observed in transmission electron microscopy (TEM) micrographs of both planar and patterned GaN films protected by an AIN capping layer. An associated decrease in x-ray rocking curve (XRC) full-width-half-maximum (FWHM) was also observed for both the symmetric and asymmetric scans. After annealing, the AIN capping layer remained intact, and optical measurements showed no degradation of the opto-electronic properties of the films. Then activation annealing of Si implants in MOCVD grown GaN has been studied for use in ohmic contacts. Si was implanted in semi-insulating GaN at 100 keV with doses from 5 x 1014 cm-2 to 1.5 x 1016 cm-2. Rapid thermal annealing at 1500°C with 100 bar N2 over-pressure was used for dopant activation, resulting in a minimum sheet resistance of 13.9 O/square for a dose of 7 x 1015 cm-2. Secondary ion mass spectroscopy measurements showed a post-activation broadening of the dopant concentration peak by 20 nm (at half the maximum), while X-Ray triple axis o-2theta scans indicated nearly complete implant damage recovery. Transfer length method measurements of the resistance of Ti/Al/Ni/Au contacts to activated GaN:Si (5 x 1015 cm-2 at 100 keV) indicated lowest contact resistances of 0.07 Omm and 0.02 Omm for as-deposited and subsequently annealed contacts, respectively. Finally, the incorporation of Si implantation

  10. Achieving composition-controlled Cu2ZnSnS4 films by sulfur-free annealing process

    NASA Astrophysics Data System (ADS)

    Jiang, Hailong; Wei, Xiaoqing; Huang, Yongliang; Wang, Xian; Han, Anjun; Liu, Xiaohui; Liu, Zhengxin; Meng, Fanying

    2017-06-01

    Cu2ZnSnS4 (CZTS) films were firstly prepared by the nonvacuum spin-coating method, and then annealed at 550 °C in N2 atmosphere. A graphite box was used to inhibit the volatilization of gaseous SnS and S2 to suppress the CZTS decomposition and generation of MoS2 during annealing. The sulfur supplementation carried out in a conventional annealing process was not applied in this work. It was found that Sn loss was overcome and the compositions of postannealed films were close to that of precursor solution. Thus, by this method, the compositions of CZTS films can be controlled by adjusting the elemental ratios of the precursor solution. Besides, the increase in inert atmosphere pressure could further minimize the Sn loss and improve the crystallinity of CZTS films. Furthermore, the resistive MoS2 layer between the CZTS film and the Mo layer was suppressed because sulfur was not used and CZTS decomposition was suppressed.

  11. Microscopic diffusion processes measured in living planarians

    DOE PAGES

    Mamontov, Eugene

    2018-03-08

    Living planarian flatworms were probed using quasielastic neutron scattering to measure, on the pico-to-nanosecond time scale and nanometer length scale, microscopic diffusion of water and cell constituents in the planarians. Measurable microscopic diffusivities were surprisingly well defined in such a complex system as living animals. The overall variation in the microscopic diffusivity of cell constituents was found to be far lower than the variation in the microscopic diffusivity of water in planarians in a temperature range of 284.5 to 304.1K.

  12. Microscopic diffusion processes measured in living planarians

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mamontov, Eugene

    Living planarian flatworms were probed using quasielastic neutron scattering to measure, on the pico-to-nanosecond time scale and nanometer length scale, microscopic diffusion of water and cell constituents in the planarians. Measurable microscopic diffusivities were surprisingly well defined in such a complex system as living animals. The overall variation in the microscopic diffusivity of cell constituents was found to be far lower than the variation in the microscopic diffusivity of water in planarians in a temperature range of 284.5 to 304.1K.

  13. Investigation on Structural and Optical Properties of Copper Telluride Thin Films with Different Annealing Temperature

    NASA Astrophysics Data System (ADS)

    Nishanthini, R.; Muthu Menaka, M.; Pandi, P.; Bahavan Palani, P.; Neyvasagam, K.

    The copper telluride (Cu2Te) thin film of thickness 240nm was coated on a microscopic glass substrate by thermal evaporation technique. The prepared films were annealed at 150∘C and 250∘C for 1h. The annealing effect on Cu2Te thin films was examined with different characterization methods like X-ray Diffraction Spectroscopy (XRD), Scanning Electron Microscopy (SEM), Ultra Violet-Visible Spectroscopy (UV-VIS) and Photoluminescence (PL) Spectroscopy. The peak intensities of XRD spectra were increased while increasing annealing temperature from 150∘C to 250∘C. The improved crystallinity of the thin films was revealed. However, the prepared films are exposed complex structure with better compatibility. Moreover, the shift in band gap energy towards higher energies (blue shift) with increasing annealing temperature is observed from the optical studies.

  14. The influence of annealing temperature on the interface and photovoltaic properties of CdS/CdSe quantum dots sensitized ZnO nanorods solar cells.

    PubMed

    Qiu, Xiaofeng; Chen, Ling; Gong, Haibo; Zhu, Min; Han, Jun; Zi, Min; Yang, Xiaopeng; Ji, Changjian; Cao, Bingqiang

    2014-09-15

    Arrays of ZnO/CdS/CdSe core/shell nanocables with different annealing temperatures have been investigated for CdS/CdSe quantum dots sensitized solar cells (QDSSCs). CdS/CdSe quantum dots were synthesized on the surface of ZnO nanorods that serve as the scaffold via a simple ion-exchange approach. The uniform microstructure was verified by scanning electron microscope and transmission electron microscope. UV-Visible absorption spectrum and Raman spectroscopy analysis indicated noticeable influence of annealing temperature on the interface structural and optical properties of the CdS/CdSe layers. Particularly, the relationship between annealing temperatures and photovoltaic performance of the corresponding QDSSCs was investigated employing photovoltaic conversion, quantum efficiency and electrochemical impedance spectra. It is demonstrated that higher cell efficiency can be obtained by optimizing the annealing temperature through extending the photoresponse range and improving QD layer crystal quality. Copyright © 2014 Elsevier Inc. All rights reserved.

  15. Processing of fine grained AISI 304L austenitic stainless steel by cold rolling and high-temperature short-term annealing

    NASA Astrophysics Data System (ADS)

    Naghizadeh, Meysam; Mirzadeh, Hamed

    2018-05-01

    An advanced thermomechanical process based on the formation and reversion of deformation-induced martensite was used to refine the grain size and enhance the hardness of an AISI 304L austenitic stainless steel. Both low and high reversion annealing temperatures and also the repetition of the whole thermomechanical cycle were considered. While a microstructure with average austenite grain size of a few micrometers was achieved based on cold rolling and high-temperature short-term annealing, an extreme grain refinement up to submicrometer regime was obtained by cold rolling followed by low-temperature long-term annealing. However, the required annealing time was found to be much longer, which negates its appropriateness for industrial production. While a magnificent grain refinement was achieved by one pass of the high-temperature thermomechanical process, the reduction in grain size was negligible by the repetition of the whole cycle. It was found that the hardness of the thermomechanically processed material is much higher than that of the as-received material. The results of the present work were shown to be compatible with the general trend of grain size dependence of hardness for AISI 304L stainless steel based on the Hall-Petch relationship. The results were also discussed based on the X-ray evaluation of dislocation density by modified Williamson-Hall plots.

  16. Rapid Annealing Of Amorphous Hydrogenated Carbon

    NASA Technical Reports Server (NTRS)

    Alterovitz, Samuel A.; Pouch, John J.; Warner, Joseph D.

    1989-01-01

    Report describes experiments to determine effects of rapid annealing on films of amorphous hydrogenated carbon. Study represents first efforts to provide information for applications of a-C:H films where rapid thermal processing required. Major finding, annealing causes abrupt increase in absorption and concomitant decrease in optical band gap. Most of change occurs during first 20 s, continues during longer annealing times. Extend of change increases with annealing temperature. Researchers hypothesize abrupt initial change caused by loss of hydrogen, while gradual subsequent change due to polymerization of remaining carbon into crystallites or sheets of graphite. Optical band gaps of unannealed specimens on silicon substrates lower than those of specimens on quartz substrates.

  17. Effect of Annealing on the Density of Defects in Epitaxial CdTe (211)/GaAs

    NASA Astrophysics Data System (ADS)

    Bakali, Emine; Selamet, Yusuf; Tarhan, Enver

    2018-05-01

    CdTe thin films were grown on GaAs (211) wafers by molecular beam epitaxy as the buffer layer for HgCdTe infrared detector applications. We studied the effect of annealing on the density of dislocation of these CdTe thin films under varying annealing parameters such as annealing temperature, annealing duration, and number of cycles. Annealings were carried out using a homemade annealing reactor possessing a special heater element made of a Si wafer for rapid heating. The density of dislocations, which were made observable with a scanning electron microscope after etching with an Everson solution, were calculated by counting the number of dislocations per unit surface area, hence the term etch pit density (EPD). We were able to decrease EPD values by one order of magnitude after annealing. For example, the best EPD value after a 20-min annealing at 400°C was ˜ 2 × 107 cm-2 for a 1.63-μm CdTe thin film which was about 9.5 × 107 cm-2 before annealing. We also employed Raman scattering measurements to see the changes in the structural quality of the samples. From the Raman measurements, we were able to see improvements in the quality of our samples from the annealing by studying the ratio of 2LO/LO phonon mode Raman intensities. We also observed a clear decrease in the intensity of Te precipitations-related modes, indicating a decrease in the size and number of these precipitations.

  18. Studies on the annealing and antibacterial properties of the silver-embedded aluminum/silica nanospheres

    PubMed Central

    2014-01-01

    Substantial silver-embedded aluminum/silica nanospheres with uniform diameter and morphology were successfully synthesized by sol-gel technique. After various annealing temperatures, the surface mechanisms of each sample were analyzed using scanning electron microscope, transmission electron microscope, and X-ray photoelectron spectroscopy. The chemical durability examinations and antibacterial tests of each sample were also carried out for the confirmation of its practical usage. Based on the result of the above analyses, the silver-embedded aluminum/silica nanospheres are eligible for fabricating antibacterial utensils. PMID:25136275

  19. Effect of Annealing Process on the Properties of Ni(55%)Cr(40%)Si(5%) Thin-Film Resistors

    PubMed Central

    Cheng, Huan-Yi; Chen, Ying-Chung; Li, Pei-Jou; Yang, Cheng-Fu; Huang, Hong-Hsin

    2015-01-01

    Resistors in integrated circuits (ICs) are implemented using diffused methods fabricated in the base and emitter regions of bipolar transistor or in source/drain regions of CMOS. Deposition of thin films on the wafer surface is another choice to fabricate the thin-film resistors in ICs’ applications. In this study, Ni(55%)Cr(40%)Si(5%) (abbreviated as NiCrSi) in wt % was used as the target and the sputtering method was used to deposit the thin-film resistors on Al2O3 substrates. NiCrSi thin-film resistors with different thicknesses of 30.8 nm~334.7 nm were obtained by controlling deposition time. After deposition, the thin-film resistors were annealed at 400 °C under different durations in N2 atmosphere using the rapid thermal annealing (RTA) process. The sheet resistance of NiCrSi thin-film resistors was measured using the four-point-probe method from 25 °C to 125 °C, then the temperature coefficient of resistance could be obtained. We aim to show that resistivity of NiCrSi thin-film resistors decreased with increasing deposition time (thickness) and the annealing process had apparent effect on the sheet resistance and temperature coefficient of resistance. We also aim to show that the annealed NiCrSi thin-film resistors had a low temperature coefficient of resistance (TCR) between 0 ppm/°C and +50 ppm/°C. PMID:28793598

  20. Effect of Annealing Process on the Properties of Ni(55%)Cr(40%)Si(5%) Thin-Film Resistors.

    PubMed

    Cheng, Huan-Yi; Chen, Ying-Chung; Li, Pei-Jou; Yang, Cheng-Fu; Huang, Hong-Hsin

    2015-10-02

    Resistors in integrated circuits (ICs) are implemented using diffused methods fabricated in the base and emitter regions of bipolar transistor or in source/drain regions of CMOS. Deposition of thin films on the wafer surface is another choice to fabricate the thin-film resistors in ICs' applications. In this study, Ni(55%)Cr(40%)Si(5%) (abbreviated as NiCrSi) in wt % was used as the target and the sputtering method was used to deposit the thin-film resistors on Al2O3 substrates. NiCrSi thin-film resistors with different thicknesses of 30.8 nm~334.7 nm were obtained by controlling deposition time. After deposition, the thin-film resistors were annealed at 400 °C under different durations in N₂ atmosphere using the rapid thermal annealing (RTA) process. The sheet resistance of NiCrSi thin-film resistors was measured using the four-point-probe method from 25 °C to 125 °C, then the temperature coefficient of resistance could be obtained. We aim to show that resistivity of NiCrSi thin-film resistors decreased with increasing deposition time (thickness) and the annealing process had apparent effect on the sheet resistance and temperature coefficient of resistance. We also aim to show that the annealed NiCrSi thin-film resistors had a low temperature coefficient of resistance (TCR) between 0 ppm/°C and +50 ppm/°C.

  1. Effect of continuous annealing temperature on microstructure and properties of ferritic rolled interstitial-free steel

    NASA Astrophysics Data System (ADS)

    Qiu, Chen-yang; Li, Lang; Hao, Lei-lei; Wang, Jian-gong; Zhou, Xun; Kang, Yong-lin

    2018-05-01

    In this report, the microstructure, mechanical properties, and textures of warm rolled interstitial-free steel annealed at four different temperatures (730, 760, 790, and 820°C) were studied. The overall structural features of specimens were investigated by optical microscopy, and the textures were measured by X-ray diffraction (XRD). Nano-sized precipitates were then observed by a transmission electron microscope (TEM) on carbon extraction replicas. According to the results, with increased annealing temperatures, the ferrite grains grew; in addition, the sizes of Ti4C2S2 and TiC precipitates also increased. Additionally, the sizes of TiN and TiS precipitates slightly changed. When the annealing temperature increased from 730 to 820°C, the yield strength (YS) and the ultimate tensile strength (UTS) showed a decreasing trend. Meanwhile, elongation and the strain harden exponent (n value) increased to 49.6% and 0.34, respectively. By comparing textures annealed at different temperatures, the intensity of {111} texture annealed at 820°C was the largest, while the difference between the intensity of {111}<110> and {111}<112> was the smallest when the annealing temperature was 820°C. Therefore, the plastic strain ratio (r value) annealed at 820°C was the highest.

  2. Deformation and annealing study of Nicraly

    NASA Technical Reports Server (NTRS)

    Trela, D. M.; Ebert, L. J.

    1975-01-01

    Extensive experiments were carried out on the ODS alloy Nicraly, (an alloy prepared by mechanical alloying and consolidating a powder blend consisting of 16% chromium, 4% aluminum, 2-3% yttria, balance nickel), in efforts to develop methods of controlling the grain size and grain shape of the material. The experiments fell into two general categories: variations in the annealing parameters using the as-extruded material as it was received, and various thermomechanical processing schedules (various combinations of cold work and annealing). Success was achieved in gaining grain size and grain shape control by annealing of the as-extruded material. By proper selection of annealing temperature and cooling rates, the grain size of the as-received material was increased almost two orders of magnitude (from an average grain dimension of 0.023 mm to 1.668 mm) while the aspect ratio was increased by some 50% (from 20:1 to 30:1). No success was achieved in gaining significant control of the grain size and shape of the material by thermo-mechanical processing.

  3. Effect of excimer laser annealing on a-InGaZnO thin-film transistors passivated by solution-processed hybrid passivation layers

    NASA Astrophysics Data System (ADS)

    Bermundo, Juan Paolo; Ishikawa, Yasuaki; Fujii, Mami N.; Nonaka, Toshiaki; Ishihara, Ryoichi; Ikenoue, Hiroshi; Uraoka, Yukiharu

    2016-01-01

    We demonstrate the use of excimer laser annealing (ELA) as a low temperature annealing alternative to anneal amorphous InGaZnO (a-IGZO) thin-film transistors (TFTs) passivated by a solution-processed hybrid passivation layer. Usually, a-IGZO is annealed using thermal annealing at high temperatures of up to 400 °C. As an alternative to high temperature thermal annealing, two types of ELA, XeCl (308 nm) and KrF (248 nm) ELA, are introduced. Both ELA types enhanced the electrical characteristics of a-IGZO TFTs leading to a mobility improvement of ~13 cm2 V-1 s-1 and small threshold voltage which varied from ~0-3 V. Furthermore, two-dimensional heat simulation using COMSOL Multiphysics was used to identify possible degradation sites, analyse laser heat localization, and confirm that the substrate temperature is below 50 °C. The two-dimensional heat simulation showed that the substrate temperature remained at very low temperatures, less than 30 °C, during ELA. This implies that any flexible material can be used as the substrate. These results demonstrate the large potential of ELA as a low temperature annealing alternative for already-passivated a-IGZO TFTs.

  4. Annealing effect on structural and optical properties of chemical bath deposited MnS thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ulutas, Cemal, E-mail: cemalulutas@hakkari.edu.tr; Gumus, Cebrail

    2016-03-25

    MnS thin film was prepared by the chemical bath deposition (CBD) method on commercial microscope glass substrate deposited at 30 °C. The as-deposited film was given thermal annealing treatment in air atmosphere at various temperatures (150, 300 and 450 °C) for 1 h. The MnS thin film was characterized by using X-ray diffraction (XRD), UV-vis spectrophotometer and Hall effect measurement system. The effect of annealing temperature on the structural, electrical and optical properties such as optical constants of refractive index (n) and energy band gap (E{sub g}) of the film was determined. XRD measurements reveal that the film is crystallized inmore » the wurtzite phase and changed to tetragonal Mn{sub 3}O{sub 4} phase after being annealed at 300 °C. The energy band gap of film decreased from 3.69 eV to 3.21 eV based on the annealing temperature.« less

  5. Direct microscopic image and measurement of the atomization process of a port fuel injector

    NASA Astrophysics Data System (ADS)

    Esmail, Mohamed; Kawahara, Nobuyuki; Tomita, Eiji; Sumida, Mamoru

    2010-07-01

    The main objective of this study is to observe and investigate the phenomena of atomization, i.e. the fuel break-up process very close to the nozzle exit of a practical port fuel injector (PFI). In order to achieve this objective, direct microscopic images of the atomization process were obtained using an ultra-high-speed video camera that could record 102 frames at rates of up to 1 Mfps, coupled with a long-distance microscope and Barlow lens. The experiments were carried out using a PFI in a closed chamber at atmospheric pressure. Time-series images of the spray behaviour were obtained with a high temporal resolution using backlighting. The direct microscopic images of a liquid column break-up were compared with experimental results from laser-induced exciplex fluorescence (LIEF), and the wavelength obtained from the experimental results compared with that predicated from the Kelvin-Helmholtz break-up model. The droplet size diameters from a ligament break-up were compared with results predicated from Weber's analysis. Furthermore, experimental results of the mean droplet diameter from a direct microscopic image were compared with the results obtained from phase Doppler anemometry (PDA) experimental results. Three conclusions were obtained from this study. The atomization processes and detailed characterizations of the break-up of a liquid column were identified; the direct microscopic image results were in good agreement with the results obtained from LIEF, experimental results of the wavelength were in good agreement with those from the Kelvin-Helmholtz break-up model. The break-up process of liquid ligaments into droplets was investigated, and Weber's analysis of the predicated droplet diameter from ligament break-up was found to be applicable only at larger wavelengths. Finally, the direct microscopic image method and PDA method give qualitatively similar trends for droplet size distribution and quantitatively similar values of Sauter mean diameter.

  6. Scientific data processing for the MICROSCOPE space experiment

    NASA Astrophysics Data System (ADS)

    Hardy, Emilie; Metris, Gilles; Santos Rodrigues, Manuel; Touboul, Pierre; Chhun, Ratana; Baghi, Quentin; Berge, Joel

    The MICROSCOPE space mission aims at testing the Equivalence Principle, which states that the acceleration of a test object due to gravitation is independent of its mass and internal composition. The Equivalence Principle is at the basis of General Relativity and has been tested on-ground with a record accuracy of a few 10(-13) . However, most theories for the unification of the gravitation with the three other fundamental interactions predict that it will be violated at a level 10(-18) -10(-13) . This range cannot be reached on Earth because of the numerous perturbations in the terrestrial environment. Being performed in space, the MICROSCOPE experiment will be able to overcome these limitations in order to test the Equivalence Principle with an accuracy of 10(-15) . The instrument will be embarked on board a drag-free microsatellite orbiting the Earth, and consists in a differential electrostatic accelerometer composed of two cylindrical test masses made of different materials. The position of the masses is detected thanks to capacitive sensors, while control loops with electrostatic actuation keep them concentric, so that they both are submitted to the same gravitational field. The electrostatic acceleration applied to the masses to maintain them relatively motionless are measured and will demonstrate a violation of the Equivalence Principle if found unequal. The potential Equivalence Principle violation signal is expected at a well identified frequency, f _{EP}. However, the raw measurement is impacted by systematic instrumental errors, which are calibrated in-orbit during dedicated sessions. The data processing therefore includes the correction of the measurement in order to reduce the contribution of these errors at f _{EP}. Other perturbations must be considered during the data analysis: numerical effects arise from the finite time span of the measurement. A procedure have thus been determined in order to extract the Equivalence Principle violation parameter

  7. Effect of thermal annealing Super Yellow emissive layer on efficiency of OLEDs

    PubMed Central

    Burns, Samantha; MacLeod, Jennifer; Trang Do, Thu; Sonar, Prashant; Yambem, Soniya D.

    2017-01-01

    Thermal annealing of the emissive layer of an organic light emitting diode (OLED) is a common practice for solution processable emissive layers and reported annealing temperatures varies across a wide range of temperatures. We have investigated the influence of thermal annealing of the emissive layer at different temperatures on the performance of OLEDs. Solution processed polymer Super Yellow emissive layers were annealed at different temperatures and their performances were compared against OLEDs with a non-annealed emissive layer. We found a significant difference in the efficiency of OLEDs with different annealing temperatures. The external quantum efficiency (EQE) reached a maximum of 4.09% with the emissive layer annealed at 50 °C. The EQE dropped by ~35% (to 2.72%) for OLEDs with the emissive layers annealed at 200 °C. The observed performances of OLEDs were found to be closely related to thermal properties of polymer Super Yellow. The results reported here provide an important guideline for processing emissive layers and are significant for OLED and other organic electronics research communities. PMID:28106082

  8. Reduction of Defects on Microstructure Aluminium Nitride Using High Temperature Annealing Heat Treatment

    NASA Astrophysics Data System (ADS)

    Tanasta, Z.; Muhamad, P.; Kuwano, N.; Norfazrina, H. M. Y.; Unuh, M. H.

    2018-03-01

    Aluminium Nitride (AlN) is a ceramic 111-nitride material that is used widely as components in functional devices. Besides good thermal conductivity, it also has a high band gap in emitting light which is 6 eV. AlN thin film is grown on the sapphire substrate (0001). However, lattice mismatch between both materials has caused defects to exist along the microstructure of AlN thin films. The defects have affected the properties of Aluminium Nitride. Annealing heat treatment has been proved by the previous researcher to be the best method to improve the microstructure of Aluminium Nitride thin films. Hence, this method is applied at four different temperatures for two hour. The changes of Aluminium Nitride microstructures before and after annealing is observed using Transmission Electron Microscope. It is observed that inversion domains start to occur at temperature of 1500 °C. Convergent Beam Electron Diffraction pattern simulation has confirmed the defects as inversion domain. Therefore, this paper is about to extract the matters occurred during the process of producing high quality Aluminium Nitride thin films and the ways to overcome this problem.

  9. Reduction of hysteresis in solution-processed InGaZnO thin-film transistors through uni-directional pre-annealing

    NASA Astrophysics Data System (ADS)

    Kim, Young-Rae; Kwon, Jin-Hyuk; Vincent, Premkumar; Kim, Do-Kyung; Jeong, Hyeon-Seok; Hahn, Joonku; Bae, Jin-Hyuk; Park, Jaehoon

    2018-01-01

    The hysteresis of the solution-processed oxide thin-film transistors (TFTs) is fatal issue to interrupt stable operation. So, we came up with uni-directional pre-annealing to solve the problem. There are inevitable defects when solution-processed oxide TFTs are fabricated, due to the porosities by the solvent volatilization. Also oxygen vacancies needed for carrier generation in metal oxide semiconductor can be trap states inducing charge carrier trapping. Uni-directional pre-annealing improved the hysteresis, preventing randomly solvent evaporation and decreased the defects of the film. We can result in advanced stability of the solution-processed oxide TFTs, at the same time showing that the field effect mobility was enhanced from 3.35 cm2/Vs to 4.78 cm2/Vs simultaneously, and exhibiting better subthreshold swing from 0.89 V/dec to 0.23 V/dec.

  10. In-situ cyclic pulse annealing of InN on AlN/Si during IR-lamp-heated MBE growth

    NASA Astrophysics Data System (ADS)

    Suzuki, Akira; Bungi, Yu; Araki, Tsutomu; Nanishi, Yasushi; Mori, Yasuaki; Yamamoto, Hiroaki; Harima, Hiroshi

    2009-05-01

    To improve crystal quality of InN, an in-situ cyclic rapid pulse annealing during growth was carried out using infrared-lamp-heated molecular beam epitaxy. A cycle of 4 min growth of InN at 400 °C and 3 s pulse annealing at a higher temperature was repeated 15 times on AlN on Si substrate. Annealing temperatures were 550, 590, 620, and 660 °C. The back of Si was directly heated by lamp irradiation through a quartz rod. A total InN film thickness was about 200 nm. With increasing annealing temperature up to 620 °C, crystal grain size by scanning electron microscope showed a tendency to increase, while widths of X-ray diffraction rocking curve of (0 0 0 2) reflection and E 2 (high) mode peak of Raman scattering spectra decreased. A peak of In (1 0 1) appeared in X-ray diffraction by annealing higher than 590 °C, and In droplets were found on the surface by annealing at 660 °C.

  11. MoO3 Thickness, Thermal Annealing and Solvent Annealing Effects on Inverted and Direct Polymer Photovoltaic Solar Cells

    PubMed Central

    Chambon, Sylvain; Derue, Lionel; Lahaye, Michel; Pavageau, Bertrand; Hirsch, Lionel; Wantz, Guillaume

    2012-01-01

    Several parameters of the fabrication process of inverted polymer bulk heterojunction solar cells based on titanium oxide as an electron selective layer and molybdenum oxide as a hole selective layer were tested in order to achieve efficient organic photovoltaic solar cells. Thermal annealing treatment is a common process to achieve optimum morphology, but it proved to be damageable for the performance of this kind of inverted solar cells. We demonstrate using Auger analysis combined with argon etching that diffusion of species occurs from the MoO3/Ag top layers into the active layer upon thermal annealing. In order to achieve efficient devices, the morphology of the bulk heterojunction was then manipulated using the solvent annealing technique as an alternative to thermal annealing. The influence of the MoO3 thickness was studied on inverted, as well as direct, structure. It appeared that only 1 nm-thick MoO3 is enough to exhibit highly efficient devices (PCE = 3.8%) and that increasing the thickness up to 15 nm does not change the device performance.

  12. Influence of Annealing Duration on the Growth of V2O5 Nanorods Synthesized by Spray Pyrolysis Technique

    NASA Astrophysics Data System (ADS)

    Abd-Alghafour, N. M.; Ahmed, Naser M.; Hassan, Z.; Abubakar, D.; Bououdina, M.

    2016-06-01

    This paper deals with the investigation of annealing effects on the structural, morphological and optical properties of V2O5 nanorods (NRs) grown on the glass substrates by using chemical spray pyrolysis technique. The as-prepared samples were annealed at 500∘ for 40, 60 and 120 min in a quartz tube furnace. The high resolution X-ray diffraction (XRD) analysis revealed V2O5 NRs with preferred orientation along (001) plane. The crystallite size of the V2O5 NRs was increased by increasing the annealing duration. The morphological observations using field emission scanning electron microscope (FESEM) displayed NRs structures whose diameter and length were found to increase with increase of the annealing duration. The transmission electron microscopy (TEM) analysis confirmed the orthorhombic structures of the NRs. The AFM measurements indicated an increase of the average surface roughness by increasing the annealing time. The Raman spectroscopy revealed V-O-V phonon mode in the NRs annealed for 120 min. The optical bandgap was found in the range 2.6-2.58eV and observed to decrease with various duration annealed.

  13. Improved perovskite phototransistor prepared using multi-step annealing method

    NASA Astrophysics Data System (ADS)

    Cao, Mingxuan; Zhang, Yating; Yu, Yu; Yao, Jianquan

    2018-02-01

    Organic-inorganic hybrid perovskites with good intrinsic physical properties have received substantial interest for solar cell and optoelectronic applications. However, perovskite film always suffers from a low carrier mobility due to its structural imperfection including sharp grain boundaries and pinholes, restricting their device performance and application potential. Here we demonstrate a straightforward strategy based on multi-step annealing process to improve the performance of perovskite photodetector. Annealing temperature and duration greatly affects the surface morphology and optoelectrical properties of perovskites which determines the device property of phototransistor. The perovskite films treated with multi-step annealing method tend to form highly uniform, well-crystallized and high surface coverage perovskite film, which exhibit stronger ultraviolet-visible absorption and photoluminescence spectrum compare to the perovskites prepared by conventional one-step annealing process. The field-effect mobilities of perovskite photodetector treated by one-step direct annealing method shows mobility as 0.121 (0.062) cm2V-1s-1 for holes (electrons), which increases to 1.01 (0.54) cm2V-1s-1 for that treated with muti-step slow annealing method. Moreover, the perovskite phototransistors exhibit a fast photoresponse speed of 78 μs. In general, this work focuses on the influence of annealing methods on perovskite phototransistor, instead of obtains best parameters of it. These findings prove that Multi-step annealing methods is feasible to prepared high performance based photodetector.

  14. Impurity and defect interactions during laser thermal annealing in Ge

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Milazzo, R., E-mail: ruggero.milazzo@unipd.it; De Salvador, D.; Carnera, A.

    2016-01-28

    The microscopic mechanisms involving dopants, contaminants, and defects in Ge during pulsed melting laser thermal annealing (LTA) are investigated in detail. Samples both un-implanted and implanted with As or B are processed by LTA as well as characterized in terms of chemical (1D and 3D), electrical, and strain profiling. The clustering of As is directly measured by 3D chemical profiling and correlated with its partial electrical activation along with a reduction of the lattice strain induced by As atoms. A semi-quantitative microscopic model involving the interaction with mobile As-vacancy (AsV) complexes is proposed to describe the clustering mechanism. Boron ismore » shown to follow different clustering behavior that changes with depth and marked by completely different strain levels. Oxygen penetrates from the surface into all the samples as a result of LTA and, only in un-implanted Ge, it occupies an interstitial position inducing also positive strain in the lattice. On the contrary, data suggest that the presence of As or B forces O to assume different configurations with negligible strain, through O-V or O-B interactions for the two dopant species, respectively. These data suggest that LTA does not inject a significant amount of vacancies in Ge, at variance with Si, unless As atoms or possibly other n-type dopants are present. These results have to be carefully considered for modeling the LTA process in Ge and its implementation in technology.« less

  15. Forming an age hardenable aluminum alloy with intermediate annealing

    NASA Astrophysics Data System (ADS)

    Wang, Kaifeng; Carsley, John E.; Stoughton, Thomas B.; Li, Jingjing; Zhang, Lianhong; He, Baiyan

    2013-12-01

    A method to improve formability of aluminum sheet alloys by a two-stage stamping process with intermediate annealing was developed for a non-age hardenable Al-Mg alloy where the annealing heat treatment provided recovery of cold work from the initial stamping and recrystallization of the microstructure to enhance the forming limits of the material. This method was extended to an age hardenable, Al-Mg-Si alloy, which is complicated by the competing metallurgical effects during heat treatment including recovery (softening effect) vs. precipitation (hardening effect). An annealing heat treatment process condition was discovered wherein the stored strain energy from an initial plastic deformation can be sufficiently recovered to enhance formability in a second deformation; however, there is a deleterious effect on subsequent precipitation hardening. The improvement in formability was quantified with uniaxial tensile tests as well as with the forming limit diagram. Since strain-based forming limit curves (FLC) are sensitive to pre-strain history, both stress-based FLCs and polar-effective-plastic-strain (PEPS) FLCs, which are path-independent, were used to evaluate the forming limits after preform annealing. A technique was developed to calculate the stress-based FLC in which a residual-effective-plastic-strain (REPS) was determined by overlapping the hardening curve of the pre-strained and annealed material with that of the simply-annealed- material. After converting the strain-based FLCs using the constant REPS method, it was found that the stress-based FLCs and the PEPS FLCs of the post-annealed materials were quite similar and both tools are applicable for evaluating the forming limits of Al-Mg-Si alloys for a two-step stamping process with intermediate annealing.

  16. Enhanced bulk heterojunction devices prepared by thermal and solvent vapor annealing processes

    DOEpatents

    Forrest, Stephen R.; Thompson, Mark E.; Wei, Guodan; Wang, Siyi

    2017-09-19

    A method of preparing a bulk heterojunction organic photovoltaic cell through combinations of thermal and solvent vapor annealing are described. Bulk heterojunction films may prepared by known methods such as spin coating, and then exposed to one or more vaporized solvents and thermally annealed in an effort to enhance the crystalline nature of the photoactive materials.

  17. Role of filament annealing in the kinetics and thermodynamics of nucleated polymerization.

    PubMed

    Michaels, Thomas C T; Knowles, Tuomas P J

    2014-06-07

    The formation of nanoscale protein filaments from soluble precursor molecules through nucleated polymerization is a common form of supra-molecular assembly phenomenon. This process underlies the generation of a range of both functional and pathological structures in nature. Filament breakage has emerged as a key process controlling the kinetics of the growth reaction since it increases the number of filament ends in the system that can act as growth sites. In order to ensure microscopic reversibility, however, the inverse process of fragmentation, end-to-end annealing of filaments, is a necessary component of a consistent description of such systems. Here, we combine Smoluchowski kinetics with nucleated polymerization models to generate a master equation description of protein fibrillization, where filamentous structures can undergo end-to-end association, in addition to elongation, fragmentation, and nucleation processes. We obtain self-consistent closed-form expressions for the growth kinetics and discuss the key physics that emerges from considering filament fusion relative to current fragmentation only models. Furthermore, we study the key time scales that describe relaxation to equilibrium.

  18. Annealing helicase HARP closes RPA-stabilized DNA bubbles non-processively

    PubMed Central

    Burnham, Daniel R.; Nijholt, Bas; De Vlaminck, Iwijn; Quan, Jinhua; Yusufzai, Timur

    2017-01-01

    Abstract We investigate the mechanistic nature of the Snf2 family protein HARP, mutations of which are responsible for Schimke immuno-osseous dysplasia. Using a single-molecule magnetic tweezers assay, we construct RPA-stabilized DNA bubbles within torsionally constrained DNA to investigate the annealing action of HARP on a physiologically relevant substrate. We find that HARP closes RPA-stabilized bubbles in a slow reaction, taking on the order of tens of minutes for ∼600 bp of DNA to be re-annealed. The data indicate that DNA re-anneals through the removal of RPA, which is observed as clear steps in the bubble-closing traces. The dependence of the closing rate on both ionic strength and HARP concentration indicates that removal of RPA occurs via an association-dissociation mechanism where HARP does not remain associated with the DNA. The enzyme exhibits classical Michaelis–Menten kinetics and acts cooperatively with a Hill coefficient of 3 ± 1. Our work also allows the determination of some important features of RPA-bubble structures at low supercoiling, including the existence of multiple bubbles and that RPA molecules are mis-registered on the two strands. PMID:28334870

  19. Boosting quantum annealer performance via sample persistence

    NASA Astrophysics Data System (ADS)

    Karimi, Hamed; Rosenberg, Gili

    2017-07-01

    We propose a novel method for reducing the number of variables in quadratic unconstrained binary optimization problems, using a quantum annealer (or any sampler) to fix the value of a large portion of the variables to values that have a high probability of being optimal. The resulting problems are usually much easier for the quantum annealer to solve, due to their being smaller and consisting of disconnected components. This approach significantly increases the success rate and number of observations of the best known energy value in samples obtained from the quantum annealer, when compared with calling the quantum annealer without using it, even when using fewer annealing cycles. Use of the method results in a considerable improvement in success metrics even for problems with high-precision couplers and biases, which are more challenging for the quantum annealer to solve. The results are further enhanced by applying the method iteratively and combining it with classical pre-processing. We present results for both Chimera graph-structured problems and embedded problems from a real-world application.

  20. Crystal growth and annealing method and apparatus

    DOEpatents

    Gianoulakis, Steven E.; Sparrow, Robert

    2001-01-01

    A method and apparatus for producing crystals that minimizes birefringence even at large crystal sizes, and is suitable for production of CaF.sub.2 crystals. The method of the present invention comprises annealing a crystal by maintaining a minimal temperature gradient in the crystal while slowly reducing the bulk temperature of the crystal. An apparatus according to the present invention includes a thermal control system added to a crystal growth and annealing apparatus, wherein the thermal control system allows a temperature gradient during crystal growth but minimizes the temperature gradient during crystal annealing. An embodiment of the present invention comprises a secondary heater incorporated into a conventional crystal growth and annealing apparatus. The secondary heater supplies heat to minimize the temperature gradients in the crystal during the annealing process. The secondary heater can mount near the bottom of the crucible to effectively maintain appropriate temperature gradients.

  1. Development of a Self Aligned CMOS Process for Flash Lamp Annealed Polycrystalline Silicon TFTs

    NASA Astrophysics Data System (ADS)

    Bischoff, Paul

    The emerging active matrix liquid crystal (AMLCD) display market requires a high performing semiconductor material to meet rising standards of operation. Currently amorphous silicon (a-Si) dominates the market but it does not have the required mobility for it to be used in AMLCD manufacturing. Other materials have been developed including crystallizing a-Si into poly-silicon. A new approach to crystallization through the use of flash lamp annealing (FLA) decreases manufacturing time and greatly improves carrier mobility. Previous work on FLA silicon for the use in CMOS transistors revealed significant lateral dopant diffusion into the channel greatly increasing the minimum channel length required for a working device. This was further confounded by the gate overlap due to misalignment during lithography patterning steps. Through the use of furnace dopant activation instead of FLA dopant activation and a self aligned gate the minimum size transistor can be greatly reduced. A new lithography mask and process flow were developed for the furnace annealing and self aligned gate. Fabrication of the self aligned devices resulted in oxidation of the Molybdenum self aligned gate. Further development is needed to successfully manufacture these devices. Non-self aligned transistors were made simultaneously with self aligned devices and used the furnace activation. These devices showed an increase in sheet resistance from 250 O to 800 O and lower mobility from 380 to 40.2 V/cm2s. The lower mobility can be contributed to an increase in implanted trap density indicating furnace annealing is an inferior activation method over FLA. The minimum transistor size however was reduced from 20 to 5 mum. With improvements in the self aligned process high performing small devices can be manufactured.

  2. Enhancement of optical transmittance and electrical resistivity of post-annealed ITO thin films RF sputtered on Si

    NASA Astrophysics Data System (ADS)

    Ali, Ahmad Hadi; Hassan, Zainuriah; Shuhaimi, Ahmad

    2018-06-01

    This paper reports on the enhancement of optical transmittance and electrical resistivity of indium tin oxide (ITO) transparent conductive oxides (TCO) deposited by radio frequency (RF) sputtering on Si substrate. Post-annealing was conducted on the samples at temperature ranges of 500-700 °C. From X-ray diffraction analysis (XRD), ITO (2 2 2) peak was observed after post-annealing indicating crystallization phase of the films. From UV-vis measurements, the ITO thin film shows highest transmittance of more than 90% at post-annealing temperature of 700 °C as compared to the as-deposited thin films. From atomic force microscope (AFM), the surface roughness becomes smoother after post-annealing as compared to the as-deposited. The lowest electrical resistivity for ITO sample is 6.68 × 10-4 Ω cm after post-annealed at 700 °C that are contributed by high carrier concentration and mobility. The improved structural and surface morphological characteristics helps in increasing the optical transmittance and reducing the electrical resistivity of the ITO thin films.

  3. Homogenization of CZ Si wafers by Tabula Rasa annealing

    NASA Astrophysics Data System (ADS)

    Meduňa, M.; Caha, O.; Kuběna, J.; Kuběna, A.; Buršík, J.

    2009-12-01

    The precipitation of interstitial oxygen in Czochralski grown silicon has been investigated by infrared absorption spectroscopy, chemical etching, transmission electron microscopy and X-ray diffraction after application of homogenization annealing process called Tabula Rasa. The influence of this homogenization step consisting in short time annealing at high temperature has been observed for various temperatures and times. The experimental results involving the interstitial oxygen decay in Si wafers and absorption spectra of SiOx precipitates during precipitation annealing at 1000∘ C were compared with other techniques for various Tabula Rasa temperatures. The differences in oxygen precipitation, precipitate morphology and evolution of point defects in samples with and without Tabula Rasa applied is evident from all used experimental techniques. The results qualitatively correlate with prediction of homogenization annealing process based on classical nucleation theory.

  4. The effect of annealing on structural, optical and electrical properties of ZnS/porous silicon composites

    NASA Astrophysics Data System (ADS)

    Wang, Cai-Feng; Li, Qing-Shan; Hu, Bo; Li, Wei-Bing

    2009-06-01

    ZnS films were prepared by pulsed laser deposition (PLD) on porous silicon (PS) substrates. This paper investigates the effect of annealing temperature on the structural, morphological, optical and electrical properties of ZnS/PS composites by x-ray diffraction (XRD), scanning electron microscope (SEM), photoluminescence (PL) and I-V characteristics. It is found that the ZnS films deposited on PS substrates were grown in preferred orientation along β-ZnS (111) direction, and the intensity of diffraction peak increases with increasing annealing temperature, which is attributed to the grain growth and the enhancement of crystallinity of ZnS films. The smooth and uniform surface of the as-prepared ZnS/PS composite becomes rougher through annealing treatment, which is related to grain growth at the higher annealing temperature. With the increase of annealing temperature, the intensity of self-activated luminescence of ZnS increases, while the luminescence intensity of PS decreases, and a new green emission located around 550 nm appeared in the PL spectra of ZnS/PS composites which is ascribed to the defect-center luminescence of ZnS. The I-V characteristics of ZnS/PS heterojunctions exhibited rectifying behavior, and the forward current increases with increasing annealing temperature.

  5. Annealing helicase HARP closes RPA-stabilized DNA bubbles non-processively.

    PubMed

    Burnham, Daniel R; Nijholt, Bas; De Vlaminck, Iwijn; Quan, Jinhua; Yusufzai, Timur; Dekker, Cees

    2017-05-05

    We investigate the mechanistic nature of the Snf2 family protein HARP, mutations of which are responsible for Schimke immuno-osseous dysplasia. Using a single-molecule magnetic tweezers assay, we construct RPA-stabilized DNA bubbles within torsionally constrained DNA to investigate the annealing action of HARP on a physiologically relevant substrate. We find that HARP closes RPA-stabilized bubbles in a slow reaction, taking on the order of tens of minutes for ∼600 bp of DNA to be re-annealed. The data indicate that DNA re-anneals through the removal of RPA, which is observed as clear steps in the bubble-closing traces. The dependence of the closing rate on both ionic strength and HARP concentration indicates that removal of RPA occurs via an association-dissociation mechanism where HARP does not remain associated with the DNA. The enzyme exhibits classical Michaelis-Menten kinetics and acts cooperatively with a Hill coefficient of 3 ± 1. Our work also allows the determination of some important features of RPA-bubble structures at low supercoiling, including the existence of multiple bubbles and that RPA molecules are mis-registered on the two strands. © The Author(s) 2017. Published by Oxford University Press on behalf of Nucleic Acids Research.

  6. Direct Immersion Annealing of Thin Block Copolymer Films.

    PubMed

    Modi, Arvind; Bhaway, Sarang M; Vogt, Bryan D; Douglas, Jack F; Al-Enizi, Abdullah; Elzatahry, Ahmed; Sharma, Ashutosh; Karim, Alamgir

    2015-10-07

    We demonstrate ordering of thin block copolymer (BCP) films via direct immersion annealing (DIA) at enhanced rate leading to stable morphologies. The BCP films are immersed in carefully selected mixtures of good and marginal solvents that can impart enhanced polymer mobility, while inhibiting film dissolution. DIA is compatible with roll-to-roll assembly manufacturing and has distinct advantages over conventional thermal annealing and batch processing solvent-vapor annealing methods. We identify three solvent composition-dependent BCP film ordering regimes in DIA for the weakly interacting polystyrene-poly(methyl methacrylate) (PS-PMMA) system: rapid short-range order, optimal long-range order, and a film instability regime. Kinetic studies in the "optimal long-range order" processing regime as a function of temperature indicate a significant reduction of activation energy for BCP grain growth compared to oven annealing at conventional temperatures. An attractive feature of DIA is its robustness to ordering other BCP (e.g. PS-P2VP) and PS-PMMA systems exhibiting spherical, lamellar and cylindrical ordering.

  7. Fast processing of microscopic images using object-based extended depth of field.

    PubMed

    Intarapanich, Apichart; Kaewkamnerd, Saowaluck; Pannarut, Montri; Shaw, Philip J; Tongsima, Sissades

    2016-12-22

    Microscopic analysis requires that foreground objects of interest, e.g. cells, are in focus. In a typical microscopic specimen, the foreground objects may lie on different depths of field necessitating capture of multiple images taken at different focal planes. The extended depth of field (EDoF) technique is a computational method for merging images from different depths of field into a composite image with all foreground objects in focus. Composite images generated by EDoF can be applied in automated image processing and pattern recognition systems. However, current algorithms for EDoF are computationally intensive and impractical, especially for applications such as medical diagnosis where rapid sample turnaround is important. Since foreground objects typically constitute a minor part of an image, the EDoF technique could be made to work much faster if only foreground regions are processed to make the composite image. We propose a novel algorithm called object-based extended depths of field (OEDoF) to address this issue. The OEDoF algorithm consists of four major modules: 1) color conversion, 2) object region identification, 3) good contrast pixel identification and 4) detail merging. First, the algorithm employs color conversion to enhance contrast followed by identification of foreground pixels. A composite image is constructed using only these foreground pixels, which dramatically reduces the computational time. We used 250 images obtained from 45 specimens of confirmed malaria infections to test our proposed algorithm. The resulting composite images with all in-focus objects were produced using the proposed OEDoF algorithm. We measured the performance of OEDoF in terms of image clarity (quality) and processing time. The features of interest selected by the OEDoF algorithm are comparable in quality with equivalent regions in images processed by the state-of-the-art complex wavelet EDoF algorithm; however, OEDoF required four times less processing time. This

  8. Fast annealing DSA materials designed for sub-5 nm resolution

    NASA Astrophysics Data System (ADS)

    Deng, Hai; Li, Xuemiao; Peng, Yu; Zhou, Jianuo

    2018-03-01

    In recent years, high-χ block copolymers (BCPs) have been reported to achieve sub-5 nm resolution. These BCPs always require long annealing time at high annealing temperature, which may limit their implementation into semiconductor process. Since hot baking time in conventional semiconductor process is normally less than 3 minutes, how to shorter the thermal annealing time at lower temperature becomes a new topic for the sub-5 nm high-χ BCPs. In this manuscript, various fluoro-containing BCPs are synthesized by living anionic polymerization or atom transfer radical polymerization. The best BCP formed thermal equilibrium sub-5 nm nano domains after mere 1 min annealing at temperature lower than 100 °C, which is the fastest thermal annealing process reported so far. BCPs with various morphology and domain size are obtained by precise control of both the length and the molar ratio of the two blocks. The resulted smallest half-pitch of the BCPs are less than 5 nm in lamella and hexagonal morphologies. Linear and starshaped BCPs containing PMMA and fluoro-block are also synthesized, which also shows best phase separation into ca. 6 nm half-pitch, however, the annealing time is 1 hour at 180 °C.

  9. High-resolution microscope for tip-enhanced optical processes in ultrahigh vacuum

    NASA Astrophysics Data System (ADS)

    Steidtner, Jens; Pettinger, Bruno

    2007-10-01

    An optical microscope based on tip-enhanced optical processes that can be used for studies on adsorbates as well as thin layers and nanostructures is presented. The microscope provides chemical and topographic informations with a resolution of a few nanometers and can be employed in ultrahigh vacuum as well as gas phase. The construction involves a number of improvements compared to conventional instruments. The central idea is to mount, within an UHV system, an optical platform with all necessary optical elements to a rigid frame that also carries the scanning tunneling microscope unit and to integrate a high numerical aperture parabolic mirror between the scanning probe microscope head and the sample. The parabolic mirror serves to focus the incident light and to collect a large fraction of the scattered light. The first experimental results of Raman measurements on silicon samples as well as brilliant cresyl blue layers on single crystalline gold and platinum surfaces in ultrahigh vacuum are presented. For dye adsorbates a Raman enhancement of ˜106 and a net signal gain of up to 4000 was observed. The focus diameter (˜λ/2) was measured by Raman imaging the focal region on a Si surface. The requirements of the parabolic mirror in terms of alignment accuracy were experimentally determined as well.

  10. Effects of Annealing Process on the Formability of Friction Stir Welded Al-Li Alloy 2195 Plates

    NASA Technical Reports Server (NTRS)

    Chen, Po-Shou; Bradford, Vann; Russell, Carolyn

    2011-01-01

    Large rocket cryogenic tank domes have typically been fabricated using Al-Cu based alloys like Al-Cu alloy 2219. The use of aluminum-lithium based alloys for rocket fuel tank domes can reduce weight because aluminum-lithium alloys have lower density and higher strength than Al-Cu alloy 2219. However, Al-Li alloys have rarely been used to fabricate rocket fuel tank domes because of the inherent low formability characteristic that make them susceptible to cracking during the forming operations. The ability to form metal by stretch forming or spin forming without excessive thinning or necking depends on the strain hardening exponent "n". The stain hardening exponent is a measure of how rapidly a metal becomes stronger and harder. A high strain hardening exponent is beneficial to a material's ability to uniformly distribute the imposed strain. Marshall Space Flight Center has developed a novel annealing process that can achieve a work hardening exponent on the order of 0.27 to 0.29, which is approximately 50% higher than what is typically obtained for Al-Li alloys using the conventional method. The strain hardening exponent of the Al-Li alloy plates or blanks heat treated using the conventional method is typically on the order of 0.17 to 0.19. The effects of this novel annealing process on the formability of friction stir welded Al-Li alloy blanks are being studied at Marshall Space Flight Center. The formability ratings will be generated using the strain hardening exponent, strain rate sensitivity and forming range. The effects of forming temperature on the formability will also be studied. The objective of this work is to study the deformation behavior of the friction stir welded Al-Li alloy 2195 blank and determine the formability enhancement by the new annealing process.

  11. Experimental quantum annealing: case study involving the graph isomorphism problem.

    PubMed

    Zick, Kenneth M; Shehab, Omar; French, Matthew

    2015-06-08

    Quantum annealing is a proposed combinatorial optimization technique meant to exploit quantum mechanical effects such as tunneling and entanglement. Real-world quantum annealing-based solvers require a combination of annealing and classical pre- and post-processing; at this early stage, little is known about how to partition and optimize the processing. This article presents an experimental case study of quantum annealing and some of the factors involved in real-world solvers, using a 504-qubit D-Wave Two machine and the graph isomorphism problem. To illustrate the role of classical pre-processing, a compact Hamiltonian is presented that enables a reduced Ising model for each problem instance. On random N-vertex graphs, the median number of variables is reduced from N(2) to fewer than N log2 N and solvable graph sizes increase from N = 5 to N = 13. Additionally, error correction via classical post-processing majority voting is evaluated. While the solution times are not competitive with classical approaches to graph isomorphism, the enhanced solver ultimately classified correctly every problem that was mapped to the processor and demonstrated clear advantages over the baseline approach. The results shed some light on the nature of real-world quantum annealing and the associated hybrid classical-quantum solvers.

  12. Experimental quantum annealing: case study involving the graph isomorphism problem

    PubMed Central

    Zick, Kenneth M.; Shehab, Omar; French, Matthew

    2015-01-01

    Quantum annealing is a proposed combinatorial optimization technique meant to exploit quantum mechanical effects such as tunneling and entanglement. Real-world quantum annealing-based solvers require a combination of annealing and classical pre- and post-processing; at this early stage, little is known about how to partition and optimize the processing. This article presents an experimental case study of quantum annealing and some of the factors involved in real-world solvers, using a 504-qubit D-Wave Two machine and the graph isomorphism problem. To illustrate the role of classical pre-processing, a compact Hamiltonian is presented that enables a reduced Ising model for each problem instance. On random N-vertex graphs, the median number of variables is reduced from N2 to fewer than N log2 N and solvable graph sizes increase from N = 5 to N = 13. Additionally, error correction via classical post-processing majority voting is evaluated. While the solution times are not competitive with classical approaches to graph isomorphism, the enhanced solver ultimately classified correctly every problem that was mapped to the processor and demonstrated clear advantages over the baseline approach. The results shed some light on the nature of real-world quantum annealing and the associated hybrid classical-quantum solvers. PMID:26053973

  13. Cyclical Annealing Technique To Enhance Reliability of Amorphous Metal Oxide Thin Film Transistors.

    PubMed

    Chen, Hong-Chih; Chang, Ting-Chang; Lai, Wei-Chih; Chen, Guan-Fu; Chen, Bo-Wei; Hung, Yu-Ju; Chang, Kuo-Jui; Cheng, Kai-Chung; Huang, Chen-Shuo; Chen, Kuo-Kuang; Lu, Hsueh-Hsing; Lin, Yu-Hsin

    2018-02-26

    This study introduces a cyclical annealing technique that enhances the reliability of amorphous indium-gallium-zinc-oxide (a-IGZO) via-type structure thin film transistors (TFTs). By utilizing this treatment, negative gate-bias illumination stress (NBIS)-induced instabilities can be effectively alleviated. The cyclical annealing provides several cooling steps, which are exothermic processes that can form stronger ionic bonds. An additional advantage is that the total annealing time is much shorter than when using conventional long-term annealing. With the use of cyclical annealing, the reliability of the a-IGZO can be effectively optimized, and the shorter process time can increase fabrication efficiency.

  14. Simulated annealing with probabilistic analysis for solving traveling salesman problems

    NASA Astrophysics Data System (ADS)

    Hong, Pei-Yee; Lim, Yai-Fung; Ramli, Razamin; Khalid, Ruzelan

    2013-09-01

    Simulated Annealing (SA) is a widely used meta-heuristic that was inspired from the annealing process of recrystallization of metals. Therefore, the efficiency of SA is highly affected by the annealing schedule. As a result, in this paper, we presented an empirical work to provide a comparable annealing schedule to solve symmetric traveling salesman problems (TSP). Randomized complete block design is also used in this study. The results show that different parameters do affect the efficiency of SA and thus, we propose the best found annealing schedule based on the Post Hoc test. SA was tested on seven selected benchmarked problems of symmetric TSP with the proposed annealing schedule. The performance of SA was evaluated empirically alongside with benchmark solutions and simple analysis to validate the quality of solutions. Computational results show that the proposed annealing schedule provides a good quality of solution.

  15. The effect of annealing temperature on the properties of powder metallurgy processed Ti-35Nb-2Zr-0.5O alloy.

    PubMed

    Málek, Jaroslav; Hnilica, František; Veselý, Jaroslav; Smola, Bohumil; Medlín, Rostislav

    2017-11-01

    Ti-35Nb-2Zr-0.5O (wt%) alloy was prepared via a powder metallurgy process (cold isostatic pressing of blended elemental powders and subsequent sintering) with the primary aim of using it as a material for bio-applications. Sintered specimens were swaged and subsequently the influence of annealing temperature on the mechanical and structural properties was studied. Specimens were annealed at 800, 850, 900, 950, and 1000°C for 0.5h and water quenched. Significant changes in microstructure (i.e. precipitate dissolution or grain coarsening) were observed in relation to increasing annealing temperature. In correlation with those changes, the mechanical properties were also studied. The ultimate tensile strength increased from 925MPa (specimen annealed at 800°C) to 990MPa (900°C). Also the elongation increased from ~ 13% (800°C) to more than 20% (900, 950, and 1000°C). Copyright © 2017 Elsevier Ltd. All rights reserved.

  16. Radiation and annealing response of WWER 440 beltline welding seams

    NASA Astrophysics Data System (ADS)

    Viehrig, Hans-Werner; Houska, Mario; Altstadt, Eberhard

    2015-01-01

    The focus of this paper is on the irradiation response and the effect of thermal annealing in weld materials extracted from decommissioned WWER 440 reactor pressure vessels of the nuclear power plant Greifswald. The characterisation is based on the measurement of the hardness, the yield stress, the Master Curve reference temperature, T0, and the Charpy-V transition temperature through the thickness of multi-layer beltline welding seams in the irradiated and the thermally annealed condition. Additionally, the weld bead structure was characterised by light microscopic studies. We observed a large variation in the through thickness T0 values in the irradiated as well as in thermally annealed condition. The T0 values measured with the T-S-oriented Charpy size SE(B) specimens cut from different thickness locations of the multilayer welding seams strongly depend on the intrinsic weld bead structure along the crack tip. The Master Curve, T0, and Charpy-V, TT47J, based ductile-to-brittle transition temperature progressions through the thickness of the multi-layer welding seam do not correspond to the forecast according to the Russian code. In general, the fracture toughness values at cleavage failure, KJc, measured on SE(B) specimens from the irradiated and large-scale thermally annealed beltline welding seams follow the Master Curve description, but more than the expected number lie outside the curves for 2% and 98% fracture probability. In this case the test standard ASTM E1921 indicates the investigated multi-layer weld metal as not uniform. The multi modal Master Curve based approach describes the temperature dependence of the specimen size adjusted KJc-1T values well. Thermal annealing at 475 °C for 152 h results in the expected decrease of the hardness and tensile strength and the shift of Master Curve and Charpy-V based ductile-to-brittle transition temperatures to lower values.

  17. Direct Immersion Annealing of Thin Block Copolymer Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Modi, Arvind; Bhaway, Sarang M.; Vogt, Bryan D.

    2015-09-09

    We demonstrate ordering of thin block copolymer (BCP) films via direct immersion annealing (DIA) at enhanced rate leading to stable morphologies. The BCP films are immersed in carefully selected mixtures of good and marginal solvents that can impart enhanced polymer mobility, while inhibiting film dissolution. DIA is compatible with roll-to-roll assembly manufacturing and has distinct advantages over conventional thermal annealing and batch processing solvent-vapor annealing methods. We identify three solvent composition-dependent BCP film ordering regimes in DIA for the weakly interacting polystyrene–poly(methyl methacrylate) (PS–PMMA) system: rapid short-range order, optimal long-range order, and a film instability regime. Kinetic studies in themore » “optimal long-range order” processing regime as a function of temperature indicate a significant reduction of activation energy for BCP grain growth compared to oven annealing at conventional temperatures. An attractive feature of DIA is its robustness to ordering other BCP (e.g. PS-P2VP) and PS-PMMA systems exhibiting spherical, lamellar and cylindrical ordering.« less

  18. Controlling Growth High Uniformity Indium Selenide (In2Se3) Nanowires via the Rapid Thermal Annealing Process at Low Temperature.

    PubMed

    Hsu, Ya-Chu; Hung, Yu-Chen; Wang, Chiu-Yen

    2017-09-15

    High uniformity Au-catalyzed indium selenide (In 2 Se 3) nanowires are grown with the rapid thermal annealing (RTA) treatment via the vapor-liquid-solid (VLS) mechanism. The diameters of Au-catalyzed In 2 Se 3 nanowires could be controlled with varied thicknesses of Au films, and the uniformity of nanowires is improved via a fast pre-annealing rate, 100 °C/s. Comparing with the slower heating rate, 0.1 °C/s, the average diameters and distributions (standard deviation, SD) of In 2 Se 3 nanowires with and without the RTA process are 97.14 ± 22.95 nm (23.63%) and 119.06 ± 48.75 nm (40.95%), respectively. The in situ annealing TEM is used to study the effect of heating rate on the formation of Au nanoparticles from the as-deposited Au film. The results demonstrate that the average diameters and distributions of Au nanoparticles with and without the RTA process are 19.84 ± 5.96 nm (30.00%) and about 22.06 ± 9.00 nm (40.80%), respectively. It proves that the diameter size, distribution, and uniformity of Au-catalyzed In 2 Se 3 nanowires are reduced and improved via the RTA pre-treated. The systemic study could help to control the size distribution of other nanomaterials through tuning the annealing rate, temperatures of precursor, and growth substrate to control the size distribution of other nanomaterials. Graphical Abstract Rapid thermal annealing (RTA) process proved that it can uniform the size distribution of Au nanoparticles, and then it can be used to grow the high uniformity Au-catalyzed In 2 Se 3 nanowires via the vapor-liquid-solid (VLS) mechanism. Comparing with the general growth condition, the heating rate is slow, 0.1 °C/s, and the growth temperature is a relatively high growth temperature, > 650 °C. RTA pre-treated growth substrate can form smaller and uniform Au nanoparticles to react with the In 2 Se 3 vapor and produce the high uniformity In 2 Se 3 nanowires. The in situ annealing TEM is used to realize the effect of heating

  19. On the correlation between microscopic structural heterogeneity and embrittlement behavior in metallic glasses

    DOE PAGES

    Li, Weidong; Gao, Yanfei; Bei, Hongbin

    2015-10-05

    To establish a relationship between microstructure and mechanical properties, we systematically annealed a Zr-based bulk metallic glass (BMG) at 100 ~ 300°C and measured their mechanical and thermal properties. The as-cast BMG exhibits some ductility, while the increase of annealing temperature and time leads to the transition to a brittle behavior that can reach nearly-zero fracture energy. The differential scanning calorimetry did not find any significant changes in crystallization temperature and enthalpy, indicating that the materials still remained fully amorphous. Elastic constants measured by ultrasonic technique vary only slightly with respect to annealing temperature and time, which does obey themore » empirical relationship between Poisson’s ratio and fracture behavior. Nanoindentation pop-in tests were conducted, from which the pop-in strength mapping provides a “mechanical probe” of the microscopic structural heterogeneities in these metallic glasses. Based on stochastically statistic defect model, we found that the defect density decreases with increasing annealing temperature and annealing time and is exponentially related to the fracture energy. A ductile-versus-brittle behavior (DBB) model based on the structural heterogeneity is developed to identify the physical origins of the embrittlement behavior through the interactions between these defects and crack tip.« less

  20. On the correlation between microscopic structural heterogeneity and embrittlement behavior in metallic glasses

    PubMed Central

    Li, Weidong; Gao, Yanfei; Bei, Hongbin

    2015-01-01

    In order to establish a relationship between microstructure and mechanical properties, we systematically annealed a Zr-based bulk metallic glass (BMG) at 100 ~ 300 °C and measured their mechanical and thermal properties. The as-cast BMG exhibits some ductility, while the increase of annealing temperature and time leads to the transition to a brittle behavior that can reach nearly-zero fracture energy. The differential scanning calorimetry did not find any significant changes in crystallization temperature and enthalpy, indicating that the materials still remained fully amorphous. Elastic constants measured by ultrasonic technique vary only slightly with respect to annealing temperature and time, which does obey the empirical relationship between Poisson’s ratio and fracture behavior. Nanoindentation pop-in tests were conducted, from which the pop-in strength mapping provides a “mechanical probe” of the microscopic structural heterogeneities in these metallic glasses. Based on stochastically statistic defect model, we found that the defect density decreases with increasing annealing temperature and annealing time and is exponentially related to the fracture energy. A ductile-versus-brittle behavior (DBB) model based on the structural heterogeneity is developed to identify the physical origins of the embrittlement behavior through the interactions between these defects and crack tip. PMID:26435318

  1. Thermal-Wave Microscope

    NASA Technical Reports Server (NTRS)

    Jones, Robert E.; Kramarchuk, Ihor; Williams, Wallace D.; Pouch, John J.; Gilbert, Percy

    1989-01-01

    Computer-controlled thermal-wave microscope developed to investigate III-V compound semiconductor devices and materials. Is nondestructive technique providing information on subsurface thermal features of solid samples. Furthermore, because this is subsurface technique, three-dimensional imaging also possible. Microscope uses intensity-modulated electron beam of modified scanning electron microscope to generate thermal waves in sample. Acoustic waves generated by thermal waves received by transducer and processed in computer to form images displayed on video display of microscope or recorded on magnetic disk.

  2. Evolution of Residual Stress and Distortion of Cold-Rolled Bearing Ring from Annealing to Quenched-Tempered Heat Treatment

    NASA Astrophysics Data System (ADS)

    Lu, Bohan; Lu, Xiaohui

    2018-02-01

    This study investigates the correlation between the residual stress and distortion behavior of a cold-rolled ring from the annealing to quenching-tempering (QT) process. Due to the cold-rolled process, the external periphery of the bearing ring experiences a compressive residual stress. To relieve the residual stress, cold-rolled rings are annealed at 700 °C which is higher than the starting temperature of recrystallization. When cold-rolled rings are annealed at 700 °C for 15 min, the compressive residual stress is reduced to zero and the outer diameter of the annealed ring becomes larger than that of a non-annealed sample, which is unrelated to annealing time. Simultaneously, the roundness and taper deviation do not obviously change compared with those of non-annealed sample. The stress relaxation during the annealing process was attributed to the recovery and recrystallization of ferrite. Annealing has a genetic influence on the following QT heat treatment, wherein the lowest residual stress is in the non-annealed cold-rolled ring. From the annealing to QT process, the deviation of the outer diameter, roundness, and taper increased with annealing time, a large extend than that of non-annealed samples.

  3. Hydrogen Annealing Of Single-Crystal Superalloys

    NASA Technical Reports Server (NTRS)

    Smialek, James L.; Schaeffer, John C.; Murphy, Wendy

    1995-01-01

    Annealing at temperature equal to or greater than 2,200 degrees F in atmosphere of hydrogen found to increase ability of single-crystal superalloys to resist oxidation when subsequently exposed to oxidizing atmospheres at temperatures almost as high. Supperalloys in question are principal constituents of hot-stage airfoils (blades) in aircraft and ground-based turbine engines; also used in other high-temperature applications like chemical-processing plants, coal-gasification plants, petrochemical refineries, and boilers. Hydrogen anneal provides resistance to oxidation without decreasing fatigue strength and without need for coating or reactive sulfur-gettering constituents. In comparison with coating, hydrogen annealing costs less. Benefits extend to stainless steels, nickel/chromium, and nickel-base alloys, subject to same scale-adhesion and oxidation-resistance considerations, except that scale is chromia instead of alumina.

  4. Recycling process for recovery of gallium from GaN an e-waste of LED industry through ball milling, annealing and leaching.

    PubMed

    Swain, Basudev; Mishra, Chinmayee; Kang, Leeseung; Park, Kyung-Soo; Lee, Chan Gi; Hong, Hyun Seon

    2015-04-01

    Waste dust generated during manufacturing of LED contains significant amounts of gallium and indium, needs suitable treatment and can be an important resource for recovery. The LED industry waste dust contains primarily gallium as GaN. Leaching followed by purification technology is the green and clean technology. To develop treatment and recycling technology of these GaN bearing e-waste, leaching is the primary stage. In our current investigation possible process for treatment and quantitative leaching of gallium and indium from the GaN bearing e-waste or waste of LED industry dust has been developed. To recycle the waste and quantitative leaching of gallium, two different process flow sheets have been proposed. In one, process first the GaN of the waste the LED industry dust was leached at the optimum condition. Subsequently, the leach residue was mixed with Na2CO3, ball milled followed by annealing, again leached to recover gallium. In the second process, the waste LED industry dust was mixed with Na2CO3, after ball milling and annealing, followed acidic leaching. Without pretreatment, the gallium leaching was only 4.91 w/w % using 4M HCl, 100°C and pulp density of 20g/L. After mechano-chemical processing, both these processes achieved 73.68 w/w % of gallium leaching at their optimum condition. The developed process can treat and recycle any e-waste containing GaN through ball milling, annealing and leaching. Copyright © 2015 Elsevier Inc. All rights reserved.

  5. Deformation and annealing response of TD-nickel chromium sheet

    NASA Technical Reports Server (NTRS)

    Kane, R. D.; Ebert, L. J.

    1973-01-01

    The deformation and annealing response of TD-nickel chromium (TD-NiCr) 0.1 inch thick sheet was examined using various cold-rolling and annealing treatments. Upon annealing (above 816 C (1500 F), the as-received material was converted from an initially ultra-fine grain size (average grain dimension 0.51 micron) to a large grain structure. Increases in grain size by a factor of 100 to 200 were observed for this transformation. However, in those material states where the large grain transformation was absent, a fine grain recrystallized structure formed upon annealing (above 732 C (1350 F)). The deformation and annealing response of TD-NiCr sheet was evaluated with respect to the processing related variables as mode and severity of deformation and annealing temperature. Results indicate that the large grain transformation, classical primary recrystallization occurs. Using selected materials produced during the deformation and annealing study, the elevated temperature tensile properties of TD-NiCr sheet were examined in the temperature range 593 C (1100 F) to 1093 C (2000 F). It was observed that the elevated temperature tensile properties of TD-NiCr sheet could be optimized by the stabilization of a large grain size in this material using the cold working and/or annealing treatments developed during the present investigation.

  6. Influence of multi-deposition multi-annealing on time-dependent dielectric breakdown characteristics of PMOS with high-k/metal gate last process

    NASA Astrophysics Data System (ADS)

    Wang, Yan-Rong; Yang, Hong; Xu, Hao; Wang, Xiao-Lei; Luo, Wei-Chun; Qi, Lu-Wei; Zhang, Shu-Xiang; Wang, Wen-Wu; Yan, Jiang; Zhu, Hui-Long; Zhao, Chao; Chen, Da-Peng; Ye, Tian-Chun

    2015-11-01

    A multi-deposition multi-annealing technique (MDMA) is introduced into the process of high-k/metal gate MOSFET for the gate last process to effectively reduce the gate leakage and improve the device’s performance. In this paper, we systematically investigate the electrical parameters and the time-dependent dielectric breakdown (TDDB) characteristics of positive channel metal oxide semiconductor (PMOS) under different MDMA process conditions, including the deposition/annealing (D&A) cycles, the D&A time, and the total annealing time. The results show that the increases of the number of D&A cycles (from 1 to 2) and D&A time (from 15 s to 30 s) can contribute to the results that the gate leakage current decreases by about one order of magnitude and that the time to fail (TTF) at 63.2% increases by about several times. However, too many D&A cycles (such as 4 cycles) make the equivalent oxide thickness (EOT) increase by about 1 Å and the TTF of PMOS worsen. Moreover, different D&A times and numbers of D&A cycles induce different breakdown mechanisms. Project supported by the National High Technology Research and Development Program of China (Grant No. SS2015AA010601) and the National Natural Science Foundation of China (Grant Nos. 61176091 and 61306129).

  7. In situ TEM and synchrotron characterization of U–10Mo thin specimen annealed at the fast reactor temperature regime

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yun, Di, E-mail: diyun1979@xjtu.edu.cn; Xi'an Jiao Tong University, 28 Xian Ning West Road, Xi'an 710049; Mo, Kun

    2015-12-15

    U–Mo metallic alloys have been extensively used for the Reduced Enrichment for Research and Test Reactors (RERTR) program, which is now known as the Office of Material Management and Minimization under the Conversion Program. This fuel form has also recently been proposed as fast reactor metallic fuels in the recent DOE Ultra-high Burnup Fast Reactor project. In order to better understand the behavior of U–10Mo fuels within the fast reactor temperature regime, a series of annealing and characterization experiments have been performed. Annealing experiments were performed in situ at the Intermediate Voltage Electron Microscope (IVEM-Tandem) facility at Argonne National Laboratorymore » (ANL). An electro-polished U–10Mo alloy fuel specimen was annealed in situ up to 700 °C. At an elevated temperature of about 540 °C, the U–10Mo specimen underwent a relatively slow microstructure transition. Nano-sized grains were observed to emerge near the surface. At the end temperature of 700 °C, the near-surface microstructure had evolved to a nano-crystalline state. In order to clarify the nature of the observed microstructure, Laue diffraction and powder diffraction experiments were carried out at beam line 34-ID of the Advanced Photon Source (APS) at ANL. Phases present in the as-annealed specimen were identified with both Laue diffraction and powder diffraction techniques. The U–10Mo was found to recrystallize due to thermally-induced recrystallization driven by a high density of pre-existing dislocations. A separate in situ annealing experiment was carried out with a Focused Ion Beam processed (FIB) specimen. A similar microstructure transition occurred at a lower temperature of about 460 °C with a much faster transition rate compared to the electro-polished specimen. - Highlights: • TEM annealing experiments were performed in situ at the IVEM facility up to fast reactor temperature. • At 540 °C, the U-10Mo specimen underwent a slow microstructure

  8. Efficiency of quantum vs. classical annealing in nonconvex learning problems

    PubMed Central

    Zecchina, Riccardo

    2018-01-01

    Quantum annealers aim at solving nonconvex optimization problems by exploiting cooperative tunneling effects to escape local minima. The underlying idea consists of designing a classical energy function whose ground states are the sought optimal solutions of the original optimization problem and add a controllable quantum transverse field to generate tunneling processes. A key challenge is to identify classes of nonconvex optimization problems for which quantum annealing remains efficient while thermal annealing fails. We show that this happens for a wide class of problems which are central to machine learning. Their energy landscapes are dominated by local minima that cause exponential slowdown of classical thermal annealers while simulated quantum annealing converges efficiently to rare dense regions of optimal solutions. PMID:29382764

  9. Processing of Mn-Al nanostructured magnets by spark plasma sintering and subsequent rapid thermal annealing

    NASA Astrophysics Data System (ADS)

    Saravanan, P.; Vinod, V. T. P.; Černík, Miroslav; Selvapriya, A.; Chakravarty, Dibyendu; Kamat, S. V.

    2015-01-01

    The potential of spark plasma sintering (SPS) in combination with rapid thermal annealing (RTA) for the processing of Mn-Al nanostructured magnets is explored in this study. Ferromagnetic α-Mn alloy powders were processed by high-energy ball milling using Mn (56 at%) and Al (44 at%) as constituent metal elements. The alloying action between Mn and Al due to intensive milling was studied by X-ray diffraction and field-emission scanning electron microscope; while the phase transformation kinetics was investigated using differential scanning calorimetry. The evolution of ferromagnetic properties in the as-milled powders was studied by superconducting quantum interference device (SQUID). Among the Mn-Al alloy powders collected at various milling intervals, the 25 h milled Mn-Al powders showed a good combination of coercivity, Hc (11.3 kA/m) and saturation magnetization, Ms (5.0 A/m2/kg); accordingly, these powders were chosen for SPS. The SPS experiments were conducted at different temperatures: 773, 873 and 973 K and its effect on the density, phase composition and magnetic properties of the Mn-Al bulk samples were investigated. Upon increasing the SPS temperature from 773 to 973 K, the bulk density was found to increase from 3.6 to 4.0 g/cm3. The occurrence of equilibrium β-phase with significant amount of γ2-phase was obvious at all the SPS temperatures; however, crystallization of some amount of τ-phase was evident at 973 K. Irrespective of the SPS temperatures, all the samples demonstrated soft magnetic behavior with Hc and Ms values similar to those obtained for the 25 h milled powders. The magnetic properties of the SPSed samples were significantly improved upon subjecting them to RTA at 1100 K. Through the RTA process, Hc values of 75, 174 and 194 kA/m and Ms values of 19, 21 and 28 A/m2/kg were achieved for the samples SPSed at 773, 873 and 973 K, respectively. The possible reasons for the observed improvement in the magnetic properties of the SPSed

  10. Annealing effect of the InAs dot-in-well structure grown by MBE

    NASA Astrophysics Data System (ADS)

    Zhao, Xuyi; Wang, Peng; Cao, Chunfang; Yan, Jinyi; Zha, Fangxing; Wang, Hailong; Gong, Qian

    2017-12-01

    We have demonstrated that in situ annealing effect has to be taken into account in order to realize the 1.31 μm InAs quantum dot (QD) lasers with the dot-in-well (DWELL) structure. The photoluminescence (PL) properties have been investigated for the InAs DWELL samples annealed at different temperatures in situ, simulating the annealing process during the growth of the top cladding AlGaAs layer in the laser structure. The QDs with large size in the DWELL structure are vulnerable to the annealing process at temperatures above 550 °C, revealed by the drastic change in the PL spectra. However, the DWELL structure is stable during the annealing process at 540 °C for three hours. The thermal stability of the QDs in the DWELL structure has to be considered in the growth of QD lasers for long wavelength operation.

  11. Ferromagnetic cobalt nanocrystals achieved by soft annealing approach—From individual behavior to mesoscopic organized properties

    NASA Astrophysics Data System (ADS)

    Petit, C.; Wang, Z. L.; Pileni, M. P.

    2007-05-01

    By gentle annealing, 7 nm cobalt nanoparticles synthesized by soft chemistry, are transformed to hard magnetic hexagonal close packed (HCP) cobalt nanocrystals without changing the size, size distribution and passivating layer. This method permits to recover the nanocrystals isolated in solution after the annealing process and then to study the magnetic properties of the HCP cobalt nanocrystals at isolated status or in a self-organized film. Monolayer self-assembly of the HCP cobalt nanocrystals is obtained, and due to the dipolar interaction, ferromagnetic behavior close to room temperature has been observed. The magnetic properties differ significantly due to the influence of the substrate on the annealing process. This different approach of the annealing process of nanocrystals is compared to the classical approach of annealing in which the nanocrystals are first deposited on a substrate and then annealed.

  12. Note: Improving long-term stability of hot-wire anemometer sensors by means of annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lundström, H., E-mail: hans.lundstrom@hig.se

    2015-08-15

    Annealing procedures for hot-wire sensors of platinum and platinum-plated tungsten have been investigated experimentally. It was discovered that the two investigated sensor metals behave quite differently during the annealing process, but for both types annealing may improve long-term stability considerably. Measured drift of sensors both without and with prior annealing is presented. Suggestions for suitable annealing temperatures and times are given.

  13. Surface faceting and elemental diffusion behaviour at atomic scale for alloy nanoparticles during in situ annealing

    PubMed Central

    Chi, Miaofang; Wang, Chao; Lei, Yinkai; Wang, Guofeng; Li, Dongguo; More, Karren L.; Lupini, Andrew; Allard, Lawrence F.; Markovic, Nenad M.; Stamenkovic, Vojislav R.

    2015-01-01

    The catalytic performance of nanoparticles is primarily determined by the precise nature of the surface and near-surface atomic configurations, which can be tailored by post-synthesis annealing effectively and straightforwardly. Understanding the complete dynamic response of surface structure and chemistry to thermal treatments at the atomic scale is imperative for the rational design of catalyst nanoparticles. Here, by tracking the same individual Pt3Co nanoparticles during in situ annealing in a scanning transmission electron microscope, we directly discern five distinct stages of surface elemental rearrangements in Pt3Co nanoparticles at the atomic scale: initial random (alloy) elemental distribution; surface platinum-skin-layer formation; nucleation of structurally ordered domains; ordered framework development and, finally, initiation of amorphization. Furthermore, a comprehensive interplay among phase evolution, surface faceting and elemental inter-diffusion is revealed, and supported by atomistic simulations. This work may pave the way towards designing catalysts through post-synthesis annealing for optimized catalytic performance. PMID:26576477

  14. Surface faceting and elemental diffusion behaviour at atomic scale for alloy nanoparticles during in situ annealing

    DOE PAGES

    Chi, Miaofang; Wang, Chao; Lei, Yinkai; ...

    2015-11-18

    The catalytic performance of nanoparticles is primarily determined by the precise nature of the surface and near-surface atomic configurations, which can be tailored by post-synthesis annealing effectively and straightforwardly. Understanding the complete dynamic response of surface structure and chemistry to thermal treatments at the atomic scale is imperative for the rational design of catalyst nanoparticles. Here, by tracking the same individual Pt 3Co nanoparticles during in situ annealing in a scanning transmission electron microscope, we directly discern five distinct stages of surface elemental rearrangements in Pt 3Co nanoparticles at the atomic scale: initial random (alloy) elemental distribution; surface platinum-skin-layer formation;more » nucleation of structurally ordered domains; ordered framework development and, finally, initiation of amorphization. Furthermore, a comprehensive interplay among phase evolution, surface faceting and elemental inter-diffusion is revealed, and supported by atomistic simulations. In conlcusion, this work may pave the way towards designing catalysts through post-synthesis annealing for optimized catalytic performance.« less

  15. High-Temperature-Short-Time Annealing Process for High-Performance Large-Area Perovskite Solar Cells.

    PubMed

    Kim, Minjin; Kim, Gi-Hwan; Oh, Kyoung Suk; Jo, Yimhyun; Yoon, Hyun; Kim, Ka-Hyun; Lee, Heon; Kim, Jin Young; Kim, Dong Suk

    2017-06-27

    Organic-inorganic hybrid metal halide perovskite solar cells (PSCs) are attracting tremendous research interest due to their high solar-to-electric power conversion efficiency with a high possibility of cost-effective fabrication and certified power conversion efficiency now exceeding 22%. Although many effective methods for their application have been developed over the past decade, their practical transition to large-size devices has been restricted by difficulties in achieving high performance. Here we report on the development of a simple and cost-effective production method with high-temperature and short-time annealing processing to obtain uniform, smooth, and large-size grain domains of perovskite films over large areas. With high-temperature short-time annealing at 400 °C for 4 s, the perovskite film with an average domain size of 1 μm was obtained, which resulted in fast solvent evaporation. Solar cells fabricated using this processing technique had a maximum power conversion efficiency exceeding 20% over a 0.1 cm 2 active area and 18% over a 1 cm 2 active area. We believe our approach will enable the realization of highly efficient large-area PCSs for practical development with a very simple and short-time procedure. This simple method should lead the field toward the fabrication of uniform large-scale perovskite films, which are necessary for the production of high-efficiency solar cells that may also be applicable to several other material systems for more widespread practical deployment.

  16. Different annealing temperature suitable for different Mg doped P-GaN

    NASA Astrophysics Data System (ADS)

    Liu, S. T.; Yang, J.; Zhao, D. G.; Jiang, D. S.; Liang, F.; Chen, P.; Zhu, J. J.; Liu, Z. S.; Li, X.; Liu, W.; Zhang, L. Q.; Long, H.; Li, M.

    2017-04-01

    In this work, epitaxial GaN with different Mg doping concentration annealed at different temperature is investigated. Through Hall and PL spectra measurement we found that when Mg doping concentration is different, different annealing temperature is needed for obtaining the best p-type conduction of GaN, and this difference comes from the different influence of annealing on compensated donors. For ultra-heavily Mg doped sample, the process of Mg related donors transferring to non-radiative recombination centers is dominated, so the performance of P-GaN deteriorates with temperature increase. But for low Mg doped sample, the process of Mg related donors transfer to non-raditive recombination is weak compare to the Mg acceptor activation, so along the annealing temperature increase the performance GaN gets better.

  17. Image Analysis, Microscopic, and Spectrochemical Study of the PVC Dry Blending Process,

    DTIC Science & Technology

    The dry blending process used in the production of electrical grade pvc formulations has been studies using a combination of image analysis , microscopic...by image analysis techniques. Optical and scanning electron microscopy were used to assess morphological differences. Spectrochemical techniques were used to indicate chemical changes.

  18. Excimer laser annealing for low-voltage power MOSFET

    NASA Astrophysics Data System (ADS)

    Chen, Yi; Okada, Tatsuya; Noguchi, Takashi; Mazzamuto, Fulvio; Huet, Karim

    2016-08-01

    Excimer laser annealing of lumped beam was performed to form the P-base junction for high-performance low-voltage-power MOSFET. An equivalent shallow-junction structure for the P-base junction with a uniform impurity distribution is realized by adopting excimer laser annealing (ELA). The impurity distribution in the P-base junction can be controlled precisely by the irradiated pulse energy density and the number of shots of excimer laser. High impurity activation for the shallow junction has been confirmed in the melted phase. The application of the laser annealing technology in the fabrication process of a practical low-voltage trench gate MOSFET was also examined.

  19. Electrochemically induced annealing of stainless-steel surfaces.

    PubMed

    Burstein, G T; Hutchings, I M; Sasaki, K

    2000-10-19

    Modification of the surface properties of metals without affecting their bulk properties is of technological interest in demanding applications where surface stability and hardness are important. When austenitic stainless steel is heavily plastically deformed by grinding or rolling, a martensitic phase transformation occurs that causes significant changes in the bulk and surface mechanical properties of the alloy. This martensitic phase can also be generated in stainless-steel surfaces by cathodic charging, as a consequence of lattice strain generated by absorbed hydrogen. Heat treatment of the steel to temperatures of several hundred degrees can result in loss of the martensitic structure, but this alters the bulk properties of the alloy. Here we show that martensitic structures in stainless steel can be removed by appropriate electrochemical treatment in aqueous solutions at much lower temperature than conventional annealing treatments. This electrochemically induced annealing process allows the hardness of cold-worked stainless steels to be maintained, while eliminating the brittle martensitic phase from the surface. Using this approach, we are able to anneal the surface and near-surface regions of specimens that contain rolling-induced martensite throughout their bulk, as well as those containing surface martensite induced by grinding. Although the origin of the electrochemical annealing process still needs further clarification, we expect that this treatment will lead to further development in enhancing the surface properties of metals.

  20. Identification of nitrogen- and host-related deep-level traps in n-type GaNAs and their evolution upon annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gelczuk, Ł., E-mail: lukasz.gelczuk@pwr.edu.pl; Kudrawiec, R., E-mail: robert.kudrawiec@pwr.edu.pl; Henini, M.

    2014-07-07

    Deep level traps in as-grown and annealed n-GaNAs layers (doped with Si) of various nitrogen concentrations (N=0.2%, 0.4%, 0.8%, and 1.2%) were investigated by deep level transient spectroscopy. In addition, optical properties of GaNAs layers were studied by photoluminescence and contactless electroreflectance. The identification of N- and host-related traps has been performed on the basis of band gap diagram [Kudrawiec, Appl. Phys. Lett. 101, 082109 (2012)], which assumes that the activation energy of electron traps of the same microscopic nature decreases with the rise of nitrogen concentration in accordance with the N-related shift of the conduction band towards trap levels.more » The application of this diagram has allowed to investigate the evolution of donor traps in GaNAs upon annealing. In general, it was observed that the concentration of N- and host-related traps decreases after annealing and PL improves very significantly. However, it was also observed that some traps are generated due to annealing. It explains why the annealing conditions have to be carefully optimized for this material system.« less

  1. Facile Routes To Improve Performance of Solution-Processed Amorphous Metal Oxide Thin Film Transistors by Water Vapor Annealing.

    PubMed

    Park, Won-Tae; Son, Inyoung; Park, Hyun-Woo; Chung, Kwun-Bum; Xu, Yong; Lee, Taegweon; Noh, Yong-Young

    2015-06-24

    Here, we report on a simple and high-rate oxidization method for producing solution-based compound mixtures of indium zinc oxide (IZO) and indium gallium zinc oxide (IGZO) metal-oxide semiconductors (MOS) for thin-film transistor (TFT) applications. One of the issues for solution-based MOS fabrication is how to sufficiently oxidize the precursor in order to achieve high performance. As the oxidation rate of solution processing is lower than vacuum-based deposition such as sputtering, devices using solution-processed MOS exhibit relatively poorer performance. Therefore, we propose a method to prepare the metal-oxide precursor upon exposure to saturated water vapor in a closed volume for increasing the oxidization efficiency without requiring additional oxidizing agent. We found that the hydroxide rate of the MOS film exposed to water vapor is lower than when unexposed (≤18%). Hence, we successfully fabricated oxide TFTs with high electron mobility (27.9 cm(2)/V·s) and established a rapid process (annealing at 400 °C for 5 min) that is much shorter than the conventional as-deposited long-duration annealing (at 400 °C for 1 h) whose corresponding mobility is even lower (19.2 cm(2)/V·s).

  2. An Introduction to Simulated Annealing

    ERIC Educational Resources Information Center

    Albright, Brian

    2007-01-01

    An attempt to model the physical process of annealing lead to the development of a type of combinatorial optimization algorithm that takes on the problem of getting trapped in a local minimum. The author presents a Microsoft Excel spreadsheet that illustrates how this works.

  3. Annealing effects on cathodoluminescence of zircon

    NASA Astrophysics Data System (ADS)

    Tsuchiya, Y.; Nishido, H.; Noumi, Y.

    2011-12-01

    U-Pb zircon dating (e. g., SHRIMP) is an important tool to interpret a history of the minerals at a micrometer-scale, where cathodoluminescence (CL) imaging allows us to recognize internal zones and domains with different chemical compositions and structural disorder at high spatial resolution. The CL of zircon is attributed by various types of emission centers, which are extrinsic ones such as REE impurities and intrinsic ones such as structural defects. Metamictization resulted from radiation damage to the lattice by alpha particles from the decay of U and Th mostly causes an effect on the CL features of zircon as a defect center. However, slightly radiation-damaged zircon, which is almost nondetectable by XRD, has not been characterized using CL method. In this study, annealing effects on CL of zircon has been investigated to clarify a recovery process of the damaged lattice at low radiation dose. A single crystal of zircon from Malawi was selected for CL measurements. It contains HfO2: 2.30 w.t %, U: 241 ppm and Th: 177 ppm. Two plate samples perpendicular to c and a axes were prepared for annealing experiments during 12 hours from room temperature to 1400 degree C. Color CL images were captured using a cold-cathode microscope (Luminoscope: Nuclide ELM-3R). CL spectral measurements were conducted using an SEM (JEOL: JSM-5410) combined with a grating monochromator (Oxford: Mono CL2) to measure CL spectra ranging from 300 to 800 nm in 1 nm steps with a temperature controlled stage. The dispersed CL was collected by a photoncounting method using a photomultiplier tube (Hamamatsu: R2228) and converted to digital data. All CL spectra were corrected for the total instrumental response. Spectral analysis reveals an anisotropy of the CL emission bands related to intrinsic defect center in blue region, radiation-induced defect center from 500 to 700 nm, and trivalent Dy impurity center at 480 and 580 nm, but their relative intensities are almost constant. CL on the

  4. Excimer laser annealing to fabricate low cost solar cells

    NASA Technical Reports Server (NTRS)

    1984-01-01

    The objective is to show whether or not pulsed excimer laser annealing (PELA) of ion-implanted junctions is a cost effective replacement for diffused junctions in fabricating crystalline silicon solar cells. The preliminary economic analysis completed shows that the use of PELA to fabricate both the front junction and back surface field (BSF) would cost approximately 35 cents per peak watt (Wp), compared to a cost of 15 cents/Wp for diffusion, aluminum BSF and an extra cleaning step in the baseline process. The cost advantage of the PELA process depends on improving the average cell efficiency from 14% to 16%, which would lower the overall cost of the module by about 15 cents/Wp. An optimized PELA process compatible with commercial production is to be developed, and increased cell efficiency with sufficient product for adequate statistical analysis demonstrated. An excimer laser annealing station was set-up and made operational. The first experiment used 248 nm radiation to anneal phosphorus implants in polished and texture-etched silicon.

  5. Annealing study of poly(etheretherketone)

    NASA Technical Reports Server (NTRS)

    Cebe, Peggy

    1988-01-01

    Annealing of PEEK has been studied for two materials cold-crystallized from the rubbery amorphous state. The first material is a low molecular weight PEEK; the second is commercially available neat resin. Differential scanning calorimetry was used to monitor the melting behavior of annealed samples. The effect of thermal history on melting behavior is very complex and depends upon annealing temperature, residence time at the annealing temperature, and subsequent scanning rate. Thermal stability of both materials is improved by annealing, and for an annealing temperature near the melting point, the polymer can be stabilized against reorganization during the scan. Variations of density, degree of crystallinity, and X-ray long period were studied as a function of annealing temperature for the commercial material.

  6. Effect of the sample annealing temperature and sample crystallographic orientation on the charge kinetics of MgO single crystals subjected to keV electron irradiation.

    PubMed

    Boughariou, A; Damamme, G; Kallel, A

    2015-04-01

    This paper focuses on the effect of sample annealing temperature and crystallographic orientation on the secondary electron yield of MgO during charging by a defocused electron beam irradiation. The experimental results show that there are two regimes during the charging process that are better identified by plotting the logarithm of the secondary electron emission yield, lnσ, as function of the total trapped charge in the material QT. The impact of the annealing temperature and crystallographic orientation on the evolution of lnσ is presented here. The slope of the asymptotic regime of the curve lnσ as function of QT, expressed in cm(2) per trapped charge, is probably linked to the elementary cross section of electron-hole recombination, σhole, which controls the trapping evolution in the reach of the stationary flow regime. © 2014 The Authors Journal of Microscopy © 2014 Royal Microscopical Society.

  7. Insights into the annealing process of sol-gel TiO2 films leading to anatase development: The interrelationship between microstructure and optical properties

    NASA Astrophysics Data System (ADS)

    Blanco, E.; Domínguez, M.; González-Leal, J. M.; Márquez, E.; Outón, J.; Ramírez-del-Solar, M.

    2018-05-01

    The microstructure and optical properties of TiO2 thin films, prepared by the sol-gel dip coating technique on glass substrates, were inspected. After deposition, the films were annealed at several temperatures in the 400-850 °C range and the resulting nanostructured films were studied by different techniques showing that their structural and optical characteristics evolved significantly with the increased annealing temperature. The analysis of these results by the assumption of the Tauc Lorenz model and the use of Wemple-DiDomenico equation leads to a correlation between microstructural aspects and optical characteristics of the films. Thus, crystallization processes (nucleation, growth and phase transformation) and the evolution of films texture and thickness with increasing annealing temperatures are related with the variation of the refractive index, average gap and extinction coefficient during annealing. Finally, the free-carrier concentration in the films, estimated from the Spitzer-Fan model, ranged from 1.44 × 1019 cm-3 to 3.07 × 1019 cm-3 with the changing annealing temperature, which is in agreement with those obtained in similar anatase thin films from electrical measurement techniques.

  8. Competitive annealing of multiple DNA origami: formation of chimeric origami

    NASA Astrophysics Data System (ADS)

    Majikes, Jacob M.; Nash, Jessica A.; LaBean, Thomas H.

    2016-11-01

    Scaffolded DNA origami are a robust tool for building discrete nanoscale objects at high yield. This strategy ensures, in the design process, that the desired nanostructure is the minimum free energy state for the designed set of DNA sequences. Despite aiming for the minimum free energy structure, the folding process which leads to that conformation is difficult to characterize, although it has been the subject of much research. In order to shed light on the molecular folding pathways, this study intentionally frustrates the folding process of these systems by simultaneously annealing the staple pools for multiple target or parent origami structures, forcing competition. A surprising result of these competitive, simultaneous anneals is the formation of chimeric DNA origami which inherit structural regions from both parent origami. By comparing the regions inherited from the parent origami, relative stability of substructures were compared. This allowed examination of the folding process with typical characterization techniques and materials. Anneal curves were then used as a means to rapidly generate a phase diagram of anticipated behavior as a function of staple excess and parent staple ratio. This initial study shows that competitive anneals provide an exciting way to create diverse new nanostructures and may be used to examine the relative stability of various structural motifs.

  9. Recent progress of quantum annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Suzuki, Sei

    2015-03-10

    We review the recent progress of quantum annealing. Quantum annealing was proposed as a method to solve generic optimization problems. Recently a Canadian company has drawn a great deal of attention, as it has commercialized a quantum computer based on quantum annealing. Although the performance of quantum annealing is not sufficiently understood, it is likely that quantum annealing will be a practical method both on a conventional computer and on a quantum computer.

  10. The Evolution of Fabricated Gold Thin Films to Nano-Micro Particles Under Thermal Annealing Process

    NASA Astrophysics Data System (ADS)

    Hajivaliei, Mahdi; Nazari, Saeed

    2016-06-01

    Gold (Au) thin films with thickness of 35nm were prepared by electron beam deposition onto flat glass substrates under high vacuum (5.3×10-3Pa) condition and they were annealed in the range of 573-873 K for 1 and 2h in atmospheric pressure. The influence of the annealing temperature on the evolution of Au thin film to nano-micro particles was studied. Moreover, the basic properties of the films, namely morphological, structural and optical were investigated. The X-ray diffraction (XRD) analysis revealed that the Au thin films were cubic structure phase with lattice parameter around a=4.0786Å. The most preferential orientation is along (111) planes for all Au films. The lattice parameter and grain size in the films were calculated by X-ray patterns and correlated with annealing temperatures. The obtained results of ultraviolet-visible spectrometry (UV-Vis) indicate that with increasing annealing temperature, the surface plasmon resonance peak of gold nanocrystallite will disappear which implies the size of particles are grown. Field-emission scanning electron microscopy (FE-SEM) results show that the prepared gold thin films have been converted to nano-micro gold particles in different annealing temperatures. These results lead to controlling the size of produced nanocrystallite.

  11. TiOx-based thin-film transistors prepared by femtosecond laser pre-annealing

    NASA Astrophysics Data System (ADS)

    Shan, Fei; Kim, Sung-Jin

    2018-02-01

    We report on thin-film transistors (TFTs) based on titanium oxide (TiOx) prepared using femtosecond laser pre-annealing for electrical application of n-type channel oxide transparent TFTs. Amorphous TFTs using TiOx semiconductors as an active layer have a low-temperature process and show remarkable electrical performance. And the femtosecond laser pre-annealing process has greater flexibility and development space for semiconductor production activity, with a fast preparation method. TFTs with a TiOx semiconductor pre-annealed via femtosecond laser at 3 W have a pinhole-free and smooth surface without crystal grains.

  12. Gamification in Science Education: Gamifying Learning of Microscopic Processes in the Laboratory

    ERIC Educational Resources Information Center

    Fleischmann, Katja; Ariel, Ellen

    2016-01-01

    Understanding and trouble-shooting microscopic processes involved in laboratory tests are often challenging for students in science education because of the inability to visualize the different steps and the various errors that may influence test outcome. The effectiveness of gamification or the use of game design elements and game-mechanics were…

  13. X-ray absorption spectroscopy study of annealing process on Sr1-xLaxCuO2 electron-doped cuprate thin films

    NASA Astrophysics Data System (ADS)

    Galdi, A.; Orgiani, P.; Sacco, C.; Gobaut, B.; Torelli, P.; Aruta, C.; Brookes, N. B.; Minola, M.; Harter, J. W.; Shen, K. M.; Schlom, D. G.; Maritato, L.

    2018-03-01

    The superconducting properties of Sr1-xLaxCuO2 thin films are strongly affected by sample preparation procedures, including the annealing step, which are not always well controlled. We have studied the evolution of Cu L2,3 and O K edge x-ray absorption spectra (XAS) of Sr1-xLaxCuO2 thin films as a function of reducing annealing, both qualitatively and quantitatively. By using linearly polarized radiation, we are able to identify the signatures of the presence of apical oxygen in the as-grown sample and its gradual removal as a function of duration of 350 °C Ar annealing performed on the same sample. Even though the as-grown sample appears to be hole doped, we cannot identify the signature of the Zhang-Rice singlet in the O K XAS, and it is extremely unlikely that the interstitial excess oxygen can give rise to a superconducting or even a metallic ground state. XAS and x-ray linear dichroism analyses are, therefore, shown to be valuable tools to improving the control over the annealing process of electron doped superconductors.

  14. The influence of thermal annealing on the characteristics of Au/Ni Schottky contacts on n-type 4 H-SiC

    NASA Astrophysics Data System (ADS)

    Omotoso, E.; Auret, F. D.; Igumbor, E.; Tunhuma, S. M.; Danga, H. T.; Ngoepe, P. N. M.; Taleatu, B. A.; Meyer, W. E.

    2018-05-01

    The effects of isochronal annealing on the electrical, morphological and structural characteristics of Au/Ni/4 H-SiC Schottky barrier diodes (SBDs) have been studied. Current-voltage ( I- V), capacitance-voltage ( C- V), deep-level transient spectroscopy, scanning electron microscope (SEM) and X-ray diffraction measurements were employed to study the thermal effect on the characteristics of the SBDs. Prior to thermal annealing of Schottky contacts, the I- V measurements results confirmed the good rectification behaviour with ideality factor of 1.06, Schottky barrier height of 1.20 eV and series resistance of 7 Ω. The rectification properties after annealing was maintained up to an annealing temperature of 500 °C, but deviated slightly above 500 °C. The uncompensated ionized donor concentration decreased with annealing temperature, which could be attributed to out-diffusion of the 4 H-SiC into the Au/Ni contacts and decrease in bonding due to formation of nickel silicides. We observed the presence of four deep-level defects with energies 0.09, 0.11, 0.16 and 0.65 eV below the conduction band before and after the isochronal annealing up to 600 °C. The conclusion drawn was that annealing did not affect the number of deep-level defects present in Au/Ni/4 H-SiC contacts. The variations in electrical properties of the devices were attributed to the phase transformations and interfacial reactions that occurred after isochronal annealing.

  15. Scalable effective-temperature reduction for quantum annealers via nested quantum annealing correction

    NASA Astrophysics Data System (ADS)

    Vinci, Walter; Lidar, Daniel A.

    2018-02-01

    Nested quantum annealing correction (NQAC) is an error-correcting scheme for quantum annealing that allows for the encoding of a logical qubit into an arbitrarily large number of physical qubits. The encoding replaces each logical qubit by a complete graph of degree C . The nesting level C represents the distance of the error-correcting code and controls the amount of protection against thermal and control errors. Theoretical mean-field analyses and empirical data obtained with a D-Wave Two quantum annealer (supporting up to 512 qubits) showed that NQAC has the potential to achieve a scalable effective-temperature reduction, Teff˜C-η , with 0 <η ≤2 . We confirm that this scaling is preserved when NQAC is tested on a D-Wave 2000Q device (supporting up to 2048 qubits). In addition, we show that NQAC can also be used in sampling problems to lower the effective-temperature of a quantum annealer. Such effective-temperature reduction is relevant for machine-learning applications. Since we demonstrate that NQAC achieves error correction via a reduction of the effective-temperature of the quantum annealing device, our results address the problem of the "temperature scaling law for quantum annealers," which requires the temperature of quantum annealers to be reduced as problems of larger sizes are attempted to be solved.

  16. Direct Immersion Annealing of Block Copolymer Thin Films

    NASA Astrophysics Data System (ADS)

    Karim, Alamgir

    We demonstrate ordering of thin block copolymer (BCP) films via direct immersion annealing (DIA) at enhanced rate leading to stable morphologies. The BCP films are immersed in carefully selected mixtures of good and marginal solvents that can impart enhanced polymer mobility, while inhibiting film dissolution. DIA is compatible with roll-to-roll assembly manufacturing and has distinct advantages over conventional thermal annealing and batch processing solvent-vapor annealing methods. We identify three solvent composition-dependent BCP film ordering regimes in DIA for the weakly interacting polystyrene -poly(methyl methacrylate) (PS -PMMA) system: rapid short range order, optimal long-range order, and a film instability regime. Kinetic studies in the ``optimal long-range order'' processing regime as a function of temperature indicate a significant reduction of activation energy for BCP grain growth compared to oven annealing at conventional temperatures. An attractive feature of DIA is its robustness to ordering other BCP (e.g. PS-P2VP) and PS-PMMA systems exhibiting spherical, lamellar and cylindrical ordering. Inclusion of nanoparticles in these films at high concentrations and fast ordering kinetics study with neutron reflectivity and SANS will be discussed. This is (late) Contributed Talk Abstract for Dillon Medal Symposium at DPOLY - discussed with DPOLY Chair Dvora Perahia.

  17. Effect of annealing temperature on thermochromic properties of vanadium dioxide thin films deposited by organic sol-gel method

    NASA Astrophysics Data System (ADS)

    Wu, Jing; Huang, Wanxia; Shi, Qiwu; Cai, Jinghan; Zhao, Dong; Zhang, Yubo; Yan, Jiazhen

    2013-03-01

    This paper described the synthesis of vanadium dioxide (VO2) thin films on mica substrates with different annealing temperatures by an organic sol-gel method. We performed X-ray diffraction, scanning electron microscope and optical transmission measurements to investigate the effect of the annealing temperature on the crystalline structure, morphology, and phase transition properties of these films. The results showed that a polycrystalline structure with high crystallinity and compact surface at the annealing temperature of 500 °C. The film exhibited a V6O13 phase and a flat surface with small grain size at 440 °C. By contrast, the VnO2n-1 appeared when the annealing temperature at 540 °C, and the film surface split into segregation of spherical grain and aggregates of continuously dendritic particles. Accordingly, the optimal annealing temperature was 500 °C using the organic sol-gel method. And it turned out that the films mainly contained VO2 (M) phase at room temperature with high content of V4+ valence. Particularly, the films showed different changes in the infrared transmittance and hysteresis width during the phase transition. The largest transformation of the infrared transmittance before and after MIT was 73%, while the narrowest temperature hysteresis width was 8 °C at 500 °C.

  18. Annealing of (DU-10Mo)-Zr Co-Rolled Foils

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pacheco, Robin Montoya; Alexander, David John; Mccabe, Rodney James

    2017-01-20

    Producing uranium-10wt% molybdenum (DU-10Mo) foils to clad with Al first requires initial bonding of the DU-10Mo foil to zirconium (Zr) by hot rolling, followed by cold rolling to final thickness. Rolling often produces wavy (DU-10Mo)-Zr foils that should be flattened before further processing, as any distortions could affect the final alignment and bonding of the Al cladding to the Zr co-rolled surface layer; this bonding is achieved by a hot isostatic pressing (HIP) process. Distortions in the (DU-10Mo)-Zr foil may cause the fuel foil to press against the Al cladding and thus create thinner or thicker areas in the Almore » cladding layer during the HIP cycle. Post machining is difficult and risky at this stage in the process since there is a chance of hitting the DU-10Mo. Therefore, it is very important to establish a process to flatten and remove any waviness. This study was conducted to determine if a simple annealing treatment could flatten wavy foils. Using the same starting material (i.e. DU-10Mo coupons of the same thickness), five different levels of hot rolling and cold rolling, combined with five different annealing treatments, were performed to determine the effect of these processing variables on flatness, bonding of layers, annealing response, microstructure, and hardness. The same final thickness was reached in all cases. Micrographs, textures, and hardness measurements were obtained for the various processing combinations. Based on these results, it was concluded that annealing at 650°C or higher is an effective treatment to appreciably reduce foil waviness.« less

  19. In-situ XRD vs ex-situ vacuum annealing of tantalum oxynitride thin films: Assessments on the structural evolution

    NASA Astrophysics Data System (ADS)

    Cunha, L.; Apreutesei, M.; Moura, C.; Alves, E.; Barradas, N. P.; Cristea, D.

    2018-04-01

    The purpose of this work is to discuss the main structural characteristics of a group of tantalum oxynitride (TaNxOy) thin films, with different compositions, prepared by magnetron sputtering, and to interpret and compare the structural changes, by X-ray diffraction (XRD), when the samples are vacuum annealed under two different conditions: i) annealing, followed by ex-situ XRD: one sample of each deposition run was annealed at a different temperature, until a maximum of 800 °C, and the XRD patterns were obtained, at room temperature, after each annealing process; ii) annealing with in-situ XRD: the diffraction patterns are obtained, at certain temperatures, during the annealing process, using always the same sample. In-situ XRD annealing could be an interesting process to perform annealing, and analysing the evolution of the structure with the temperature, when compared to the classical process. A higher structural stability was observed in some of the samples, particularly on those with highest oxygen content, but also on the sample with non-metal (O + N) to metal (Ta) ratio around 0.5.

  20. Mechanism for accurate, protein-assisted DNA annealing by Deinococcus radiodurans DdrB

    PubMed Central

    Sugiman-Marangos, Seiji N.; Weiss, Yoni M.; Junop, Murray S.

    2016-01-01

    Accurate pairing of DNA strands is essential for repair of DNA double-strand breaks (DSBs). How cells achieve accurate annealing when large regions of single-strand DNA are unpaired has remained unclear despite many efforts focused on understanding proteins, which mediate this process. Here we report the crystal structure of a single-strand annealing protein [DdrB (DNA damage response B)] in complex with a partially annealed DNA intermediate to 2.2 Å. This structure and supporting biochemical data reveal a mechanism for accurate annealing involving DdrB-mediated proofreading of strand complementarity. DdrB promotes high-fidelity annealing by constraining specific bases from unauthorized association and only releases annealed duplex when bound strands are fully complementary. To our knowledge, this mechanism provides the first understanding for how cells achieve accurate, protein-assisted strand annealing under biological conditions that would otherwise favor misannealing. PMID:27044084

  1. Shock, Post-Shock Annealing, and Post-Annealing Shock in Ureilites

    NASA Technical Reports Server (NTRS)

    Rubin, Alan E.

    2006-01-01

    The thermal and shock histories of ureilites can be divided into four periods: 1) formation, 2) initial shock, 3) post-shock annealing, and 4) post-annealing shock. Period 1 occurred approx.4.55 Ga ago when ureilites formed by melting chondritic material. Impact events during period 2 caused silicate darkening, undulose to mosaic extinction in olivines, and the formation of diamond, lonsdaleite, and chaoite from indigenous carbonaceous material. Alkali-rich fine-grained silicates may have been introduced by impact injection into ureilites during this period. About 57% of the ureilites were unchanged after period 2. During period 3 events, impact-induced annealing caused previously mosaicized olivine grains to become aggregates of small unstrained crystals. Some ureilites experienced reduction as FeO at the edges of olivine grains reacted with C from the matrix. Annealing may also be responsible for coarsening of graphite in a few ureilites, forming euhedral-appearing, idioblastic crystals. Orthopyroxene in Meteorite Hills (MET) 78008 may have formed from pigeonite by annealing during this period. The Rb-Sr internal isochron age of approx.4.0 Ga for MET 78008 probably dates the annealing event. At this late date, impacts are the only viable heat source. About 36% of ureilites experienced period 3 events, but remained unchanged afterwards. During period 4, approx.7% of the ureilites were shocked again, as is evident in the polymict breccia, Elephant Moraine (EET) 83309. This rock contains annealed mosaicized olivine aggregates composed of small individual olivine crystals that exhibit undulose extinction. Ureilites may have formed by impact-melting chondritic material on a primitive body with heterogeneous O isotopes. Plagioclase was preferentially lost from the system due to its low impedance to shock compression. Brief melting and rapid burial minimized the escape of planetary-type noble gases from the ureilitic melts. Incomplete separation of metal from silicates

  2. Distributions of Thermal-Annealing Activation Energies for Light-Induced Spins in Fast and Slow Processes in a-Si1-xNx:H Alloys

    NASA Astrophysics Data System (ADS)

    Zhang, Jinyan; Kumeda, Minoru; Shimizu, Tatsuo

    1995-10-01

    We report on the thermal annealing of light-induced neutral dangling bonds (DB's) created by strong band-gap illumination at 77 K and room temperature (RT) in amorphous silicon-nitrogen alloys ( a-Si1- xN x:H). We find that the light-induced DB's are annealed out with distinct distributions of annealing activation energies (E A's). The distribution for the light-induced DB's created in the fast process (FDB's) and the one for those created in the slow process (SDB's) are separated unambiguously: E A for FDB's is in the range from 0 to 0.7 eV, in which two separated peaks (centered at about 0.09 and 0.4 eV) are embodied, and E A for SDB's is in the range from 0.6 to 1.4 eV, centered at about 1 eV, in a-Si0.5N0.5:H. Moreover, the results demonstrate that the distributions of E A for FDB's and SDB's depend on illumination temperature and illumination time.

  3. Exponential Speedup of Quantum Annealing by Inhomogeneous Driving of the Transverse Field

    NASA Astrophysics Data System (ADS)

    Susa, Yuki; Yamashiro, Yu; Yamamoto, Masayuki; Nishimori, Hidetoshi

    2018-02-01

    We show, for quantum annealing, that a certain type of inhomogeneous driving of the transverse field erases first-order quantum phase transitions in the p-body interacting mean-field-type model with and without longitudinal random field. Since a first-order phase transition poses a serious difficulty for quantum annealing (adiabatic quantum computing) due to the exponentially small energy gap, the removal of first-order transitions means an exponential speedup of the annealing process. The present method may serve as a simple protocol for the performance enhancement of quantum annealing, complementary to non-stoquastic Hamiltonians.

  4. Recycling process for recovery of gallium from GaN an e-waste of LED industry through ball milling, annealing and leaching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Swain, Basudev, E-mail: swain@iae.re.kr; Mishra, Chinmayee; Kang, Leeseung

    Waste dust generated during manufacturing of LED contains significant amounts of gallium and indium, needs suitable treatment and can be an important resource for recovery. The LED industry waste dust contains primarily gallium as GaN. Leaching followed by purification technology is the green and clean technology. To develop treatment and recycling technology of these GaN bearing e-waste, leaching is the primary stage. In our current investigation possible process for treatment and quantitative leaching of gallium and indium from the GaN bearing e-waste or waste of LED industry dust has been developed. To recycle the waste and quantitative leaching of gallium,more » two different process flow sheets have been proposed. In one, process first the GaN of the waste the LED industry dust was leached at the optimum condition. Subsequently, the leach residue was mixed with Na{sub 2}CO{sub 3}, ball milled followed by annealing, again leached to recover gallium. In the second process, the waste LED industry dust was mixed with Na{sub 2}CO{sub 3}, after ball milling and annealing, followed acidic leaching. Without pretreatment, the gallium leaching was only 4.91 w/w % using 4 M HCl, 100 °C and pulp density of 20 g/L. After mechano-chemical processing, both these processes achieved 73.68 w/w % of gallium leaching at their optimum condition. The developed process can treat and recycle any e-waste containing GaN through ball milling, annealing and leaching. - Highlights: • Simplest process for treatment of GaN an LED industry waste developed. • The process developed recovers gallium from waste LED waste dust. • Thermal analysis and phase properties of GaN to Ga{sub 2}O{sub 3} and GaN to NaGaO{sub 2} revealed. • Solid-state chemistry involved in this process reported. • Quantitative leaching of the GaN was achieved.« less

  5. Lateral Temperature-Gradient Method for High-Throughput Characterization of Material Processing by Millisecond Laser Annealing.

    PubMed

    Bell, Robert T; Jacobs, Alan G; Sorg, Victoria C; Jung, Byungki; Hill, Megan O; Treml, Benjamin E; Thompson, Michael O

    2016-09-12

    A high-throughput method for characterizing the temperature dependence of material properties following microsecond to millisecond thermal annealing, exploiting the temperature gradients created by a lateral gradient laser spike anneal (lgLSA), is presented. Laser scans generate spatial thermal gradients of up to 5 °C/μm with peak temperatures ranging from ambient to in excess of 1400 °C, limited only by laser power and materials thermal limits. Discrete spatial property measurements across the temperature gradient are then equivalent to independent measurements after varying temperature anneals. Accurate temperature calibrations, essential to quantitative analysis, are critical and methods for both peak temperature and spatial/temporal temperature profile characterization are presented. These include absolute temperature calibrations based on melting and thermal decomposition, and time-resolved profiles measured using platinum thermistors. A variety of spatially resolved measurement probes, ranging from point-like continuous profiling to large area sampling, are discussed. Examples from annealing of III-V semiconductors, CdSe quantum dots, low-κ dielectrics, and block copolymers are included to demonstrate the flexibility, high throughput, and precision of this technique.

  6. Amorphous Silicon Nanowires Grown on Silicon Oxide Film by Annealing

    NASA Astrophysics Data System (ADS)

    Yuan, Zhishan; Wang, Chengyong; Chen, Ke; Ni, Zhonghua; Chen, Yunfei

    2017-08-01

    In this paper, amorphous silicon nanowires (α-SiNWs) were synthesized on (100) Si substrate with silicon oxide film by Cu catalyst-driven solid-liquid-solid mechanism (SLS) during annealing process (1080 °C for 30 min under Ar/H2 atmosphere). Micro size Cu pattern fabrication decided whether α-SiNWs can grow or not. Meanwhile, those micro size Cu patterns also controlled the position and density of wires. During the annealing process, Cu pattern reacted with SiO2 to form Cu silicide. More important, a diffusion channel was opened for Si atoms to synthesis α-SiNWs. What is more, the size of α-SiNWs was simply controlled by the annealing time. The length of wire was increased with annealing time. However, the diameter showed the opposite tendency. The room temperature resistivity of the nanowire was about 2.1 × 103 Ω·cm (84 nm diameter and 21 μm length). This simple fabrication method makes application of α-SiNWs become possible.

  7. Amorphous Silicon Nanowires Grown on Silicon Oxide Film by Annealing.

    PubMed

    Yuan, Zhishan; Wang, Chengyong; Chen, Ke; Ni, Zhonghua; Chen, Yunfei

    2017-08-10

    In this paper, amorphous silicon nanowires (α-SiNWs) were synthesized on (100) Si substrate with silicon oxide film by Cu catalyst-driven solid-liquid-solid mechanism (SLS) during annealing process (1080 °C for 30 min under Ar/H 2 atmosphere). Micro size Cu pattern fabrication decided whether α-SiNWs can grow or not. Meanwhile, those micro size Cu patterns also controlled the position and density of wires. During the annealing process, Cu pattern reacted with SiO 2 to form Cu silicide. More important, a diffusion channel was opened for Si atoms to synthesis α-SiNWs. What is more, the size of α-SiNWs was simply controlled by the annealing time. The length of wire was increased with annealing time. However, the diameter showed the opposite tendency. The room temperature resistivity of the nanowire was about 2.1 × 10 3  Ω·cm (84 nm diameter and 21 μm length). This simple fabrication method makes application of α-SiNWs become possible.

  8. Periodically pulsed wet annealing approach for low-temperature processable amorphous InGaZnO thin film transistors with high electrical performance and ultrathin thickness.

    PubMed

    Kim, Ye Kyun; Ahn, Cheol Hyoun; Yun, Myeong Gu; Cho, Sung Woon; Kang, Won Jun; Cho, Hyung Koun

    2016-05-20

    In this paper, a simple and controllable "wet pulse annealing" technique for the fabrication of flexible amorphous InGaZnO thin film transistors (a-IGZO TFTs) processed at low temperature (150 °C) by using scalable vacuum deposition is proposed. This method entailed the quick injection of water vapor for 0.1 s and purge treatment in dry ambient in one cycle; the supply content of water vapor was simply controlled by the number of pulse repetitions. The electrical transport characteristics revealed a remarkable performance of the a-IGZO TFTs prepared at the maximum process temperature of 150 °C (field-effect mobility of 13.3 cm(2) V(-1) s(-1); Ion/Ioff ratio ≈ 10(8); reduced I-V hysteresis), comparable to that of a-IGZO TFTs annealed at 350 °C in dry ambient. Upon analysis of the angle-resolved x-ray photoelectron spectroscopy, the good performance was attributed to the effective suppression of the formation of hydroxide and oxygen-related defects. Finally, by using the wet pulse annealing process, we fabricated, on a plastic substrate, an ultrathin flexible a-IGZO TFT with good electrical and bending performances.

  9. Analysis on annealing-induced stress of blind-via TSV using FEM

    NASA Astrophysics Data System (ADS)

    Shao, Jie; Shi, Tielin; Du, Li; Su, Lei; Lu, Xiangning; Liao, Guanglan

    2017-07-01

    Copper-filled through silicon via (TSV) is a promising material owing to its application in high-density three-dimensional (3D) packaging. However, in TSV manufacturing, thermo-mechanical stress is induced during the annealing process, often causing reliability issues. In this paper, the finite element method is employed to investigate the impacts of via shape and SiO2 liner uniformity on the thermo-mechanical properties of copper- filled blind-via TSV after annealing. Top interface stress analysis on the TSV structure shows that the curvature of via openings releases stress concentration that leads to 60 MPa decrease of normal stresses, σ xx and σ yy , in copper and 70 MPa decrease of σ xx in silicon. Meanwhile, the vertical interface analysis shows that annealing-induced stress at the SiO2/Si interface depends heavily on SiO2 uniformity. By increasing the thickness of SiO2 linear, the stress at the vertical interface can be significantly reduced. Thus, process optimization to reduce the annealing-induced stress becomes feasible. The results of this study help us gain a better understanding of the thermo-mechanical behavior of the annealed TSV in 3D packaging.

  10. Thermomechanical Processing of Fe-6.9Al-2Cr-0.88C Steel: Intercritical Annealing Followed by Quench Tempering

    NASA Astrophysics Data System (ADS)

    Farahat, Ahmed Ismail Zaky; Mohamed, Masoud Ibrahim

    2015-01-01

    A hot forged Fe-0.88 pct C-6.9 pct Al steel was intercritically annealed at temperatures in the range of 1173 K to 1283 K (900 °C to 1010 °C), and subsequently tempered at 623 K (350 °C) to enhance the mechanical properties by microstructure modification. Room temperature compression tests were carried out to evaluate the influence of the intercritical annealing temperature on the mechanical properties. A substructure was present in the microstructure after each intercritical annealing treatment. The substructure was absent after annealing at 1263 K (990 °C) and higher temperatures. Over-aging occurred when the annealing temperature was increased to 1283 K (1010 °C). A remarkable increase in strength and ductility was achieved after annealing at 1263 K (990 °C).

  11. Solution-processed BiI 3 thin films for photovoltaic applications: Improved carrier collection via solvent annealing

    DOE PAGES

    Hamdeh, Umar H.; Nelson, Rainie D.; Ryan, Bradley J.; ...

    2016-08-26

    Here, we report all-inorganic solar cells based on solution-processed BiI 3. Two-electron donor solvents such as tetrahydrofuran and dimethylformamide were found to form adducts with BiI 3, which make them highly soluble in these solvents. BiI 3 thin films were deposited by spin-coating. Solvent annealing BiI 3 thin films at relatively low temperatures (≤100 °C) resulted in increased grain size and crystallographic reorientation of grains within the films. The BiI3 films were stable against oxidation for several months and could withstand several hours of annealing in air at temperatures below 150 °C without degradation. Surface oxidation was found to improvemore » photovoltaic device performance due to the formation of a BiOI layer at the BiI 3 surface which facilitated hole extraction. Nonoptimized BiI 3 solar cells achieved the highest power conversion efficiencies of 1.0%, demonstrating the potential of BiI 3 as a nontoxic, air-stable metal-halide absorber material for photovoltaic applications.« less

  12. Graphene annealing: how clean can it be?

    PubMed

    Lin, Yung-Chang; Lu, Chun-Chieh; Yeh, Chao-Huei; Jin, Chuanhong; Suenaga, Kazu; Chiu, Po-Wen

    2012-01-11

    Surface contamination by polymer residues has long been a critical problem in probing graphene's intrinsic properties and in using graphene for unique applications in surface chemistry, biotechnology, and ultrahigh speed electronics. Poly(methyl methacrylate) (PMMA) is a macromolecule commonly used for graphene transfer and device processing, leaving a thin layer of residue to be empirically cleaned by annealing. Here we report on a systematic study of PMMA decomposition on graphene and of its impact on graphene's intrinsic properties using transmission electron microscopy (TEM) in combination with Raman spectroscopy. TEM images revealed that the physisorbed PMMA proceeds in two steps of weight loss in annealing and cannot be removed entirely at a graphene susceptible temperature before breaking. Raman analysis shows a remarkable blue-shift of the 2D mode after annealing, implying an anneal-induced band structure modulation in graphene with defects. Calculations using density functional theory show that local rehybridization of carbons from sp(2) to sp(3) on graphene defects may occur in the random scission of polymer chains and account for the blue-shift of the Raman 2D mode. © 2011 American Chemical Society

  13. Quantum versus simulated annealing in wireless interference network optimization.

    PubMed

    Wang, Chi; Chen, Huo; Jonckheere, Edmond

    2016-05-16

    Quantum annealing (QA) serves as a specialized optimizer that is able to solve many NP-hard problems and that is believed to have a theoretical advantage over simulated annealing (SA) via quantum tunneling. With the introduction of the D-Wave programmable quantum annealer, a considerable amount of effort has been devoted to detect and quantify quantum speedup. While the debate over speedup remains inconclusive as of now, instead of attempting to show general quantum advantage, here, we focus on a novel real-world application of D-Wave in wireless networking-more specifically, the scheduling of the activation of the air-links for maximum throughput subject to interference avoidance near network nodes. In addition, D-Wave implementation is made error insensitive by a novel Hamiltonian extra penalty weight adjustment that enlarges the gap and substantially reduces the occurrence of interference violations resulting from inevitable spin bias and coupling errors. The major result of this paper is that quantum annealing benefits more than simulated annealing from this gap expansion process, both in terms of ST99 speedup and network queue occupancy. It is the hope that this could become a real-word application niche where potential benefits of quantum annealing could be objectively assessed.

  14. Quantum versus simulated annealing in wireless interference network optimization

    PubMed Central

    Wang, Chi; Chen, Huo; Jonckheere, Edmond

    2016-01-01

    Quantum annealing (QA) serves as a specialized optimizer that is able to solve many NP-hard problems and that is believed to have a theoretical advantage over simulated annealing (SA) via quantum tunneling. With the introduction of the D-Wave programmable quantum annealer, a considerable amount of effort has been devoted to detect and quantify quantum speedup. While the debate over speedup remains inconclusive as of now, instead of attempting to show general quantum advantage, here, we focus on a novel real-world application of D-Wave in wireless networking—more specifically, the scheduling of the activation of the air-links for maximum throughput subject to interference avoidance near network nodes. In addition, D-Wave implementation is made error insensitive by a novel Hamiltonian extra penalty weight adjustment that enlarges the gap and substantially reduces the occurrence of interference violations resulting from inevitable spin bias and coupling errors. The major result of this paper is that quantum annealing benefits more than simulated annealing from this gap expansion process, both in terms of ST99 speedup and network queue occupancy. It is the hope that this could become a real-word application niche where potential benefits of quantum annealing could be objectively assessed. PMID:27181056

  15. Quantum versus simulated annealing in wireless interference network optimization

    NASA Astrophysics Data System (ADS)

    Wang, Chi; Chen, Huo; Jonckheere, Edmond

    2016-05-01

    Quantum annealing (QA) serves as a specialized optimizer that is able to solve many NP-hard problems and that is believed to have a theoretical advantage over simulated annealing (SA) via quantum tunneling. With the introduction of the D-Wave programmable quantum annealer, a considerable amount of effort has been devoted to detect and quantify quantum speedup. While the debate over speedup remains inconclusive as of now, instead of attempting to show general quantum advantage, here, we focus on a novel real-world application of D-Wave in wireless networking—more specifically, the scheduling of the activation of the air-links for maximum throughput subject to interference avoidance near network nodes. In addition, D-Wave implementation is made error insensitive by a novel Hamiltonian extra penalty weight adjustment that enlarges the gap and substantially reduces the occurrence of interference violations resulting from inevitable spin bias and coupling errors. The major result of this paper is that quantum annealing benefits more than simulated annealing from this gap expansion process, both in terms of ST99 speedup and network queue occupancy. It is the hope that this could become a real-word application niche where potential benefits of quantum annealing could be objectively assessed.

  16. Hybrid annealing: Coupling a quantum simulator to a classical computer

    NASA Astrophysics Data System (ADS)

    Graß, Tobias; Lewenstein, Maciej

    2017-05-01

    Finding the global minimum in a rugged potential landscape is a computationally hard task, often equivalent to relevant optimization problems. Annealing strategies, either classical or quantum, explore the configuration space by evolving the system under the influence of thermal or quantum fluctuations. The thermal annealing dynamics can rapidly freeze the system into a low-energy configuration, and it can be simulated well on a classical computer, but it easily gets stuck in local minima. Quantum annealing, on the other hand, can be guaranteed to find the true ground state and can be implemented in modern quantum simulators; however, quantum adiabatic schemes become prohibitively slow in the presence of quasidegeneracies. Here, we propose a strategy which combines ideas from simulated annealing and quantum annealing. In such a hybrid algorithm, the outcome of a quantum simulator is processed on a classical device. While the quantum simulator explores the configuration space by repeatedly applying quantum fluctuations and performing projective measurements, the classical computer evaluates each configuration and enforces a lowering of the energy. We have simulated this algorithm for small instances of the random energy model, showing that it potentially outperforms both simulated thermal annealing and adiabatic quantum annealing. It becomes most efficient for problems involving many quasidegenerate ground states.

  17. Two-stage ordering processes under annealing of Sr submonolayers on Mo(1 1 2)

    NASA Astrophysics Data System (ADS)

    Fedorus, A.; Godzik, G.; Naumovets, A.; Pfnür, H.

    2004-09-01

    Using LEED as technique of investigation, the evolution of geometrical order in the system Sr/Mo(1 1 2) was studied after annealing at temperatures between 100 and 900 K. Two stages of ordering were found for the chain-like structures p(8 × 1) and p(5 × 1). Partial ordering occurred already at the base adsorption temperature (90 K) with slight improvement after annealing to temperatures around 200 K. The full equilibration of the layers, however, was found to happen only at high annealing temperatures (ranging between 500 and 600 K, depending on coverage). Correlating these data with the highly anisotropic diffusivity known for Sr overlayers on Mo(1 1 2), we assume that the low-temperature ordering sets in via a kink-like diffusion of adsorbate chains essentially along the substrate troughs, whereas in the high-temperature step, diffusion across the troughs is most important.

  18. Irradiation and Thermal Annealing Effects in Amorphous Magnetic Alloys.

    NASA Astrophysics Data System (ADS)

    Fisher, David G.

    Irradiation with protons, electrons, and alpha particles produces effects in amorphous magnetic alloys (Fe(,x)Ni(,80)P(,20-y)B(,y), where x was 20, 27, 34, or 40 and y was either 6 or 20) that appear analogous to effects produced by thermal annealing. The work presented in this dissertation represents an extension of work performed by Franz('(1)) and/or Donnelly.('(2)) The work of Franz, Donnelly, and this author has been a coordinated investigation into various aspects of radiation damage and thermal annealing effects in the above-mentioned amorphous alloys' magnetic properties. Upon either irradiation or thermal annealing, the Curie temperature, T(,c), is enhanced in these alloys. Also the relative permeability, (mu)(,r), is raised as much as seven-fold. Electrolytic layer removal experiments on proton-irradiated (0.25-MeV) samples conclusively demonstrate that the particle irradiation does not merely heat the sample bulk. Annealing studies performed on both irradiated and as-quenched samples suggested, via T(,c) measurement, that a structural relaxation process had taken place. The structural relaxation takes place as a result of a macroscopic heating in the case of the annealed samples and it is postulated that the structural relaxation takes place as a result of a miroscopic heating about the particle track (thermal spike mechanism) in the case of the irradiated samples. This work also presents preliminary results concerning the influence of irradiation and thermal annealing on the crystallization process in these alloys. The results of DSC and electrical resistivity (above room temperature) are presented. Using electrical resistivity as an indicator, a series of isothermal recrystallization measurements were performed using samples of 2.25-MeV proton-irradiated, 200(DEGREES)C-annealed, and as-quenched Fe(,20)Ni(,60)P(,14)B(,6). The activation energy for the onset of recrystallization is 2.0 eV for as-quenched samples and is 5.3 eV for the irradiated and

  19. Preliminary 3D In-situ measurements of the texture evolution of strained H2O ice during annealing using neutron Laue diffractometry

    NASA Astrophysics Data System (ADS)

    Journaux, Baptiste; Montagnat, Maurine; Chauve, Thomas; Ouladdiaf, Bachir; Allibon, John

    2015-04-01

    Dynamic recrystallization (DRX) strongly affects the evolution of microstructure (grain size and shape) and texture (crystal preferred orientation) in materials during deformation at high temperature. Since texturing leads to anisotropic physical properties, predicting the effect of DRX is essential for industrial applications, for interpreting geophysical data and modeling geodynamic flows, and predicting ice sheet flow and climate evolution. A large amount of literature is available related to metallurgy, geology or glaciology, but there remains overall fundamental questions about the relationship between nucleation, grain boundary migration and texture development at the microscopic scale. Previous measurements of DRX in ice were either conducted using 2D ex-situ techniques such as AITA [1,2] or Electron Backscattering Diffraction (EBSD) [3], or using 3D statistical ex-situ [4] or in-situ [5] techniques. Nevertheless, all these techniques failed to observe at the scale of nucleation processes during DRX in full 3D. Here we present a new approach using neutron Laue diffraction, which enable to perform 3D measurements of in-situ texture evolution of strained polycrystalline H2O ice (>2% at 266 K) during annealing at the microscopic scale. Thanks the CYCLOPS instrument [6] (Institut Laue Langevin Grenoble, France) and the intrinsic low background of this setup, preliminary observations enabled us to follow, in H2O ice, the evolution of serrated grain boundaries, and kink-band during annealing. Our observations show a significant evolution of the texture and internal misorientation over the course of few hours at an annealing temperature of 268.5 K. In the contrary, ice kink-band structures seem to be very stable over time at near melting temperatures. The same samples have been analyzed ex-situ using EBSD for comparison. These results represent a first step toward in-situ microscopic measurements of dynamic recrystallization processes in ice during strain. This

  20. An adaptive approach to the physical annealing strategy for simulated annealing

    NASA Astrophysics Data System (ADS)

    Hasegawa, M.

    2013-02-01

    A new and reasonable method for adaptive implementation of simulated annealing (SA) is studied on two types of random traveling salesman problems. The idea is based on the previous finding on the search characteristics of the threshold algorithms, that is, the primary role of the relaxation dynamics in their finite-time optimization process. It is shown that the effective temperature for optimization can be predicted from the system's behavior analogous to the stabilization phenomenon occurring in the heating process starting from a quenched solution. The subsequent slow cooling near the predicted point draws out the inherent optimizing ability of finite-time SA in more straightforward manner than the conventional adaptive approach.

  1. Fine grained 304 ASS processed by a severe plastic deformation and subsequent annealing; microstructure and mechanical properties evaluation

    NASA Astrophysics Data System (ADS)

    Salout, Shima Ahmadzadeh; Shirazi, Hasan; Nili-Ahmadabadi, Mahmoud

    2018-01-01

    The current research is an attempt to study the effect of a novel severe plastic deformation technique so called "repetitive corrugation and straightening by rolling" (RCSR) and subsequent annealing on the microstructure and mechanical properties of AISI type 304 austenitic stainless steel. In this study, RCSR process was carried out at 200 °C on the 304 austenitic stainless steel (above Md30 temperature that is about 50 °C for this stainless steel) in order to avoid the formation of martensite phase when a high density of dislocations was introduced into the austenite phase and also high density of mechanical twins was induced in the deformed 304 austenitic stainless steel. Because of relationship between deformation temperature, stacking fault energy (SFE) and mechanisms of deformation. Thereafter subsequently, annealing treatment was applied into deformed structure in order to refine the microstructure of 304 stainless s teel. The specimens were examined by means of optical microscopy (OM), scanning electron microscopy (SEM), tensile and micro-hardness tests. The results indicate that by increasing the cycles of RCSR process (increasing applied strain), further mechanical twins are induced, the hardness and in particular, the yield stress of specimens have been increased.

  2. Fabrication of bright and thin Zn₂SiO₄ luminescent film for electron beam excitation-assisted optical microscope.

    PubMed

    Furukawa, Taichi; Kanamori, Satoshi; Fukuta, Masahiro; Nawa, Yasunori; Kominami, Hiroko; Nakanishi, Yoichiro; Sugita, Atsushi; Inami, Wataru; Kawata, Yoshimasa

    2015-07-13

    We fabricated a bright and thin Zn₂SiO₄ luminescent film to serve as a nanometric light source for high-spatial-resolution optical microscopy based on electron beam excitation. The Zn₂SiO₄ luminescent thin film was fabricated by annealing a ZnO film on a Si₃N₄ substrate at 1000 °C in N₂. The annealed film emitted bright cathodoluminescence compared with the as-deposited film. The film is promising for nano-imaging with electron beam excitation-assisted optical microscopy. We evaluated the spatial resolution of a microscope developed using this Zn₂SiO₄ luminescent thin film. This is the first report of the investigation and application of ZnO/Si₃N₄ annealed at a high temperature (1000 °C). The fabricated Zn₂SiO₄ film is expected to enable high-frame-rate dynamic observation with ultra-high resolution using our electron beam excitation-assisted optical microscopy.

  3. Mechanical and optoelectric properties of post-annealed fluorine-doped tin oxide films by ultraviolet laser irradiation

    NASA Astrophysics Data System (ADS)

    Tseng, Shih-Feng; Hsiao, Wen-Tse; Chiang, Donyau; Huang, Kuo-Cheng; Chou, Chang-Pin

    2011-06-01

    The fluorine-doped tin oxide (FTO) thin film deposited on a soda-lime glass substrate was annealed by a defocus ultraviolet (UV) laser irradiation at ambient temperature. The mechanical and optoelectric properties of FTO films annealed by using the various laser processing parameters were reported. After the FTO films were subjected to laser post-annealing, the microhardness were slightly less but the reduced modulus values were larger than that of unannealed FTO films, respectively. The average optical transmittance in the visible waveband slightly increased with increasing the laser annealing energy and scan speed. Moreover, all the sheet resistance of laser annealed films was less than that of the unannealed ones. We found that the sheet resistance decrease was obviously influenced by annealing. The suitable annealing conditions could maintain the film thickness and relief the internal stress generated in the film preparation process to improve the electrical conductivity via decreasing laser energy or increasing scan speed.

  4. Formation of silicides in annealed periodic multilayers

    NASA Astrophysics Data System (ADS)

    Maury, H.; Jonnard, P.; Le Guen, K.; André, J.-M.

    2009-05-01

    Periodic multilayers of nanometric period are widely used as optical components for the X-ray and extreme UV (EUV) ranges, in X-ray space telescopes, X-ray microscopes, EUV photolithography or synchrotron beamlines for example. Their optical performances depend on the quality of the interfaces between the various layers: chemical interdiffusion or mechanical roughness shifts the application wavelength and can drastically decrease the reflectance. Since under high thermal charge interdiffusion is known to get enhanced, the study of the thermal stability of such structures is essential to understand how interfacial compounds develop. We have characterized X-ray and EUV siliconcontaining multilayers (Mo/Si, Sc/Si and Mg/SiC) as a function of the annealing temperature (up to 600°C) using two non-destructive methods. X-ray emission from the silicon atoms, describing the Si valence states, is used to determine the chemical nature of the compounds present in the interphases while X-ray reflectivity in the hard and soft X-ray ranges can be related to the optical properties. In the three cases, interfacial metallic (Mo, Sc, Mg) silicides are evidenced and the thickness of the interphase increases with the annealing temperature. For Mo/Si and Sc/Si multilayers, silicides are even present in the as-prepared multilayers. Characteristic parameters of the stacks are determined: composition of the interphases, thickness and roughness of the layers and interphases if any. Finally, we have evidenced the maximum temperature of application of these multilayers to minimize interdiffusion.

  5. Formation of pure Cu nanocrystals upon post-growth annealing of Cu-C material obtained from focused electron beam induced deposition: comparison of different methods.

    PubMed

    Szkudlarek, Aleksandra; Rodrigues Vaz, Alfredo; Zhang, Yucheng; Rudkowski, Andrzej; Kapusta, Czesław; Erni, Rolf; Moshkalev, Stanislav; Utke, Ivo

    2015-01-01

    In this paper we study in detail the post-growth annealing of a copper-containing material deposited with focused electron beam induced deposition (FEBID). The organometallic precursor Cu(II)(hfac)2 was used for deposition and the results were compared to that of compared to earlier experiments with (hfac)Cu(I)(VTMS) and (hfac)Cu(I)(DMB). Transmission electron microscopy revealed the deposition of amorphous material from Cu(II)(hfac)2. In contrast, as-deposited material from (hfac)Cu(I)(VTMS) and (hfac)Cu(I)(DMB) was nano-composite with Cu nanocrystals dispersed in a carbonaceous matrix. After annealing at around 150-200 °C all deposits showed the formation of pure Cu nanocrystals at the outer surface of the initial deposit due to the migration of Cu atoms from the carbonaceous matrix containing the elements carbon, oxygen, and fluorine. Post-irradiation of deposits with 200 keV electrons in a transmission electron microscope favored the formation of Cu nanocrystals within the carbonaceous matrix of freestanding rods and suppressed the formation on their surface. Electrical four-point measurements on FEBID lines from Cu(hfac)2 showed five orders of magnitude improvement in conductivity when being annealed conventionally and by laser-induced heating in the scanning electron microscope chamber.

  6. Effect of Annealing Treatment on Mechanical Properties of Nanocrystalline α-iron: an Atomistic Study

    PubMed Central

    Tong, Xuhang; Zhang, Hao; Li, D. Y.

    2015-01-01

    Claims are often found in the literature that metallic materials can be nanocrystallized by severe plastic deformation (SPD). However, SPD does not generate a well-defined nanocrystalline (NC) material, which can be achieved by subsequent annealing/recovery treatment. In this study, molecular dynamics (MD) simulation is employed to study the effect of annealing on structure and mechanical properties of cyclic deformed NC α-iron, which simulates SPD-processed α-iron. It is demonstrated that grain boundaries in the deformed NC α-iron evolve to a more equilibrium state during annealing, eliminating or minimizing the residual stress. The annealing treatment increases the system's strength by reducing dislocation emission sources, and improves material ductility through strengthening grain boundaries' resistance to intergranular cracks. The results indicate that the annealing treatment is an essential process for obtaining a well-defined NC structure with superior mechanical properties. PMID:25675978

  7. Effect of annealing on the optical properties of amorphous Se79Te10Sb4Bi7 thin films

    NASA Astrophysics Data System (ADS)

    Nyakotyo, H.; Sathiaraj, T. S.; Muchuweni, E.

    2017-07-01

    Thin films of Se79Te10Sb4Bi7, were prepared by Electron beam deposition technique. The structure of the as-prepared and annealed films has been studied by X-ray diffraction and the surface morphology by the scanning electron microscope (SEM). These studies show that there is a gradual change in structure and the formation of some polycrystalline structures in the amorphous phases is observed when the Se79Te10Sb4Bi7 film is annealed in the temperature range of 333-393 K. The optical transmission of these films has been studied as a function of photon wavelength in the range 300-2500 nm. It has been found that the optical band gap Egopt decreased with increasing annealing temperature in the range 333-393 K. The Urbach energy (Eu), optical conductivity (σopt), imaginary (εi), and real (εr) parts of the complex dielectric constant (ε) and lattice dielectric constant (εL) were also determined. The changes noticed in optical parameters with increasing annealing temperature were explained on the basis of structural relaxation as well as change in defect states and density of localized states due to amorphous-crystalline transformation.

  8. Tuning surface properties of amino-functionalized silica for metal nanoparticle loading: The vital role of an annealing process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pei, Yuchen; Xiao, Chaoxian; Goh, Tian -Wei

    2015-10-20

    Metal nanoparticles (NPs) loaded on oxides have been widely used as multifunctional nanomaterials in various fields such as optical imaging, sensors, and heterogeneous catalysis. However, the deposition of metal NPs on oxide supports with high efficiency and homogeneous dispersion still remains elusive, especially when silica is used as the support. Amino-functionalization of silica can improve loading efficiency, but metal NPs often aggregate on the surface. Herein, we report that a facial annealing of amino-functionalized silica can significantly improve the dispersion and enhance the loading efficiency of various metal NPs, such as Pt, Rh, and Ru, on the silica surface. Amore » series of characterization techniques, such as diffuse reflectance infrared Fourier transform spectroscopy (DRIFTS), Zeta potential analysis, UV–Vis spectroscopy, thermogravimetric analysis coupled with infrared analysis (TGA–IR), and nitrogen physisorption, were employed to study the changes of surface properties of the amino-functionalized silica before and after annealing. We found that the annealed amino-functionalized silica surface has more cross-linked silanol groups and relatively lesser amount of amino groups, and less positively charges, which could be the key to the uniform deposition of metal NPs during the loading process. Lastly, these results could contribute to the preparation of metal/oxide hybrid NPs for the applications that require uniform dispersion.« less

  9. The enlightened microscope: re-enactment and analysis of projections with eighteenth-century solar microscopes.

    PubMed

    Heering, Peter

    2008-09-01

    Solar microscopes and their techniques attracted particular attention in the second half of the eighteenth century. This paper investigates the grounds for this interest. After a general introduction to the solar microscope, it discusses the use of original instruments to gain access to the visual culture of solar microscopes and the issues raised by these reenactments. Experiences involved in this process serve as a basis for reassessing the original source materials. Thence emerges a different account of the meaning of the solar microscope in the eighteenth century and possible reasons for its popularity.

  10. Cu(In,Ga)Se2 thin films annealed using a continuous wave Nd:YAG laser (λ0 = 532 nm): Effects of laser-annealing time

    NASA Astrophysics Data System (ADS)

    Yoo, Myoung Han; Ko, Pil Ju; Kim, Nam-Hoon; Lee, Hyun-Yong

    2017-12-01

    Preparation of Cu(In,Ga)Se2 (CIGS) thin films has continued to face problems related to the selenization of sputtered Cu-In-Ga precursors when using H2Se vapor in that the materials are highly toxic and the facilities extremely costly. Another obstacle facing the production of CIGS thin films has been the required annealing temperature, as it relates to the decomposition temperature of a typical flexible polymer substrate. A novel laser-annealing process for CIGS thin films, which does not involve the selenization process and which can be performed at a lower temperature, has been proposed. Following sputtering with a Cu0.9In0.7Ga0.3Se2 target, the laser-annealing of the CIGS thin film was performed using a continuous 532-nm Nd:YAG laser with an annealing time of 200 - 1000 s at a laser optical power of 2.75 W. CIGS chalcopyrite (112), (220/204), and (312/116) phases, with some weak diffraction peaks corresponding to the Cu-Se- or the In-Se-related phases, were successfully obtained for all the CIGS thin films that had been laser-annealed at 2.75 W. The lattice parameters, the d-spacing, the tetragonal distortion parameter, and the strain led to the crystallinity being worse and grain size being smaller at 600 s while better crystallinity was obtained at 200 and 800 s, which was closely related to the deviations from molecularity and stoichiometry, which were greatest at 600 s while the values exhibited near-stoichiometric compositions at 200 and 800 s. The band gaps of the laser-annealed CIGS thin films were within a range of 1.765 - 1.977 eV and depended on the internal stress. The mean absorbance of the laser-annealed CIGS thin films was within a range of 1.598 - 1.900, suggesting that approximately 97.47 - 98.74% of the incident photons in the visible spectral region were absorbed by this 400-nm film. The conductivity types exhibited the same deviations (Δ m > 0 and Δ s < 0) in all the laser-annealed CIGS thin films. After laser-annealing, the resistivity

  11. Effect of solution annealing temperature on precipitation in 2205 duplex stainless steel

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kashiwar, A., E-mail: akashiwar@gmail.com; Vennela, N. Phani, E-mail: phanivennela@gmail.com; Kamath, S.L., E-mail: kamath@iitb.ac.in

    2012-12-15

    In the present study, effect of solution annealing temperature (1050 Degree-Sign C and 1100 Degree-Sign C) and isothermal ageing (700 Degree-Sign C: 15 min to 6 h) on the microstructural changes in 2205 duplex stainless steel has been investigated systematically. Scanning electron microscopy and X-ray diffraction were adopted to follow the microstructural evolution, while an energy dispersive spectrometer attached to scanning electron microscope was used to obtain localised chemical information of various phases. The ferritic matrix of the two phase 2205 duplex stainless steel ({approx} 45% ferrite and {approx} 55% austenite) undergoes a series of metallurgical transformations during ageing-formation ofmore » secondary austenite ({gamma}{sub 2}) and precipitation of Cr and Mo rich intermetallic (chi-{chi} and sigma-{sigma}) phases. For solution annealing at 1050 Degree-Sign C, significant amount of carbides were observed in the ferrite grains after 1 h of ageing at 700 Degree-Sign C. {chi} Phase precipitated after the precipitation of carbides-preferentially at the ferrite-ferrite and also at the ferrite-austenite boundaries. {sigma} Phase was not observed in significant quantity even after 6 h of ageing. The sequence of precipitation in samples solution annealed at 1050 Degree-Sign C was found to be carbides {yields} {chi} {yields} {sigma}. On the contrary, for samples solution annealed at 1100 Degree-Sign C, the precipitation of {chi} phase was negligible. {chi} Phase precipitated before {sigma} phase, preferentially along the ferrite-ferrite grain boundaries and was later consumed in the {sigma} phase precipitation. The {sigma} phase precipitated via the eutectoid transformation of ferrite to yield secondary austenite {gamma}{sub 2} and {sigma} phase in the ferrite and along the ferrite-austenite grain boundaries. An increase in the volume fraction of {gamma}{sub 2} and {sigma} phase with simultaneous decrease in the ferrite was evidenced with ageing

  12. Experiences with serial and parallel algorithms for channel routing using simulated annealing

    NASA Technical Reports Server (NTRS)

    Brouwer, Randall Jay

    1988-01-01

    Two algorithms for channel routing using simulated annealing are presented. Simulated annealing is an optimization methodology which allows the solution process to back up out of local minima that may be encountered by inappropriate selections. By properly controlling the annealing process, it is very likely that the optimal solution to an NP-complete problem such as channel routing may be found. The algorithm presented proposes very relaxed restrictions on the types of allowable transformations, including overlapping nets. By freeing that restriction and controlling overlap situations with an appropriate cost function, the algorithm becomes very flexible and can be applied to many extensions of channel routing. The selection of the transformation utilizes a number of heuristics, still retaining the pseudorandom nature of simulated annealing. The algorithm was implemented as a serial program for a workstation, and a parallel program designed for a hypercube computer. The details of the serial implementation are presented, including many of the heuristics used and some of the resulting solutions.

  13. On simulated annealing phase transitions in phylogeny reconstruction.

    PubMed

    Strobl, Maximilian A R; Barker, Daniel

    2016-08-01

    Phylogeny reconstruction with global criteria is NP-complete or NP-hard, hence in general requires a heuristic search. We investigate the powerful, physically inspired, general-purpose heuristic simulated annealing, applied to phylogeny reconstruction. Simulated annealing mimics the physical process of annealing, where a liquid is gently cooled to form a crystal. During the search, periods of elevated specific heat occur, analogous to physical phase transitions. These simulated annealing phase transitions play a crucial role in the outcome of the search. Nevertheless, they have received comparably little attention, for phylogeny or other optimisation problems. We analyse simulated annealing phase transitions during searches for the optimal phylogenetic tree for 34 real-world multiple alignments. In the same way in which melting temperatures differ between materials, we observe distinct specific heat profiles for each input file. We propose this reflects differences in the search landscape and can serve as a measure for problem difficulty and for suitability of the algorithm's parameters. We discuss application in algorithmic optimisation and as a diagnostic to assess parameterisation before computationally costly, large phylogeny reconstructions are launched. Whilst the focus here lies on phylogeny reconstruction under maximum parsimony, it is plausible that our results are more widely applicable to optimisation procedures in science and industry. Copyright © 2016 The Authors. Published by Elsevier Inc. All rights reserved.

  14. Method and apparatus for selectively annealing heterostructures using microwave

    NASA Technical Reports Server (NTRS)

    Atwater, Harry A. (Inventor); Brain, Ruth A. (Inventor); Barmatz, Martin B. (Inventor)

    1998-01-01

    The present invention discloses a process for selectively annealing heterostructures using microwaves. A heterostructure, comprised of a material having higher microwave absorption and a material having lower microwave absorption, is exposed to microwaves in the cavity. The higher microwave absorbing material absorbs the microwaves and selectively heats while the lower microwave absorbing material absorbs small amounts of microwaves and minimally heats. The higher microwave absorbing material is thereby annealed onto the less absorbing material which is thermally isolated.

  15. Method and apparatus for selectively annealing heterostructures using microwaves

    NASA Technical Reports Server (NTRS)

    Atwater, Harry A. (Inventor); Brain, Ruth A. (Inventor); Barmatz, Martin B. (Inventor)

    1998-01-01

    The present invention discloses a process for selectively annealing heterostructures using microwaves. A heterostructure, comprised of a material having higher microwave absorption and a material having lower microwave absorption, is exposed to microwaves in the cavity. The higher microwave absorbing material absorbs the microwaves and selectively heats while the lower microwave absorbing material absorbs small amounts of microwaves and minimally heats. The higher microwave absorbing material is thereby annealed onto the less absorbing material which is thermally isolated.

  16. Annealing effects on hydrogenated diamond NOR logic circuits

    NASA Astrophysics Data System (ADS)

    Liu, J. W.; Oosato, H.; Liao, M. Y.; Imura, M.; Watanabe, E.; Koide, Y.

    2018-04-01

    Here, hydrogenated diamond (H-diamond) NOR logic circuits composed of two p-type enhancement-mode (E-mode) metal-oxide-semiconductor field-effect-transistors (MOSFETs) and a load resistor are fabricated and characterized. The fabrication process and the annealing effect on the electrical properties of the NOR logic circuit are demonstrated. There are distinct logical characteristics for the as-received and 300 °C annealed NOR logic circuits. When one or both input voltages for the E-mode MOSFETs are -10.0 V and "high" signals, output voltages respond 0 V and "low" signals. Instead, when both input voltages are 0 V and "low" signals, output voltage responds -10.0 V and a "high" signal. After annealing at 400 °C, the NOR logical characteristics are damaged, which is possibly attributed to the degradation of the H-diamond MOSFETs.

  17. Manufacture of radio frequency micromachined switches with annealing.

    PubMed

    Lin, Cheng-Yang; Dai, Ching-Liang

    2014-01-17

    The fabrication and characterization of a radio frequency (RF) micromachined switch with annealing were presented. The structure of the RF switch consists of a membrane, coplanar waveguide (CPW) lines, and eight springs. The RF switch is manufactured using the complementary metal oxide semiconductor (CMOS) process. The switch requires a post-process to release the membrane and springs. The post-process uses a wet etching to remove the sacrificial silicon dioxide layer, and to obtain the suspended structures of the switch. In order to improve the residual stress of the switch, an annealing process is applied to the switch, and the membrane obtains an excellent flatness. The finite element method (FEM) software CoventorWare is utilized to simulate the stress and displacement of the RF switch. Experimental results show that the RF switch has an insertion loss of 0.9 dB at 35 GHz and an isolation of 21 dB at 39 GHz. The actuation voltage of the switch is 14 V.

  18. Manufacture of Radio Frequency Micromachined Switches with Annealing

    PubMed Central

    Lin, Cheng-Yang; Dai, Ching-Liang

    2014-01-01

    The fabrication and characterization of a radio frequency (RF) micromachined switch with annealing were presented. The structure of the RF switch consists of a membrane, coplanar waveguide (CPW) lines, and eight springs. The RF switch is manufactured using the complementary metal oxide semiconductor (CMOS) process. The switch requires a post-process to release the membrane and springs. The post-process uses a wet etching to remove the sacrificial silicon dioxide layer, and to obtain the suspended structures of the switch. In order to improve the residual stress of the switch, an annealing process is applied to the switch, and the membrane obtains an excellent flatness. The finite element method (FEM) software CoventorWare is utilized to simulate the stress and displacement of the RF switch. Experimental results show that the RF switch has an insertion loss of 0.9 dB at 35 GHz and an isolation of 21 dB at 39 GHz. The actuation voltage of the switch is 14 V. PMID:24445415

  19. Novel thermal annealing methodology for permanent tuning polymer optical fiber Bragg gratings to longer wavelengths.

    PubMed

    Pospori, A; Marques, C A F; Sagias, G; Lamela-Rivera, H; Webb, D J

    2018-01-22

    The Bragg wavelength of a polymer optical fiber Bragg grating can be permanently shifted by utilizing the thermal annealing method. In all the reported fiber annealing cases, the authors were able to tune the Bragg wavelength only to shorter wavelengths, since the polymer fiber shrinks in length during the annealing process. This article demonstrates a novel thermal annealing methodology for permanently tuning polymer optical fiber Bragg gratings to any desirable spectral position, including longer wavelengths. Stretching the polymer optical fiber during the annealing process, the period of Bragg grating, which is directly related with the Bragg wavelength, can become permanently longer. The methodology presented in this article can be used to multiplex polymer optical fiber Bragg gratings at any desirable spectral position utilizing only one phase-mask for their photo-inscription, reducing thus their fabrication cost in an industrial setting.

  20. Effect of Annealing on the Thermoluminescence Properties of ZnO Nanophosphor

    NASA Astrophysics Data System (ADS)

    Kalita, J. M.; Wary, G.

    2017-07-01

    We report the effect of annealing on the thermoluminescence (TL) properties of zinc oxide (ZnO) nanophosphor. The sample was synthesised by a wet chemical process. The characterisation report shows that the size of the grains is within 123.0 nm-160.5 nm. TL measured at 2 K/s from a fresh un-annealed sample irradiated to 60 mGy shows a composite glow curve containing three peaks at 353.2 K, 429.1 K, and 455.3 K. On the other hand, samples annealed at 473 K and 573 K followed by irradiation to 60 mGy do not give TL. However, annealing at 673 K and 773 K followed by irradiation to the same dose produces a glow curve comprising two overlapping peaks at 352.3 K and 370.6 K. In the TL emission spectrum of un-annealed sample, two emission peaks were found in green ( 523 nm) and orange ( 620 nm) regions whereas in annealed samples, only a peak was found in the orange region ( 618 nm). Kinetic analysis shows that the activation energy corresponding to TL peaks at 353.2 K, 429.1 K, and 455.3 K of the un-annealed sample are 0.64 eV, 0.80 eV, and 1.20 eV whereas that of the peaks at 352.3 K and 370.6 K of 673 K and 773 K annealed samples are 0.64 eV and 0.70 eV, respectively. All peaks of un-annealed and annealed samples, except the one at 429.1 K of the un-annealed sample, follow first-order kinetics whereas the peak at 429.1 K follows second-order kinetics. Considering the kinetic and spectral features, an energy band model for ZnO nanophosphor has been proposed.

  1. A microscopic lane changing process model for multilane traffic

    NASA Astrophysics Data System (ADS)

    Lv, Wei; Song, Wei-guo; Liu, Xiao-dong; Ma, Jian

    2013-03-01

    In previous simulations lane-changing behavior is usually assumed as an instantaneous action. However, in real traffic, lane changing is a continuing process which can seriously affect the following cars. In this paper, a microscopic lane-changing process (LCP) model is clearly described. A new idea of simplifying the lane-changing process to the car-following framework is presented by controlling fictitious cars. To verify the model, the results of flow, lane-changing frequency, and single-car velocity are extracted from experimental observations and are compared with corresponding simulation. It is found that the LCP model agrees well with actual traffic flow and lane-changing behaviors may induce a 12%-18% reduction of traffic flow. The results also reflect that most of the drivers on the two roads in a city are conservative but not aggressive to change lanes. Investigation of lane-changing frequency shows that the largest lane-changing frequency occurs at a medium density range from 15 vehs km lane to 35 vehs km lane. It also implies that the lane-changing process might strengthen velocity variation at medium density and weaken velocity variation at high density. It is hoped that the idea of this study may be helpful to promote the modeling and simulation study of traffic flow.

  2. Excimer laser annealing of NiTi shape memory alloy thin film

    NASA Astrophysics Data System (ADS)

    Xie, Qiong; Huang, Weimin; Hong, Ming Hui; Song, Wendong; Chong, Tow Chong

    2003-02-01

    NiTi Shape Memory Alloy (SMA) is with great potential for actuation in microsystems. It is particularly suitable for medical applications due to its excellent biocompatibility. In MEMS, local annealing of SMA is required in the process of fabrication. In this paper, local annealing of Ni52Ti48 SMA with excimer laser is proposed for the first time. The Ni52Ti48 thin film in a thickness of 5 μm was deposited on Si (100) wafer by sputtering at room temperature. After that, the thin film was annealed by excimer laser (248nm KrF laser) for the first time. Field-Emission Scanning Electron Microscopy (FESEM) and Atomic Force Microscopy (AFM) were used to characterize the surface profile of the deposited film after laser annealing. The phase transformation was measured by Differential Scanning Calorimeter (DSC) test. It is concluded that NiTi film sputtering on Si(100) substrate at room temperature possesses phase transformation after local laser annealing but with cracks.

  3. Strain Evolution of Annealed Hydrogen-Implanted (0001) Sapphire

    NASA Astrophysics Data System (ADS)

    Wong, Christine Megan

    Exfoliation is a technique used to remove a thin, uniform layer of material from the bulk that involves the annealing of hydrogen ion-implanted materials in order to initiate defect nucleation and growth leading to guided crack propagation. This study presents an investigation into the annealing process required to initiate blistering (an essential precursor to exfoliation) in (0001) sapphire implanted at room temperature with hydrogen ions. Triple axis x-ray diffraction was used to characterize the evolution of the implanted layer for single crystal (0001) sapphire substrates implanted at room temperature at 360 keV with either a 5x1016 cm -2 or 8x1016 cm-2 dose of hydrogen ions. A simulation of the ion distribution in TRIM estimated that the projected range and thickness of the implanted layer for both doses was approximately 2.2 mum. Following implantation, the implanted sapphire was annealed using a two-step annealing procedure. The first step was performed at a lower temperature, ideally to nucleate and coarsen defects. Temperatures investigated ranged from 550 - 650 °C. The second step was performed at a higher temperature (800 °C) to induce further defect coarsening and surface blistering. After all annealing steps, triple axis o/2theta and o scans were taken to observe any changes in the diffraction profile - namely, any reduction in the amplitude and shift in the location of the fringes associated with strain in the crystal - which would correlate with defect growth and nucleation. It was found that significant strain fringe reduction first occurred after annealing at 650 °C for 8 hours for both doses; however, it was not clear whether or not this strain reduction was due primarily to hydrogen diffusion or to recovery of other defects induced during the ion implantation. The o/2theta curves were then fit using Bede RADS in order to quantify the strain within the crystal and confirm the reduction of the strained layer within the crystal. Finally

  4. Annealing effect on the structural, morphological and electrical properties of TiO2/ZnO bilayer thin films

    NASA Astrophysics Data System (ADS)

    Khan, M. I.; Imran, S.; Shahnawaz; Saleem, Muhammad; Ur Rehman, Saif

    2018-03-01

    The effect of annealing temperature on the structural, morphological and electrical properties of TiO2/ZnO (TZ) thin films has been observed. Bilayer thin films of TiO2/ZnO are deposited on FTO glass substrate by spray pyrolysis method. After deposition, these films are annealed at 573 K, 723 K and 873 K. XRD shows that TiO2 is present in anatase phase only and ZnO is present in hexagonal phase. No other phases of TiO2 and ZnO are present. Also, there is no evidence of other compounds like Zn-Ti etc. It also shows that the average grain size of TiO2/ZnO films is increased by increasing annealing temperature. AFM (Atomic force microscope) showed that the average roughness of TiO2/ZnO films is decreased at temperature 573-723 K and then increased at 873 K. The calculated average sheet resistivity of thin films annealed at 573 K, 723 K and 873 K is 152.28 × 102, 75.29 × 102 and 63.34 × 102 ohm-m respectively. This decrease in sheet resistivity might be due to the increment of electron concentration with increasing thickness and the temperature of thin films.

  5. The Mechanical Property of Batch Annealed High Strength Low Alloy Steel HC260LA

    NASA Astrophysics Data System (ADS)

    Yang, Xiaojiang; Xia, Mingsheng; Zhang, Hongbo; Han, Bin; Li, Guilan

    Cold rolled high strength low alloy steel is widely applied in the automotive parts due to its excellent formability and weldability. In this paper, the steel grade HC260LA according to European Norm was developed with batch annealing process. With commercial C-Mn mild steel as a benchmark, three different groups of chemistry namely C-Mn-Si, C-Mn-Nb-Ti and C-Mn-Nb were compared in terms of yield-tensile strength (Y/T) ratio. Microstructure and mechanical properties were characterized as well. Based on industrial production results, chemistry and detailed process parameters for batch annealing were identified. In the end the optimal Y/T ratio was proposed for this steel grade under batch annealing process.

  6. Impacts of excimer laser annealing on Ge epilayer on Si

    NASA Astrophysics Data System (ADS)

    Huang, Zhiwei; Mao, Yichen; Yi, Xiaohui; Lin, Guangyang; Li, Cheng; Chen, Songyan; Huang, Wei; Wang, Jianyuan

    2017-02-01

    The impacts of excimer laser annealing on the crystallinity of Ge epilayers on Si substrate grown by low- and high-temperature two-step approach in an ultra-high vacuum chemical vapor deposition system were investigated. The samples were treated by excimer laser annealing (ELA) at various laser power densities with the temperature above the melting point of Ge, while below that of Si, resulting in effective reduction of point defects and dislocations in the Ge layer with smooth surface. The full-width at half-maximum (FWHM) of X-ray diffraction patterns of the low-temperature Ge epilayer decreases with the increase in laser power density, indicating the crystalline improvement and negligible effect of Ge-Si intermixing during ELA processes. The short laser pulse time and large cooling rate cause quick melting and recrystallization of Ge epilayer on Si in the non-thermal equilibrium process, rendering tensile strain in Ge epilayer as calculated quantitatively with thermal mismatch between Si and Ge. The FWHM of X-ray diffraction patterns is significantly reduced for the two-step grown samples after treated by a combination of ELA and conventional furnace thermal annealing, indicating that the crystalline of Ge epilayer is improved more effectively with pre- annealing by excimer laser.

  7. Quantum Spin Glasses, Annealing and Computation

    NASA Astrophysics Data System (ADS)

    Chakrabarti, Bikas K.; Inoue, Jun-ichi; Tamura, Ryo; Tanaka, Shu

    2017-05-01

    List of tables; List of figures, Preface; 1. Introduction; Part I. Quantum Spin Glass, Annealing and Computation: 2. Classical spin models from ferromagnetic spin systems to spin glasses; 3. Simulated annealing; 4. Quantum spin glass; 5. Quantum dynamics; 6. Quantum annealing; Part II. Additional Notes: 7. Notes on adiabatic quantum computers; 8. Quantum information and quenching dynamics; 9. A brief historical note on the studies of quantum glass, annealing and computation.

  8. Microstructure evolution during helium irradiation and post-irradiation annealing in a nanostructured reduced activation steel

    NASA Astrophysics Data System (ADS)

    Liu, W. B.; Ji, Y. Z.; Tan, P. K.; Zhang, C.; He, C. H.; Yang, Z. G.

    2016-10-01

    Severe plastic deformation, intense single-beam He-ion irradiation and post-irradiation annealing were performed on a nanostructured reduced activation ferritic/martensitic (RAFM) steel to investigate the effect of grain boundaries (GBs) on its microstructure evolution during these processes. A surface layer with a depth-dependent nanocrystalline (NC) microstructure was prepared in the RAFM steel using surface mechanical attrition treatment (SMAT). Microstructure evolution after helium (He) irradiation (24.8 dpa) at room temperature and after post-irradiation annealing was investigated using Transmission Electron Microscopy (TEM). Experimental observation shows that GBs play an important role during both the irradiation and the post-irradiation annealing process. He bubbles are preferentially trapped at GBs/interfaces during irradiation and cavities with large sizes are also preferentially trapped at GBs/interfaces during post-irradiation annealing, but void denuded zones (VDZs) near GBs could not be unambiguously observed. Compared with cavities at GBs and within larger grains, cavities with smaller size and higher density are found in smaller grains. The average size of cavities increases rapidly with the increase of time during post-irradiation annealing at 823 K. Cavities with a large size are observed just after annealing for 5 min, although many of the cavities with small sizes also exist after annealing for 240 min. The potential mechanism of cavity growth behavior during post-irradiation annealing is also discussed.

  9. Effects of annealing on arrays of Ge nanocolumns formed by glancing angle deposition

    NASA Astrophysics Data System (ADS)

    Khare, C.; Gerlach, J. W.; Höche, T.; Fuhrmann, B.; Leipner, H. S.; Rauschenbach, B.

    2012-10-01

    Post-deposition thermal annealing of glancing angle deposited Ge nanocolumn arrays was carried out in a continuous Ar-flow at temperatures ranging from TA = 300 to 800 °C for different annealing durations. Morphological alterations and the recrystallization process induced by the thermal annealing treatment were investigated for the Ge nanocolumns deposited on planar and pre-patterned Si substrates. From X-ray diffraction (XRD) measurements, the films annealed at TA ≥ 500 °C were found to be polycrystalline. On planar Si substrates, at TA = 600 °C nanocolumns exhibited strong coarsening and merging, while a complete disintegration of the nanocolumns was detected at TA = 700 °C. The morphology of nanostructures deposited on pre-patterned substrates differs substantially, where the merging or column-disintegration effect was absent at elevated annealing temperatures. The two-arm-chevron nanostructures grown on pre-patterned substrates retained their complex shape and morphology, after extended annealing intervals. Investigations by transmission electron microscopy revealed nanocrystalline domains of the order of 5-30 nm (in diameter) present within the chevron structures after the annealing treatment.

  10. Implant Monitoring Measurements On Ultra Shallow Implants Before And After Anneal Using Photomodulated Reflection And Junction Photovoltage Measurement Techniques

    NASA Astrophysics Data System (ADS)

    Tallian, M.; Pap, A.; Mocsar, K.; Somogyi, A.; Nadudvari, Gy.; Kosztka, D.; Pavelka, T.

    2011-01-01

    Ultra shallow junctions are becoming widely used in the micro- and nanoelectronic devices, and novel measurement methods are needed to monitor the manufacturing processes. Photomodulated Reflection measurements before anneal and Junction Photovoltage-based sheet resistance measurements after anneal are non-contact, nondestructive techniques suitable for characterizing both the implantation and the annealing process. Tests verify that these methods are consistent with each other and by using them together, defects originating in the implantation and anneal steps can be separated.

  11. Combustion-Assisted Photonic Annealing of Printable Graphene Inks via Exothermic Binders.

    PubMed

    Secor, Ethan B; Gao, Theodore Z; Dos Santos, Manuel H; Wallace, Shay G; Putz, Karl W; Hersam, Mark C

    2017-09-06

    High-throughput and low-temperature processing of high-performance nanomaterial inks is an important technical challenge for large-area, flexible printed electronics. In this report, we demonstrate nitrocellulose as an exothermic binder for photonic annealing of conductive graphene inks, leveraging the rapid decomposition kinetics and built-in energy of nitrocellulose to enable versatile process integration. This strategy results in superlative electrical properties that are comparable to extended thermal annealing at 350 °C, using a pulsed light process that is compatible with thermally sensitive substrates. The resulting porous microstructure and broad liquid-phase patterning compatibility are exploited for printed graphene microsupercapacitors on paper-based substrates.

  12. Noise removal in extended depth of field microscope images through nonlinear signal processing.

    PubMed

    Zahreddine, Ramzi N; Cormack, Robert H; Cogswell, Carol J

    2013-04-01

    Extended depth of field (EDF) microscopy, achieved through computational optics, allows for real-time 3D imaging of live cell dynamics. EDF is achieved through a combination of point spread function engineering and digital image processing. A linear Wiener filter has been conventionally used to deconvolve the image, but it suffers from high frequency noise amplification and processing artifacts. A nonlinear processing scheme is proposed which extends the depth of field while minimizing background noise. The nonlinear filter is generated via a training algorithm and an iterative optimizer. Biological microscope images processed with the nonlinear filter show a significant improvement in image quality and signal-to-noise ratio over the conventional linear filter.

  13. In Situ XRD Studies of the Process Dynamics During Annealing in Cold-Rolled Copper

    NASA Astrophysics Data System (ADS)

    Dey, Santu; Gayathri, N.; Bhattacharya, M.; Mukherjee, P.

    2016-12-01

    The dynamics of the release of stored energy during annealing along two different crystallographic planes, i.e., {111} and {220}, in deformed copper have been investigated using in situ X-ray diffraction measurements at 458 K and 473 K (185 °C and 200 °C). The study has been carried out on 50 and 80 pct cold-rolled Cu sheets. The microstructures of the rolled samples have been characterized using optical microscopy and electron backscattered diffraction measurements. The microstructural parameters were evaluated from the X-ray diffractogram using the Scherrer equation and the modified Rietveld method. The stored energy along different planes was determined using the modified Stibitz formula from the X-ray peak broadening, and the bulk stored energy was evaluated using differential scanning calorimetry. The process dynamics of recovery and recrystallization as observed through the release of stored energy have been modeled as the second-order and first-order processes, respectively.

  14. Thermal Annealing Effect on Poly(3-hexylthiophene): Fullerene:Copper-Phthalocyanine Ternary Photoactive Layer

    PubMed Central

    Derouiche, H.; Mohamed, A. B.

    2013-01-01

    We have fabricated poly(3-hexylthiophene) (P3HT)/copper phthalocyanine (CuPc)/fullerene (C60) ternary blend films. This photoactive layer is sandwiched between an indium tin oxide (ITO)/poly(3,4-ethylenedioxythiophene):poly(styrene sulfonate) (PEDOT/PSS) photoanode and a bathocuproine (BCP)/aluminium photocathode. The thin films have been characterized by atomic force microscope (AFM) and ultraviolet/visible spectroscopy in order to study the influence of P3HT doping on the morphological and optical properties of the photoactive layer. We have also compared the I-V characteristics of three different organic solar cells: ITO/PEDOT:PSS/CuPc0.5:C600.5/BCP/Al and ITO/PEDOT:PSS/P3HT0.3:CuPc0.3:C600.4/BCP/Al with and without annealing. Both structures show good photovoltaic behaviour. Indeed, the incorporation of P3HT into CuPc:C60 thin film improves all the photovoltaic characteristics. We have also seen that thermal annealing significantly improves the optical absorption ability and stabilizes the organic solar cells making it more robust to chemical degradation. PMID:23766722

  15. Thermal annealing effect on poly(3-hexylthiophene): fullerene:copper-phthalocyanine ternary photoactive layer.

    PubMed

    Derouiche, H; Mohamed, A B

    2013-01-01

    We have fabricated poly(3-hexylthiophene) (P3HT)/copper phthalocyanine (CuPc)/fullerene (C60) ternary blend films. This photoactive layer is sandwiched between an indium tin oxide (ITO)/poly(3,4-ethylenedioxythiophene):poly(styrene sulfonate) (PEDOT/PSS) photoanode and a bathocuproine (BCP)/aluminium photocathode. The thin films have been characterized by atomic force microscope (AFM) and ultraviolet/visible spectroscopy in order to study the influence of P3HT doping on the morphological and optical properties of the photoactive layer. We have also compared the I-V characteristics of three different organic solar cells: ITO/PEDOT:PSS/CuPc₀.₅:C60₀.₅/BCP/Al and ITO/PEDOT:PSS/P3HT₀.₃:CuPc₀.₃:C60₀.₄/BCP/Al with and without annealing. Both structures show good photovoltaic behaviour. Indeed, the incorporation of P3HT into CuPc:C60 thin film improves all the photovoltaic characteristics. We have also seen that thermal annealing significantly improves the optical absorption ability and stabilizes the organic solar cells making it more robust to chemical degradation.

  16. Synthesis, Fabrication and Characterization of ZnO-Based Thin Films Prepared by Sol-Gel Process and H2 Gas Sensing Performance

    NASA Astrophysics Data System (ADS)

    Dey, Anup; Roy, Subhashis; Sarkar, Subir Kumar

    2018-03-01

    In this paper, an attempt is made to deposit ZnO thin films using sol-gel process followed by dip-coating method on p-silicon (100) substrates for intended application as a hydrogen gas sensor owing to the low toxic nature and thermal stability of ZnO. The thin films are annealed under annealing temperatures of 350, 450 and 550 °C for 25 min. The crystalline quality of the fabricated thin films is then analyzed by field-emission scanning electron microscopy and transmission electron microscope. The gas sensing performance analysis of ZnO thin films is demonstrated at different annealing temperatures and hydrogen gas concentrations ranging from 100 to 3000 ppm. Results obtained show that the sensitivity is significantly improved as annealing temperature increases with maximum sensitivity being achieved at 550 °C annealing temperature and operating temperature of 150 °C. Hence, the modified ZnO thin films can be applicable as H2 gas sensing device showing to the improved performance in comparison with unmodified thin-film sensor.

  17. The role of Frenkel defect diffusion in dynamic annealing in ion-irradiated Si

    NASA Astrophysics Data System (ADS)

    Wallace, J. B.; Aji, L. B. Bayu; Martin, A. A.; Shin, S. J.; Shao, L.; Kucheyev, S. O.

    2017-01-01

    The formation of stable radiation damage in crystalline solids often proceeds via complex dynamic annealing processes, involving migration and interaction of ballistically-generated point defects. The dominant dynamic annealing processes, however, remain unknown even for crystalline Si. Here, we use a pulsed ion beam method to study defect dynamics in Si bombarded in the temperature range from -20 to 140 °C with 500 keV Ar ions. Results reveal a defect relaxation time constant of ~10-0.2 ms, which decreases monotonically with increasing temperature. The dynamic annealing rate shows an Arrhenius dependence with two well-defined activation energies of 73 ± 5 meV and 420 ± 10 meV, below and above 60 °C, respectively. Rate theory modeling, bench-marked against this data, suggests a crucial role of both vacancy and interstitial diffusion, with the dynamic annealing rate limited by the migration and interaction of vacancies.

  18. The role of Frenkel defect diffusion in dynamic annealing in ion-irradiated Si

    DOE PAGES

    Wallace, J. B.; Aji, L. B. Bayu; Martin, A. A.; ...

    2017-01-06

    The formation of stable radiation damage in crystalline solids often proceeds via complex dynamic annealing processes, involving migration and interaction of ballistically-generated point defects. The dominant dynamic annealing processes, however, remain unknown even for crystalline Si. Here, we use a pulsed ion beam method to study defect dynamics in Si bombarded in the temperature range from -20 to 140 °C with 500 keV Ar ions. Results reveal a defect relaxation time constant of ~10–0.2 ms, which decreases monotonically with increasing temperature. The dynamic annealing rate shows an Arrhenius dependence with two well-defined activation energies of 73 ± 5 meV andmore » 420 ± 10 meV, below and above 60 °C, respectively. Rate theory modeling, bench-marked against this data, suggests a crucial role of both vacancy and interstitial diffusion, with the dynamic annealing rate limited by the migration and interaction of vacancies.« less

  19. The role of Frenkel defect diffusion in dynamic annealing in ion-irradiated Si

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wallace, J. B.; Aji, L. B. Bayu; Martin, A. A.

    The formation of stable radiation damage in crystalline solids often proceeds via complex dynamic annealing processes, involving migration and interaction of ballistically-generated point defects. The dominant dynamic annealing processes, however, remain unknown even for crystalline Si. Here, we use a pulsed ion beam method to study defect dynamics in Si bombarded in the temperature range from -20 to 140 °C with 500 keV Ar ions. Results reveal a defect relaxation time constant of ~10–0.2 ms, which decreases monotonically with increasing temperature. The dynamic annealing rate shows an Arrhenius dependence with two well-defined activation energies of 73 ± 5 meV andmore » 420 ± 10 meV, below and above 60 °C, respectively. Rate theory modeling, bench-marked against this data, suggests a crucial role of both vacancy and interstitial diffusion, with the dynamic annealing rate limited by the migration and interaction of vacancies.« less

  20. Microscope and method of use

    DOEpatents

    Bongianni, Wayne L.

    1984-01-01

    A method and apparatus for electronically focusing and electronically scanning microscopic specimens are given. In the invention, visual images of even moving, living, opaque specimens can be acoustically obtained and viewed with virtually no time needed for processing (i.e., real time processing is used). And planar samples are not required. The specimens (if planar) need not be moved during scanning, although it will be desirable and possible to move or rotate nonplanar specimens (e.g., laser fusion targets) against the lens of the apparatus. No coupling fluid is needed, so specimens need not be wetted. A phase acoustic microscope is also made from the basic microscope components together with electronic mixers.

  1. Microscope and method of use

    DOEpatents

    Bongianni, W.L.

    1984-04-17

    A method and apparatus for electronically focusing and electronically scanning microscopic specimens are given. In the invention, visual images of even moving, living, opaque specimens can be acoustically obtained and viewed with virtually no time needed for processing (i.e., real time processing is used). And planar samples are not required. The specimens (if planar) need not be moved during scanning, although it will be desirable and possible to move or rotate nonplanar specimens (e.g., laser fusion targets) against the lens of the apparatus. No coupling fluid is needed, so specimens need not be wetted. A phase acoustic microscope is also made from the basic microscope components together with electronic mixers. 7 figs.

  2. DOE`s annealing prototype demonstration projects

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Warren, J.; Nakos, J.; Rochau, G.

    1997-02-01

    One of the challenges U.S. utilities face in addressing technical issues associated with the aging of nuclear power plants is the long-term effect of plant operation on reactor pressure vessels (RPVs). As a nuclear plant operates, its RPV is exposed to neutrons. For certain plants, this neutron exposure can cause embrittlement of some of the RPV welds which can shorten the useful life of the RPV. This RPV embrittlement issue has the potential to affect the continued operation of a number of operating U.S. pressurized water reactor (PWR) plants. However, RPV material properties affected by long-term irradiation are recoverable throughmore » a thermal annealing treatment of the RPV. Although a dozen Russian-designed RPVs and several U.S. military vessels have been successfully annealed, U.S. utilities have stated that a successful annealing demonstration of a U.S. RPV is a prerequisite for annealing a licensed U.S. nuclear power plant. In May 1995, the Department of Energy`s Sandia National Laboratories awarded two cost-shared contracts to evaluate the feasibility of annealing U.S. licensed plants by conducting an anneal of an installed RPV using two different heating technologies. The contracts were awarded to the American Society of Mechanical Engineers (ASME) Center for Research and Technology Development (CRTD) and MPR Associates (MPR). The ASME team completed its annealing prototype demonstration in July 1996, using an indirect gas furnace at the uncompleted Public Service of Indiana`s Marble Hill nuclear power plant. The MPR team`s annealing prototype demonstration was scheduled to be completed in early 1997, using a direct heat electrical furnace at the uncompleted Consumers Power Company`s nuclear power plant at Midland, Michigan. This paper describes the Department`s annealing prototype demonstration goals and objectives; the tasks, deliverables, and results to date for each annealing prototype demonstration; and the remaining annealing technology

  3. Thermal engineering of FAPbI3 perovskite material via radiative thermal annealing and in situ XRD

    PubMed Central

    Pool, Vanessa L.; Dou, Benjia; Van Campen, Douglas G.; Klein-Stockert, Talysa R.; Barnes, Frank S.; Shaheen, Sean E.; Ahmad, Md I.; van Hest, Maikel F. A. M.; Toney, Michael F.

    2017-01-01

    Lead halide perovskites have emerged as successful optoelectronic materials with high photovoltaic power conversion efficiencies and low material cost. However, substantial challenges remain in the scalability, stability and fundamental understanding of the materials. Here we present the application of radiative thermal annealing, an easily scalable processing method for synthesizing formamidinium lead iodide (FAPbI3) perovskite solar absorbers. Devices fabricated from films formed via radiative thermal annealing have equivalent efficiencies to those annealed using a conventional hotplate. By coupling results from in situ X-ray diffraction using a radiative thermal annealing system with device performances, we mapped the processing phase space of FAPbI3 and corresponding device efficiencies. Our map of processing-structure-performance space suggests the commonly used FAPbI3 annealing time, 10 min at 170 °C, can be significantly reduced to 40 s at 170 °C without affecting the photovoltaic performance. The Johnson-Mehl-Avrami model was used to determine the activation energy for decomposition of FAPbI3 into PbI2. PMID:28094249

  4. Thermal engineering of FAPbI 3 perovskite material via radiative thermal annealing and in situ XRD

    DOE PAGES

    Pool, Vanessa L.; Dou, Benjia; Van Campen, Douglas G.; ...

    2017-01-17

    Lead halide perovskites have emerged as successful optoelectronic materials with high photovoltaic power conversion efficiencies and low material cost. However, substantial challenges remain in the scalability, stability and fundamental understanding of the materials. Here we present the application of radiative thermal annealing, an easily scalable processing method for synthesizing formamidinium lead iodide (FAPbI 3) perovskite solar absorbers. Devices fabricated from films formed via radiative thermal annealing have equivalent efficiencies to those annealed using a conventional hotplate. By coupling results from in situ X-ray diffraction using a radiative thermal annealing system with device performances, we mapped the processing phase space ofmore » FAPbI 3 and corresponding device efficiencies. Our map of processing-structure-performance space suggests the commonly used FAPbI 3 annealing time, 10 min at 170 degrees C, can be significantly reduced to 40 s at 170 degrees C without affecting the photovoltaic performance. Lastly, the Johnson-Mehl-Avrami model was used to determine the activation energy for decomposition of FAPbI 3 into PbI 2.« less

  5. Virtual reality microscope versus conventional microscope regarding time to diagnosis: an experimental study.

    PubMed

    Randell, Rebecca; Ruddle, Roy A; Mello-Thoms, Claudia; Thomas, Rhys G; Quirke, Phil; Treanor, Darren

    2013-01-01

      To create and evaluate a virtual reality (VR) microscope that is as efficient as the conventional microscope, seeking to support the introduction of digital slides into routine practice.   A VR microscope was designed and implemented by combining ultra-high-resolution displays with VR technology, techniques for fast interaction, and high usability. It was evaluated using a mixed factorial experimental design with technology and task as within-participant variables and grade of histopathologist as a between-participant variable. Time to diagnosis was similar for the conventional and VR microscopes. However, there was a significant difference in the mean magnification used between the two technologies, with participants working at a higher level of magnification on the VR microscope.   The results suggest that, with the right technology, efficient use of digital pathology for routine practice is a realistic possibility. Further work is required to explore what magnification is required on the VR microscope for histopathologists to identify diagnostic features, and the effect on this of the digital slide production process. © 2012 Blackwell Publishing Limited.

  6. Scanning-electron-microscope study of normal-impingement erosion of ductile metals

    NASA Technical Reports Server (NTRS)

    Brainard, W. A.; Salik, J.

    1980-01-01

    Scanning electron microscopy was used to characterize the erosion of annealed copper and aluminum surfaces produced by both single- and multiple-particle impacts. Macroscopic 3.2 mm diameter steel balls and microscopic, brittle erodant particles were projected by a gas gun system so as to impact at normal incidence at speeds up to 140 m/sec. During the impacts by the brittle erodant particles, at lower speeds the erosion behavior was similar to that observed for the larger steel balls. At higher velocities, particle fragmentation and the subsequent cutting by the radial wash of debris created a marked change in the erosion mechanism.

  7. Expertise under the microscope: processing histopathological slides.

    PubMed

    Jaarsma, Thomas; Jarodzka, Halszka; Nap, Marius; van Merrienboer, Jeroen J G; Boshuizen, Henny P A

    2014-03-01

    Although the obvious goal of training in clinical pathology is to bring forth capable diagnosticians, developmental stages and their characteristics are unknown. This study therefore aims to find expertise-related differences in the processing of histopathological slides using a combination of eye tracking data and verbal data. Participants in this study were 13 clinical pathologists (experts), 12 pathology residents (intermediates) and 13 medical students (novices). They diagnosed 10 microscopic images of colon tissue for 2 seconds. Eye movements, the given diagnoses, and the vocabulary used in post hoc verbal explanations were registered. Eye movements were analysed according to changes over trial time and the processing of diagnostically relevant areas. The content analysis of verbal data was based on a categorisation system developed from the literature. Although experts and intermediates showed equal levels of diagnostic accuracy, their visual and cognitive processing differed. Whereas experts relied on their first findings and checked the image further for other abnormalities, intermediates tended to double-check their first findings. In their explanations, experts focused on the typicality of the tissue, whereas intermediates mainly mentioned many specific pathologies. Novices looked less often at the relevant areas and were incomplete, incorrect and inconclusive in their explanations. Their diagnostic accuracy was correspondingly poor. This study indicates that in the case of intermediates and experts, different visual and cognitive strategies can result in equal levels of diagnostic accuracy. Lessons for training underline the relevance of the distinction between normal and abnormal tissue for novices, especially when the mental rotation of 2-D images is required. Intermediates need to be trained to see deviations in abnormalities. Feedback and an educational design that is specific to these developmental stages might improve training. © 2014 John Wiley

  8. Two- and multi-step annealing of cereal starches in relation to gelatinization.

    PubMed

    Shi, Yong-Cheng

    2008-02-13

    Two- and multi-step annealing experiments were designed to determine how much gelatinization temperature of waxy rice, waxy barley, and wheat starches could be increased without causing a decrease in gelatinization enthalpy or a decline in X-ray crystallinity. A mixture of starch and excess water was heated in a differential scanning calorimeter (DSC) pan to a specific temperature and maintained there for 0.5-48 h. The experimental approach was first to anneal a starch at a low temperature so that the gelatinization temperature of the starch was increased without causing a decrease in gelatinization enthalpy. The annealing temperature was then raised, but still was kept below the onset gelatinization temperature of the previously annealed starch. When a second- or third-step annealing temperature was high enough, it caused a decrease in crystallinity, even though the holding temperature remained below the onset gelatinization temperature of the previously annealed starch. These results support that gelatinization is a nonequilibrium process and that dissociation of double helices is driven by the swelling of amorphous regions. Small-scale starch slurry annealing was also performed and confirmed the annealing results conducted in DSC pans. A three-phase model of a starch granule, a mobile amorphous phase, a rigid amorphous phase, and a crystalline phase, was used to interpret the annealing results. Annealing seems to be an interplay between a more efficient packing of crystallites in starch granules and swelling of plasticized amorphous regions. There is always a temperature ceiling that can be used to anneal a starch without causing a decrease in crystallinity. That temperature ceiling is starch-specific, dependent on the structure of a starch, and is lower than the original onset gelatinization of a starch.

  9. Microstructural analysis of the thermal annealing of ice-Ih using EBSD

    NASA Astrophysics Data System (ADS)

    Hidas, Károly; Tommasi, Andréa; Mainprice, David; Chauve, Thomas; Barou, Fabrice; Montagnat, Maurine

    2017-04-01

    Rocks deformed in the middle crust and deeper in the Earth typically remain at high temperature for extended time spans after the cessation of deformation. This results in annealing of the deformation microstructure by a series of thermally activated, diffusion-based processes, namely: recovery and static recrystallization, which may also modify the crystal preferred orientation (CPO) or texture. Understanding the effects of annealing on the microstructure and CPO is therefore of utmost importance for the interpretation of the microstructures and for the estimation of the anisotropy of physical properties of lower crustal and mantle rocks. Ice-Ih -the typical form of water ice on the Earth's surface, with hexagonal crystal symmetry- deforms essentially by glide of dislocations on the basal plane [1], thus it has high viscoplastic anisotropy, which induces strong heterogeneity of stresses and strains at both the intra- and intergranular scales [2-3]. This behavior makes ice-Ih an excellent analog material for silicate minerals that compose the Earth. In situ observations of the evolution of the microstructures and CPO during annealing enable the study of the interplay between the various physical processes involved in annealing (recovery, nucleation, grain growth). They also allow the analysis of the impact of the preexisting deformation microstructures on the microstructural and CPO evolution during annealing. Here we studied the evolution of the microstructure of ice-Ih during static recrystallization by stepwise annealing experiments. We alternated thermal annealing and electron backscatter diffraction (EBSD) analyses on polycrystalline columnar ice-Ih pre-deformed in uniaxial compression at temperature of -7 °C to strains of 3.0-5.2. Annealing experiments were carried out at -5 °C and -2 °C up to a maximum of 3.25 days, typically in 5-6 steps. EBSD crystal orientation maps obtained after each annealing step permit the description of microstructural changes

  10. Microscopic Analysis of Activated Sludge. Training Manual.

    ERIC Educational Resources Information Center

    Office of Water Program Operations (EPA), Cincinnati, OH. National Training and Operational Technology Center.

    This training manual presents material on the use of a compound microscope to analyze microscope communities, present in wastewater treatment processes, for operational control. Course topics include: sampling techniques, sample handling, laboratory analysis, identification of organisms, data interpretation, and use of the compound microscope.…

  11. Optimization of H2 thermal annealing process for the fabrication of ultra-low loss sub-micron silicon-on-insulator rib waveguides

    NASA Astrophysics Data System (ADS)

    Bellegarde, Cyril; Pargon, Erwine; Sciancalepore, Corrado; Petit-Etienne, Camille; Lemonnier, Olivier; Ribaud, Karen; Hartmann, Jean-Michel; Lyan, Philippe

    2018-02-01

    The superior confinement of light provided by the high refractive index contrast in Si/SiO2 waveguides allows the use of sub-micron photonic waveguides. However, when downscaling waveguides to sub-micron dimensions, propagation losses become dominated by sidewall roughness scattering. In a previous study, we have shown that hydrogen annealing after waveguide patterning yielded smooth silicon sidewalls. Our optimized silicon patterning process flow allowed us to reduce the sidewall roughness down to 0.25 nm (1σ) while maintaining rectangular Strip waveguides. As a result, record low optical losses of less than 1 dB/cm were measured at telecom wavelengths for waveguides with dimensions larger than 350 nm. With Rib waveguides, losses are expected to be even lower. However, in this case the Si reflow during the H2 anneal leads to the formation of a foot at the bottom of the structure and to a rounding of its top. A compromise is thus to be found between low losses and conservation of the rectangular shape of the Rib waveguide. This work proposes to investigate the impact of temperature and duration of the H2 anneal on the Rib profile, sidewalls roughness and optical performances. The impact of a Si/SiO2 interface is also studied. The introduction of H2 thermal annealing allows to obtain very low losses of 0.5 dB/cm at 1310 nm wavelength for waveguide dimensions of 300-400 nm, but it comes along an increase of the pattern bottom width of 41%, with a final bottom width of 502 nm.

  12. Annealing of Co-Cr dental alloy: effects on nanostructure and Rockwell hardness.

    PubMed

    Ayyıldız, Simel; Soylu, Elif Hilal; Ide, Semra; Kılıç, Selim; Sipahi, Cumhur; Pişkin, Bulent; Gökçe, Hasan Suat

    2013-11-01

    The aim of the study was to evaluate the effect of annealing on the nanostructure and hardness of Co-Cr metal ceramic samples that were fabricated with a direct metal laser sintering (DMLS) technique. Five groups of Co-Cr dental alloy samples were manufactured in a rectangular form measuring 4 × 2 × 2 mm. Samples fabricated by a conventional casting technique (Group I) and prefabricated milling blanks (Group II) were examined as conventional technique groups. The DMLS samples were randomly divided into three groups as not annealed (Group III), annealed in argon atmosphere (Group IV), or annealed in oxygen atmosphere (Group V). The nanostructure was examined with the small-angle X-ray scattering method. The Rockwell hardness test was used to measure the hardness changes in each group, and the means and standard deviations were statistically analyzed by one-way ANOVA for comparison of continuous variables and Tukey's HSD test was used for post hoc analysis. P values of <.05 were accepted as statistically significant. The general nanostructures of the samples were composed of small spherical entities stacked atop one another in dendritic form. All groups also displayed different hardness values depending on the manufacturing technique. The annealing procedure and environment directly affected both the nanostructure and hardness of the Co-Cr alloy. Group III exhibited a non-homogeneous structure and increased hardness (48.16 ± 3.02 HRC) because the annealing process was incomplete and the inner stress was not relieved. Annealing in argon atmosphere of Group IV not only relieved the inner stresses but also decreased the hardness (27.40 ± 3.98 HRC). The results of fitting function presented that Group IV was the most homogeneous product as the minimum bilayer thickness was measured (7.11 Å). After the manufacturing with DMLS technique, annealing in argon atmosphere is an essential process for Co-Cr metal ceramic substructures. The dentists should be familiar with

  13. Annealing of Co-Cr dental alloy: effects on nanostructure and Rockwell hardness

    PubMed Central

    Soylu, Elif Hilal; İde, Semra; Kılıç, Selim; Sipahi, Cumhur; Pişkin, Bulent; Gökçe, Hasan Suat

    2013-01-01

    PURPOSE The aim of the study was to evaluate the effect of annealing on the nanostructure and hardness of Co-Cr metal ceramic samples that were fabricated with a direct metal laser sintering (DMLS) technique. MATERIALS AND METHODS Five groups of Co-Cr dental alloy samples were manufactured in a rectangular form measuring 4 × 2 × 2 mm. Samples fabricated by a conventional casting technique (Group I) and prefabricated milling blanks (Group II) were examined as conventional technique groups. The DMLS samples were randomly divided into three groups as not annealed (Group III), annealed in argon atmosphere (Group IV), or annealed in oxygen atmosphere (Group V). The nanostructure was examined with the small-angle X-ray scattering method. The Rockwell hardness test was used to measure the hardness changes in each group, and the means and standard deviations were statistically analyzed by one-way ANOVA for comparison of continuous variables and Tukey's HSD test was used for post hoc analysis. P values of <.05 were accepted as statistically significant. RESULTS The general nanostructures of the samples were composed of small spherical entities stacked atop one another in dendritic form. All groups also displayed different hardness values depending on the manufacturing technique. The annealing procedure and environment directly affected both the nanostructure and hardness of the Co-Cr alloy. Group III exhibited a non-homogeneous structure and increased hardness (48.16 ± 3.02 HRC) because the annealing process was incomplete and the inner stress was not relieved. Annealing in argon atmosphere of Group IV not only relieved the inner stresses but also decreased the hardness (27.40 ± 3.98 HRC). The results of fitting function presented that Group IV was the most homogeneous product as the minimum bilayer thickness was measured (7.11 Å). CONCLUSION After the manufacturing with DMLS technique, annealing in argon atmosphere is an essential process for Co-Cr metal ceramic

  14. Structural and electrical properties of Se-hyperdoped Si via ion implantation and flash lamp annealing

    NASA Astrophysics Data System (ADS)

    Liu, Fang; Prucnal, S.; Yuan, Ye; Heller, R.; Berencén, Y.; Böttger, R.; Rebohle, L.; Skorupa, W.; Helm, M.; Zhou, S.

    2018-06-01

    We report on the hyperdoping of silicon with selenium obtained by ion implantation followed by flash lamp annealing. It is shown that the degree of crystalline lattice recovery of the implanted layers and the Se substitutional fraction depend on the pulse duration and energy density of the flash. While the annealing at low energy densities leads to an incomplete recrystallization, annealing at high energy densities results in a decrease of the substitutional fraction of impurities. The electrical properties of the implanted layers are well-correlated with the structural properties resulting from different annealing processing.

  15. Effect of annealing procedure on the bonding of ceramic to cobalt-chromium alloys fabricated by rapid prototyping.

    PubMed

    Tulga, Ayca

    2018-04-01

    An annealing procedure is a heat treatment process to improve the mechanical properties of cobalt-chromium (Co-Cr) alloys. However, information is lacking about the effect of the annealing process on the bonding ability of ceramic to Co-Cr alloys fabricated by rapid prototyping. The purpose of this in vitro study was to evaluate the effects of the fabrication techniques and the annealing procedure on the shear bond strength of ceramic to Co-Cr alloys fabricated by different techniques. Ninety-six cylindrical specimens (10-mm diameter, 10-mm height) made of Co-Cr alloy were prepared by casting (C), milling (M), direct process powder-bed (LaserCUSING) with and without annealing (CL+, CL), and direct metal laser sintering (DMLS) with annealing (EL+) and without annealing (EL). After the application of ceramic to the metal specimens, the metal-ceramic bond strength was assessed using a shear force test at a crosshead speed of 0.5 mm/min. Shear bond strength values were statistically analyzed by 1-way ANOVA and Tukey multiple comparison tests (α=.05). Although statistically significant differences were found among the 3 groups (M, 29.87 ±2.06; EL, 38.92 ±2.04; and CL+, 40.93 ±2.21; P=.002), no significant differences were found among the others (P>.05). The debonding surfaces of all specimens exhibited mixed failure mode. These results showed that the direct process powder-bed method is promising in terms of metal-ceramic bonding ability. The manufacturing technique of Co-Cr alloys and the annealing process influence metal-ceramic bonding. Copyright © 2017 Editorial Council for the Journal of Prosthetic Dentistry. Published by Elsevier Inc. All rights reserved.

  16. Annealing free magnetic tunnel junction sensors

    NASA Astrophysics Data System (ADS)

    Knudde, S.; Leitao, D. C.; Cardoso, S.; Freitas, P. P.

    2017-04-01

    Annealing is a major step in the fabrication of magnetic tunnel junctions (MTJs). It sets the exchange bias between the pinned and antiferromagnetic layers, and helps to increase the tunnel magnetoresistance (TMR) in both amorphous and crystalline junctions. Recent research on MTJs has focused on MgO-based structures due to their high TMR. However, the strict process control and mandatory annealing step can limit the scope of the application of these structures as sensors. In this paper, we present AlOx-based MTJs that are produced by ion beam sputtering and remote plasma oxidation and show optimum transport properties with no annealing. The microfabricated devices show TMR values of up to 35% and using NiFe/CoFeB free layers provides tunable linear ranges, leading to coercivity-free linear responses with sensitivities of up to 5.5%/mT. The top-pinned synthetic antiferromagnetic reference shows a stability of about 30 mT in the microfabricated devices. Sensors with linear ranges of up to 60 mT are demonstrated. This paves the way for the integration of MTJ sensors in heat-sensitive applications such as flexible substrates, or for the design of low-footprint on-chip multiaxial sensing devices.

  17. High-Temperature Electrical Insulation Behavior of Alumina Films Prepared at Room Temperature by Aerosol Deposition and Influence of Annealing Process and Powder Impurities

    NASA Astrophysics Data System (ADS)

    Schubert, Michael; Leupold, Nico; Exner, Jörg; Kita, Jaroslaw; Moos, Ralf

    2018-04-01

    Alumina (Al2O3) is a widely used material for highly insulating films due to its very low electrical conductivity, even at high temperatures. Typically, alumina films have to be sintered far above 1200 °C, which precludes the coating of lower melting substrates. The aerosol deposition method (ADM), however, is a promising method to manufacture ceramic films at room temperature directly from the ceramic raw powder. In this work, alumina films were deposited by ADM on a three-electrode setup with guard ring and the electrical conductivity was measured between 400 and 900 °C by direct current measurements according to ASTM D257 or IEC 60093. The effects of film annealing and of zirconia impurities in the powder on the electrical conductivity were investigated. The conductivity values of the ADM films correlate well with literature data and can even be improved by annealing at 900 °C from 4.5 × 10-12 S/cm before annealing up to 5.6 × 10-13 S/cm after annealing (measured at 400 °C). The influence of zirconia impurities is very low as the conductivity is only slightly elevated. The ADM-processed films show a very good insulation behavior represented by an even lower electrical conductivity than conventional alumina substrates as they are commercially available for thick-film technology.

  18. Low thermal budget annealing technique for high performance amorphous In-Ga-ZnO thin film transistors

    NASA Astrophysics Data System (ADS)

    Shin, Joong-Won; Cho, Won-Ju

    2017-07-01

    In this paper, we investigate a low thermal budget post-deposition-annealing (PDA) process for amorphous In-Ga-ZnO (a-IGZO) oxide semiconductor thin-film-transistors (TFTs). To evaluate the electrical characteristics and reliability of the TFTs after the PDA process, microwave annealing (MWA) and rapid thermal annealing (RTA) methods were applied, and the results were compared with those of the conventional annealing (CTA) method. The a-IGZO TFTs fabricated with as-deposited films exhibited poor electrical characteristics; however, their characteristics were improved by the proposed PDA process. The CTA-treated TFTs had excellent electrical properties and stability, but the CTA method required high temperatures and long processing times. In contrast, the fabricated RTA-treated TFTs benefited from the lower thermal budget due to the short process time; however, they exhibited poor stability. The MWA method uses a low temperature (100 °C) and short annealing time (2 min) because microwaves transfer energy directly to the substrate, and this method effectively removed the defects in the a-IGZO TFTs. Consequently, they had a higher mobility, higher on-off current ratio, lower hysteresis voltage, lower subthreshold swing, and higher interface trap density than TFTs treated with CTA or RTA, and exhibited excellent stability. Based on these results, low thermal budget MWA is a promising technology for use on various substrates in next generation displays.

  19. Microwave annealing of Mg-implanted and in situ Be-doped GaN

    NASA Astrophysics Data System (ADS)

    Aluri, Geetha S.; Gowda, Madhu; Mahadik, Nadeemullah A.; Sundaresan, Siddarth G.; Rao, Mulpuri V.; Schreifels, John A.; Freitas, J. A.; Qadri, S. B.; Tian, Y.-L.

    2010-10-01

    An ultrafast microwave annealing method, different from conventional thermal annealing, is used to activate Mg-implants in GaN layer. The x-ray diffraction measurements indicated complete disappearance of the defect sublattice peak, introduced by the implantation process for single-energy Mg-implantation, when the annealing was performed at ≥1400 °C for 15 s. An increase in the intensity of Mg-acceptor related luminescence peak (at 3.26 eV) in the photoluminescence spectra confirms the Mg-acceptor activation in single-energy Mg-implanted GaN. In case of multiple-energy implantation, the implant generated defects persisted even after 1500 °C/15 s annealing, resulting in no net Mg-acceptor activation of the Mg-implant. The Mg-implant is relatively thermally stable and the sample surface roughness is 6 nm after 1500 °C/15 s annealing, using a 600 nm thick AlN cap. In situ Be-doped GaN films, after 1300 °C/5 s annealing have shown Be out-diffusion into the AlN layer and also in-diffusion toward the GaN/SiC interface. The in-diffusion and out-diffusion of the Be increased with increasing annealing temperature. In fact, after 1500 °C/5 s annealing, only a small fraction of in situ doped Be remained in the GaN layer, revealing the inadequateness of using Be-implantation for forming p-type doped layers in the GaN.

  20. The annealing investigation on morphology and photoluminescence properties of In2O3 1-D nanostructures in resistive evaporation mechanism

    NASA Astrophysics Data System (ADS)

    Shariati, Mohsen; Ghafouri, Vahid

    2014-02-01

    Synthesis of In2O3 nanostructures grown on Si substrate by the resistive evaporation of metallic indium granules followed by dry oxidation process has been articulated. To prepare nucleation growth sites, selected samples pre-annealed around indium melting point in free-oxygen atmosphere and then to fabricate 1-D nanostructures, they annealed in a horizontal thermal furnace in presence of argon and oxygen. For comparison, one sample, the same origin as initially pre-annealed samples, was excluded in pre-annealing process but presented in annealing step. Characterization of the products with FESEM revealed that the pre-annealed obtained nanostructures are mostly nanorod and nanowire with different morphologies. For the comparative sample, no 1-D structures achieved. X-ray diffraction (XRD) patterns for pre-annealed samples indicated that they are crystalline and the comparative one is polycrystalline. Photoluminescence (PL) measurements carried out at room temperature revealed that emission band shifted to shorter wavelength from pre-annealed samples to comparative one.

  1. InGaN nanocolumn growth self-induced by in-situ annealing and ion irradiation during growth process with molecular beam epitaxy method

    NASA Astrophysics Data System (ADS)

    Xue, Junjun; Cai, Qing; Zhang, Baohua; Ge, Mei; Chen, Dunjun; Zheng, Jianguo; Zhi, Ting; Tao, Zhikuo; Chen, Jiangwei; Wang, Lianhui; Zhang, Rong; Zheng, Youdou

    2017-11-01

    Incubation and shape transition are considered as two essential processes for nucleating of self-assembly InGaN nanocolumns (NCs) in traditional way. We propose a new approach for nuclei forming directly by in-situ annealing and ion irradiating the InGaN template during growing process. The nanoislands, considered as the nuclei of NCs, were formed by a combinational effect of thermal and ion etching (TIE), which made the gaps of the V-pits deeper and wider. On account of the decomposition of InGaN during TIE process, more nitride-rich amorphous alloys would intent to accumulate in the corroded V-pits. The amorphous alloys played a key role to promote the following growth from 2D regime into Volmer-Weber growth regime so that the NC morphology took place, rather than a compact film. As growth continued, the subsequently epitaxial InGaN alloys on the annealed NC nuclei were suffered in biaxial compressive stress for losing part of indium content from the NC nuclei during the TIE process. Strain relaxation, accompanied by thread dislocations, came up and made the lattice planes misoriented, which prevented the NCs from coalescence into a compact film at later period of growing.

  2. Resistive switching characteristics of solution-processed Al-Zn-Sn-O films annealed by microwave irradiation

    NASA Astrophysics Data System (ADS)

    Kim, Tae-Wan; Baek, Il-Jin; Cho, Won-Ju

    2018-02-01

    In this study, we employed microwave irradiation (MWI) at low temperature in the fabrication of solution-processed AlZnSnO (AZTO) resistive random access memory (ReRAM) devices with a structure of Ti/AZTO/Pt and compared the memory characteristics with the conventional thermal annealing (CTA) process. Typical bipolar resistance switching (BRS) behavior was observed in AZTO ReRAM devices treated with as-deposited (as-dep), CTA and MWI. In the low resistance state, the Ohmic conduction mechanism describes the dominant conduction of these devices. On the other hand, the trap-controlled space charge limited conduction (SCLC) mechanism predominates in the high resistance state. The AZTO ReRAM devices processed with MWI showed larger memory windows, uniform distribution of resistance state and operating voltage, stable DC durability (>103 cycles) and stable retention characteristics (>104 s). In addition, the AZTO ReRAM devices treated with MWI exhibited multistage storage characteristics by modulating the amplitude of the reset bias, and eight distinct resistance levels were obtained with stable retention capability.

  3. Maximum-Entropy Inference with a Programmable Annealer

    PubMed Central

    Chancellor, Nicholas; Szoke, Szilard; Vinci, Walter; Aeppli, Gabriel; Warburton, Paul A.

    2016-01-01

    Optimisation problems typically involve finding the ground state (i.e. the minimum energy configuration) of a cost function with respect to many variables. If the variables are corrupted by noise then this maximises the likelihood that the solution is correct. The maximum entropy solution on the other hand takes the form of a Boltzmann distribution over the ground and excited states of the cost function to correct for noise. Here we use a programmable annealer for the information decoding problem which we simulate as a random Ising model in a field. We show experimentally that finite temperature maximum entropy decoding can give slightly better bit-error-rates than the maximum likelihood approach, confirming that useful information can be extracted from the excited states of the annealer. Furthermore we introduce a bit-by-bit analytical method which is agnostic to the specific application and use it to show that the annealer samples from a highly Boltzmann-like distribution. Machines of this kind are therefore candidates for use in a variety of machine learning applications which exploit maximum entropy inference, including language processing and image recognition. PMID:26936311

  4. Population Annealing Monte Carlo for Frustrated Systems

    NASA Astrophysics Data System (ADS)

    Amey, Christopher; Machta, Jonathan

    Population annealing is a sequential Monte Carlo algorithm that efficiently simulates equilibrium systems with rough free energy landscapes such as spin glasses and glassy fluids. A large population of configurations is initially thermalized at high temperature and then cooled to low temperature according to an annealing schedule. The population is kept in thermal equilibrium at every annealing step via resampling configurations according to their Boltzmann weights. Population annealing is comparable to parallel tempering in terms of efficiency, but has several distinct and useful features. In this talk I will give an introduction to population annealing and present recent progress in understanding its equilibration properties and optimizing it for spin glasses. Results from large-scale population annealing simulations for the Ising spin glass in 3D and 4D will be presented. NSF Grant DMR-1507506.

  5. Recrystallization-Induced Surface Cracks of Carbon Ions Irradiated 6H-SiC after Annealing

    PubMed Central

    Ye, Chao; Ran, Guang; Zhou, Wei; Shen, Qiang; Feng, Qijie; Lin, Jianxin

    2017-01-01

    Single crystal 6H-SiC wafers with 4° off-axis [0001] orientation were irradiated with carbon ions and then annealed at 900 °C for different time periods. The microstructure and surface morphology of these samples were investigated by grazing incidence X-ray diffraction (GIXRD), scanning electron microscopy (SEM), and transmission electron microscopy (TEM). Ion irradiation induced SiC amorphization, but the surface was smooth and did not have special structures. During the annealing process, the amorphous SiC was recrystallized to form columnar crystals that had a large amount of twin structures. The longer the annealing time was, the greater the amount of recrystallized SiC would be. The recrystallization volume fraction was accorded with the law of the Johnson–Mehl–Avrami equation. The surface morphology consisted of tiny pieces with an average width of approximately 30 nm in the annealed SiC. The volume shrinkage of irradiated SiC layer and the anisotropy of newly born crystals during annealing process produced internal stress and then induced not only a large number of dislocation walls in the non-irradiated layer but also the initiation and propagation of the cracks. The direction of dislocation walls was perpendicular to the growth direction of the columnar crystal. The longer the annealing time was, the larger the length and width of the formed crack would be. A quantitative model of the crack growth was provided to calculate the length and width of the cracks at a given annealing time. PMID:29068408

  6. Recrystallization-Induced Surface Cracks of Carbon Ions Irradiated 6H-SiC after Annealing.

    PubMed

    Ye, Chao; Ran, Guang; Zhou, Wei; Shen, Qiang; Feng, Qijie; Lin, Jianxin

    2017-10-25

    Single crystal 6H-SiC wafers with 4° off-axis [0001] orientation were irradiated with carbon ions and then annealed at 900 °C for different time periods. The microstructure and surface morphology of these samples were investigated by grazing incidence X-ray diffraction (GIXRD), scanning electron microscopy (SEM), and transmission electron microscopy (TEM). Ion irradiation induced SiC amorphization, but the surface was smooth and did not have special structures. During the annealing process, the amorphous SiC was recrystallized to form columnar crystals that had a large amount of twin structures. The longer the annealing time was, the greater the amount of recrystallized SiC would be. The recrystallization volume fraction was accorded with the law of the Johnson-Mehl-Avrami equation. The surface morphology consisted of tiny pieces with an average width of approximately 30 nm in the annealed SiC. The volume shrinkage of irradiated SiC layer and the anisotropy of newly born crystals during annealing process produced internal stress and then induced not only a large number of dislocation walls in the non-irradiated layer but also the initiation and propagation of the cracks. The direction of dislocation walls was perpendicular to the growth direction of the columnar crystal. The longer the annealing time was, the larger the length and width of the formed crack would be. A quantitative model of the crack growth was provided to calculate the length and width of the cracks at a given annealing time.

  7. Rapid Selective Annealing of Cu Thin Films on Si Using Microwaves

    NASA Technical Reports Server (NTRS)

    Brain, R. A.; Atwater, H. A.; Watson, T. J.; Barmatz, M.

    1994-01-01

    A major goal of the semiconductor indurstry is to lower the processing temperatures needed for interconnects in silicon integrated circuits. Typical rapid thermal annealing processes heat the film as well as the substrate, creating device problems.

  8. Evolution of microstructure and residual stress during annealing of austenitic and ferritic steels

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wawszczak, R.; Baczmański, A., E-mail: Andrzej.Baczmanski@fis.agh.edu.pl; Marciszko, M.

    2016-02-15

    In this work the recovery and recrystallization processes occurring in ferritic and austenitic steels were studied. To determine the evolution of residual stresses during material annealing the nonlinear sin{sup 2}ψ diffraction method was used and an important relaxation of the macrostresses as well as the microstresses was found in the cold rolled samples subjected to heat treatment. Such relaxation occurs at the beginning of recovery, when any changes of microstructure cannot be detected using other experimental techniques. Stress evolution in the annealed steel samples was correlated with the progress of recovery process, which significantly depends on the value of stackingmore » fault energy. - Highlights: • X-ray diffraction was used to determine the first order and second order stresses. • Diffraction data were analyzed using scale transition elastoplastic models model. • Stress relaxation in annealed ferritic and austenitic steels was correlated with evolution of microstructure. • Influence of stacking fault energy on thermally induced processes was discussed.« less

  9. High-fluence Ga-implanted silicon-The effect of annealing and cover layers

    NASA Astrophysics Data System (ADS)

    Fiedler, J.; Heera, V.; Hübner, R.; Voelskow, M.; Germer, S.; Schmidt, B.; Skorupa, W.

    2014-07-01

    The influence of SiO2 and SiNx cover layers on the dopant distribution as well as microstructure of high fluence Ga implanted Si after thermal processing is investigated. The annealing temperature determines the layer microstructure and the cover layers influence the obtained Ga profile. Rapid thermal annealing at temperatures up to 750 °C leads to a polycrystalline layer structure containing amorphous Ga-rich precipitates. Already after a short 20 ms flash lamp annealing, a Ga-rich interface layer is observed for implantation through the cover layers. This effect can partly be suppressed by annealing temperatures of at least 900 °C. However, in this case, Ga accumulates in larger, cone-like precipitates without disturbing the surrounding Si lattice parameters. Such a Ga-rich crystalline Si phase does not exist in the equilibrium phase diagram according to which the Ga solubility in Si is less than 0.1 at. %. The Ga-rich areas are capped with SiOx grown during annealing which only can be avoided by the usage of SiNx cover layers.

  10. Thermal annealing of lattice-matched InGaAs/InAlAs Quantum-Cascade Lasers

    NASA Astrophysics Data System (ADS)

    Mathonnière, Sylvain; Semtsiv, M. P.; Ted Masselink, W.

    2017-11-01

    We describe the evolution of optical power, threshold current, and emission wavelength of a lattice-matched InGaAs/InAlAs Quantum-Cascade Laser (QCL) emitting at 13 μm grown by gas-source molecular-beam epitaxy under thermal annealing. Pieces from the same 2-in wafer were annealed at 600 °C, 650 °C, or 700 °C for 1 h; one control piece remained unannealed. No change in threshold current and emission wavelength was observed. The slope efficiency and maximum emission power increase for the 600 °C anneal, but higher annealing temperatures resulted in degraded performance. This result stands in contrast with the observation that strain-compensated structures cannot withstand annealing temperature of 600 °C. Useful information for post-growth processing steps and the role of interface roughness in QCL performance are obtained.

  11. The effect of a simple annealing heat treatment on the mechanical properties of cold-sprayed aluminum

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hall, Aaron Christopher; Roemer, Timothy John; Hirschfeld, Deidre A.

    2004-11-01

    Cold spray, a new member of the thermal spray process family, can be used to prepare dense, thick metal coatings. It has tremendous potential as a spray-forming process. However, it is well known that significant cold work occurs during the cold spray deposition process. This cold work results in hard coatings but relatively brittle bulk deposits. This work investigates the mechanical properties of cold-sprayed aluminum and the effect of annealing on those properties. Cold spray coatings approximately 1 cm thick were prepared using three different feedstock powders: Valimet H-10; Valimet H-20; and Brodmann Flomaster. ASTM E8 tensile specimens were machinedmore » from these coatings and tested using standard tensile testing procedures. Each material was tested in two conditions: as-sprayed; and after a 300 C, 22 h air anneal. The as-sprayed material showed high ultimate strength and low ductility, with <1% elongation. The annealed samples showed a reduction in ultimate strength but a dramatic increase in ductility, with up to 10% elongation. The annealed samples exhibited mechanical properties that were similar to those of wrought 1100 H14 aluminum. Microstructural examination and fractography clearly showed a change in fracture mechanism between the as-sprayed and annealed materials. These results indicate good potential for cold spray as a bulk-forming process.« less

  12. The effect of a simple annealing heat treatement on the mechanical properties of cold-sprayed aluminium.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hall, Aaron Christopher; Roemer, Timothy John; Hirschfeld, Deidre A.

    2005-08-01

    Cold spray, a new member of the thermal spray process family, can be used to prepare dense, thick metal coatings. It has tremendous potential as a spray-forming process. However, it is well known that significant cold work occurs during the cold spray deposition process. This cold work results in hard coatings but relatively brittle bulk deposits. This work investigates the mechanical properties of cold-sprayed aluminum and the effect of annealing on those properties. Cold spray coatings approximately 1 cm thick were prepared using three different feedstock powders: Valimet H-10: Valimet H-20: and Brodmann Flomaster. ASTM E8 tensile specimens were machinedmore » from these coatings and tested using standard tensile testing procedures. Each material was tested in two conditions: as-sprayed; and after a 300 C, 22h air anneal. The as-sprayed material showed high ultimate strength and low ductility, with <1% elongation. The annealed samples showed a reduction in ultimate strength but a dramatic increase in ductility, with up to 10% elongation. The annealed samples exhibited mechanical properties that were similar to those of wrought 1100 H14 aluminum. Microstructural examination and fractography clearly showed a change in fracture mechanism between the as-sprayed and annealed materials. These results indicate good potential for cold spray as a bulkforming process.« less

  13. Effect of annealing on structural, optical and electrical properties of SILAR synthesized CuO thin film

    NASA Astrophysics Data System (ADS)

    Das, M. R.; Mukherjee, A.; Mitra, P.

    2017-05-01

    Nano crystalline CuO thin films were synthesize on glass substrate using SILAR technique. The structural, optical and electrical properties of the films were carried out for as deposited as well as for films post annealed in the temperature range 300 - 500° C. The X-ray diffraction pattern shows all the films are polycrystalline in nature with monoclinic phase. The crystallite size increase and lattice strain decreases with increase of annealing temperature indicating high quality of the films for annealed films. The value of band gap decreases with increases of annealing temperature of the film. The effect of annealing temperature on ionic conductivity and activation energy to electrical conduction process are discussed.

  14. Enhanced performance of solution-processed organic thin-film transistors with a low-temperature-annealed alumina interlayer between the polyimide gate insulator and the semiconductor.

    PubMed

    Yoon, Jun-Young; Jeong, Sunho; Lee, Sun Sook; Kim, Yun Ho; Ka, Jae-Won; Yi, Mi Hye; Jang, Kwang-Suk

    2013-06-12

    We studied a low-temperature-annealed sol-gel-derived alumina interlayer between the organic semiconductor and the organic gate insulator for high-performance organic thin-film transistors. The alumina interlayer was deposited on the polyimide gate insulator by a simple spin-coating and 200 °C-annealing process. The leakage current density decreased by the interlayer deposition: at 1 MV/cm, the leakage current densities of the polyimide and the alumina/polyimide gate insulators were 7.64 × 10(-7) and 3.01 × 10(-9) A/cm(2), respectively. For the first time, enhancement of the organic thin-film transistor performance by introduction of an inorganic interlayer between the organic semiconductor and the organic gate insulator was demonstrated: by introducing the interlayer, the field-effect mobility of the solution-processed organic thin-film transistor increased from 0.35 ± 0.15 to 1.35 ± 0.28 cm(2)/V·s. Our results suggest that inorganic interlayer deposition could be a simple and efficient surface treatment of organic gate insulators for enhancing the performance of solution-processed organic thin-film transistors.

  15. Advanced Microscopic Integrated Thermocouple Arrays

    NASA Technical Reports Server (NTRS)

    Pettigrew, Penny J.

    1999-01-01

    The purpose of this research is to develop and refine a technique for making microscopic thermocouple arrays for use in measuring the temperature gradient across a solid-liquid interface during the solidification process. Current thermocouple technology does not allow for real-time measurements across the interface due to the prohibitive size of available thermocouples. Microscopic thermocouple arrays will offer a much greater accuracy and resolution of temperature measurements across the solid-liquid interface which will lead to a better characterization of the solidification process and interface reaction which affect the properties of the resulting material.

  16. Epidemic spreading in annealed directed networks: susceptible-infected-susceptible model and contact process.

    PubMed

    Kwon, Sungchul; Kim, Yup

    2013-01-01

    We investigate epidemic spreading in annealed directed scale-free networks with the in-degree (k) distribution P(in)(k)~k(-γ(in)) and the out-degree (ℓ) distribution, P(out)(ℓ)~ℓ(-γ(out)). The correlation of each node on the networks is controlled by the probability r(0≤r≤1) in two different algorithms, the so-called k and ℓ algorithms. For r=1, the k algorithm gives =, whereas the ℓ algorithm gives =<ℓ(2)>. For r=0, =<ℓ> for both algorithms. As the prototype of epidemic spreading, the susceptible-infected-susceptible model and contact process on the networks are analyzed using the heterogeneous mean-field theory and Monte Carlo simulations. The directedness of links and the correlation of the network are found to play important roles in the spreading, so that critical behaviors of both models are distinct from those on undirected scale-free networks.

  17. Effect of low thermal budget annealing on surface passivation of silicon by ALD based aluminum oxide films.

    PubMed

    Vandana; Batra, Neha; Gope, Jhuma; Singh, Rajbir; Panigrahi, Jagannath; Tyagi, Sanjay; Pathi, P; Srivastava, S K; Rauthan, C M S; Singh, P K

    2014-10-21

    Thermal ALD deposited Al2O3 films on silicon show a marked difference in surface passivation quality as a function of annealing time (using a rapid thermal process). An effective and quality passivation is realized in short anneal duration (∼100 s) in nitrogen ambient which is reflected in the low surface recombination velocity (SRV <10 cm s(-1)). The deduced values are close to the best reported SRV obtained by the high thermal budget process (with annealing time between 10-30 min), conventionally used for improved surface passivation. Both as-deposited and low thermal budget annealed films show the presence of positive fixed charges and this is never been reported in the literature before. The role of field and chemical passivation is investigated in terms of fixed charge and interface defect densities. Further, the importance of the annealing step sequence in the MIS structure fabrication protocol is also investigated from the view point of its effect on the nature of fixed charges.

  18. Reduced annealing temperatures in silicon solar cells

    NASA Technical Reports Server (NTRS)

    Weinberg, I.; Swartz, C. K.

    1981-01-01

    Cells irradiated to a fluence of 5x10,000,000,000,000/square cm showed short circuit current on annealing at 200 C, with complete annealing occurring at 275 C. Cells irradiated to 100,000,000,000,000/square cm showed a reduction in annealing temperature from the usual 500 to 300 C. Annealing kinetic studies yield an activation energy of (1.5 + or - 2) eV for the low fluence, low temperature anneal. Comparison with activation energies previously obtained indicate that the presently obtained activation energy is consistent with the presence of either the divacancy or the carbon interstitial carbon substitutional pair, a result which agrees with the conclusion based on defect behavior in boron-doped silicon.

  19. Annealing texture of nanostructured IF steel

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jamaati, Roohollah, E-mail: jamaati@nit.ac.ir

    In the present work, the evolution of annealing texture in nanostructured interstitial free steel fabricated via accumulative roll bonding (ARB) process was investigated. Textural evolution after post-annealing of ARB-processed samples was evaluated using X-ray diffraction. There were several texture transitions in the γ-fiber and ζ-fiber during ARB and post-annealing treatment. It was found that with increasing the number of ARB cycles, the volume fraction of the low angle grain boundary decreased and the high angle grain boundary fraction increased. Also, the shear texture was dominant after the first cycle, while for other samples, the rolling texture was dominant. The one-cyclemore » sample clearly indicated a weak α-fiber and γ-fiber and a relatively strong ζ-fiber. In addition, during the recrystallization and before the grain growth, the intensity of α-fiber and γ-fiber decreased, the intensity of ζ-fiber increased, and the intensity of (011)〈100〉 orientation in the ε-fiber and η-fiber increased. Moreover, it was concluded that the transition from the rolling texture to the shear one was a sign of occurrence of the recrystallization (before the grain growth). Finally, with increasing the number of ARB cycles, the intensity of rolling and shear textures saturated and a stable texture formed. - Highlights: • There were texture transitions in the γ-fiber and ζ-fiber. • When the number of cycles increased, the low angle grain boundaries decreased. • The shear texture was dominant after the first cycle. • Transition from rolling texture to shear one was a sign of recrystallization. • With increasing the number of ARB cycles, a stable texture formed.« less

  20. Microscopic colitis syndrome.

    PubMed Central

    Veress, B; Löfberg, R; Bergman, L

    1995-01-01

    The colorectal biopsy specimens from 30 patients with chronic watery diarrhoea but normal endoscopic and radiographic findings were studied by light microscopy, morphometry, immunohistochemistry, and two patients with electron microscopy. The histological changes in the colorectum were originally diagnosed in six patients as lymphocytic colitis and in 24 patients as collagenous colitis. The analysis of the specimens for this study could delineate three distinct groups of microscopic colitis: lymphocytic colitis (six patients), collagenous colitis without lymphocytic attack on the surface epithelium (seven patients), and a mixed form presenting with both thickening of the collagen plate and increased number of intraepithelial lymphocytes (17 patients). No transformation was seen from one type to another during follow up of six patients for four to seven years. Increased numbers of active pericryptal myofibroblasts were found with the electron microscope in one patient with mixed microscopic colitis showing also myofibroblasts entrapped within the collagen layer. Hitherto undescribed flat mucosa of the ileum was found in one patient with lymphocytic colitis and both flat mucosa and thickening of the collagen plate in the ileum were seen in one patient with the mixed form of the disease. In another patient with mixed microscopic colitis, normalisation of the colorectal morphology occurred after temporary loop ileostomy, followed by the reappearance of both diarrhoea, inflammation, and thickening of the collagen plate after the ileostomy was reversed. No association was found between non-steroid anti-inflammatory drug (NSAID) consumption and collagenous or mixed microscopic colitis. The primary cause of microscopic colitis is probably an immunological reaction to luminal antigen/s, perhaps of ileal origin. The engagement of the pericryptal myofibroblasts in the disease process might result in the development of the various forms of microscopic colitis. An inverse

  1. Unusual effects of manual grinding and subsequent annealing process observed in Gd5.09Ge2.03Si1.88 compound

    NASA Astrophysics Data System (ADS)

    Carvalho, A. M. G.; Alves, C. S.; Trevizoli, P. V.; dos Santos, A. O.; Gama, S.; Coelho, A. A.

    2018-03-01

    The Gd5.09Ge2.03Si1.88 compound, as well as other magnetocaloric materials, certainly will not be used in their un-manufactured as-cast condition in future magnetic refrigeration applications or other devices. In this work, we have studied the Gd5.09Ge2.03Si1.88 compound processed in different ways, mainly, the as-cast powder, the annealed powder, and the pressed and sintered powder. The annealed powder (1370 K/20 h) does not present the monoclinic phase and the first-order magneto-structural transition observed in the as-cast powder. The pressed and sintered powder also do not present the first-order transition. Furthermore, the compacting pressure shifts the second-order magnetic transition to lower temperatures. The behavior of cell parameters as a function of the compacting pressure indicates that T C is directly affected by parameter c change.

  2. SAGRAD: A Program for Neural Network Training with Simulated Annealing and the Conjugate Gradient Method

    PubMed Central

    Bernal, Javier; Torres-Jimenez, Jose

    2015-01-01

    SAGRAD (Simulated Annealing GRADient), a Fortran 77 program for computing neural networks for classification using batch learning, is discussed. Neural network training in SAGRAD is based on a combination of simulated annealing and Møller’s scaled conjugate gradient algorithm, the latter a variation of the traditional conjugate gradient method, better suited for the nonquadratic nature of neural networks. Different aspects of the implementation of the training process in SAGRAD are discussed, such as the efficient computation of gradients and multiplication of vectors by Hessian matrices that are required by Møller’s algorithm; the (re)initialization of weights with simulated annealing required to (re)start Møller’s algorithm the first time and each time thereafter that it shows insufficient progress in reaching a possibly local minimum; and the use of simulated annealing when Møller’s algorithm, after possibly making considerable progress, becomes stuck at a local minimum or flat area of weight space. Outlines of the scaled conjugate gradient algorithm, the simulated annealing procedure and the training process used in SAGRAD are presented together with results from running SAGRAD on two examples of training data. PMID:26958442

  3. SAGRAD: A Program for Neural Network Training with Simulated Annealing and the Conjugate Gradient Method.

    PubMed

    Bernal, Javier; Torres-Jimenez, Jose

    2015-01-01

    SAGRAD (Simulated Annealing GRADient), a Fortran 77 program for computing neural networks for classification using batch learning, is discussed. Neural network training in SAGRAD is based on a combination of simulated annealing and Møller's scaled conjugate gradient algorithm, the latter a variation of the traditional conjugate gradient method, better suited for the nonquadratic nature of neural networks. Different aspects of the implementation of the training process in SAGRAD are discussed, such as the efficient computation of gradients and multiplication of vectors by Hessian matrices that are required by Møller's algorithm; the (re)initialization of weights with simulated annealing required to (re)start Møller's algorithm the first time and each time thereafter that it shows insufficient progress in reaching a possibly local minimum; and the use of simulated annealing when Møller's algorithm, after possibly making considerable progress, becomes stuck at a local minimum or flat area of weight space. Outlines of the scaled conjugate gradient algorithm, the simulated annealing procedure and the training process used in SAGRAD are presented together with results from running SAGRAD on two examples of training data.

  4. Coherent Coupled Qubits for Quantum Annealing

    NASA Astrophysics Data System (ADS)

    Weber, Steven J.; Samach, Gabriel O.; Hover, David; Gustavsson, Simon; Kim, David K.; Melville, Alexander; Rosenberg, Danna; Sears, Adam P.; Yan, Fei; Yoder, Jonilyn L.; Oliver, William D.; Kerman, Andrew J.

    2017-07-01

    Quantum annealing is an optimization technique which potentially leverages quantum tunneling to enhance computational performance. Existing quantum annealers use superconducting flux qubits with short coherence times limited primarily by the use of large persistent currents Ip. Here, we examine an alternative approach using qubits with smaller Ip and longer coherence times. We demonstrate tunable coupling, a basic building block for quantum annealing, between two flux qubits with small (approximately 50-nA) persistent currents. Furthermore, we characterize qubit coherence as a function of coupler setting and investigate the effect of flux noise in the coupler loop on qubit coherence. Our results provide insight into the available design space for next-generation quantum annealers with improved coherence.

  5. Thermal stress modification in regenerated fiber Bragg grating via manipulation of glass transition temperature based on CO₂-laser annealing.

    PubMed

    Lai, Man-Hong; Lim, Kok-Sing; Gunawardena, Dinusha S; Yang, Hang-Zhou; Chong, Wu-Yi; Ahmad, Harith

    2015-03-01

    In this work, we have demonstrated thermal stress relaxation in regenerated fiber Bragg gratings (RFBGs) by using direct CO₂-laser annealing technique. After the isothermal annealing and slow cooling process, the Bragg wavelength of the RFBG has been red-shifted. This modification is reversible by re-annealing and rapid cooling. It is repeatable with different cooling process in the subsequent annealing treatments. This phenomenon can be attributed to the thermal stress modification in the fiber core by means of manipulation of glass transition temperature with different cooling rates. This finding in this investigation is important for accurate temperature measurement of RFBG in dynamic environment.

  6. Effects of process variables in decarburization annealing of Fe-3%Si-0.3%C steel sheet on textures and magnetic properties

    NASA Astrophysics Data System (ADS)

    Park, Se Min; Koo, Yang Mo; Shim, Byoung Yul; Lee, Dong Nyung

    2017-01-01

    In Fe-3%Si-0.3%C steel sheet, a relatively strong <100>//ND texture can evolve in the surface layer through the α→γ→α phase transformation in relatively low vacuum (4 Pa) for an annealing time of 10 min and at a cooling rate of 20 K/s. Oxidation of the steel sheet surface prevents the evolution of the <100>//ND texture. However, vacuum-annealing under a vacuum pressure of 1.3×10-3 Pa causes decarburization of the steel sheet, which suppresses oxidation of the steel sheet surface, and subsequent annealing in wet hydrogen of 363 K in dew points causes a columnar grain structure with the <100>//ND texture. After the two-step-annealing (the vacuum annealing under a vacuum pressure of 1.3×10-3 Pa and subsequent decarburizing annealing in wet hydrogen of 363 K in dew points), the decarburized steel sheet exhibits good soft magnetic properties in NO with 3%Si, W15/50 (core loss at 1.5T and 50 Hz) = 2.47 W/kg and B50 (magnetic flux density at 5000 A/m) = 1.71 T.

  7. Neuromorphic Data Microscope

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Naegle, John H.; Suppona, Roger A.; Aimone, James Bradley

    In 2016, Lewis Rhodes Labs, (LRL), shipped the first commercially viable Neuromorphic Processing Unit, (NPU), branded as a Neuromorphic Data Microscope (NDM). This product leverages architectural mechanisms derived from the sensory cortex of the human brain to efficiently implement pattern matching. LRL and Sandia National Labs have optimized this product for streaming analytics, and demonstrated a 1,000x power per operation reduction in an FPGA format. When reduced to an ASIC, the efficiency will improve to 1,000,000x. Additionally, the neuromorphic nature of the device gives it powerful computational attributes that are counterintuitive to those schooled in traditional von Neumann architectures. Themore » Neuromorphic Data Microscope is the first of a broad class of brain-inspired, time domain processors that will profoundly alter the functionality and economics of data processing.« less

  8. Ion implantation damage, annealing and dopant activation in epitaxial gallium nitride

    NASA Astrophysics Data System (ADS)

    Suvkhanov, Agajan

    2001-07-01

    Successful n- and p-doping of GaN is an extremely important technological problem. More recently, ion implantation has been used to achieve both n- and p-type GaN. The ion implantation process is accompanied by the presence of radiation defects as the result of the ion-solid interactions. The temperatures (above 1000°C) required for recovery of the implantation induced damage and dopant activation strongly affect the GaN's surface integrity due to the significant nitrogen vapor pressure. Preservation of the surface integrity of GaN during high temperature post-implantation annealing is one of the key issues in the fabrication of GaN-based light-emitting devices. The radiation damage build-up in the implanted GaN layers has been investigated as a function of ion dose and the substrate's temperature. Results of measurements of structural damage by the Rutherford backscattering/Channeling (RBS/C) and the spectroscopic ellipsometry (SE) techniques have demonstrated the complex nature of the damage build-up. Analysis of GaN implanted at high temperature has demonstrated the presence of competing processes of layer-by-layer damage build-up and defect annihilation. Using a capping layer and annealing in a sealed quartz capsule filled with dry nitrogen can preserve the integrity of the GaN's surface. In this work the ion-implanted GaN samples were capped with 40 run MOCVD (Metal Organic Chemical Vapor Deposition) grown AlN film prior to annealing. The results of this work showed the advantage of high-temperature annealing of implanted GaN in a quartz capsule with nitrogen ambient, as compared with annealing in argon and nitrogen gas flow. Partial to complete decomposition of the AlN cap and underlying GaN has been observed by RBS/C and SEM (Scanning electron microscopy) for the samples annealed in flowing argon, as well as for the samples processed in flowing nitrogen. Encapsulation with nitrogen overpressure prevented the decomposition of the AlN capping film and the Ga

  9. Performance of Quantum Annealers on Hard Scheduling Problems

    NASA Astrophysics Data System (ADS)

    Pokharel, Bibek; Venturelli, Davide; Rieffel, Eleanor

    Quantum annealers have been employed to attack a variety of optimization problems. We compared the performance of the current D-Wave 2X quantum annealer to that of the previous generation D-Wave Two quantum annealer on scheduling-type planning problems. Further, we compared the effect of different anneal times, embeddings of the logical problem, and different settings of the ferromagnetic coupling JF across the logical vertex-model on the performance of the D-Wave 2X quantum annealer. Our results show that at the best settings, the scaling of expected anneal time to solution for D-WAVE 2X is better than that of the DWave Two, but still inferior to that of state of the art classical solvers on these problems. We discuss the implication of our results for the design and programming of future quantum annealers. Supported by NASA Ames Research Center.

  10. 21 CFR 884.6190 - Assisted reproductive microscopes and microscope accessories.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... contrast microscopes, dissecting microscopes and inverted stage microscopes. (b) Classification. Class I... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Assisted reproductive microscopes and microscope... Devices § 884.6190 Assisted reproductive microscopes and microscope accessories. (a) Identification...

  11. Effect of synthesis methods with different annealing temperatures on micro structure, cations distribution and magnetic properties of nano-nickel ferrite

    NASA Astrophysics Data System (ADS)

    El-Sayed, Karimat; Mohamed, Mohamed Bakr; Hamdy, Sh.; Ata-Allah, S. S.

    2017-02-01

    Nano-crystalline NiFe2O4 was synthesized by citrate and sol-gel methods at different annealing temperatures and the results were compared with a bulk sample prepared by ceramic method. The effect of methods of preparation and different annealing temperatures on the crystallize size, strain, bond lengths, bond angles, cations distribution and degree of inversions were investigated by X-ray powder diffraction, high resolution transmission electron microscope, Mössbauer effect spectrometer and vibrating sample magnetometer. The cations distributions were determined at both octahedral and tetrahedral sites using both Mössbauer effect spectroscopy and a modified Bertaut method using Rietveld method. The Mössbauer effect spectra showed a regular decrease in the hyperfine field with decreasing particle size. Saturation magnetization and coercivity are found to be affected by the particle size and the cations distribution.

  12. Performance improvement of GaN-based metal-semiconductor-metal photodiodes grown on Si(111) substrate by thermal cycle annealing process

    NASA Astrophysics Data System (ADS)

    Lin, Jyun-Hao; Huang, Shyh-Jer; Su, Yan-Kuin

    2014-01-01

    A simple thermal cycle annealing (TCA) process was used to improve the quality of GaN grown on a Si substrate. The X-ray diffraction (XRD) and etch pit density (EPD) results revealed that using more process cycles, the defect density cannot be further reduced. However, the performance of GaN-based metal-semiconductor-metal (MSM) photodiodes (PDs) prepared on Si substrates showed significant improvement. With a two-cycle TCA process, it is found that the dark current of the device was only 1.46 × 10-11 A, and the photo-to-dark-current contrast ratio was about 1.33 × 105 at 5 V. Also, the UV/visible rejection ratios can reach as high as 1077.

  13. Electrochemical annealing of nanoporous gold by application of cyclic potential sweeps

    PubMed Central

    Sharma, Abeera; Bhattarai, Jay K.; Alla, Allan J.; Demchenko, Alexei V.; Stine, Keith J.

    2015-01-01

    An electrochemical method for annealing the pore sizes of nanoporous gold is reported. The pore sizes of nanoporous gold can be increased by electrochemical cycling with the upper potential limit being just at the onset of gold oxide formation. This study has been performed in electrolyte solutions including potassium chloride, sodium nitrate and sodium perchlorate. Scanning electron microscopy images have been used for ligament and pore size analysis. We examine the modifications of nanoporous gold due to annealing using electrochemical impedance spectroscopy, and cyclic voltammetry and offer a comparison of the surface coverage using the gold oxide stripping method as well as the method in which electrochemically accessible surface area is determined by using a diffusing redox probe. The effect of additives adsorbed on the nanoporous gold surface when subjected to annealing in different electrolytes as well as the subsequent structural changes in nanoporous gold are also reported. The effect of the annealing process on the application of nanoporous gold as a substrate for glucose electro-oxidation is briefly examined. PMID:25649027

  14. Microstructures and impact toughness behavior of Al 5083 alloy processed by cryorolling and afterwards annealing

    NASA Astrophysics Data System (ADS)

    Singh, Dharmendra; Rao, P. Nageswara; Jayaganthan, R.

    2013-08-01

    The influence of rolling at liquid nitrogen temperature and annealing on the microstructure and mechanical properties of Al 5083 alloy was studied in this paper. Cryorolled samples of Al 5083 show significant improvements in strength and hardness. The ultimate tensile strength increases up to 340 MPa and 390 MPa for the 30% and 50% cryorolled samples, respectively. The cryorolled samples, with 30% and 50% reduction, were subjected to Charpy impact testing at various temperatures from -190°C to 100°C. It is observed that increasing the percentage of reduction of samples during cryorolling has significant effect on decreasing impact toughness at all temperatures by increasing yield strength and decreasing ductility. Annealing of samples after cryorolling shows remarkable increment in impact toughness through recovery and recrystallization. The average grain size of the 50% cryorolled sample (14 μm) after annealing at 350°C for 1 h is found to be finer than that of the 30% cryorolled sample (25 μm). The scanning electron microscopy (SEM) analysis of fractured surfaces shows a large-size dimpled morphology, resembling the ductile fracture mechanism in the starting material and fibrous structure with very fine dimples in cryorolled samples corresponding to the brittle fracture mechanism.

  15. High-fluence Ga-implanted silicon—The effect of annealing and cover layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fiedler, J., E-mail: jan.fiedler@hzdr.de; Heera, V.; Hübner, R.

    2014-07-14

    The influence of SiO{sub 2} and SiN{sub x} cover layers on the dopant distribution as well as microstructure of high fluence Ga implanted Si after thermal processing is investigated. The annealing temperature determines the layer microstructure and the cover layers influence the obtained Ga profile. Rapid thermal annealing at temperatures up to 750 °C leads to a polycrystalline layer structure containing amorphous Ga-rich precipitates. Already after a short 20 ms flash lamp annealing, a Ga-rich interface layer is observed for implantation through the cover layers. This effect can partly be suppressed by annealing temperatures of at least 900 °C. However, in this case,more » Ga accumulates in larger, cone-like precipitates without disturbing the surrounding Si lattice parameters. Such a Ga-rich crystalline Si phase does not exist in the equilibrium phase diagram according to which the Ga solubility in Si is less than 0.1 at. %. The Ga-rich areas are capped with SiO{sub x} grown during annealing which only can be avoided by the usage of SiN{sub x} cover layers.« less

  16. Defect reduction in MBE-grown AlN by multicycle rapid thermal annealing

    NASA Astrophysics Data System (ADS)

    Greenlee, Jordan D.; Gunning, Brendan; Feigelson, Boris N.; Anderson, Travis J.; Koehler, Andrew D.; Hobart, Karl D.; Kub, Francis J.; Doolittle, W. Alan

    2016-01-01

    Multicycle rapid thermal annealing (MRTA) is shown to reduce the defect density of molecular beam epitaxially grown AlN films. No damage to the AlN surface occurred after performing the MRTA process at 1520°C. However, the individual grain structure was altered, with the emergence of step edges. This change in grain structure and diffusion of AlN resulted in an improvement in the crystalline structure. The Raman E2 linewidth decreased, confirming an improvement in crystal quality. The optical band edge of the AlN maintained the expected value of 6.2 eV throughout MRTA annealing, and the band edge sharpened after MRTA annealing at increased temperatures, providing further evidence of crystalline improvement. X-ray diffraction shows a substantial improvement in the (002) and (102) rocking curve FWHM for both the 1400 and 1520°C MRTA annealing conditions compared to the as-grown films, indicating that the screw and edge type dislocation densities decreased. Overall, the MRTA post-growth annealing of AlN lowers defect density, and thus will be a key step to improving optoelectronic and power electronic devices. [Figure not available: see fulltext.

  17. Thermal annealing of radiation damage in CMOS ICs in the temperature range -140 C to +375 C

    NASA Technical Reports Server (NTRS)

    Danchenko, V.; Fang, P. H.; Brashears, S. S.

    1982-01-01

    Annealing of radiation damage was investigated in the commercial, Z- and J-processes of the RCA CD4007A ICs in the temperature range from -140 C to +375 C. Tempering curves were analyzed for activation energies of thermal annealing, following irradiation at -140 C. It was found that at -140 C, the radiation-induced shifts in the threshold potentials were similar for all three processes. The radiation hardness of the Z- and J-process is primarily due to rapid annealing of radiation damage at room temperature. In the region -140 to 20 C, no dopant-dependent charge trapping is seen, similar to that observed at higher temperatures. In the unbiased Z-process n-channels, after 1 MeV electron irradiation, considerable negative charge remains in the gate oxide.

  18. Temperature Scaling Law for Quantum Annealing Optimizers.

    PubMed

    Albash, Tameem; Martin-Mayor, Victor; Hen, Itay

    2017-09-15

    Physical implementations of quantum annealing unavoidably operate at finite temperatures. We point to a fundamental limitation of fixed finite temperature quantum annealers that prevents them from functioning as competitive scalable optimizers and show that to serve as optimizers annealer temperatures must be appropriately scaled down with problem size. We derive a temperature scaling law dictating that temperature must drop at the very least in a logarithmic manner but also possibly as a power law with problem size. We corroborate our results by experiment and simulations and discuss the implications of these to practical annealers.

  19. Photo-response behavior of organic transistors based on thermally annealed semiconducting diketopyrrolopyrrole core

    NASA Astrophysics Data System (ADS)

    Tarsoly, Gergely; Pyo, Seungmoon

    2018-06-01

    We report the opto-electrical response of organic field-effect transistors based on a thin-film of a semiconducting diketopyrrolopyrrole (DPP) core, a popular building block for molecular semiconductors, and a polymeric gate dielectric. The thin-film of the DPP core was thermally annealed at different temperatures under N2 atmosphere to investigate the relationship between the annealing temperature and the electrical properties of the device. The results showed that the annealing process induces morphological changes in the thin film, and properly controlling the thermal annealing conditions can enhance the device performance. In addition, we also investigated in detail the photo-response behaviors by analyzing the responsivity (R) of the device with the optimally annealed DPP-core thin film under two light illumination conditions by considering the irradiance absorbed by the thin film instead of the total irradiance of the light source. We found that the proposed model could lead to a light-source-independent description of the photo-response behavior of the device, and which can be used for other applications.

  20. Effect of Vertical Annealing on the Nitrogen Dioxide Response of Organic Thin Film Transistors

    PubMed Central

    Hou, Sihui; Zhuang, Xinming; Yang, Zuchong

    2018-01-01

    Nitrogen dioxide (NO2) sensors based on organic thin-film transistors (OTFTs) were fabricated by conventional annealing (horizontal) and vertical annealing processes of organic semiconductor (OSC) films. The NO2 responsivity of OTFTs to 15 ppm of NO2 is 1408% under conditions of vertical annealing and only 72% when conventional annealing is applied. Moreover, gas sensors obtained by vertical annealing achieve a high sensing performance of 589% already at 1 ppm of NO2, while showing a preferential response to NO2 compared with SO2, NH3, CO, and H2S. To analyze the mechanism of performance improvement of OTFT gas sensors, the morphologies of 6,13-bis(triisopropylsilylethynyl)-pentacene (TIPS-pentacene) films were characterized by atomic force microscopy (AFM) in tapping mode. The results show that, in well-aligned TIPS-pentacene films, a large number of effective grain boundaries inside the conducting channel contribute to the enhancement of NO2 gas sensing performance. PMID:29596331

  1. Microscopic structure and electrical transport property of sputter-deposited amorphous indium-gallium-zinc oxide semiconductor films

    NASA Astrophysics Data System (ADS)

    Yabuta, H.; Kaji, N.; Shimada, M.; Aiba, T.; Takada, K.; Omura, H.; Mukaide, T.; Hirosawa, I.; Koganezawa, T.; Kumomi, H.

    2014-06-01

    We report on microscopic structures and electrical and optical properties of sputter-deposited amorphous indium-gallium-zinc oxide (a-IGZO) films. From electron microscopy observations and an x-ray small angle scattering analysis, it has been confirmed that the sputtered a-IGZO films consist of a columnar structure. However, krypton gas adsorption measurement revealed that boundaries of the columnar grains are not open-pores. The conductivity of the sputter-deposited a-IGZO films shows a change as large as seven orders of magnitude depending on post-annealing atmosphere; it is increased by N2-annealing and decreased by O2-annealing reversibly, at a temperature as low as 300°C. This large variation in conductivity is attributed to thermionic emission of carrier electrons through potential barriers at the grain boundaries, because temperature dependences of the carrier density and the Hall mobility exhibit thermal activation behaviours. The optical band-gap energy of the a-IGZO films changes between before and after annealing, but is independent of the annealing atmosphere, in contrast to the noticeable dependence of conductivity described above. For exploring other possibilities of a-IGZO, we formed multilayer films with an artificial periodic lattice structure consisting of amorphous InO, GaO, and ZnO layers, as an imitation of the layer-structured InGaZnO4 homologous phase. The hall mobility of the multilayer films was almost constant for thicknesses of the constituent layer between 1 and 6 Å, suggesting rather small contribution of lateral two-dimensional conduction It increased with increasing the thickness in the range from 6 to 15 Å, perhaps owing to an enhancement of two-dimensional conduction in InO layers.

  2. Solving a Higgs optimization problem with quantum annealing for machine learning.

    PubMed

    Mott, Alex; Job, Joshua; Vlimant, Jean-Roch; Lidar, Daniel; Spiropulu, Maria

    2017-10-18

    The discovery of Higgs-boson decays in a background of standard-model processes was assisted by machine learning methods. The classifiers used to separate signals such as these from background are trained using highly unerring but not completely perfect simulations of the physical processes involved, often resulting in incorrect labelling of background processes or signals (label noise) and systematic errors. Here we use quantum and classical annealing (probabilistic techniques for approximating the global maximum or minimum of a given function) to solve a Higgs-signal-versus-background machine learning optimization problem, mapped to a problem of finding the ground state of a corresponding Ising spin model. We build a set of weak classifiers based on the kinematic observables of the Higgs decay photons, which we then use to construct a strong classifier. This strong classifier is highly resilient against overtraining and against errors in the correlations of the physical observables in the training data. We show that the resulting quantum and classical annealing-based classifier systems perform comparably to the state-of-the-art machine learning methods that are currently used in particle physics. However, in contrast to these methods, the annealing-based classifiers are simple functions of directly interpretable experimental parameters with clear physical meaning. The annealer-trained classifiers use the excited states in the vicinity of the ground state and demonstrate some advantage over traditional machine learning methods for small training datasets. Given the relative simplicity of the algorithm and its robustness to error, this technique may find application in other areas of experimental particle physics, such as real-time decision making in event-selection problems and classification in neutrino physics.

  3. Solving a Higgs optimization problem with quantum annealing for machine learning

    NASA Astrophysics Data System (ADS)

    Mott, Alex; Job, Joshua; Vlimant, Jean-Roch; Lidar, Daniel; Spiropulu, Maria

    2017-10-01

    The discovery of Higgs-boson decays in a background of standard-model processes was assisted by machine learning methods. The classifiers used to separate signals such as these from background are trained using highly unerring but not completely perfect simulations of the physical processes involved, often resulting in incorrect labelling of background processes or signals (label noise) and systematic errors. Here we use quantum and classical annealing (probabilistic techniques for approximating the global maximum or minimum of a given function) to solve a Higgs-signal-versus-background machine learning optimization problem, mapped to a problem of finding the ground state of a corresponding Ising spin model. We build a set of weak classifiers based on the kinematic observables of the Higgs decay photons, which we then use to construct a strong classifier. This strong classifier is highly resilient against overtraining and against errors in the correlations of the physical observables in the training data. We show that the resulting quantum and classical annealing-based classifier systems perform comparably to the state-of-the-art machine learning methods that are currently used in particle physics. However, in contrast to these methods, the annealing-based classifiers are simple functions of directly interpretable experimental parameters with clear physical meaning. The annealer-trained classifiers use the excited states in the vicinity of the ground state and demonstrate some advantage over traditional machine learning methods for small training datasets. Given the relative simplicity of the algorithm and its robustness to error, this technique may find application in other areas of experimental particle physics, such as real-time decision making in event-selection problems and classification in neutrino physics.

  4. Development of microstructure and mechanical properties during annealing of a cold-swaged Co-Cr-Mo alloy rod.

    PubMed

    Mori, Manami; Sato, Nanae; Yamanaka, Kenta; Yoshida, Kazuo; Kuramoto, Koji; Chiba, Akihiko

    2016-12-01

    In this study, we investigated the evolution of the microstructure and mechanical properties during annealing of a cold-swaged Ni-free Co-Cr-Mo alloy for biomedical applications. A Co-28Cr-6Mo-0.14N-0.05C (mass%) alloy rod was processed by cold swaging, with a reduction in area of 27.7%, and then annealed at 1173-1423K for various periods up to 6h. The duplex microstructure of the cold-swaged rod consisted of a face-centered cubic γ-matrix and hexagonal closed-packed ε-martensite developed during cold swaging. This structure transformed nearly completely to the γ-phase after annealing and many annealing twin boundaries were observed as a result of the heat treatment. A small amount of the ε-phase was identified in specimens annealed at 1173K. Growth of the γ-grains occurred with increasing annealing time at temperatures ≥1273K. Interestingly, the grain sizes remained almost unchanged at 1173K and a very fine grain size of approximately 8μm was obtained. The precipitation that occurred during annealing was attributed to the limited grain coarsening during heat treatment. Consequently, the specimens treated at this temperature showed the highest tensile strength and lowest ductility among the specimens prepared. An elongation-to-failure value larger than 30% is sufficient for the proposed applications. The other specimens treated at higher temperatures possessed similar tensile properties and did not show any significant variations with different annealing times. Optimization of the present rod manufacturing process, including cold swaging and interval annealing heat treatment, is discussed. Copyright © 2016 Elsevier Ltd. All rights reserved.

  5. Scanning electron microscope automatic defect classification of process induced defects

    NASA Astrophysics Data System (ADS)

    Wolfe, Scott; McGarvey, Steve

    2017-03-01

    With the integration of high speed Scanning Electron Microscope (SEM) based Automated Defect Redetection (ADR) in both high volume semiconductor manufacturing and Research and Development (R and D), the need for reliable SEM Automated Defect Classification (ADC) has grown tremendously in the past few years. In many high volume manufacturing facilities and R and D operations, defect inspection is performed on EBeam (EB), Bright Field (BF) or Dark Field (DF) defect inspection equipment. A comma separated value (CSV) file is created by both the patterned and non-patterned defect inspection tools. The defect inspection result file contains a list of the inspection anomalies detected during the inspection tools' examination of each structure, or the examination of an entire wafers surface for non-patterned applications. This file is imported into the Defect Review Scanning Electron Microscope (DRSEM). Following the defect inspection result file import, the DRSEM automatically moves the wafer to each defect coordinate and performs ADR. During ADR the DRSEM operates in a reference mode, capturing a SEM image at the exact position of the anomalies coordinates and capturing a SEM image of a reference location in the center of the wafer. A Defect reference image is created based on the Reference image minus the Defect image. The exact coordinates of the defect is calculated based on the calculated defect position and the anomalies stage coordinate calculated when the high magnification SEM defect image is captured. The captured SEM image is processed through either DRSEM ADC binning, exporting to a Yield Analysis System (YAS), or a combination of both. Process Engineers, Yield Analysis Engineers or Failure Analysis Engineers will manually review the captured images to insure that either the YAS defect binning is accurately classifying the defects or that the DRSEM defect binning is accurately classifying the defects. This paper is an exploration of the feasibility of the

  6. High-Performance All-Solid-State Na-S Battery Enabled by Casting-Annealing Technology.

    PubMed

    Fan, Xiulin; Yue, Jie; Han, Fudong; Chen, Ji; Deng, Tao; Zhou, Xiuquan; Hou, Singyuk; Wang, Chunsheng

    2018-04-24

    Room-temperature all-solid-state Na-S batteries (ASNSBs) using sulfide solid electrolytes are a promising next-generation battery technology due to the high energy, enhanced safety, and earth abundant resources of both sodium and sulfur. Currently, the sulfide electrolyte ASNSBs are fabricated by a simple cold-pressing process leaving with high residential stress. Even worse, the large volume change of S/Na 2 S during charge/discharge cycles induces additional stress, seriously weakening the less-contacted interfaces among the solid electrolyte, active materials, and the electron conductive agent that are formed in the cold-pressing process. The high and continuous increase of the interface resistance hindered its practical application. Herein, we significantly reduce the interface resistance and eliminate the residential stress in Na 2 S cathodes by fabricating Na 2 S-Na 3 PS 4 -CMK-3 nanocomposites using melting-casting followed by stress-release annealing-precipitation process. The casting-annealing process guarantees the close contact between the Na 3 PS 4 solid electrolyte and the CMK-3 mesoporous carbon in mixed ionic/electronic conductive matrix, while the in situ precipitated Na 2 S active species from the solid electrolyte during the annealing process guarantees the interfacial contact among these three subcomponents without residential stress, which greatly reduces the interfacial resistance and enhances the electrochemical performance. The in situ synthesized Na 2 S-Na 3 PS 4 -CMK-3 composite cathode delivers a stable and highly reversible capacity of 810 mAh/g at 50 mA/g for 50 cycles at 60 °C. The present casting-annealing strategy should provide opportunities for the advancement of mechanically robust and high-performance next-generation ASNSBs.

  7. Controlling superconductivity in La 2-xSr xCuO 4+δ by ozone and vacuum annealing

    DOE PAGES

    Leng, Xiang; Bozovic, Ivan

    2014-11-21

    In this study we performed a series of ozone and vacuum annealing experiments on epitaxial La 2-xSr xCuO 4+δ thin films. The transition temperature after each annealing step has been measured by the mutual inductance technique. The relationship between the effective doping and the vacuum annealing time has been studied. Short-time ozone annealing at 470 °C oxidizes an underdoped film all the way to the overdoped regime. The subsequent vacuum annealing at 350 °C to 380 °C slowly brings the sample across the optimal doping point back to the undoped, non-superconducting state. Several ozone and vacuum annealing cycles have beenmore » done on the same sample and the effects were found to be repeatable and reversible Vacuum annealing of ozone-loaded LSCO films is a very controllable process, allowing one to tune the doping level of LSCO in small steps across the superconducting dome, which can be used for fundamental physics studies.« less

  8. Controlling superconductivity in La 2-xSr xCuO 4+δ by ozone and vacuum annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Leng, Xiang; Bozovic, Ivan

    In this study we performed a series of ozone and vacuum annealing experiments on epitaxial La 2-xSr xCuO 4+δ thin films. The transition temperature after each annealing step has been measured by the mutual inductance technique. The relationship between the effective doping and the vacuum annealing time has been studied. Short-time ozone annealing at 470 °C oxidizes an underdoped film all the way to the overdoped regime. The subsequent vacuum annealing at 350 °C to 380 °C slowly brings the sample across the optimal doping point back to the undoped, non-superconducting state. Several ozone and vacuum annealing cycles have beenmore » done on the same sample and the effects were found to be repeatable and reversible Vacuum annealing of ozone-loaded LSCO films is a very controllable process, allowing one to tune the doping level of LSCO in small steps across the superconducting dome, which can be used for fundamental physics studies.« less

  9. Rapid thermal annealing of Amorphous Hydrogenated Carbon (a-C:H) films

    NASA Technical Reports Server (NTRS)

    Alterovitz, Samuel A.; Pouch, John J.; Warner, Joseph D.

    1987-01-01

    Amorphous hydrogenated carbon (a-C:H) films were deposited on silicon and quartz substrates by a 30 kHz plasma discharge technique using methane. Rapid thermal processing of the films was accomplished in nitrogen gas using tungsten halogen light. The rapid thermal processing was done at several fixed temperatures (up to 600 C), as a function of time (up to 1800 sec). The films were characterized by optical absorption and by ellipsometry in the near UV and the visible. The bandgap, estimated from extrapolation of the linear part of a Tauc plot, decreases both with the annealing temperature and the annealing time, with the temperature dependence being the dominating factor. The density of states parameter increases up to 25 percent and the refractive index changes up to 20 percent with temperature increase. Possible explanations of the mechanisms involved in these processes are discussed.

  10. Analysis and optimization of population annealing

    NASA Astrophysics Data System (ADS)

    Amey, Christopher; Machta, Jonathan

    2018-03-01

    Population annealing is an easily parallelizable sequential Monte Carlo algorithm that is well suited for simulating the equilibrium properties of systems with rough free-energy landscapes. In this work we seek to understand and improve the performance of population annealing. We derive several useful relations between quantities that describe the performance of population annealing and use these relations to suggest methods to optimize the algorithm. These optimization methods were tested by performing large-scale simulations of the three-dimensional (3D) Edwards-Anderson (Ising) spin glass and measuring several observables. The optimization methods were found to substantially decrease the amount of computational work necessary as compared to previously used, unoptimized versions of population annealing. We also obtain more accurate values of several important observables for the 3D Edwards-Anderson model.

  11. A helium-based model for the effects of radiation damage annealing on helium diffusion kinetics in apatite

    NASA Astrophysics Data System (ADS)

    Willett, Chelsea D.; Fox, Matthew; Shuster, David L.

    2017-11-01

    Widely used to study surface processes and the development of topography through geologic time, (U-Th)/He thermochronometry in apatite depends on a quantitative description of the kinetics of 4He diffusion across a range of temperatures, timescales, and geologic scenarios. Empirical observations demonstrate that He diffusivity in apatite is not solely a function of temperature, but also depends on damage to the crystal structure from radioactive decay processes. Commonly-used models accounting for the influence of thermal annealing of radiation damage on He diffusivity assume the net effects evolve in proportion to the rate of fission track annealing, although the majority of radiation damage results from α-recoil. While existing models adequately quantify the net effects of damage annealing in many geologic scenarios, experimental work suggests different annealing rates for the two damage types. Here, we introduce an alpha-damage annealing model (ADAM) that is independent of fission track annealing kinetics, and directly quantifies the influence of thermal annealing on He diffusivity in apatite. We present an empirical fit to diffusion kinetics data and incorporate this fit into a model that tracks the competing effects of radiation damage accumulation and annealing on He diffusivity in apatite through geologic time. Using time-temperature paths to illustrate differences between models, we highlight the influence of damage annealing on data interpretation. In certain, but not all, geologic scenarios, the interpretation of low-temperature thermochronometric data can be strongly influenced by which model of radiation damage annealing is assumed. In particular, geologic scenarios involving 1-2 km of sedimentary burial are especially sensitive to the assumed rate of annealing and its influence on He diffusivity. In cases such as basement rocks in Grand Canyon and the Canadian Shield, (U-Th)/He ages predicted from the ADAM can differ by hundreds of Ma from those

  12. Annealed importance sampling with constant cooling rate

    NASA Astrophysics Data System (ADS)

    Giovannelli, Edoardo; Cardini, Gianni; Gellini, Cristina; Pietraperzia, Giangaetano; Chelli, Riccardo

    2015-02-01

    Annealed importance sampling is a simulation method devised by Neal [Stat. Comput. 11, 125 (2001)] to assign weights to configurations generated by simulated annealing trajectories. In particular, the equilibrium average of a generic physical quantity can be computed by a weighted average exploiting weights and estimates of this quantity associated to the final configurations of the annealed trajectories. Here, we review annealed importance sampling from the perspective of nonequilibrium path-ensemble averages [G. E. Crooks, Phys. Rev. E 61, 2361 (2000)]. The equivalence of Neal's and Crooks' treatments highlights the generality of the method, which goes beyond the mere thermal-based protocols. Furthermore, we show that a temperature schedule based on a constant cooling rate outperforms stepwise cooling schedules and that, for a given elapsed computer time, performances of annealed importance sampling are, in general, improved by increasing the number of intermediate temperatures.

  13. Accumulative Roll Bonding and Post-Deformation Annealing of Cu-Al-Mn Shape Memory Alloy

    NASA Astrophysics Data System (ADS)

    Moghaddam, Ahmad Ostovari; Ketabchi, Mostafa; Afrasiabi, Yaser

    2014-12-01

    Accumulative roll bonding is a severe plastic deformation process used for Cu-Al-Mn shape memory alloy. The main purpose of this study is to investigate the possibility of grain refinement of Cu-9.5Al-8.2Mn (in wt.%) shape memory alloy using accumulative roll bonding and post-deformation annealing. The alloy was successfully subjected to 5 passes of accumulative roll bonding at 600 °C. The microstructure, properties as well as post-deformation annealing of this alloy were investigated by optical microscopy, scanning electron microscopy, x-ray diffraction, differential scanning calorimeter, and bend and tensile testing. The results showed that after 5 passes of ARB at 600 °C, specimens possessed α + β microstructure with the refined grains, but martensite phases and consequently shape memory effect completely disappeared. Post-deformation annealing was carried out at 700 °C, and the martensite phase with the smallest grain size (less than 40 μm) was obtained after 150 s of annealing at 700 °C. It was found that after 5 passes of ARB and post-deformation annealing, the stability of SME during thermal cycling improved. Also, tensile properties of alloys significantly improved after post-deformation annealing.

  14. Influence of annealing temperature on the microstructure and magnetic properties of Ni/NiO core-shell nanowires

    NASA Astrophysics Data System (ADS)

    Xiang, Wenfeng; Liu, Yuan; Yao, Jiangfeng; Sun, Rui

    2018-03-01

    Ni/NiO core-shell nanowires (NWs) were synthesized by thermal annealing of Ni NWs and variations in the microstructure, surface morphology, and magnetic properties of the NWs as a function of annealing temperature were investigated. The results showed that the grain size and crystal quality of NiO increased with an increasing annealing temperature. Specially, the effect of annealing temperature was much greater than annealing time for the formation of Ni/NiO NWs during the oxidization process. The total weight gain of the Ni/NiO NWs continuously increased when the annealing temperature was lower than 400 °C and the annealing time was more than 2 h; however, the weight gain of the Ni/NiO NWs was almost constant after annealing for 40 min when the annealing temperature was higher than 500 °C. The thorns on the surface of the Ni/NiO NWs gradually passivated and magnetic properties declined when the annealing temperature was increased from 300 °C to 400 °C. Smooth Ni/NiO NWs with no magnetic properties were prepared when the annealing temperature was over 500 °C. The detail study regarding the formation and evolution of Ni/NiO NWs is of considerable value and may provide useful information regarding the choice of post-treatment parameters for different applications of Ni/NiO NWs.

  15. Magnetic assembly and annealing of colloidal lattices and superlattices.

    PubMed

    Tierno, Pietro

    2014-07-08

    The ability to assemble mesoscopic colloidal lattices above a surface is important for fundamental studies related with nucleation and crystallization but also for a variety of technological applications in photonics and microengineering. Current techniques based on particle sedimentation above a lithographic template are limited by a slow deposition process and by the use of static templates, which make difficult to implement fast annealing procedures. Here it is demonstrated a method to realize and anneal a series of colloidal lattices displaying triangular, honeycomb, or kagome-like symmetry above a structure magnetic substrate. By using a binary mixture of particles, superlattices can be realized increasing further the variety and complexity of the colloidal patterns which can be produced.

  16. Role of stresses in annealing of ion-implantation damage in Si

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seshan, K.; EerNisse, E.P.

    Recent results showing a crystallographic orientation dependence of growth kinetics, secondary defects, and stress relief in annealing of ion-implanted Si are shown to be self-consistent if interpreted in terms of the influence of stresses upon annealing processes. The stress influence proposed is microplastic shear which is induced in (112) directions on (111) planes inclined to the implant surface by the biaxial stress created in the implant region by ion-implantation damage. The shear stresses are shown to be dependent on crystallographic orientation in a manner consistent with the model.

  17. Controlled atmosphere annealing of ion implanted gallium arsenide. Final report 1 Jul 76-30 Nov 79

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Anderson, C.L.; Eu, V.; Feng, M.

    1980-08-01

    Controlled atmosphere techniques were developed as an alternative to dielectric encapsulation for the high temperature anneal of ion implanted layers in GaAs. Two approaches: (1) the controlled atmosphere technique (CAT), and (2) the melt controlled ambient technique (MCAT) have been investigated. Using the CAT procedure, which involves annealing in flowing hydrogen with an arsenic overpressure, annealing without detectable surface erosion, has been performed at temperatures as high as 950 C, with or without encapsulants. Impurity diffusion, damage recovery, and electrical activity were investigated as a function of anneal parameters. Range studies of technologically important impurities such as S, Si, Se,more » Be and Mg were carried out. For the first time the role of the encapsulant on implanted profile degradation and the importance of Cr redistribution during the anneal cycle were determined. An improved CAT anneal system capable of production quantity throughput was developed and is in current use for device processing.« less

  18. Post deposition annealing effect on the properties of Al2O3/InP interface

    NASA Astrophysics Data System (ADS)

    Kim, Hogyoung; Kim, Dong Ha; Choi, Byung Joon

    2018-02-01

    Post deposition in-situ annealing effect on the interfacial and electrical properties of Au/Al2O3/n-InP junctions were investigated. With increasing the annealing time, both the barrier height and ideality factor changed slightly but the series resistance decreased significantly. Photoluminescence (PL) measurements showed that the intensities of both the near band edge (NBE) emission from InP and defect-related bands (DBs) from Al2O3 decreased with 30 min annealing. With increasing the annealing time, the diffusion of oxygen (indium) atoms into Al2O3/InP interface (into Al2O3 layer) occurred more significantly, giving rise to the increase of the interface state density. Therefore, the out-diffusion of oxygen atoms from Al2O3 during the annealing process should be controlled carefully to optimize the Al2O3/InP based devices.

  19. Thermal annealing induced the tunable optical properties of silver thin films with linear variable thickness

    NASA Astrophysics Data System (ADS)

    Hong, Ruijin; Shao, Wen; Ji, Jialin; Tao, Chunxian; Zhang, Dawei

    2018-06-01

    Silver thin films with linear variable thickness were deposited at room temperature. The corresponding tunability of optical properties and Raman scattering intensity were realized by thermal annealing process. With the thickness increasing, the topography of as-annealed silver thin films was observed to develop from discontinued nanospheres into continuous structure with a redshift of the surface plasmon resonance wavelength in visible region. Both the various nanosphere sizes and states of aggregation of as-annealed silver thin films contributed to significantly increasing the sensitivity of surface enhanced Raman scattering (SERS).

  20. Computational Multiqubit Tunnelling in Programmable Quantum Annealers

    DTIC Science & Technology

    2016-08-25

    ARTICLE Received 3 Jun 2015 | Accepted 26 Nov 2015 | Published 7 Jan 2016 Computational multiqubit tunnelling in programmable quantum annealers...state itself. Quantum tunnelling has been hypothesized as an advantageous physical resource for optimization in quantum annealing. However, computational ...qubit tunnelling plays a computational role in a currently available programmable quantum annealer. We devise a probe for tunnelling, a computational

  1. Multicycle rapid thermal annealing optimization of Mg-implanted GaN: Evolution of surface, optical, and structural properties

    NASA Astrophysics Data System (ADS)

    Greenlee, Jordan D.; Feigelson, Boris N.; Anderson, Travis J.; Tadjer, Marko J.; Hite, Jennifer K.; Mastro, Michael A.; Eddy, Charles R.; Hobart, Karl D.; Kub, Francis J.

    2014-08-01

    The first step of a multi-cycle rapid thermal annealing process was systematically studied. The surface, structure, and optical properties of Mg implanted GaN thin films annealed at temperatures ranging from 900 to 1200 °C were investigated by Raman spectroscopy, photoluminescence, UV-visible spectroscopy, atomic force microscopy, and Nomarski microscopy. The GaN thin films are capped with two layers of in-situ metal organic chemical vapor deposition -grown AlN and annealed in 24 bar of N2 overpressure to avoid GaN decomposition. The crystal quality of the GaN improves with increasing annealing temperature as confirmed by UV-visible spectroscopy and the full widths at half maximums of the E2 and A1 (LO) Raman modes. The crystal quality of films annealed above 1100 °C exceeds the quality of the as-grown films. At 1200 °C, Mg is optically activated, which is determined by photoluminescence measurements. However, at 1200 °C, the GaN begins to decompose as evidenced by pit formation on the surface of the samples. Therefore, it was determined that the optimal temperature for the first step in a multi-cycle rapid thermal anneal process should be conducted at 1150 °C due to crystal quality and surface morphology considerations.

  2. Deconvoluting the mechanism of microwave annealing of block copolymer thin films.

    PubMed

    Jin, Cong; Murphy, Jeffrey N; Harris, Kenneth D; Buriak, Jillian M

    2014-04-22

    The self-assembly of block copolymer (BCP) thin films is a versatile method for producing periodic nanoscale patterns with a variety of shapes. The key to attaining a desired pattern or structure is the annealing step undertaken to facilitate the reorganization of nanoscale phase-segregated domains of the BCP on a surface. Annealing BCPs on silicon substrates using a microwave oven has been shown to be very fast (seconds to minutes), both with and without contributions from solvent vapor. The mechanism of the microwave annealing process remains, however, unclear. This work endeavors to uncover the key steps that take place during microwave annealing, which enable the self-assembly process to proceed. Through the use of in situ temperature monitoring with a fiber optic temperature probe in direct contact with the sample, we have demonstrated that the silicon substrate on which the BCP film is cast is the dominant source of heating if the doping of the silicon wafer is sufficiently low. Surface temperatures as high as 240 °C are reached in under 1 min for lightly doped, high resistivity silicon wafers (n- or p-type). The influence of doping, sample size, and BCP composition was analyzed to rule out other possible mechanisms. In situ temperature monitoring of various polymer samples (PS, P2VP, PMMA, and the BCPs used here) showed that the polymers do not heat to any significant extent on their own with microwave irradiation of this frequency (2.45 GHz) and power (∼600 W). It was demonstrated that BCP annealing can be effectively carried out in 60 s on non-microwave-responsive substrates, such as highly doped silicon, indium tin oxide (ITO)-coated glass, glass, and Kapton, by placing a piece of high resistivity silicon wafer in contact with the sample-in this configuration, the silicon wafer is termed the heating element. Annealing and self-assembly of polystyrene-block-poly(2-vinylpyridine) (PS-b-P2VP) and polystyrene-block-poly(methyl methacrylate) (PS

  3. Microscopic image processing systems for measuring nonuniform film thickness profiles

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, A.H.; Plawsky, J.L.; DasGupta, S.

    1994-01-01

    In very thin liquid films. transport processes are controlled by the temperature and the interfacial intermolecular force field which is a function of the film thickness profile and interfacial properties. The film thickness profile and interfacial properties can be measured most efficiently using a microscopic image processing system. IPS, to record the intensity pattern of the reflected light from the film. There are two types of IPS: an image analyzing interferometer (IAI) and/or an image scanning ellipsometer (ISE). The ISE is a novel technique to measure the two dimensional thickness profile of a nonuniform, thin film, from 1 nm upmore » to several {mu}m, in a steady state as well as in a transient state. It is a full field imaging technique which can study every point on the surface simultaneously with high spatial resolution and thickness sensitivity, i.e., it can measure and map the 2-D film thickness profile. Using the ISE, the transient thickness profile of a draining thin liquid film was measured and modeled. The interfacial conditions were determined in situ by measuring the Hamaker constant. The ISE and IAI systems are compared.« less

  4. Thermal stability of Pt-Ti bilayer films annealing in vacuum and ambient atmosphere

    NASA Astrophysics Data System (ADS)

    Weng, Sizhe; Qiao, Li; Wang, Peng

    2018-06-01

    The thermal stability of platinum/titanium bilayer film dominates the performance when the film electrodes operate under extreme conditions, such as high temperature. In this study, a platinum/titanium bilayer film deposited by magnetron sputtering was used as a model system to study the influence of annealing in vacuum and ambient atmosphere on structural and electrical resistivity changes. The results show that in both cases blow 773 K annealing the metal platinum is the dominant phase, the alloying and the diffusion happen only at the interface of Pt and Ti. Two different structural evolutions set in when the temperature above 873 K, in vacuum an alloying process promotes with increasing of annealing temperature and metal Pt phase transforms to TiPt8 and finally to TiPt3 compounds, which leads to the increase of electrical resistivity. In ambient atmosphere annealing, when titanium diffused out to the surface of film, the oxidation reaction between titanium and oxygen suppresses the alloying process between platinum and titanium, in this case the metal Pt phase remains in the film and starts to agglomerate, defects such as grain boundary and voids in film reduced due to the recrystallization, results in the reduction of electrical resistivity.

  5. Effect of post-annealing on sputtered MoS2 films

    NASA Astrophysics Data System (ADS)

    Wong, W. C.; Ng, S. M.; Wong, H. F.; Cheng, W. F.; Mak, C. L.; Leung, C. W.

    2017-12-01

    Typical routes for fabricating MoS2-based electronic devices rely on the transfer of as-prepared flakes to target substrates, which is incompatible with conventional device fabrication methods. In this work we investigated the preparation of MoS2 films by magnetron sputtering. By subjecting room-temperature sputtered MoS2 films to post-annealing at mild conditions (450 °C in a nitrogen flow), crystalline MoS2 films were formed. To demonstrate the compatibility of the technique with typical device fabrication processes, MoS2 was prepared on epitaxial magnetic oxide films of La0.7Sr0.3MnO3, and the magnetic behavior of the films were unaffected by the post-annealing process. This work demonstrates the possibility of fabricating electronic and spintronic devices based on continuous MoS2 films prepared by sputtering deposition.

  6. Quenched-in defects in flashlamp-annealed silicon

    NASA Technical Reports Server (NTRS)

    Borenstein, J. T.; Jones, J. T.; Corbett, J. W.; Oehrlein, G. S.; Kleinhenz, R. L.

    1986-01-01

    Deep levels introduced in boron-doped silicon by heat-pulse annealing with a tungsten-halogen flashlamp are investigated using deep-level transient spectroscopy. Two majority-carrier trapping levels in the band gap, at Ev + 0.32 eV and at Ev + 0.45 eV, are observed. These results are compared to those obtained by furnace-quenching and laser-annealing studies. Both the position in the gap and the annealing kinetics of the hole trap at Ev + 0.45 eV suggest that this center is due to an interstitial iron impurity in the lattice. The deep levels are not consistently observed in all flashlamp-annealed Si crystals utilized.

  7. Effect of stabilization annealing on SCC susceptibility of β-annealed Ti-6Al-4V alloy in 0.6 M NaCl solution

    NASA Astrophysics Data System (ADS)

    Jeong, Daeho; Park, Jiho; Ahn, Soojin; Sung, Hyokyung; Kwon, Yongnam; Kim, Sangshik

    2018-01-01

    The effect of stabilization annealing on the stress corrosion cracking (SCC) susceptibility of β-annealed Ti-6Al-4V (Ti64) alloy was examined in an aqueous 0.6 M NaCl solution under various applied potentials of +0.1, -0.05 and -0.1 V vs Ecorr, respectively, at a strain rate of 10 -6 s -1. The stabilization annealing substantially improved the resistance to SCC of β-annealed Ti64 alloy in 0.6 M NaCl solution under cathodic applied potentials, while the effect was marginal under an anodic applied potential. It was also noted that the areal fraction between ductile and brittle fracture of β-annealed Ti64 specimens, which were slow strain rate tested in 0.6 M NaCl solution, varied with stabilization annealing and applied potentials. The effect of stabilization annealing on the SCC behavior of β-annealed Ti64 alloy in SCC-causing environment was discussed based on the micrographic and fractographic observation.

  8. Impact of laser anneal on NiPt silicide texture and chemical composition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Feautrier, C.; Ozcan, A. S.; Lavoie, C.

    We have combined synchrotron X-ray pole figure measurements and transmission electron microscopy (TEM) nano-beam diffraction to study the impact of millisecond laser anneal on the texture and microstructure of NiPt silicide thin films. The powerful use of nano-beam diffraction in plan-view geometry allows here for both a mapping of grain orientation and intra-grain measurements even if these crystalline grains become very small. With this unique combination of local and large-scale probes, we find that silicide formation on n and p doped substrates using laser annealing results in smaller grains compared with the films processed using standard rapid thermal annealing. Themore » laser annealed samples also result in grains that are more epitaxially oriented with respect to the Si substrate. For n-type substrate, the film is dominated by (020) and (013) oriented fibers with significant levels of intra-grain bending (transrotation) observed in both types of grains. For p-type substrates, mostly epitaxially aligned grains are detected. TEM coupled with energy-dispersive X-ray analysis was also used to study the elemental distribution in the silicide samples. Here, we confirm that laser anneal leads to a larger accumulation of platinum at the silicide-substrate interface and modifies the distribution of dopants throughout the film.« less

  9. Impact of laser anneal on NiPt silicide texture and chemical composition

    NASA Astrophysics Data System (ADS)

    Feautrier, C.; Ozcan, A. S.; Lavoie, C.; Valery, A.; Beneyton, R.; Borowiak, C.; Clément, L.; Pofelski, A.; Salem, B.

    2017-06-01

    We have combined synchrotron X-ray pole figure measurements and transmission electron microscopy (TEM) nano-beam diffraction to study the impact of millisecond laser anneal on the texture and microstructure of NiPt silicide thin films. The powerful use of nano-beam diffraction in plan-view geometry allows here for both a mapping of grain orientation and intra-grain measurements even if these crystalline grains become very small. With this unique combination of local and large-scale probes, we find that silicide formation on n and p doped substrates using laser annealing results in smaller grains compared with the films processed using standard rapid thermal annealing. The laser annealed samples also result in grains that are more epitaxially oriented with respect to the Si substrate. For n-type substrate, the film is dominated by (020) and (013) oriented fibers with significant levels of intra-grain bending (transrotation) observed in both types of grains. For p-type substrates, mostly epitaxially aligned grains are detected. TEM coupled with energy-dispersive X-ray analysis was also used to study the elemental distribution in the silicide samples. Here, we confirm that laser anneal leads to a larger accumulation of platinum at the silicide-substrate interface and modifies the distribution of dopants throughout the film.

  10. Thermal Assisted Oxygen Annealing for High Efficiency Planar CH3NH3PbI3 Perovskite Solar Cells

    PubMed Central

    Ren, Zhiwei; Ng, Annie; Shen, Qian; Gokkaya, Huseyin Cem; Wang, Jingchuan; Yang, Lijun; Yiu, Wai-Kin; Bai, Gongxun; Djurišić, Aleksandra B.; Leung, Wallace Woon-fong; Hao, Jianhua; Chan, Wai Kin; Surya, Charles

    2014-01-01

    We report investigations on the influences of post-deposition treatments on the performance of solution-processed methylammonium lead triiodide (CH3NH3PbI3)-based planar solar cells. The prepared films were stored in pure N2 at room temperature or annealed in pure O2 at room temperature, 45°C, 65°C and 85°C for 12 hours prior to the deposition of the metal electrodes. It is found that annealing in O2 leads to substantial increase in the power conversion efficiencies (PCEs) of the devices. Furthermore, strong dependence on the annealing temperature for the PCEs of the devices suggests that a thermally activated process may underlie the observed phenomenon. It is believed that the annealing process may facilitate the diffusion of O2 into the spiro-MeOTAD for inducing p-doping of the hole transport material. Furthermore, the process can result in lowering the localized state density at the grain boundaries as well as the bulk of perovskite. Utilizing thermal assisted O2 annealing, high efficiency devices with good reproducibility were attained. A PCE of 15.4% with an open circuit voltage (VOC) 1.04 V, short circuit current density (JSC) 23 mA/cm2, and fill factor 0.64 had been achieved for our champion device. PMID:25341527

  11. Microscope basics.

    PubMed

    Sluder, Greenfield; Nordberg, Joshua J

    2013-01-01

    This chapter provides information on how microscopes work and discusses some of the microscope issues to be considered in using a video camera on the microscope. There are two types of microscopes in use today for research in cell biology-the older finite tube-length (typically 160mm mechanical tube length) microscopes and the infinity optics microscopes that are now produced. The objective lens forms a magnified, real image of the specimen at a specific distance from the objective known as the intermediate image plane. All objectives are designed to be used with the specimen at a defined distance from the front lens element of the objective (the working distance) so that the image formed is located at a specific location in the microscope. Infinity optics microscopes differ from the finite tube-length microscopes in that the objectives are designed to project the image of the specimen to infinity and do not, on their own, form a real image of the specimen. Three types of objectives are in common use today-plan achromats, plan apochromats, and plan fluorite lenses. The concept of mounting video cameras on the microscope is also presented in the chapter. Copyright © 2003 Elsevier Inc. All rights reserved.

  12. Synthesis and microstructural studies of annealed Cu(2)O/Cu(x)S bilayer as transparent electrode material for photovoltaic and energy storage devices.

    PubMed

    Taleatu, B A; Arbab, E A A; Omotoso, E; Mola, G T

    2014-10-01

    Cu2 O thin film and a transparent bilayer have been fabricated by electrodeposition method. The growths were obtained in potentiostatic mode with gradual degradation of anodic current. X-ray diffraction (XRD) study showed that the bilayer is polycrystalline and it possesses mixture of different crystallite phases of copper oxides. Surface morphology of the films was investigated by scanning electron microscopy (SEM). The SEM images revealed that the films were uniformly distributed and the starting material (Cu2 O) had cubical structure. Grains agglomeration and crystallinity were enhanced by annealing. Optical studies indicated that all the samples have direct allowed transition. Energy band gap of the bilayer film was reduced by annealing treatment thus corroborating quantum confinement upshot. © 2014 The Authors Journal of Microscopy © 2014 Royal Microscopical Society.

  13. The influence of flash lamp annealing on the minority carrier lifetime of Czochralski silicon wafers

    NASA Astrophysics Data System (ADS)

    Kissinger, G.; Kot, D.; Sattler, A.

    2014-02-01

    Flash lamp annealing of moderately B-doped CZ silicon wafers for 20 ms with a normalized irradiance of about 0.9 was used to efficiently suppress oxygen precipitation during subsequent thermal processing. In this way, the minority carrier lifetime measured at high injection level by microwave-detected photo-conductance decay (μ-PCD) was increased from about 30 microseconds to about 300 microseconds after a thermal process consisting of 780 °C 3 h + 1000 °C 16 h. The grown-in oxide precipitate nuclei were shrunken to a subcritical size during the flash lamp anneal which prevents further growth during subsequent thermal processing.

  14. The Kinetics of the as Grown and Annealed Self-Assembled Monolayer Studied by Force Spectroscopy

    NASA Astrophysics Data System (ADS)

    Habib, Huma; Yasar, M.; Mehmood, S.; Rafique, Saima; Bhatti, A. S.; Naeem, Aisha

    The growth of biological systems like DNA, peptides and proteins are accredited to the self-assembly processes from the molecular level to the nanoscale. The flawless immobilization of DNA on any surface is quite an important step to the development of DNA-based biosensors. The present paper reports the use of atomic force microscopy to determine the mechanical properties of the as grown and annealed self-assembled monolayer (SAM) as well as the mutated DNA immobilized on the SAM. The SAM of alkane thiol (16-mercapto-1-hexadecanol) was developed on Au surface, which was then annealed and analyzed for its structural and mechanical properties. The surface coverage, height and monolayer’s order was studied as a function of incubation time and annealing time. Excessive annealing led to the defragmentation and desorption of SAM structures due to breaking of hydrocarbon bonds. AFM was employed to determine the detach separation, pull-off and work of adhesion of the as grown and annealed SAM.

  15. Schottky Barrier Height Tuning via the Dopant Segregation Technique through Low-Temperature Microwave Annealing.

    PubMed

    Fu, Chaochao; Zhou, Xiangbiao; Wang, Yan; Xu, Peng; Xu, Ming; Wu, Dongping; Luo, Jun; Zhao, Chao; Zhang, Shi-Li

    2016-04-27

    The Schottky junction source/drain structure has great potential to replace the traditional p/n junction source/drain structure of the future ultra-scaled metal-oxide-semiconductor field effect transistors (MOSFETs), as it can form ultimately shallow junctions. However, the effective Schottky barrier height (SBH) of the Schottky junction needs to be tuned to be lower than 100 meV in order to obtain a high driving current. In this paper, microwave annealing is employed to modify the effective SBH of NiSi on Si via boron or arsenic dopant segregation. The barrier height decreased from 0.4-0.7 eV to 0.2-0.1 eV for both conduction polarities by annealing below 400 °C. Compared with the required temperature in traditional rapid thermal annealing, the temperature demanded in microwave annealing is ~60 °C lower, and the mechanisms of this observation are briefly discussed. Microwave annealing is hence of high interest to future semiconductor processing owing to its unique capability of forming the metal/semiconductor contact at a remarkably lower temperature.

  16. Schottky Barrier Height Tuning via the Dopant Segregation Technique through Low-Temperature Microwave Annealing

    PubMed Central

    Fu, Chaochao; Zhou, Xiangbiao; Wang, Yan; Xu, Peng; Xu, Ming; Wu, Dongping; Luo, Jun; Zhao, Chao; Zhang, Shi-Li

    2016-01-01

    The Schottky junction source/drain structure has great potential to replace the traditional p/n junction source/drain structure of the future ultra-scaled metal-oxide-semiconductor field effect transistors (MOSFETs), as it can form ultimately shallow junctions. However, the effective Schottky barrier height (SBH) of the Schottky junction needs to be tuned to be lower than 100 meV in order to obtain a high driving current. In this paper, microwave annealing is employed to modify the effective SBH of NiSi on Si via boron or arsenic dopant segregation. The barrier height decreased from 0.4–0.7 eV to 0.2–0.1 eV for both conduction polarities by annealing below 400 °C. Compared with the required temperature in traditional rapid thermal annealing, the temperature demanded in microwave annealing is ~60 °C lower, and the mechanisms of this observation are briefly discussed. Microwave annealing is hence of high interest to future semiconductor processing owing to its unique capability of forming the metal/semiconductor contact at a remarkably lower temperature. PMID:28773440

  17. Selective molecular annealing: in situ small angle X-ray scattering study of microwave-assisted annealing of block copolymers.

    PubMed

    Toolan, Daniel T W; Adlington, Kevin; Isakova, Anna; Kalamiotis, Alexis; Mokarian-Tabari, Parvaneh; Dimitrakis, Georgios; Dodds, Christopher; Arnold, Thomas; Terrill, Nick J; Bras, Wim; Hermida Merino, Daniel; Topham, Paul D; Irvine, Derek J; Howse, Jonathan R

    2017-08-09

    Microwave annealing has emerged as an alternative to traditional thermal annealing approaches for optimising block copolymer self-assembly. A novel sample environment enabling small angle X-ray scattering to be performed in situ during microwave annealing is demonstrated, which has enabled, for the first time, the direct study of the effects of microwave annealing upon the self-assembly behavior of a model, commercial triblock copolymer system [polystyrene-block-poly(ethylene-co-butylene)-block-polystyrene]. Results show that the block copolymer is a poor microwave absorber, resulting in no change in the block copolymer morphology upon application of microwave energy. The block copolymer species may only indirectly interact with the microwave energy when a small molecule microwave-interactive species [diethylene glycol dibenzoate (DEGDB)] is incorporated directly into the polymer matrix. Then significant morphological development is observed at DEGDB loadings ≥6 wt%. Through spatial localisation of the microwave-interactive species, we demonstrate targeted annealing of specific regions of a multi-component system, opening routes for the development of "smart" manufacturing methodologies.

  18. Enhancing performance and uniformity of CH3NH3PbI3-xClx perovskite solar cells by air-heated-oven assisted annealing under various humidities

    NASA Astrophysics Data System (ADS)

    Zhou, Qing; Jin, Zhiwen; Li, Hui; Wang, Jizheng

    2016-02-01

    To fabricate high-performance metal-halide perovskite solar cells, a thermal annealing process is indispensable in preparing high quality perovskite film. And usually such annealing is performed on hot plate. However hot-plate annealing could cause problems such as inhomogeneous heating (induced by non-tight contact between the sample and the plate), it is also not fit for large scale manufactory. In this paper, we conduct the annealing process in air-heated oven under various humidity environments, and compared the resulted films (CH3NH3PbI3-xClx) and devices (Al/PC61BM/CH3NH3PbI3-xClx/PEDOT:PSS/ITO/glass) with that obtained via hot-plate annealing. It is found that the air-heated-oven annealing is superior to the hot-plate annealing: the annealing time is shorter, the films are more uniform, and the devices exhibit higher power conversion efficiency and better uniformity. The highest efficiencies achieved for the oven and hot-plate annealing processes are 14.9% and 13.5%, and the corresponding standard deviations are 0.5% and 0.8%, respectively. Our work here indicates that air-heated-oven annealing could be a more reliable and more efficient way for both lab research and large-scale production.

  19. Radiation damage annealing mechanisms and possible low temperature annealing in silicon solar cells

    NASA Technical Reports Server (NTRS)

    Weinberg, I.; Swartz, C. K.

    1980-01-01

    Deep level transient spectroscopy and the Shockley-Read-Hall recombination theory are used to identify the defect responsible for reverse annealing in 2 ohm-cm n+/p silicon solar cells. This defect, with energy level at Ev + 0.30 eV, has been tentatively identified as a boron-oxygen-vacancy complex. It has been also determined by calculation that the removal of this defect could result in significant annealing at temperatures as low as 200 C for 2 ohm-cm and lower resistivity cells.

  20. Periodic annealing of radiation damage in GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Loo, R. Y.; Knechtli, R. C.; Kamath, G. S.

    1980-01-01

    Continuous annealing of GaAs solar cells is compared with periodic annealing to determine their relative effectiveness in minimizing proton radiation damage. It is concluded that continuous annealing of the cells in space at 150 C can effectively reduce the proton radiation damage to the GaAs solar cells. Periodic annealing is most effective if it can be initiated at relatively low fluences (approximating continuous annealing), especially if low temperatures of less than 200 C are to be used. If annealing is started only after the fluence of the damaging protons has accumulated to a high value 10 to the 11th power sq/pcm), effective annealing is still possible at relatively high temperatures. Finally, since electron radiation damage anneals even more easily than proton radiation damage, substantial improvements in GaAs solar cell life can be achieved by incorporating the proper annealing capabilities in solar panels for practical space missions where both electron and proton radiation damage have to be minimized.

  1. Error suppression and correction for quantum annealing

    NASA Astrophysics Data System (ADS)

    Lidar, Daniel

    While adiabatic quantum computing and quantum annealing enjoy a certain degree of inherent robustness against excitations and control errors, there is no escaping the need for error correction or suppression. In this talk I will give an overview of our work on the development of such error correction and suppression methods. We have experimentally tested one such method combining encoding, energy penalties and decoding, on a D-Wave Two processor, with encouraging results. Mean field theory shows that this can be explained in terms of a softening of the closing of the gap due to the energy penalty, resulting in protection against excitations that occur near the quantum critical point. Decoding recovers population from excited states and enhances the success probability of quantum annealing. Moreover, we have demonstrated that using repetition codes with increasing code distance can lower the effective temperature of the annealer. References: K.L. Pudenz, T. Albash, D.A. Lidar, ``Error corrected quantum annealing with hundreds of qubits'', Nature Commun. 5, 3243 (2014). K.L. Pudenz, T. Albash, D.A. Lidar, ``Quantum annealing correction for random Ising problems'', Phys. Rev. A. 91, 042302 (2015). S. Matsuura, H. Nishimori, T. Albash, D.A. Lidar, ``Mean Field Analysis of Quantum Annealing Correction''. arXiv:1510.07709. W. Vinci et al., in preparation.

  2. Shrinking of silicon nanocrystals embedded in an amorphous silicon oxide matrix during rapid thermal annealing in a forming gas atmosphere

    NASA Astrophysics Data System (ADS)

    van Sebille, M.; Fusi, A.; Xie, L.; Ali, H.; van Swaaij, R. A. C. M. M.; Leifer, K.; Zeman, M.

    2016-09-01

    We report the effect of hydrogen on the crystallization process of silicon nanocrystals embedded in a silicon oxide matrix. We show that hydrogen gas during annealing leads to a lower sub-band gap absorption, indicating passivation of defects created during annealing. Samples annealed in pure nitrogen show expected trends according to crystallization theory. Samples annealed in forming gas, however, deviate from this trend. Their crystallinity decreases for increased annealing time. Furthermore, we observe a decrease in the mean nanocrystal size and the size distribution broadens, indicating that hydrogen causes a size reduction of the silicon nanocrystals.

  3. Arsenic ambient conditions preventing surface degradation of GaAs during capless annealing at high temperatures

    NASA Technical Reports Server (NTRS)

    Kang, C. H.; Kondo, K.; Lagowski, J.; Gatos, H. C.

    1987-01-01

    Changes in surface morphology and composition caused by capless annealing of GaAs were studied as a function of annealing temperature, T(GaAs), and the ambient arsenic pressure controlled by the temperature, T(As), of an arsenic source in the annealing ampul. It was established that any degradation of the GaAs surface morphology could be completely prevented, providing that T(As) was more than about 0.315T(GaAs) + 227 C. This empirical relationship is valid up to the melting point temperature of GaAs (1238 C), and it may be useful in some device-processing steps.

  4. Multiphase Simulated Annealing Based on Boltzmann and Bose-Einstein Distribution Applied to Protein Folding Problem.

    PubMed

    Frausto-Solis, Juan; Liñán-García, Ernesto; Sánchez-Hernández, Juan Paulo; González-Barbosa, J Javier; González-Flores, Carlos; Castilla-Valdez, Guadalupe

    2016-01-01

    A new hybrid Multiphase Simulated Annealing Algorithm using Boltzmann and Bose-Einstein distributions (MPSABBE) is proposed. MPSABBE was designed for solving the Protein Folding Problem (PFP) instances. This new approach has four phases: (i) Multiquenching Phase (MQP), (ii) Boltzmann Annealing Phase (BAP), (iii) Bose-Einstein Annealing Phase (BEAP), and (iv) Dynamical Equilibrium Phase (DEP). BAP and BEAP are simulated annealing searching procedures based on Boltzmann and Bose-Einstein distributions, respectively. DEP is also a simulated annealing search procedure, which is applied at the final temperature of the fourth phase, which can be seen as a second Bose-Einstein phase. MQP is a search process that ranges from extremely high to high temperatures, applying a very fast cooling process, and is not very restrictive to accept new solutions. However, BAP and BEAP range from high to low and from low to very low temperatures, respectively. They are more restrictive for accepting new solutions. DEP uses a particular heuristic to detect the stochastic equilibrium by applying a least squares method during its execution. MPSABBE parameters are tuned with an analytical method, which considers the maximal and minimal deterioration of problem instances. MPSABBE was tested with several instances of PFP, showing that the use of both distributions is better than using only the Boltzmann distribution on the classical SA.

  5. The effects of different heat treatment annealing on structural properties of LaFe11.5Si1.5 compound

    NASA Astrophysics Data System (ADS)

    Norizan, Yang Nurhidayah Asnida; Din, Muhammad Faiz Md; Zamri, Wan Fathul Hakim W.; Hashim, Fakroul Ridzuan; Jusoh, Mohd Taufik; Rahman, Mohd Rashid Abdul

    2018-02-01

    The cubic NaZn13-type LaFe13-xSix based compounds have been studied systematically and has become one of the most interesting systems for exploring large MCE. Its magnetic properties are strongly doping dependent and provides many of advantage compare to other as magnetic materials for magnetic refrigerator application. In other to produce high quality of cubic NaZn13-type structure, the structural properties of LaFe11.5Si1.5 compounds annealed at different temperature have been investigated. The LaFe11.5Si1.5 compounds was prepared by arc melting and annealed at two different heat treatment which are 1323 K for 14 days and 1523 K for 4 hour. The powder X-ray diffraction (XRD) shows that a short time and high temperature annealing process has benefits for the formation of the NaZn13-type phase compared to a long time and low temperature annealing process. This is shown by the weight fraction of cubic NaZn13- type structure increases from 80% for low temperature annealing to 83% for high temperature annealing. At the same time, high temperature annealing increase the main structure and decrease the impurity (α-Fe and LaFeSi). Furthermore, it can be clearly seen in the Rietveld refinement results that the lattice parameter is increase at the high temperature annealing because of more cubic NaZn13 is formed at higher temperature.

  6. Electron backscatter and X-ray diffraction studies on the deformation and annealing textures of austenitic stainless steel 310S

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nezakat, Majid, E-mail: majid.nezakat@usask.ca

    We studied the texture evolution of thermo-mechanically processed austenitic stainless steel 310S. This alloy was cold rolled up to 90% reduction in thickness and subsequently annealed at 1050 °C. At the early stages of deformation, strain-induced martensite was formed from deformed austenite. By increasing the deformation level, slip mechanism was found to be insufficient to accommodate higher deformation strains. Our results demonstrated that twinning is the dominant deformation mechanism at higher deformation levels. Results also showed that cold rolling in unidirectional and cross rolling modes results in Goss/Brass and Brass dominant textures in deformed samples, respectively. Similar texture components aremore » observed after annealing. Thus, the annealing texture was greatly affected by texture of the deformed parent phase and martensite did not contribute as it showed an athermal reversion during annealing. Results also showed that when the fraction of martensite exceeds a critical point, its grain boundaries impeded the movement of austenite grain boundaries during annealing. As a result, recrystallization incubation time would increase. This caused an incomplete recrystallization of highly deformed samples, which led to a rational drop in the intensity of the texture components. - Highlights: •Thermo-mechanical processing through different cold rolling modes can induce different textures. •Martensite reversion is athermal during annealing. •Higher fraction of deformation-induced martensite can increase the annealing time required for complete recrystallization. •Annealing texture is mainly influenced by the deformation texture of austenite.« less

  7. Multicycle rapid thermal annealing optimization of Mg-implanted GaN: Evolution of surface, optical, and structural properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Greenlee, Jordan D., E-mail: jordan.greenlee.ctr@nrl.navy.mil; Feigelson, Boris N.; Anderson, Travis J.

    2014-08-14

    The first step of a multi-cycle rapid thermal annealing process was systematically studied. The surface, structure, and optical properties of Mg implanted GaN thin films annealed at temperatures ranging from 900 to 1200 °C were investigated by Raman spectroscopy, photoluminescence, UV-visible spectroscopy, atomic force microscopy, and Nomarski microscopy. The GaN thin films are capped with two layers of in-situ metal organic chemical vapor deposition -grown AlN and annealed in 24 bar of N{sub 2} overpressure to avoid GaN decomposition. The crystal quality of the GaN improves with increasing annealing temperature as confirmed by UV-visible spectroscopy and the full widths at halfmore » maximums of the E{sub 2} and A{sub 1} (LO) Raman modes. The crystal quality of films annealed above 1100 °C exceeds the quality of the as-grown films. At 1200 °C, Mg is optically activated, which is determined by photoluminescence measurements. However, at 1200 °C, the GaN begins to decompose as evidenced by pit formation on the surface of the samples. Therefore, it was determined that the optimal temperature for the first step in a multi-cycle rapid thermal anneal process should be conducted at 1150 °C due to crystal quality and surface morphology considerations.« less

  8. [The application of non-annealing thermoluminescent dosimetry (TLD)].

    PubMed

    Wu, J M; Chen, C S; Lan, R H

    1993-06-01

    Conventional use of Thermoluminescence (TL) in radiation dosimetry is very time-consuming. It requires repeating the procedures of preheating and annealing. In an attempt to simplify these procedures, we conducted an experiment of non-annealing TL dosimetry. This article reports the experiment's results. We adopted Lithium Fluoride (LiF) chip (TLD-100) in polystyrene under the exposure of Co-60, and the result was taken by HAR-SHAW-4000 TL reading system. The TL response was analyzed, including linearity, reproducibility and fading test. Because non-annealing TL response was greatly influenced by residual electron, TLD calibration curves were separated into two parts: (1) high dose region (HDR, 50-1500 cGy); (2) low dose region (LDR, 0-50 cGy). When TL dosimeters were exposed to a single high does (about 500 cGy), the HDR could be reproduced within 3% and fit a good linearity. For LDR, we had to give up the tail of glow curve in the high temperature region. We could then get good linearity and reproducibility. Furthermore, fading of non-annealing was apparently larger than annealing. We could control the fading of non-annealing was apparently larger than annealing. We could control the fading influence within 1% by taking the TL reading one hour after exposure. On the other hand, a combination of photon and electron exposure was also performed by non-annealing TL dosimetry. The results were compatible with Co-60 exposure in the same system.

  9. Precision Laser Annealing of Focal Plane Arrays

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bender, Daniel A.; DeRose, Christopher; Starbuck, Andrew Lea

    2015-09-01

    We present results from laser annealing experiments in Si using a passively Q-switched Nd:YAG microlaser. Exposure with laser at fluence values above the damage threshold of commercially available photodiodes results in electrical damage (as measured by an increase in photodiode dark current). We show that increasing the laser fluence to values in excess of the damage threshold can result in annealing of a damage site and a reduction in detector dark current by as much as 100x in some cases. A still further increase in fluence results in irreparable damage. Thus we demonstrate the presence of a laser annealing windowmore » over which performance of damaged detectors can be at least partially reconstituted. Moreover dark current reduction is observed over the entire operating range of the diode indicating that device performance has been improved for all values of reverse bias voltage. Additionally, we will present results of laser annealing in Si waveguides. By exposing a small (<10 um) length of a Si waveguide to an annealing laser pulse, the longitudinal phase of light acquired in propagating through the waveguide can be modified with high precision, <15 milliradian per laser pulse. Phase tuning by 180 degrees is exhibited with multiple exposures to one arm of a Mach-Zehnder interferometer at fluence values below the morphological damage threshold of an etched Si waveguide. No reduction in optical transmission at 1550 nm was found after 220 annealing laser shots. Modeling results for laser annealing in Si are also presented.« less

  10. Flexible bottom-gate graphene transistors on Parylene C substrate and the effect of current annealing

    PubMed Central

    Kim, Hyungsoo; Bong, Jihye; Mikael, Solomon; Kim, Tong June; Williams, Justin C.; Ma, Zhenqiang

    2016-01-01

    Flexible graphene transistors built on a biocompatible Parylene C substrate would enable active circuitry to be integrated into flexible implantable biomedical devices. An annealing method to improve the performance of a flexible transistor without damaging the flexible substrate is also desirable. Here, we present a fabrication method of a flexible graphene transistor with a bottom-gate coplanar structure on a Parylene C substrate. Also, a current annealing method and its effect on the device performance have been studied. The localized heat generated by the current annealing method improves the drain current, which is attributed to the decreased contact resistance between graphene and S/D electrodes. A maximum current annealing power in the Parylene C-based graphene transistor has been extracted to provide a guideline for an appropriate current annealing. The fabricated flexible graphene transistor shows a field-effect mobility, maximum transconductance, and a Ion/Ioff ratio of 533.5 cm2/V s, 58.1 μS, and 1.76, respectively. The low temperature process and the current annealing method presented here would be useful to fabricate two-dimensional materials-based flexible electronics. PMID:27795570

  11. Enhancing the performance of tungsten doped InZnO thin film transistors via sequential ambient annealing

    NASA Astrophysics Data System (ADS)

    Park, Hyun-Woo; Song, Aeran; Kwon, Sera; Choi, Dukhyun; Kim, Younghak; Jun, Byung-Hyuk; Kim, Han-Ki; Chung, Kwun-Bum

    2018-03-01

    This study suggests a sequential ambient annealing process as an excellent post-treatment method to enhance the device performance and stability of W (tungsten) doped InZnO thin film transistors (WIZO-TFTs). Sequential ambient annealing at 250 °C significantly enhanced the device performance and stability of WIZO-TFTs, compared with other post-treatment methods, such as air ambient annealing and vacuum ambient annealing at 250 °C. To understand the enhanced device performance and stability of WIZO-TFT with sequential ambient annealing, we investigate the correlations between device performance and stability and electronic structures, such as band alignment, a feature of the conduction band, and band edge states below the conduction band. The enhanced performance of WIZO-TFTs with sequential ambient annealing is related to the modification of the electronic structure. In addition, the dominant mechanism responsible for the enhanced device performance and stability of WIZO-TFTs is considered to be a change in the shallow-level and deep-level band edge states below the conduction band.

  12. Annealing Reduces Free Volumes In Thermoplastics

    NASA Technical Reports Server (NTRS)

    Singh, Jag J.; St. Clair, Terry L.

    1988-01-01

    Investigation conducted to determine free volumes and water-absorption characteristics of two types of thermoplastic polymide as functions of annealing histories. Reductions reach asymptotic values after several annealing cycles. High-temperature thermoplastics excellent candidates for use in aerospace applications. Graphite-fiber composites containing thermoplastic matrices have wide applicability.

  13. A protected annealing strategy to enhanced light emission and photostability of YAG:Ce nanoparticle-based films

    NASA Astrophysics Data System (ADS)

    Revaux, Amelie; Dantelle, Geraldine; George, Nathan; Seshadri, Ram; Gacoin, Thierry; Boilot, Jean-Pierre

    2011-05-01

    A significant obstacle in the development of YAG:Ce nanoparticles as light converters in white LEDs and as biological labels is associated with the difficulty of finding preparative conditions that allow simultaneous control of structure, particle size and size distribution, while maintaining the optical properties of bulk samples. Preparation conditions frequently involve high-temperature treatments of precursors (up to 1400 °C), which result in increased particle size and aggregation, and lead to oxidation of Ce(iii) to Ce(iv). We report here a process that we term protected annealing, that allows the thermal treatment of preformed precursor particles at temperatures up to 1000 °C while preserving their small size and state of dispersion. In a first step, pristine nanoparticles are prepared by a glycothermal reaction, leading to a mixture of YAG and boehmite crystalline phases. The preformed nanoparticles are then dispersed in a porous silica. Annealing of the composite material at 1000 °C is followed by dissolution of the amorphous silica by hydrofluoric acid to recover the annealed particles as a colloidal dispersion. This simple process allows completion of YAG crystallization while preserving their small size. The redox state of Ce ions can be controlled through the annealing atmosphere. The obtained particles of YAG:Ce (60 +/- 10 nm in size) can be dispersed as nearly transparent aqueous suspensions, with a luminescence quantum yield of 60%. Transparent YAG:Ce nanoparticle-based films of micron thickness can be deposited on glass substrates using aerosol spraying. Films formed from particles prepared by the protected annealing strategy display significantly improved photostability over particles that have not been subject to such annealing.A significant obstacle in the development of YAG:Ce nanoparticles as light converters in white LEDs and as biological labels is associated with the difficulty of finding preparative conditions that allow simultaneous

  14. Periodically pulsed wet annealing approach for low-temperature processable amorphous InGaZnO thin film transistors with high electrical performance and ultrathin thickness

    PubMed Central

    Kim, Ye Kyun; Ahn, Cheol Hyoun; Yun, Myeong Gu; Cho, Sung Woon; Kang, Won Jun; Cho, Hyung Koun

    2016-01-01

    In this paper, a simple and controllable “wet pulse annealing” technique for the fabrication of flexible amorphous InGaZnO thin film transistors (a-IGZO TFTs) processed at low temperature (150 °C) by using scalable vacuum deposition is proposed. This method entailed the quick injection of water vapor for 0.1 s and purge treatment in dry ambient in one cycle; the supply content of water vapor was simply controlled by the number of pulse repetitions. The electrical transport characteristics revealed a remarkable performance of the a-IGZO TFTs prepared at the maximum process temperature of 150 °C (field-effect mobility of 13.3 cm2 V−1 s−1; Ion/Ioff ratio ≈ 108; reduced I-V hysteresis), comparable to that of a-IGZO TFTs annealed at 350 °C in dry ambient. Upon analysis of the angle-resolved x-ray photoelectron spectroscopy, the good performance was attributed to the effective suppression of the formation of hydroxide and oxygen-related defects. Finally, by using the wet pulse annealing process, we fabricated, on a plastic substrate, an ultrathin flexible a-IGZO TFT with good electrical and bending performances. PMID:27198067

  15. Study of silicon doped with zinc ions and annealed in oxygen

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Privezentsev, V. V., E-mail: v.privezentsev@mail.ru; Kirilenko, E. P.; Goryachev, A. N.

    2017-02-15

    The results of studies of the surface layer of silicon and the formation of precipitates in Czochralski n-Si (100) samples implanted with {sup 64}Zn{sup +} ions with an energy of 50 keV and a dose of 5 × 10{sup 16} cm{sup –2} at room temperature and then oxidized at temperatures from 400 to 900°C are reported. The surface is visualized using an electron microscope, while visualization of the surface layer is conducted via profiling in depth by elemental mapping using Auger electron spectroscopy. The distribution of impurity ions in silicon is analyzed using a time-of-flight secondary-ion mass spectrometer. Using X-raymore » photoelectron spectroscopy, the chemical state of atoms of the silicon matrix and zinc and oxygen impurity atoms is studied, and the phase composition of the implanted and annealed samples is refined. After the implantation of zinc, two maxima of the zinc concentration, one at the wafer surface and the other at a depth of 70 nm, are observed. In this case, nanoparticles of the Zn metal phase and ZnO phase, about 10 nm in dimensions, are formed at the surface and in the surface layer. After annealing in oxygen, the ZnO · Zn{sub 2}SiO{sub 4} and Zn · ZnO phases are detected near the surface and at a depth of 50 nm, respectively.« less

  16. Influence of thermal annealing and radiation enhanced diffusion processes on surface plasmon resonance of gold implanted dielectric matrices

    NASA Astrophysics Data System (ADS)

    Devi, Ksh. Devarani; Ojha, Sunil; Singh, Fouran

    2018-03-01

    Gold nanoparticles (AuNPs) embedded in fused silica and sapphire dielectric matrices were synthesized by Au ion implantation. Systematic investigations were carried out to study the influence of implantation dose, post annealing temperature, swift heavy ion (SHI) irradiation and radiation enhanced diffusion (RED). Rutherford Backscattering Spectrometry (RBS) measurements were carried out to quantify concentration and depth profile of Au present in the host matrices. X-ray diffraction (XRD) was employed to characterize AuNPs formation. As-implanted and post-annealed films were irradiated using 100 MeV Ag ions to investigate the effect of electronic energy deposition on size and shape of NPs, which is estimated indirectly by the peak shape analysis of surface plasmon resonance (SPR). The effect of volume fraction of Au and their redistribution is also reported. A strong absorption in near infra red region is also noticed and understood by the formation of percolated NPs in dielectric matrices. It is quite clear from these results that the effect of RED assisted Oswald ripening is much more pronounced than the conventional Oswald ripening for the growth of NPs in the case of silica host matrices. However for sapphire matrices, it seems that growth of NPs already completed during implantation and it may be attributed to the high diffusivity of Au in sapphire matrices during implantation process.

  17. Quantum annealing correction with minor embedding

    NASA Astrophysics Data System (ADS)

    Vinci, Walter; Albash, Tameem; Paz-Silva, Gerardo; Hen, Itay; Lidar, Daniel A.

    2015-10-01

    Quantum annealing provides a promising route for the development of quantum optimization devices, but the usefulness of such devices will be limited in part by the range of implementable problems as dictated by hardware constraints. To overcome constraints imposed by restricted connectivity between qubits, a larger set of interactions can be approximated using minor embedding techniques whereby several physical qubits are used to represent a single logical qubit. However, minor embedding introduces new types of errors due to its approximate nature. We introduce and study quantum annealing correction schemes designed to improve the performance of quantum annealers in conjunction with minor embedding, thus leading to a hybrid scheme defined over an encoded graph. We argue that this scheme can be efficiently decoded using an energy minimization technique provided the density of errors does not exceed the per-site percolation threshold of the encoded graph. We test the hybrid scheme using a D-Wave Two processor on problems for which the encoded graph is a two-level grid and the Ising model is known to be NP-hard. The problems we consider are frustrated Ising model problem instances with "planted" (a priori known) solutions. Applied in conjunction with optimized energy penalties and decoding techniques, we find that this approach enables the quantum annealer to solve minor embedded instances with significantly higher success probability than it would without error correction. Our work demonstrates that quantum annealing correction can and should be used to improve the robustness of quantum annealing not only for natively embeddable problems but also when minor embedding is used to extend the connectivity of physical devices.

  18. Unipolar resistive switching behaviors and mechanisms in an annealed Ni/ZrO2/TaN memory device

    NASA Astrophysics Data System (ADS)

    Tsai, Tsung-Ling; Ho, Tsung-Han; Tseng, Tseung-Yuen

    2015-01-01

    The effects of Ni/ZrO2/TaN resistive switching memory devices without and with a 400 °C annealing process on switching properties are investigated. The devices exhibit unipolar resistive switching behaviors with low set and reset voltages because of a large amount of Ni diffusion with no reaction with ZrO2 after the annealing process, which is confirmed by ToF-SIMS and XPS analyses. A physical model based on a Ni filament is constructed to explain such phenomena. The device that undergoes the 400 °C annealing process exhibits an excellent endurance of more than 1.5  ×  104 cycles. The improvement can be attributed to the enhancement of oxygen ion migration along grain boundaries, which result in less oxygen ion consumption during the reset process. The device also performs good retention up to 105 s at 150 °C. Therefore, it has great potential for high-density nonvolatile memory applications.

  19. Annealing of Silicate Dust by Nebular Shocks at 10 AU

    NASA Technical Reports Server (NTRS)

    Harker, David E.; Desch, Steven J.; DeVincenzi, D. (Technical Monitor)

    2001-01-01

    Silicate dust grains in the interstellar medium are known to be mostly amorphous, yet crystalline silicate grains have been observed in many long-period comets and in protoplanetary disks. Annealing of amorphous silicate grains into crystalline grains requires temperatures greater than or approximately equal to 1000 K, but exposure of dust grains in comets to such high temperatures is apparently incompatible with the generally low temperatures experienced by comets. This has led to the proposal of models in which dust grains were thermally processed near the protoSun, then underwent considerable radial transport until they reached the gas giant planet region where the long-period comets originated. We hypothesize instead that silicate dust grains were annealed in situ, by shock waves triggered by gravitational instabilities. We assume a shock speed of 5 km/s, a plausible value for shocks driven by gravitational instabilities. We calculate the peak temperatures of pyroxene grains under conditions typical in protoplanetary disks at 5-10 AU. We show that in situ annealing of micron-sized dust grains can occur, obviating the need for large-scale radial transport.

  20. Growth of surface and corner cracks in beta-processed and mill-annealed Ti-6Al-4V

    NASA Technical Reports Server (NTRS)

    Bell, P. D.

    1975-01-01

    Empirical stress-intensity expressions were developed to relate the growth of cracks from corner flaws to the growth of cracks from surface flaws. An experimental program using beta-processed Ti-6Al-4V verified these expressions for stress ratios, R greater than or equal to 0. An empirical crack growth-rate expression which included stress-ratio and stress-level effects was also developed. Cracks grew approximately 10 percent faster in transverse-grain material than in longitudinal-grain material and at approximately the same rate in longitudinal-grain mill-annealed Ti-6Al-4V. Specimens having surface and corner cracks and made of longitudinal-grain, beta-processed material were tested with block loads, and increasing the stresses in a block did not significantly change the crack growth rates. Truncation of the basic ascending stress sequence within a block caused more rapid crack growth, whereas both the descending and low-to-high stress sequences slowed crack growth.

  1. Microstructural Evolutions During Reversion Annealing of Cold-Rolled AISI 316 Austenitic Stainless Steel

    NASA Astrophysics Data System (ADS)

    Naghizadeh, Meysam; Mirzadeh, Hamed

    2018-03-01

    Microstructural evolutions during reversion annealing of a plastically deformed AISI 316 stainless steel were investigated and three distinct stages were identified: the reversion of strain-induced martensite to austenite, the primary recrystallization of the retained austenite, and the grain growth process. It was found that the slow kinetics of recrystallization at lower annealing temperatures inhibit the formation of an equiaxed microstructure and might effectively impair the usefulness of this thermomechanical treatment for the objective of grain refinement. By comparing the behavior of AISI 316 and 304 alloys, it was found that the mentioned slow kinetics is related to the retardation effect of solute Mo in the former alloy. At high reversion annealing temperature, however, an equiaxed austenitic microstructure was achieved quickly in AISI 316 stainless steel due to the temperature dependency of retardation effect of molybdenum, which allowed the process of recrystallization to happen easily. Conclusively, this work can shed some light on the issues of this efficient grain refining approach for microstructural control of austenitic stainless steels.

  2. Microstructural Evolutions During Reversion Annealing of Cold-Rolled AISI 316 Austenitic Stainless Steel

    NASA Astrophysics Data System (ADS)

    Naghizadeh, Meysam; Mirzadeh, Hamed

    2018-06-01

    Microstructural evolutions during reversion annealing of a plastically deformed AISI 316 stainless steel were investigated and three distinct stages were identified: the reversion of strain-induced martensite to austenite, the primary recrystallization of the retained austenite, and the grain growth process. It was found that the slow kinetics of recrystallization at lower annealing temperatures inhibit the formation of an equiaxed microstructure and might effectively impair the usefulness of this thermomechanical treatment for the objective of grain refinement. By comparing the behavior of AISI 316 and 304 alloys, it was found that the mentioned slow kinetics is related to the retardation effect of solute Mo in the former alloy. At high reversion annealing temperature, however, an equiaxed austenitic microstructure was achieved quickly in AISI 316 stainless steel due to the temperature dependency of retardation effect of molybdenum, which allowed the process of recrystallization to happen easily. Conclusively, this work can shed some light on the issues of this efficient grain refining approach for microstructural control of austenitic stainless steels.

  3. In-Flight Annealing of Magnetic Nanoparticles, Produced by the Particle Gun Technique

    NASA Astrophysics Data System (ADS)

    Stoyanov, S.; Skumryev, V.; Zhang, Y.; Huang, Y.; Hadjipanayis, G. C.

    2003-03-01

    The need of post annealing of nanocomposite structures aimed to form nanoparticles or to obtain a desired crystal structure often results in particles growth and/or a harmful alloying with the matrix material. In this study, we present a new technique to perform an in situ phase transformation of particles produced by the gas condensation process in a Particle Gun (PG). Particles are heat treated during their flight from the PG to the substrate, by absorption of light in a specially designed Heating Stage (HS), placed on the top of the PG. The total power of the light sources used is 2 kWatt. A simple model for the thermodynamic conditions in a single particle during the annealing process is developed. It is shown that the temperature of the particle depends on the light power and the size of the particle and can easily reach the required annealing values of 400 to 900^oC in a millisecond time scale. The versatility of this technique is demonstrated on the fabrication of high anisotropy FePt and SmCo particles, embedded in a carbon matrix. Work supported by NSF DMR9972035

  4. Influence of low-temperature annealing time on the evolution of the structure and mechanical properties of a titanium Ti-Al-V alloy in the submicrocrystalline state

    NASA Astrophysics Data System (ADS)

    Ratochka, I. V.; Lykova, O. N.; Naidenkin, E. V.

    2015-03-01

    The effect of annealing at 673 K for 6-24 h on the structural and phase state and mechanical properties of the titanium alloy of a Ti-Al-V system that was previously subjected to severe plastic deformation by uniform compression deformation, has been studied. It has been established that these annealings lead to a nonmontonic dependence of the mechanical properties of the alloy on the annealing time. It has been shown that the annealing of the Ti-Al-V alloy in a submicrocrystalline state is accompanied by simultaneous hardening processes, i.e., the formation of fine particles during phase transformations and the formation of new nanosized grains, and softening processes, i.e., recovery processes and the growth grains to micron sizes. The prevalence of a given process during annealing determines the deterioration or improvement of the alloy's mechanical properties.

  5. A Study on the Formation of 2-Dimensional Tungsten Disulfide Thin Films on Sapphire Substrate by Sputtering and High Temperature Rapid Thermal Processing.

    PubMed

    Nam, Hanyeob; Kim, Hong-Seok; Han, Jae-Hee; Kwon, Sang Jik; Cho, Eou Sik

    2018-09-01

    As direct formation of p-type two-dimensional transition metal dichalcogenides (TMDC) films on substrates, tungsten disulfide (WS2) thin films were deposited onto sapphire glass substrate through shadow mask patterns by radio-frequency (RF) sputtering at different sputtering powers ranging from 60 W to 150 W and annealed by rapid thermal processing (RTP) at various high temperatures ranging from 500 °C to 800 °C. Based on scanning electron microscope (SEM) images and Raman spectra, better surface roughness and mode dominant E12g and A1g peaks were found for WS2 thin films prepared at higher RF sputtering powers. It was also possible to obtain high mobilities and carrier densities for all WS2 thin films based on results of Hall measurements. Process conditions for these WS2 thin films on sapphire substrate were optimized to low RF sputtering power and high temperature annealing.

  6. High- and Low-Temperature Deformation Behavior of Different Orientation Hot-Rolled Annealed Zircaloy-4

    NASA Astrophysics Data System (ADS)

    Zong, Yingying; Gen, Qingfeng; Jiang, Hongwei; Shan, Debin; Guo, Bin

    2018-03-01

    In this paper, the hot-rolled annealed Zircaloy-4 samples with different orientation were subjected to uniaxial compression with a strain rate of 0.001 s-1 to obtain the stress-strain curves of different initial orientation samples at different temperatures. Electron backscatter diffraction (EBSD) technique and transmission electron microscope (TEM) technique were used to analyze the microstructures and textures of compressed samples. The mechanical properties and microstructural evolution of rolling directions (RD), transverse directions (TD) and normal directions (ND) were investigated under the conditions of - 150 °C low temperature, room temperature and 200 °C high temperature (simulated lunar temperature environment). The results show that the strength of Zircaloy-4 decreases with the increase in deformation temperature, and the strength in three orientations is ND > TD > RD. The deformation mechanism of hot-rolled annealed Zircaloy-4 with different orientation is different. In RD, { 10\\bar{1}0} < {a} > prismatic slip has the highest Schmid factor (SF), so it is most easy to activate the slip, followed by TD orientation, and ND orientation is the most difficult to activate. The deformed grains abide slip→twinning→slip rule, and the different orientation Zircaloy-4 deformation mechanisms mainly are the twinning coordinated with the slip.

  7. Phase and structural transformations in VVER-440 RPV base metal after long-term operation and recovery annealing

    NASA Astrophysics Data System (ADS)

    Kuleshova, E. A.; Gurovich, B. A.; Maltsev, D. A.; Frolov, A. S.; Bukina, Z. V.; Fedotova, S. V.; Saltykov, M. A.; Krikun, E. V.; Erak, D. Yu; Zhurko, D. A.; Safonov, D. V.; Zhuchkov, G. M.

    2018-04-01

    This study was carried out to evaluate the possibility of 1st generation VVER-440 reactors lifetime extension by recovery re-annealing with the respect to base metal (BM). Comprehensive studies of the structure and properties of BM templates (samples cut from the inner surface of the shells in beltline region) of operating VVER-440 reactor (after primary standard recovery annealing 475 °C/150 h and subsequent long-term re-irradiation within reactor pressure vessel (RPV)) were conducted. These templates were also subjected to laboratory re-annealing 475 °C/150 h. TEM, SEM and APT studies of BM after laboratory re-annealing revealed significant recovery of radiation-induced hardening elements (Cu-rich precipitates and dislocation loops). Simultaneously a process of strong phosphorus accumulation at grain boundaries occurs since annealing temperature corresponds to the maximum reversible temper brittleness development. The latter is not observed for VVER-440 weld metal (WM). Comparative assessment of the properties return level for the beltline BM templates after recovery re-annealing 475 °C/150 h showed that it does not reach the one typical for beltline WM after the same annealing.

  8. Microstructural evolution during thermal annealing of ice-Ih

    NASA Astrophysics Data System (ADS)

    Hidas, Károly; Tommasi, Andréa; Mainprice, David; Chauve, Thomas; Barou, Fabrice; Montagnat, Maurine

    2017-06-01

    We studied the evolution of the microstructure of ice-Ih during static recrystallization by stepwise annealing experiments. We alternated thermal annealing and electron backscatter diffraction (EBSD) analyses on polycrystalline columnar ice pre-deformed in uniaxial compression at temperature of -7 °C to macroscopic strains of 3.0-5.2. Annealing experiments were carried out at -5 °C and -2 °C up to a maximum of 3.25 days, typically in 5-6 steps. EBSD crystal orientation maps obtained after each annealing step permit the description of microstructural changes. Decrease in average intragranular misorientation at the sample scale and modification of the misorientation across subgrain boundaries provide evidence for recovery from the earliest stages of annealing. This initial evolution is similar for all studied samples irrespective of their initial strain or annealing temperature. After an incubation period ≥1.5 h, recovery is accompanied by recrystallization (nucleation and grain boundary migration). Grain growth proceeds at the expense of domains with high intragranular misorientations, consuming first the most misorientated parts of primary grains. Grain growth kinetics fits the parabolic growth law with grain growth exponents in the range of 2.4-4.0. Deformation-induced tilt boundaries and kink bands may slow down grain boundary migration. They are stable features during early stages of static recrystallization, only erased by normal growth, which starts after >24 h of annealing.

  9. Improvement on the electrical characteristics of Pd/HfO2/6H-SiC MIS capacitors using post deposition annealing and post metallization annealing

    NASA Astrophysics Data System (ADS)

    Esakky, Papanasam; Kailath, Binsu J.

    2017-08-01

    HfO2 as a gate dielectric enables high electric field operation of SiC MIS structure and as gas sensor HfO2/SiC capacitors offer higher sensitivity than SiO2/SiC capacitors. The issue of higher density of oxygen vacancies and associated higher leakage current necessitates better passivation of HfO2/SiC interface. Effect of post deposition annealing in N2O plasma and post metallization annealing in forming gas on the structural and electrical characteristics of Pd/HfO2/SiC MIS capacitors are reported in this work. N2O plasma annealing suppresses crystallization during high temperature annealing thereby improving the thermal stability and plasma annealing followed by rapid thermal annealing in N2 result in formation of Hf silicate at the HfO2/SiC interface resulting in order of magnitude lower density of interface states and gate leakage current. Post metallization annealing in forming gas for 40 min reduces interface state density by two orders while gate leakage current density is reduced by thrice. Post deposition annealing in N2O plasma and post metallization annealing in forming gas are observed to be effective passivation techniques improving the electrical characteristics of HfO2/SiC capacitors.

  10. X-Ray Photoelectron Spectroscopy and Tribology Studies of Annealed Fullerene-like WS2 Nanoparticles

    NASA Astrophysics Data System (ADS)

    Kopnov, F.; Tenne, R.; Späth, B.; Jägermann, W.; Cohen, H.; Feldman, Y.; Zak, A.; Moshkovich, A.; Rapoport, L.

    The temporal chemical changes occurring at the surface of fullerene-like (IF) nanoparticles of WS2 were investigated using X-ray photo-electron spectroscopy (XPS) and compared to those of bulk powder (2H) of the same material. It is possible to follow the long term (surface oxidation and carbonization) occurring at defects on the outermost surface (0001) layer of the fullerene-like nanoparticles. Similar but perhaps more distinctive changes are observed on the prismatic (hk0) surfaces of the 2H powder. Vacuum annealing is shown to remove most of these changes and bring the surface close to its stoichiometric composition. In accordance with previous measurements, further evidence is obtained for the existence of water molecules which are entrapped in the hollow core and interstitial defects of the fullerene-like nanoparticles during the synthesis. They are also shown to be removed by the vacuum annealing process. Chemically resolved electrical measurements (CREM) in the XPS show that the vacuum annealed IF samples become more intrinsic. Finally, tribological measurements show that the vacuum annealed IF samples perform better as an additive to oil than the non-annealed IF samples and the bulk (2H) platelets powder.

  11. Cyclic Solvent Vapor Annealing for Rapid, Robust Vertical Orientation of Features in BCP Thin Films

    NASA Astrophysics Data System (ADS)

    Paradiso, Sean; Delaney, Kris; Fredrickson, Glenn

    2015-03-01

    Methods for reliably controlling block copolymer self assembly have seen much attention over the past decade as new applications for nanostructured thin films emerge in the fields of nanopatterning and lithography. While solvent assisted annealing techniques are established as flexible and simple methods for achieving long range order, solvent annealing alone exhibits a very weak thermodynamic driving force for vertically orienting domains with respect to the free surface. To address the desire for oriented features, we have investigated a cyclic solvent vapor annealing (CSVA) approach that combines the mobility benefits of solvent annealing with selective stress experienced by structures oriented parallel to the free surface as the film is repeatedly swollen with solvent and dried. Using dynamical self-consistent field theory (DSCFT) calculations, we establish the conditions under which the method significantly outperforms both static and cyclic thermal annealing and implicate the orientation selection as a consequence of the swelling/deswelling process. Our results suggest that CSVA may prove to be a potent method for the rapid formation of highly ordered, vertically oriented features in block copolymer thin films.

  12. Rapid thermal anneal in InP, GaAs and GaAs/GaAlAs

    NASA Astrophysics Data System (ADS)

    Descouts, B.; Duhamel, N.; Godefroy, S.; Krauz, P.

    Ion implantation in semiconductors provides a doping technique with several advantages over more conventional doping methods and is now extensively used for device applications, e.g. field effect transistors (MESFET GaAs, MIS (InP), GaAs/GaAlAs heterojunction bipolar transistors (HBT). Because of the lattice disorder produced by the implantation, the dopant must be made electrically active by a postimplant anneal. As the device performances are very dependent on its electrical characteristics, the anneal is a very important stage of the process. Rapid anneal is known to provide less exodiffusion and less induffusion of impurities compared to conventional furnace anneal, so this technique has been used in this work to activate an n-type dopant (Si) in InP and a p-type dopant (Mg) in GaAs and GaAs/GaAIAs. These two ions have been chosen to realize implanted MIS InP and the base contacts for GaAs/GaAlAs HBTs. The experimental conditions to obtain the maximum electrical activity in these two cases will be detailed. For example, although we have not been able to obtain a flat profile in Mg + implanted GaAs/GaAlAs heterostructure by conventional thermal anneal, rapid thermal anneal gives a flat hole profile over a depth of 0.5 μm with a concentration of 1 x 10 19 cm -3.

  13. Surface modification of cellulose acetate membrane using thermal annealing to enhance produced water treatment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kusworo, T. D., E-mail: tdkusworo@che.undip.ac.id; Aryanti, N., E-mail: nita.aryanti@gmail.com; Firdaus, M. M. H.

    2015-12-29

    This study is performed primarily to investigate the effect of surface modification of cellulose acetate using thermal annealing on the enhancement of membrane performance for produced water treatment. In this study, Cellulose Acetate membranes were casted using dry/wet phase inversion technique. The effect of additive and post-treatment using thermal annealing on the membrane surface were examined for produced water treatment. Therma annealing was subjected to membrane surface at 60 and 70 °C for 5, 10 and 15 second, respectively. Membrane characterizations were done using membrane flux and rejection with produced water as a feed, Scanning Electron Microscopy (SEM) and Fouriermore » Transform Infra Red (FTIR) analysis. Experimental results showed that asymmetric cellulose acetate membrane can be made by dry/wet phase inversion technique. The results from the Scanning Electron Microscopy (FESEM) analysis was also confirmed that polyethylene glycol as additivie in dope solution and thermal annealing was affected the morphology and membrane performance for produced water treatment, respectively. Scanning electron microscopy micrographs showed that the selective layer and the substructure of membrane became denser and more compact after the thermal annealing processes. Therefore, membrane rejection was significantly increased while the flux was slighty decreased, respectively. The best membrane performance is obtained on the composition of 18 wt % cellulose acetate, poly ethylene glycol 5 wt% with thermal annealing at 70° C for 15 second.« less

  14. Improvement of the Mechanical Properties of 1022 Carbon Steel Coil by Using the Taguchi Method to Optimize Spheroidized Annealing Conditions.

    PubMed

    Yang, Chih-Cheng; Liu, Chang-Lun

    2016-08-12

    Cold forging is often applied in the fastener industry. Wires in coil form are used as semi-finished products for the production of billets. This process usually requires preliminarily drawing wire coil in order to reduce the diameter of products. The wire usually has to be annealed to improve its cold formability. The quality of spheroidizing annealed wire affects the forming quality of screws. In the fastener industry, most companies use a subcritical process for spheroidized annealing. Various parameters affect the spheroidized annealing quality of steel wire, such as the spheroidized annealing temperature, prolonged heating time, furnace cooling time and flow rate of nitrogen (protective atmosphere). The effects of the spheroidized annealing parameters affect the quality characteristics of steel wire, such as the tensile strength and hardness. A series of experimental tests on AISI 1022 low carbon steel wire are carried out and the Taguchi method is used to obtain optimum spheroidized annealing conditions to improve the mechanical properties of steel wires for cold forming. The results show that the spheroidized annealing temperature and prolonged heating time have the greatest effect on the mechanical properties of steel wires. A comparison between the results obtained using the optimum spheroidizing conditions and the measures using the original settings shows the new spheroidizing parameter settings effectively improve the performance measures over their value at the original settings. The results presented in this paper could be used as a reference for wire manufacturers.

  15. Magnetic and structural properties of CoFe 2O 4 thin films synthesized via a sol-gel process

    NASA Astrophysics Data System (ADS)

    dos S. Duque, J. G.; Macêdo, M. A.; Moreno, N. O.; Lopez, J. L.; Pfanes, H.-D.

    2001-05-01

    Using a sol-gel process having the coconut water as a precursor of organic chain, we synthesized thin films of cobalt ferrite. The films were characterized by using a SQUID magnetometer, an X-ray diffractometer, an X-ray spectrophotometer, Mössbauer spectroscopy and atomic force microscope. Co ferrite films annealed at 500°C for 2 h show grain sizes between 10 and 20 nm, grown as single-phase spinel structure and exhibit high coercivity and a moderate saturation magnetization (above 30 kOe).

  16. High-resolution electron microscope

    NASA Technical Reports Server (NTRS)

    Nathan, R.

    1977-01-01

    Employing scanning transmission electron microscope as interferometer, relative phases of diffraction maximums can be determined by analysis of dark field images. Synthetic aperture technique and Fourier-transform computer processing of amplitude and phase information provide high resolution images at approximately one angstrom.

  17. A Study of the Nature of Students' Models of Microscopic Processes in the Context of Modern Physics Experiments.

    ERIC Educational Resources Information Center

    Thacker, Beth Ann

    2003-01-01

    Interviews university students in modern physics about their understanding of three fundamental experiments. Explores their development of models of microscopic processes. Uses interactive demonstrations to probe student understanding of modern physics experiments in two high school physics classes. Analyzes the nature of students' models and the…

  18. Quantum annealing of the traveling-salesman problem.

    PubMed

    Martonák, Roman; Santoro, Giuseppe E; Tosatti, Erio

    2004-11-01

    We propose a path-integral Monte Carlo quantum annealing scheme for the symmetric traveling-salesman problem, based on a highly constrained Ising-like representation, and we compare its performance against standard thermal simulated annealing. The Monte Carlo moves implemented are standard, and consist in restructuring a tour by exchanging two links (two-opt moves). The quantum annealing scheme, even with a drastically simple form of kinetic energy, appears definitely superior to the classical one, when tested on a 1002-city instance of the standard TSPLIB.

  19. Annealing Behavior of 57Fe Implanted in ZrO2(Y)

    NASA Astrophysics Data System (ADS)

    Zhang, G. L.; Yu, F. H.; Weng, H. M.; Zhang, H. H.

    1998-12-01

    Using conversion electron Mossbauer spectroscopy(CEMS) and slow positron beam, the chemical states of the implanted 57Fe (100KeV,3 × 10 16 ions/cm 2) in ZrO2 containing 3 mol% Y 2O 3( ZY 3) and its thermodynamic behavior during annealing process with the temperature from 200 to 500°C were studied. After annealing at 400°C the complex of Fe3+-V has been mostly dissolved, and the prior phase to α-Fe and α-Fe nano-crystalline cluster were present in the sample. Meanwhile the mixed conducting of oxygen-ions and electrons in the ZY3 containing Fe sample appeared.

  20. Deterministic quantum annealing expectation-maximization algorithm

    NASA Astrophysics Data System (ADS)

    Miyahara, Hideyuki; Tsumura, Koji; Sughiyama, Yuki

    2017-11-01

    Maximum likelihood estimation (MLE) is one of the most important methods in machine learning, and the expectation-maximization (EM) algorithm is often used to obtain maximum likelihood estimates. However, EM heavily depends on initial configurations and fails to find the global optimum. On the other hand, in the field of physics, quantum annealing (QA) was proposed as a novel optimization approach. Motivated by QA, we propose a quantum annealing extension of EM, which we call the deterministic quantum annealing expectation-maximization (DQAEM) algorithm. We also discuss its advantage in terms of the path integral formulation. Furthermore, by employing numerical simulations, we illustrate how DQAEM works in MLE and show that DQAEM moderate the problem of local optima in EM.

  1. Effects of Pnictogen Atmosphere Annealing on Fe1+yTe0.6Se0.4

    NASA Astrophysics Data System (ADS)

    Yamada, Tatsuhiro; Sun, Yue; Pyon, Sunseng; Tamegai, Tsuyoshi

    2016-02-01

    It has been clarified that bulk superconductivity in Fe1+yTe0.6Se0.4 can be induced by annealing in an appropriate atmosphere to remove the harmful effects of excess iron. In order to clarify the details of the annealing process, we studied the changes in the physical properties and reaction products of Fe1+yTe0.6Se0.4 annealed in pnictogen (P, As, Sb) atmospheres. Crystals annealed in a pnictogen atmosphere show bulk superconductivity and the values of Tc and Jc are about 14 K and (2-4) × 105 A/cm2 (2 K, self-field), respectively. It is also found that the reaction rate increases with the increase in the saturated vapor pressure of the pnictogen. Unexpectedly, the reaction products of Fe1+yTe0.6Se0.4 after annealing in a P atmosphere mainly consist of FeTe2. In addition, the amount of P required to obtain the optimal Tc is much smaller than the amount of excess iron, which is similar to the case of oxygen annealing. P, oxygen, and to some extent As could serve as catalysts to form FeTe2 to remove excess iron.

  2. Low-temperature growth of aligned ZnO nanorods: effect of annealing gases on the structural and optical properties.

    PubMed

    Umar, Ahmad; Hahn, Yoon-Bong; Al-Hajry, A; Abaker, M

    2014-06-01

    Aligned ZnO nanorods were grown on ZnO/Si substrate via simple aqueous solution process at low-temperature of - 65 degrees C by using zinc nitrate and hexamethylenetetramine (HMTA). The detailed morphological and structural properties measured by FESEM, XRD, EDS and TEM confirmed that the as-grown nanorods are vertically aligned, well-crystalline possessing wurtzite hexagonal phase and grown along the [0001] direction. The room-temperature photoluminescence spectrum of the grown nanorods exhibited a strong and broad green emission and small ultraviolet emission. The as-prepared ZnO nanorods were post-annealed in nitrogen (N2) and oxygen (O2) environments and further characterized in terms of their morphological, structural and optical properties. After annealing the nanorods exhibit well-crystallinity and wurtzite hexagonal phase. Moreover, by annealing the PL spectra show the enhancement in the UV emission and suppression in the green emission. The presented results demonstrate that simply by post-annealing process, the optical properties of ZnO nanostructures can be controlled.

  3. Laser apparatus and method for microscopic and spectroscopic analysis and processing of biological cells

    DOEpatents

    Gourley, Paul L.; Gourley, Mark F.

    1997-01-01

    An apparatus and method for microscopic and spectroscopic analysis and processing of biological cells. The apparatus comprises a laser having an analysis region within the laser cavity for containing one or more biological cells to be analyzed. The presence of a cell within the analysis region in superposition with an activated portion of a gain medium of the laser acts to encode information about the cell upon the laser beam, the cell information being recoverable by an analysis means that preferably includes an array photodetector such as a CCD camera and a spectrometer. The apparatus and method may be used to analyze biomedical cells including blood cells and the like, and may include processing means for manipulating, sorting, or eradicating cells after analysis thereof.

  4. Laser apparatus and method for microscopic and spectroscopic analysis and processing of biological cells

    DOEpatents

    Gourley, P.L.; Gourley, M.F.

    1997-03-04

    An apparatus and method are disclosed for microscopic and spectroscopic analysis and processing of biological cells. The apparatus comprises a laser having an analysis region within the laser cavity for containing one or more biological cells to be analyzed. The presence of a cell within the analysis region in superposition with an activated portion of a gain medium of the laser acts to encode information about the cell upon the laser beam, the cell information being recoverable by an analysis means that preferably includes an array photodetector such as a CCD camera and a spectrometer. The apparatus and method may be used to analyze biomedical cells including blood cells and the like, and may include processing means for manipulating, sorting, or eradicating cells after analysis. 20 figs.

  5. Tailoring the magnetic properties and magnetorheological behavior of spinel nanocrystalline cobalt ferrite by varying annealing temperature.

    PubMed

    Sedlacik, Michal; Pavlinek, Vladimir; Peer, Petra; Filip, Petr

    2014-05-14

    Magnetic nanoparticles of spinel nanocrystalline cobalt ferrite were synthesized via the sol-gel method and subsequent annealing. The influence of the annealing temperature on the structure, magnetic properties, and magnetorheological effect was investigated. The finite crystallite size of the particles, determined by X-ray diffraction and the particle size observed via transmission electron microscopy, increased with the annealing temperature. The magnetic properties observed via a vibrating sample magnetometer showed that an increase in the annealing temperature leads to the increase in the magnetization saturation and, in contrast, a decrease in the coercivity. The effect of annealing on the magnetic properties of ferrite particles has been explained by the recrystallization process at high temperatures. This resulted in grain size growth and a decrease in an imposed stress relating to defects in the crystal lattice structure of the nanoparticles. The magnetorheological characteristics of suspensions of ferrite particles in silicone oil were measured using a rotational rheometer equipped with a magnetic field generator in both steady shear and small-strain oscillatory regimes. The magnetorheological performance expressed as a relative increase in the magnetoviscosity appeared to be significantly higher for suspensions of particles annealed at 1000 °C.

  6. Burst annealing of high temperature GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Brothers, P. R.; Horne, W. E.

    1991-01-01

    One of the major limitations of solar cells in space power systems is their vulnerability to radiation damage. One solution to this problem is to periodically heat the cells to anneal the radiation damage. Annealing was demonstrated with silicon cells. The obstacle to annealing of GaAs cells was their susceptibility to thermal damage at the temperatures required to completely anneal the radiation damage. GaAs cells with high temperature contacts and encapsulation were developed. The cells tested are designed for concentrator use at 30 suns AMO. The circular active area is 2.5 mm in diameter for an area of 0.05 sq cm. Typical one sun AMO efficiency of these cells is over 18 percent. The cells were demonstrated to be resistant to damage after thermal excursions in excess of 600 C. This high temperature tolerance should allow these cells to survive the annealing of radiation damage. A limited set of experiments were devised to investigate the feasibility of annealing these high temperature cells. The effect of repeated cycles of electron and proton irradiation was tested. The damage mechanisms were analyzed. Limitations in annealing recovery suggested improvements in cell design for more complete recovery. These preliminary experiments also indicate the need for further study to isolate damage mechanisms. The primary objective of the experiments was to demonstrate and quantify the annealing behavior of high temperature GaAs cells. Secondary objectives were to measure the radiation degradation and to determine the effect of repeated irradiation and anneal cycles.

  7. Compact Microscope Imaging System With Intelligent Controls Improved

    NASA Technical Reports Server (NTRS)

    McDowell, Mark

    2004-01-01

    The Compact Microscope Imaging System (CMIS) with intelligent controls is a diagnostic microscope analysis tool with intelligent controls for use in space, industrial, medical, and security applications. This compact miniature microscope, which can perform tasks usually reserved for conventional microscopes, has unique advantages in the fields of microscopy, biomedical research, inline process inspection, and space science. Its unique approach integrates a machine vision technique with an instrumentation and control technique that provides intelligence via the use of adaptive neural networks. The CMIS system was developed at the NASA Glenn Research Center specifically for interface detection used for colloid hard spheres experiments; biological cell detection for patch clamping, cell movement, and tracking; and detection of anode and cathode defects for laboratory samples using microscope technology.

  8. The microscopic Z-pinch process of current-carrying rarefied deuterium plasma shell

    NASA Astrophysics Data System (ADS)

    Ning, Cheng; Feng, Zhixing; Xue, Chuang; Li, Baiwen

    2015-02-01

    For insight into the microscopic mechanism of Z-pinch dynamic processes, a code of two-dimensional particle-in-cell (PIC) simulation has been developed in cylindrical coordinates. In principle, the Z-pinch of current-carrying rarefied deuterium plasma shell has been simulated by means of this code. Many results related to the microscopic processes of the Z-pinch are obtained. They include the spatio-temporal distributions of electromagnetic field, current density, forces experienced by the ions and electrons, positions and energy distributions of particles, and trailing mass and current. In radial direction, the electric and magnetic forces exerted on the electrons are comparable in magnitude, while the forces exerted on the ions are mainly the electric forces. So in the Z-pinch process, the electrons are first accelerated in Z direction and get higher velocities; then, they are driven inwards to the axis at the same time by the radial magnetic forces (i.e., Lorentz forces) of them. That causes the separations between the electrons and ions because the ion mass is much larger than the electron's, and in turn a strong electrostatic field is produced. The produced electrostatic field attracts the ions to move towards the electrons. When the electrons are driven along the radial direction to arrive at the axis, they shortly move inversely due to the static repellency among them and their tiny mass, while the ions continue to move inertially inwards, and later get into stagnation, and finally scatter outwards. Near the stagnation, the energies of the deuterium ions mostly range from 0.3 to 6 keV, while the electron energies are mostly from 5 to 35 keV. The radial components, which can contribute to the pinched plasma temperature, of the most probable energies of electron and ion at the stagnation are comparable to the Bennett equilibrium temperature (about 1 keV), and also to the highest temperatures of electron and ion obtained in one dimensional radiation

  9. Yeast Pif1 Accelerates Annealing of Complementary DNA Strands

    PubMed Central

    2015-01-01

    Pif1 is a helicase involved in the maintenance of nuclear and mitochondrial genomes in eukaryotes. Here we report a new activity of Saccharomyces cerevisiae Pif1, annealing of complementary DNA strands. We identified preferred substrates for annealing as those that generate a duplex product with a single-stranded overhang relative to a blunt end duplex. Importantly, we show that Pif1 can anneal DNA in the presence of ATP and Mg2+. Pif1-mediated annealing also occurs in the presence of single-stranded DNA binding proteins. Additionally, we show that partial duplex substrates with 3′-single-stranded overhangs such as those generated during double-strand break repair can be annealed by Pif1. PMID:25393406

  10. Yeast Pif1 accelerates annealing of complementary DNA strands.

    PubMed

    Ramanagoudr-Bhojappa, Ramanagouda; Byrd, Alicia K; Dahl, Christopher; Raney, Kevin D

    2014-12-09

    Pif1 is a helicase involved in the maintenance of nuclear and mitochondrial genomes in eukaryotes. Here we report a new activity of Saccharomyces cerevisiae Pif1, annealing of complementary DNA strands. We identified preferred substrates for annealing as those that generate a duplex product with a single-stranded overhang relative to a blunt end duplex. Importantly, we show that Pif1 can anneal DNA in the presence of ATP and Mg(2+). Pif1-mediated annealing also occurs in the presence of single-stranded DNA binding proteins. Additionally, we show that partial duplex substrates with 3'-single-stranded overhangs such as those generated during double-strand break repair can be annealed by Pif1.

  11. High-temperature annealing of graphite: A molecular dynamics study

    NASA Astrophysics Data System (ADS)

    Petersen, Andrew; Gillette, Victor

    2018-05-01

    A modified AIREBO potential was developed to simulate the effects of thermal annealing on the structure and physical properties of damaged graphite. AIREBO parameter modifications were made to reproduce Density Functional Theory interstitial results. These changes to the potential resulted in high-temperature annealing of the model, as measured by stored-energy reduction. These results show some resemblance to experimental high-temperature annealing results, and show promise that annealing effects in graphite are accessible with molecular dynamics and reactive potentials.

  12. Improved characteristics of amorphous indium-gallium-zinc-oxide-based resistive random access memory using hydrogen post-annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kang, Dae Yun; Lee, Tae-Ho; Kim, Tae Geun, E-mail: tgkim1@korea.ac.kr

    The authors report an improvement in resistive switching (RS) characteristics of amorphous indium-gallium-zinc-oxide (a-IGZO)-based resistive random access memory devices using hydrogen post-annealing. Because this a-IGZO thin film has oxygen off-stoichiometry in the form of deficient and excessive oxygen sites, the film properties can be improved by introducing hydrogen atoms through the annealing process. After hydrogen post-annealing, the device exhibited a stable bipolar RS, low-voltage set and reset operation, long retention (>10{sup 5 }s), good endurance (>10{sup 6} cycles), and a narrow distribution in each current state. The effect of hydrogen post-annealing is also investigated by analyzing the sample surface using X-raymore » photon spectroscopy and atomic force microscopy.« less

  13. Annealing effect reversal by water sorption-desorption and heating above the glass transition temperature-comparison of properties.

    PubMed

    Saxena, A; Jean, Y C; Suryanarayanan, R

    2013-08-05

    Our objective is to compare the physical properties of materials obtained from two different methods of annealing reversal, that is, water sorption-desorption (WSD) and heating above glass transition temperature (HAT). Trehalose was annealed by storing at 100 °C for 120 h. The annealing effect was reversed either by WSD or HAT, and the resulting materials were characterized by differential scanning calorimetry (DSC), water sorption studies, and positron annihilation spectroscopy (PAS). While the products obtained by the two methods of annealing reversal appeared to be identical by conventional characterization methods, they exhibited pronounced differences in their water sorption behavior. Positron annihilation spectroscopy (PAS), by measuring the fractional free volume changes in the processed samples, provided a mechanistic explanation for the differences in the observed behavior.

  14. Photo annealing effect on p-doped inverted organic solar cell

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lafalce, Evan; Toglia, Patrick; Lewis, Jason E.

    2014-06-28

    We report the transient positive photo annealing effect in which over 600% boost of power conversion efficiency was observed in inverted organic photovoltaic devices (OPV) made from P3HT/PCBM by spray method, after 2 hrs of constant solar AM 1.5 irradiation at low temperature. This is opposite to usual photodegradation of OPV, and cannot be explained by thermal activation alone since the mere temperature effect could only account for 30% of the enhancement. We have investigated the temperature dependence, cell geometry, oxygen influence, and conclude that, for p-doped active layer at room temperature, the predominant mechanism is photo-desorption of O{sub 2}, whichmore » eliminates electron traps and reduces space charge screening. As temperature decreases, thermal activation and deep trap-state filling start to show noticeable effect on the enhancement of photocurrent at intermediate low temperature (T = 125 K). At very low temperature, the dominant mechanism for photo annealing is trap-filling, which significantly reduces recombination between free and trapped carriers. At all temperature, photo annealing effect depends on illumination direction from cathode or anode. We also explained the large fluctuation of photocurrent by the capture/reemit of trapped electrons from shallow electron traps of O{sub 2}{sup -} generated by photo-doping. Our study has demonstrated the dynamic process of photo-doping and photo-desorption, and shown that photo annealing in vacuum can be an efficient method to improve OPV device efficiency.« less

  15. Thermodynamics of post-growth annealing of cadmium zinc telluride nuclear radiation detectors

    NASA Astrophysics Data System (ADS)

    Adams, Aaron Lee

    Nuclear Radiation Detectors are used for detecting, tracking, and identifying radioactive materials which emit high-energy gamma and X-rays. The use of Cadmium Zinc Telluride (CdZnTe) detectors is particularly attractive because of the detector's ability to operate at room temperature and measure the energy spectra of gamma-ray sources with a high resolution, typically less than 1% at 662 keV. While CdZnTe detectors are acceptable imperfections in the crystals limit their full market potential. One of the major imperfections are Tellurium inclusions generated during the crystal growth process by the retrograde solubility of Tellurium and Tellurium-rich melt trapped at the growth interface. Tellurium inclusions trap charge carriers generated by gamma and X-ray photons and thus reduce the portion of generated charge carriers that reach the electrodes for collection and conversion into a readable signal which is representative of the ionizing radiation's energy and intensity. One approach in resolving this problem is post-growth annealing which has the potential of removing the Tellurium inclusions and associated impurities. The goal of this project is to use experimental techniques to study the thermodynamics of Tellurium inclusion migration in post-growth annealing of CdZnTe nuclear detectors with the temperature gradient zone migration (TGZM) technique. Systematic experiments will be carried out to provide adequate thermodynamic data that will inform the engineering community of the optimum annealing parameters. Additionally, multivariable correlations that involve the Tellurium diffusion coefficient, annealing parameters, and CdZnTe properties will be analyzed. The experimental approach will involve systematic annealing experiments (in Cd vapor overpressure) on different sizes of CdZnTe crystals at varying temperature gradients ranging from 0 to 60°C/mm (used to migrate the Tellurium inclusion to one side of the crystal), and at annealing temperatures ranging

  16. Origin of two maxima in specific heat in enthalpy relaxation under thermal history composed of cooling, annealing, and heating.

    PubMed

    Sakatsuji, Waki; Konishi, Takashi; Miyamoto, Yoshihisa

    2016-12-01

    The origin of two maxima in specific heat observed at the higher and the lower temperatures in the glass-transition region in the heating process has been studied for polymethyl methacrylate and polyvinyl chloride using differential scanning calorimetry, and the calculation was done using the phenomenological model equation under a thermal history of the typical annealing experiment composed of cooling, annealing, and heating. The higher maximum is observed above the glass-transition temperature, and it remains almost unchanged independent of annealing time t_{a}, while the lower one is observed above an annealing temperature T_{a} and shifts toward the higher one, increasing its magnitude with t_{a}. The analysis by the phenomenological model equation proposed in order to interpret the memory effect in the glassy state clarifies that under a typical annealing history, two maxima in specific heat essentially appear. The shift of the lower maximum toward higher temperatures from above T_{a} is caused by an increase in the amount of relaxation during annealing with t_{a}. The annealing temperature and the amount of relaxation during annealing play a major role in the determination of the number of maxima in the specific heat.

  17. Optimization Via Open System Quantum Annealing

    DTIC Science & Technology

    2016-01-07

    Daniel A. Lidar. Experimental signature of programmable quantum annealing, Nature Communications , (06 2013): 0. doi: 10.1038/ncomms3067 T. F...Demonstrated error correction effectiveness. • Demonstrated quantum annealing correction on antiferromagnetic chains, with substantial fidelity gains...Rev. A 91, 022309 (2015). 3. A. Kalev and I. Hen, “ Fidelity -optimized quantum state estimation”, New Journal of Physics 17 092008 (2015). 4. I

  18. Benefits of Intercritical Annealing in Quenching and Partitioning Steel

    NASA Astrophysics Data System (ADS)

    Wang, X.; Liu, L.; Liu, R. D.; Huang, M. X.

    2018-03-01

    Compared to the quenching and partitioning (Q&P) steel produced by full austenization annealing, the Q&P steel produced by the intercritical annealing shows a similar ultimate tensile stress but a larger tensile ductility. This property is attributable to the higher volume fraction and the better mechanical stability of the retained austenite after the intercritical annealing. Moreover, intercritical annealing produces more ferrite and fewer martensite phases in the microstructure, making an additional contribution to a higher work hardening rate and therefore a better tensile ductility.

  19. Finding Maximum Cliques on the D-Wave Quantum Annealer

    DOE PAGES

    Chapuis, Guillaume; Djidjev, Hristo; Hahn, Georg; ...

    2018-05-03

    This work assesses the performance of the D-Wave 2X (DW) quantum annealer for finding a maximum clique in a graph, one of the most fundamental and important NP-hard problems. Because the size of the largest graphs DW can directly solve is quite small (usually around 45 vertices), we also consider decomposition algorithms intended for larger graphs and analyze their performance. For smaller graphs that fit DW, we provide formulations of the maximum clique problem as a quadratic unconstrained binary optimization (QUBO) problem, which is one of the two input types (together with the Ising model) acceptable by the machine, andmore » compare several quantum implementations to current classical algorithms such as simulated annealing, Gurobi, and third-party clique finding heuristics. We further estimate the contributions of the quantum phase of the quantum annealer and the classical post-processing phase typically used to enhance each solution returned by DW. We demonstrate that on random graphs that fit DW, no quantum speedup can be observed compared with the classical algorithms. On the other hand, for instances specifically designed to fit well the DW qubit interconnection network, we observe substantial speed-ups in computing time over classical approaches.« less

  20. Finding Maximum Cliques on the D-Wave Quantum Annealer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chapuis, Guillaume; Djidjev, Hristo; Hahn, Georg

    This work assesses the performance of the D-Wave 2X (DW) quantum annealer for finding a maximum clique in a graph, one of the most fundamental and important NP-hard problems. Because the size of the largest graphs DW can directly solve is quite small (usually around 45 vertices), we also consider decomposition algorithms intended for larger graphs and analyze their performance. For smaller graphs that fit DW, we provide formulations of the maximum clique problem as a quadratic unconstrained binary optimization (QUBO) problem, which is one of the two input types (together with the Ising model) acceptable by the machine, andmore » compare several quantum implementations to current classical algorithms such as simulated annealing, Gurobi, and third-party clique finding heuristics. We further estimate the contributions of the quantum phase of the quantum annealer and the classical post-processing phase typically used to enhance each solution returned by DW. We demonstrate that on random graphs that fit DW, no quantum speedup can be observed compared with the classical algorithms. On the other hand, for instances specifically designed to fit well the DW qubit interconnection network, we observe substantial speed-ups in computing time over classical approaches.« less

  1. [Effects of different annealing conditions on the photoluminescence of nanoporous alumina film].

    PubMed

    Xie, Ning; Ma, Kai-Di; Shen, Yi-Fan; Wang, Qian

    2013-12-01

    The nanoporous alumina films were prepared by two-step anodic oxidation in 0.5 mol L-1 oxalic acid electrolyte at 40 V. Photoluminescence (PL) of nanoporous alumina films was investigated under different annealing atmosphere and different temperature. The authors got three results about the PL measurements. In the same annealing atmosphere, when the annealling temperature T< or =600 degreeC, the intensity of the PL peak increases with elevated annealing temperature and reaches a maximum value at 500 degreeC, but the intensity decreases with a further increase in the annealing temperature, and the PL peak intensity of samples increases with the increase in the annealing temperature when the annealling temperature T> or =800 degreeC. In the different annealling atmosphere, the change in the photoluminescence peak position for nanoporous alumina films with the increase in the annealing temperature is different: With the increase in the annealling temperature, the PL peak position for the samples annealed in air atmosphere is blue shifted, while the PL peak position for the samples annealed in vacuum atmosphere will not change. The PL spectra of nanoporous alumina films annealed at 1100 degreeC in air atmosphere can be de-convoluted by three Gaussian components at an excitation wavelength of 350 nm, with bands centered at 387, 410 and 439 nm, respectively. These results suggest that there might be three luminescence centers for the PL of annealed alumina films. At the same annealling temperature, the PL peak intensity of samples annealed in air atmosphere is stronger than that annealed in the vacuum. Based on the experimental results and the X-ray dispersive energy spectrum (EDS) combined with infrared reflect spectra, the luminescence mechanisms of nanoporous alumina films are discussed. There are three luminescence centers in the annealed nanoporous alumina films, which originate from the F center, F+ center and the center associated with the oxalic impurities. The

  2. Low-temperature solution processing of palladium/palladium oxide films and their pH sensing performance.

    PubMed

    Qin, Yiheng; Alam, Arif U; Pan, Si; Howlader, Matiar M R; Ghosh, Raja; Selvaganapathy, P Ravi; Wu, Yiliang; Deen, M Jamal

    2016-01-01

    Highly sensitive, easy-to-fabricate, and low-cost pH sensors with small dimensions are required to monitor human bodily fluids, drinking water quality and chemical/biological processes. In this study, a low-temperature, solution-based process is developed to prepare palladium/palladium oxide (Pd/PdO) thin films for pH sensing. A precursor solution for Pd is spin coated onto pre-cleaned glass substrates and annealed at low temperature to generate Pd and PdO. The percentages of PdO at the surface and in the bulk of the electrodes are correlated to their sensing performance, which was studied by using the X-ray photoelectron spectroscope. Large amounts of PdO introduced by prolonged annealing improve the electrode's sensitivity and long-term stability. Atomic force microscopy study showed that the low-temperature annealing results in a smooth electrode surface, which contributes to a fast response. Nano-voids at the electrode surfaces were observed by scanning electron microscope, indicating a reason for the long-term degradation of the pH sensitivity. Using the optimized annealing parameters of 200°C for 48 h, a linear pH response with sensitivity of 64.71±0.56 mV/pH is obtained for pH between 2 and 12. These electrodes show a response time shorter than 18 s, hysteresis less than 8 mV and stability over 60 days. High reproducibility in the sensing performance is achieved. This low-temperature solution-processed sensing electrode shows the potential for the development of pH sensing systems on flexible substrates over a large area at low cost without using vacuum equipment. Copyright © 2015 Elsevier B.V. All rights reserved.

  3. Growth of the 889 per cm infrared band in annealed electron-irradiated silicon

    NASA Technical Reports Server (NTRS)

    Svensson, B. G.; Lindstrom, J. L.; Corbett, J. W.

    1985-01-01

    Isothermal annealing of electron-irradiated Czochralski silicon has been studied at four different temperatures ranging from 304 to 350 C using infrared spectroscopy. At annealing temperatures above 300 C the irradiation-induced band at 830 per cm, usually attributed to a vacancy-oxygen complex (the A center), disappears and a new band at 889 per cm grows up. Within the experimental accuracy, the activation energy for the growth of this band is found to be identical with the value given by Stavola et al. for 'anomalous' oxygen diffusion in silicon. Also the frequency factors for the two processes are in reasonable agreement. The results show that a vacancy-assisted process may provide an explanation for enhanced motion of oxygen in silicon.

  4. A protected annealing strategy to enhanced light emission and photostability of YAG:Ce nanoparticle-based films.

    PubMed

    Revaux, Amelie; Dantelle, Geraldine; George, Nathan; Seshadri, Ram; Gacoin, Thierry; Boilot, Jean-Pierre

    2011-05-01

    A significant obstacle in the development of YAG:Ce nanoparticles as light converters in white LEDs and as biological labels is associated with the difficulty of finding preparative conditions that allow simultaneous control of structure, particle size and size distribution, while maintaining the optical properties of bulk samples. Preparation conditions frequently involve high-temperature treatments of precursors (up to 1400 °C), which result in increased particle size and aggregation, and lead to oxidation of Ce(iii) to Ce(iv). We report here a process that we term protected annealing, that allows the thermal treatment of preformed precursor particles at temperatures up to 1000 °C while preserving their small size and state of dispersion. In a first step, pristine nanoparticles are prepared by a glycothermal reaction, leading to a mixture of YAG and boehmite crystalline phases. The preformed nanoparticles are then dispersed in a porous silica. Annealing of the composite material at 1000 °C is followed by dissolution of the amorphous silica by hydrofluoric acid to recover the annealed particles as a colloidal dispersion. This simple process allows completion of YAG crystallization while preserving their small size. The redox state of Ce ions can be controlled through the annealing atmosphere. The obtained particles of YAG:Ce (60 ± 10 nm in size) can be dispersed as nearly transparent aqueous suspensions, with a luminescence quantum yield of 60%. Transparent YAG:Ce nanoparticle-based films of micron thickness can be deposited on glass substrates using aerosol spraying. Films formed from particles prepared by the protected annealing strategy display significantly improved photostability over particles that have not been subject to such annealing. © The Royal Society of Chemistry 2011

  5. Effect of intermediate annealing on the microstructure and mechanical property of ZK60 magnesium alloy produced by twin roll casting and hot rolling

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Hongmei, E-mail: hmchen@just.edu.cn; Zang, Qianhao; Yu, Hui

    2015-08-15

    Twin roll cast (designated as TRC in short) ZK60 magnesium alloy strip with 3.5 mm thickness was used in this paper. The TRC ZK60 strip was multi-pass rolled at different temperatures, intermediate annealing heat treatment was performed when the thickness of the strip changed from 3.5 mm to 1 mm, and then continued to be rolled until the thickness reached to 0.5 mm. The effect of intermediate annealing during rolling process on microstructure, texture and room temperature mechanical properties of TRC ZK60 strip was studied by using OM, TEM, XRD and electronic universal testing machine. The introduction of intermediate annealingmore » can contribute to recrystallization in the ZK60 sheet which was greatly deformed, and help to reduce the stress concentration generated in the rolling process. Microstructure uniformity and mechanical properties of the ZK60 alloy sheet were also improved; in particular, the room temperature elongation was greatly improved. When the TRC ZK60 strip was rolled at 300 °C and 350 °C, the room temperature elongation of the rolled sheet with 0.5 mm thickness which was intermediate annealed during the rolling process was increased by 95% and 72% than that of no intermediate annealing, respectively. - Highlights: • Intermediate annealing was introduced during hot rolling process of twin roll cast ZK60 alloy. • Intermediate annealing can contribute to recrystallization and reduce the stress concentration in the deformed ZK60 sheet. • Microstructure uniformity and mechanical properties of the ZK60 sheet were improved, in particular, the room temperature elongation. • The elongation of the rolled ZK60 sheet after intermediate annealed was increased by 95% and 72% than that of no intermediate annealing.« less

  6. Schottky barrier parameters and structural properties of rapidly annealed Zr Schottky electrode on p-type GaN

    NASA Astrophysics Data System (ADS)

    Rajagopal Reddy, V.; Asha, B.; Choi, Chel-Jong

    2017-06-01

    The Schottky barrier junction parameters and structural properties of Zr/p-GaN Schottky diode are explored at various annealing temperatures. Experimental analysis showed that the barrier height (BH) of the Zr/p-GaN Schottky diode increases with annealing at 400 °C (0.92 eV (I-V)/1.09 eV (C-V)) compared to the as-deposited one (0.83 eV (I-V)/0.93 eV (C-V)). However, the BH decreases after annealing at 500 °C. Also, at different annealing temperatures, the series resistance and BH are assessed by Cheung's functions and their values compared. Further, the interface state density (N SS) of the diode decreases after annealing at 400 °C and then somewhat rises upon annealing at 500 °C. Analysis reveals that the maximum BH is obtained at 400 °C, and thus the optimum annealing temperature is 400 °C for the diode. The XPS and XRD analysis revealed that the increase in BH may be attributed to the creation of Zr-N phases with increasing annealing up to 400 °C. The BH reduces for the diode annealed at 500 °C, which may be due to the formation of Ga-Zr phases at the junction. The AFM measurements reveal that the overall surface roughness of the Zr film is quite smooth during rapid annealing process. Project supported by the R&D Program for Industrial Core Technology (No. 10045216) and the Transfer Machine Specialized Lighting Core Technology Development Professional Manpower Training Project (No. N0001363) Funded by the Ministry of Trade, Industry and Energy (MOTIE), Republic of Korea.

  7. films on silicon at different annealing temperatures

    NASA Astrophysics Data System (ADS)

    Zhao, Yan; Zhou, Chunlan; Zhang, Xiang; Zhang, Peng; Dou, Yanan; Wang, Wenjing; Cao, Xingzhong; Wang, Baoyi; Tang, Yehua; Zhou, Su

    2013-03-01

    Thermal atomic layer-deposited (ALD) aluminum oxide (Al2O3) acquires high negative fixed charge density ( Q f) and sufficiently low interface trap density after annealing, which enables excellent surface passivation for crystalline silicon. Q f can be controlled by varying the annealing temperatures. In this study, the effect of the annealing temperature of thermal ALD Al2O3 films on p-type Czochralski silicon wafers was investigated. Corona charging measurements revealed that the Q f obtained at 300°C did not significantly affect passivation. The interface-trapping density markedly increased at high annealing temperature (>600°C) and degraded the surface passivation even at a high Q f. Negatively charged or neutral vacancies were found in the samples annealed at 300°C, 500°C, and 750°C using positron annihilation techniques. The Al defect density in the bulk film and the vacancy density near the SiO x /Si interface region decreased with increased temperature. Measurement results of Q f proved that the Al vacancy of the bulk film may not be related to Q f. The defect density in the SiO x region affected the chemical passivation, but other factors may dominantly influence chemical passivation at 750°C.

  8. Isochronal annealing effects on local structure, crystalline fraction, and undamaged region size of radiation damage in Ga-stabilized δ-Pu

    DOE PAGES

    Olive, D. T.; Booth, C. H.; Wang, D. L.; ...

    2016-07-19

    The effects on the local structure due to self-irradiation damage of Ga stabilized δ-Pu stored at cryogenic temperatures have been examined using extended x-ray absorption fine structure (EXAFS) experiments. Extensive damage, seen as a loss of local order, was evident after 72 days of storage below 15 K. The effect was observed from both the Pu and the Ga sites, although less pronounced around Ga. Isochronal annealing was performed on this sample to study the annealing processes that occur between cryogenic and room temperature storage conditions, where damage is mostly reversed. Damage fractions at various points along the annealing curvemore » have been determined using an amplitude-ratio method, a standard EXAFS fitting, and a spherical crystallite model, and provide information complementary to the previous electrical resistivity- and susceptibility-based isochronal annealing studies. The use of a spherical crystallite model accounts for the changes in EXAFS spectra using just two parameters, namely, the crystalline fraction and the particle radius. Altogether, these results are discussed in terms of changes to the local structure around Ga and Pu throughout the annealing process and highlight the unusual role of Ga in the behavior of the lowest temperature anneals.« less

  9. Isochronal annealing effects on local structure, crystalline fraction, and undamaged region size of radiation damage in Ga-stabilized δ-Pu

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Olive, D. T.; Materials Science and Technology Division, Los Alamos National Laboratory, Los Alamos, New Mexico 87545; Wang, D. L.

    2016-07-21

    The effects on the local structure due to self-irradiation damage of Ga stabilized δ-Pu stored at cryogenic temperatures have been examined using extended x-ray absorption fine structure (EXAFS) experiments. Extensive damage, seen as a loss of local order, was evident after 72 days of storage below 15 K. The effect was observed from both the Pu and the Ga sites, although less pronounced around Ga. Isochronal annealing was performed on this sample to study the annealing processes that occur between cryogenic and room temperature storage conditions, where damage is mostly reversed. Damage fractions at various points along the annealing curve havemore » been determined using an amplitude-ratio method, a standard EXAFS fitting, and a spherical crystallite model, and provide information complementary to the previous electrical resistivity- and susceptibility-based isochronal annealing studies. The use of a spherical crystallite model accounts for the changes in EXAFS spectra using just two parameters, namely, the crystalline fraction and the particle radius. Together, these results are discussed in terms of changes to the local structure around Ga and Pu throughout the annealing process and highlight the unusual role of Ga in the behavior of the lowest temperature anneals.« less

  10. An image processing approach to analyze morphological features of microscopic images of muscle fibers.

    PubMed

    Comin, Cesar Henrique; Xu, Xiaoyin; Wang, Yaming; Costa, Luciano da Fontoura; Yang, Zhong

    2014-12-01

    We present an image processing approach to automatically analyze duo-channel microscopic images of muscular fiber nuclei and cytoplasm. Nuclei and cytoplasm play a critical role in determining the health and functioning of muscular fibers as changes of nuclei and cytoplasm manifest in many diseases such as muscular dystrophy and hypertrophy. Quantitative evaluation of muscle fiber nuclei and cytoplasm thus is of great importance to researchers in musculoskeletal studies. The proposed computational approach consists of steps of image processing to segment and delineate cytoplasm and identify nuclei in two-channel images. Morphological operations like skeletonization is applied to extract the length of cytoplasm for quantification. We tested the approach on real images and found that it can achieve high accuracy, objectivity, and robustness. Copyright © 2014 Elsevier Ltd. All rights reserved.

  11. Molecular dynamics simulations and photoluminescence measurements of annealed ZnO surfaces

    NASA Astrophysics Data System (ADS)

    Min, Tjun Kit; Yoon, Tiem Leong; Ling, Chuo Ann; Mahmud, Shahrom; Lim, Thong Leng; Saw, Kim Guan

    2017-06-01

    The effect of thermal annealing on wurtzite ZnO, terminated by two surfaces, (000 1 bar) (which is oxygen-terminated) and (0 0 0 1) (which is Zn-terminated), is investigated via molecular dynamics simulation using reactive force field (ReaxFF). As a result of annealing at a threshold temperature range of 700 K annealing process. Our MD simulation based on the ReaxFF is consistent with experimental observations.

  12. Annealed CVD molybdenum thin film surface

    DOEpatents

    Carver, Gary E.; Seraphin, Bernhard O.

    1984-01-01

    Molybdenum thin films deposited by pyrolytic decomposition of Mo(CO).sub.6 attain, after anneal in a reducing atmosphere at temperatures greater than 700.degree. C., infrared reflectance values greater than reflectance of supersmooth bulk molybdenum. Black molybdenum films deposited under oxidizing conditions and annealed, when covered with an anti-reflecting coating, approach the ideal solar collector characteristic of visible light absorber and infrared energy reflector.

  13. Preparation and Thermal Characterization of Annealed Gold Coated Porous Silicon

    PubMed Central

    Behzad, Kasra; Mat Yunus, Wan Mahmood; Talib, Zainal Abidin; Zakaria, Azmi; Bahrami, Afarin

    2012-01-01

    Porous silicon (PSi) layers were formed on a p-type Si wafer. Six samples were anodised electrically with a 30 mA/cm2 fixed current density for different etching times. The samples were coated with a 50–60 nm gold layer and annealed at different temperatures under Ar flow. The morphology of the layers, before and after annealing, formed by this method was investigated by scanning electron microscopy (SEM). Photoacoustic spectroscopy (PAS) measurements were carried out to measure the thermal diffusivity (TD) of the PSi and Au/PSi samples. For the Au/PSi samples, the thermal diffusivity was measured before and after annealing to study the effect of annealing. Also to study the aging effect, a comparison was made between freshly annealed samples and samples 30 days after annealing. PMID:28817037

  14. Preparation and Thermal Characterization of Annealed Gold Coated Porous Silicon.

    PubMed

    Behzad, Kasra; Mat Yunus, Wan Mahmood; Talib, Zainal Abidin; Zakaria, Azmi; Bahrami, Afarin

    2012-01-16

    Porous silicon (PSi) layers were formed on a p-type Si wafer. Six samples were anodised electrically with a 30 mA/cm² fixed current density for different etching times. The samples were coated with a 50-60 nm gold layer and annealed at different temperatures under Ar flow. The morphology of the layers, before and after annealing, formed by this method was investigated by scanning electron microscopy (SEM). Photoacoustic spectroscopy (PAS) measurements were carried out to measure the thermal diffusivity (TD) of the PSi and Au/PSi samples. For the Au/PSi samples, the thermal diffusivity was measured before and after annealing to study the effect of annealing. Also to study the aging effect, a comparison was made between freshly annealed samples and samples 30 days after annealing.

  15. Depth profiles of oxygen precipitates in nitride-coated silicon wafers subjected to rapid thermal annealing

    NASA Astrophysics Data System (ADS)

    Voronkov, V. V.; Falster, R.; Kim, TaeHyeong; Park, SoonSung; Torack, T.

    2013-07-01

    Silicon wafers, coated with a silicon nitride layer and subjected to high temperature Rapid Thermal Annealing (RTA) in Ar, show—upon a subsequent two-step precipitation anneal cycle (such as 800 °C + 1000 °C)—peculiar depth profiles of oxygen precipitate densities. Some profiles are sharply peaked near the wafer surface, sometimes with a zero bulk density. Other profiles are uniform in depth. The maximum density is always the same. These profiles are well reproduced by simulations assuming that precipitation starts from a uniformly distributed small oxide plates originated from RTA step and composed of oxygen atoms and vacancies ("VO2 plates"). During the first step of the precipitation anneal, an oxide layer propagates around this core plate by a process of oxygen attachment, meaning that an oxygen-only ring-shaped plate emerges around the original plate. These rings, depending on their size, then either dissolve or grow during the second part of the anneal leading to a rich variety of density profiles.

  16. Annealing effects on electrical behavior of gold nanoparticle film: Conversion of ohmic to non-ohmic conductivity

    NASA Astrophysics Data System (ADS)

    Ebrahimpour, Zeinab; Mansour, Nastaran

    2017-02-01

    -Nordheim (F-N) plots show the transition of the in-plane charge transport mechanism from direct tunneling to field emission in annealed films. Our results suggest that, the formation of a thin layer of Au2O3 , the proximity of the nanoparticles as well as their higher filling fraction are important parameters related with the tunneling process enhancement. The observed non-ohmic conductivity property can make these self-assembled gold nanoparticle films very useful structures in different applications such as sensing, resistors and other nanoelectronic applications.

  17. Effect of Rolling and Subsequent Annealing on Microstructure, Microtexture, and Properties of an Experimental Duplex Stainless Steel

    NASA Astrophysics Data System (ADS)

    Mandal, Arka; Patra, Sudipta; Chakrabarti, Debalay; Singh, Shiv Brat

    2017-12-01

    A lean duplex stainless steel (LDSS) has been prepared with low-N content and processed by different thermo-mechanical schedules, similar to the industrial processing that comprised hot-rolling, cold-rolling, and annealing treatments. The microstructure developed in the present study on low-N LDSS has been compared to that of high-N LDSS as reported in the literature. As N is an austenite stabilizer, lower-N content reduced the stability of austenite and the austenite content in low-N LDSS with respect to the conventional LDSS. Due to low stability of austenite in low-N LDSS, cold rolling resulted in strain-induced martensitic transformation and the reversion of martensite to austenite during subsequent annealing contributed to significant grain refinement within the austenite regions. δ-ferrite grains in low-N LDSS, on the other hand, are refined by extended recovery mechanism. Initial solidification texture (mainly cube texture) within the δ-ferrite region finally converted into gamma-fiber texture after cold rolling and annealing. Although MS-brass component dominated the austenite texture in low-N LDSS after hot rolling and cold rolling, that even transformed into alpha-fiber texture after the final annealing. Due to the significant grain refinement and formation of beneficial texture within both austenite and ferrite, good combination of strength and ductility has been achieved in cold-rolled and annealed sample of low-N LDSS steel.

  18. Population annealing simulations of a binary hard-sphere mixture

    NASA Astrophysics Data System (ADS)

    Callaham, Jared; Machta, Jonathan

    2017-06-01

    Population annealing is a sequential Monte Carlo scheme well suited to simulating equilibrium states of systems with rough free energy landscapes. Here we use population annealing to study a binary mixture of hard spheres. Population annealing is a parallel version of simulated annealing with an extra resampling step that ensures that a population of replicas of the system represents the equilibrium ensemble at every packing fraction in an annealing schedule. The algorithm and its equilibration properties are described, and results are presented for a glass-forming fluid composed of a 50/50 mixture of hard spheres with diameter ratio of 1.4:1. For this system, we obtain precise results for the equation of state in the glassy regime up to packing fractions φ ≈0.60 and study deviations from the Boublik-Mansoori-Carnahan-Starling-Leland equation of state. For higher packing fractions, the algorithm falls out of equilibrium and a free volume fit predicts jamming at packing fraction φ ≈0.667 . We conclude that population annealing is an effective tool for studying equilibrium glassy fluids and the jamming transition.

  19. Effect of Annealing on Microstructures and Hardening of Helium-Hydrogen-Implanted Sequentially Vanadium Alloys

    NASA Astrophysics Data System (ADS)

    Jiang, Shaoning; Wang, Zhiming

    2018-03-01

    The effect of post-irradiation annealing on the microstructures and mechanical properties of V-4Cr-4Ti alloys was studied. Helium-hydrogen-irradiated sequentially V-4Cr-4Ti alloys at room temperature (RT) were undergone post-irradiation annealing at 450 °C over periods of up to 30 h. These samples were carried out by high-resolution transmission electron microscopy (HRTEM) observation and nanoindentation test. With the holding time, large amounts of point defects produced during irradiation at RT accumulated into large dislocation loops and then dislocation nets which promoted the irradiation hardening. Meanwhile, bubbles appeared. As annealing time extended, these bubbles grew up and merged, and finally broke up. In the process, the size of bubbles increased and the number density decreased. Microstructural changes due to post-irradiation annealing corresponded to the change of hardening. Dislocations and bubbles are co-contributed to irradiation hardening. With the holding time up to 30 h, the recovery of hardening is not obvious. The phenomenon was discussed by dispersed barrier hardening model and Friedel-Kroupa-Hirsch relationship.

  20. Electrical properties of solution-deposited ZnO thin-film transistors by low-temperature annealing.

    PubMed

    Lim, Chul; Oh, Ji Young; Koo, Jae Bon; Park, Chan Woo; Jung, Soon-Won; Na, Bock Soon; Chu, Hye Yong

    2014-11-01

    Flexible oxide thin-film transistors (Oxide-TFTs) have emerged as next generation transistors because of their applicability in electronic device. In particular, the major driving force behind solution-processed zinc oxide film research is its prospective use in printing for electronics. A low-temperature process to improve the performance of solution-processed n-channel ZnO thin-film transistors (TFTs) fabricated via spin-coating and inkjet-printing is introduced here. ZnO nanoparticles were synthesized using a facile sonochemical method that was slightly modified based on a previously reported method. The influence of the annealing atmosphere on both nanoparticle-based TFT devices fabricated via spin-coating and those created via inkjet printing was investigated. For the inkjet-printed TFTs, the characteristics were improved significantly at an annealing temperature of 150 degrees C. The field effect mobility, V(th), and the on/off current ratios were 3.03 cm2/Vs, -3.3 V, and 10(4), respectively. These results indicate that annealing at 150 degrees C 1 h is sufficient to obtain a mobility (μ(sat)) as high as 3.03 cm2/Vs. Also, the active layer of the solution-based ZnO nanoparticles allowed the production of high-performance TFTs for low-cost, large-area electronics and flexible devices.

  1. Effect of Water Vapor, Temperature, and Rapid Annealing on Formamidinium Lead Triiodide Perovskite Crystallization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aguiar, Jeffery A.; Wozny, Sarah; Alkurd, Nooraldeen R.

    Perovskite-based solar cells are one of the emerging candidates for radically lower cost photovoltaics. Herein, we report on the synthesis and crystallization of organic-inorganic formamidinium lead triiodide perovskite films under controlled atmospheric and environmental conditions. Using in situ (scanning) transmission electron microscopy, we make observations of the crystallization process of these materials in nitrogen and oxygen gas with and without the presence of water vapor. Complementary planar samples were also fabricated in the presence of water vapor and characterized by in situ X-ray diffraction. Direct observations of the material structure and final morphology indicate that the exposure to water vapormore » results in a porous film that is metastable, regardless of the presence of argon, nitrogen, or oxygen. However, the optimal crystallization temperature of 175 degrees C is unperturbed across conditions. Rapid modulation about the annealing temperature of 175 degrees C in +/-25 degrees C steps (150-200 degrees C) promotes crystallization and significantly improves the film morphology by overcoming the presence of impregnated water trapped in the material. Following this processing protocol, we demonstrate substantial growth to micron-size grains via observation inside of an environmentally controlled transmission electron microscope. Adapting this insight from our in situ microscopy, we are able to provide an informed materials protocol to control the structure and morphology of these organic-inorganic semiconductors, which is readily applicable to benchtop device growth strategies.« less

  2. Effect of Water Vapor, Temperature, and Rapid Annealing on Formamidinium Lead Triiodide Perovskite Crystallization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aguiar, Jeffery A.; Wozny, Sarah; Alkurd, Nooraldeen R.

    Perovskite-based solar cells are one of the emerging candidates for radically lower cost photovoltaics. Herein, we report on the synthesis and crystallization of organic-inorganic formamidinium lead triiodide perovskite films under controlled atmospheric and environmental conditions. Using in situ (scanning) transmission electron microscopy, we make observations of the crystallization process of these materials in nitrogen and oxygen gas with and without the presence of water vapor. Complementary planar samples were also fabricated in the presence of water vapor and characterized by in situ X-ray diffraction. Direct observations of the material structure and final morphology indicate that the exposure to water vapormore » results in a porous film that is metastable, regardless of the presence of argon, nitrogen, or oxygen. However, the optimal crystallization temperature of 175 °C is unperturbed across conditions. Rapid modulation about the annealing temperature of 175 °C in ±25 °C steps (150-200 °C) promotes crystallization and significantly improves the film morphology by overcoming the presence of impregnated water trapped in the material. Following this processing protocol, we demonstrate substantial growth to micron-size grains via observation inside of an environmentally controlled transmission electron microscope. Adapting this insight from our in situ microscopy, we are able to provide an informed materials protocol to control the structure and morphology of these organic-inorganic semiconductors, which is readily applicable to benchtop device growth strategies.« less

  3. The lateral In2O3 nanowires and pyramid networks manipulation by controlled substrate surface energy in annealing evolution

    NASA Astrophysics Data System (ADS)

    Shariati, Mohsen; Darjani, Mojtaba

    2016-02-01

    The continuous laterally aligned growth of In2O3 nanocrystal networks extended with nanowire and pyramid connections under annealing influence has been reported. These nanostructures have been grown on Si substrate by using oxygen-assisted annealing process through PVD growth technique. The formation of In2O3 nanocrystals has been achieved by the successive growth of critical self-nucleated condensation in three orientations. The preferred direction was the route between two pyramids especially in the smallest surface energy. The effects of substrate temperature in annealing process on the morphological properties of the as-grown nanostructures were investigated. The annealing technique showed that by controlling the surface energy, the morphology of structures was changed from unregulated array to defined nanostructures; especially nanowires 50 nm in width. The obtained nanostructures also were investigated by the (transmission electron microscopy) TEM, Raman spectrum and the (X-ray diffraction) XRD patterns. They indicated that the self-assembled In2O3 nanocrystal networks have been fabricated by the vapor-solid (VS) growth mechanism. The growth mechanism process was prompted to attribute the relationship among the kinetics parameters, surface diffusion and morphology of nanostructures.

  4. Thermodynamics of a phase transition of silicon nanoparticles at the annealing and carbonization of porous silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nagornov, Yu. S., E-mail: Nagornov.Yuri@gmail.com

    2015-12-15

    The formation of SiC nanocrystals of the cubic modification in the process of high-temperature carbonization of porous silicon has been analyzed. A thermodynamic model has been proposed to describe the experimental data obtained by atomic-force microscopy, Raman scattering, spectral analysis, Auger spectroscopy, and X-ray diffraction spectroscopy. It has been shown that the surface energy of silicon nanoparticles and quantum filaments is released in the process of annealing and carbonization. The Monte Carlo simulation has shown that the released energy makes it possible to overcome the nucleation barrier and to form SiC nanocrystals. The processes of laser annealing and electron irradiationmore » of carbonized porous silicon have been analyzed.« less

  5. Quantum annealing with all-to-all connected nonlinear oscillators

    PubMed Central

    Puri, Shruti; Andersen, Christian Kraglund; Grimsmo, Arne L.; Blais, Alexandre

    2017-01-01

    Quantum annealing aims at solving combinatorial optimization problems mapped to Ising interactions between quantum spins. Here, with the objective of developing a noise-resilient annealer, we propose a paradigm for quantum annealing with a scalable network of two-photon-driven Kerr-nonlinear resonators. Each resonator encodes an Ising spin in a robust degenerate subspace formed by two coherent states of opposite phases. A fully connected optimization problem is mapped to local fields driving the resonators, which are connected with only local four-body interactions. We describe an adiabatic annealing protocol in this system and analyse its performance in the presence of photon loss. Numerical simulations indicate substantial resilience to this noise channel, leading to a high success probability for quantum annealing. Finally, we propose a realistic circuit QED implementation of this promising platform for implementing a large-scale quantum Ising machine. PMID:28593952

  6. Microscopic dynamics research on the "mature" process of dye-sensitized solar cells after injection of highly concentrated electrolyte.

    PubMed

    Liang, Zhongguan; Liu, Weiqing; Chen, Jun; Hu, Linhua; Dai, Songyuan

    2015-01-21

    After injection of electrolyte, the internal three-dimensional solid-liquid penetration system of dye-sensitized solar cells (DSCs) can take a period of time to reach "mature" state. This paper studies the changes of microscopic processes of DSCs including TiO2 energy-level movement, localized state distribution, charge accumulation, electron transport, and recombination dynamics, from the beginning of electrolyte injection to the time of reached mature state. The results show that the microscopic dynamics process of DSCs exhibited a time-dependent behavior and achieved maturity ∼12 h after injecting the electrolyte into DSCs. Within 0-12 h, several results were observed: (1) the conduction band edge of TiO2 moved slightly toward negative potential direction; (2) the localized states in the band gap of TiO2 was reduced according to the same distribution law; (3) the transport resistance in TiO2 film increased, and electron transport time was prolonged as the time of maturity went on, which indicated that the electron transport process is impeded gradually; (4) the recombination resistance at the TiO2/electrolyte (EL) interface increases, and electron lifetime gradually extends, therefore, the recombination process is continuously suppressed. Furthermore, results suggest that the parameters of EL/Pt-transparent conductive oxide (TCO) interface including the interfacial capacitance, electron-transfer resistance, and transfer time constant would change with time of maturity, indicating that the EL/Pt-TCO interface is a potential factor affecting the mature process of DSCs.

  7. Formation of TiO2 nanorings due to rapid thermal annealing of swift heavy ion irradiated films.

    PubMed

    Thakurdesai, Madhavi; Sulania, I; Narsale, A M; Kanjilal, D; Bhattacharyya, Varsha

    2008-09-01

    Amorphous thin films of TiO2 deposited by Pulsed Laser Deposition (PLD) method are irradiated by Swift Heavy Ion (SHI) beam. The irradiated films are subsequently annealed by Rapid Thermal Annealing (RTA) method. Atomic Force Microscopy (AFM) study reveals formation of nano-rings on the surface after RTA processing. Phase change is identified by Glancing Angle X-ray Diffraction (GAXRD) and Raman spectroscopy. Optical characterisation is carried out by UV-VIS absorption spectroscopy. Though no shift of absorption edge is observed after irradiation, RTA processing does show redshift.

  8. Improving aluminum particle reactivity by annealing and quenching treatments: Synchrotron X-ray diffraction analysis of strain

    DOE PAGES

    McCollum, Jena; Pantoya, Michelle L.; Tamura, Nobumichi

    2015-11-06

    In bulk material processing, annealing and quenching metals such as aluminum (Al) can improve mechanical properties. On a single particle level, affecting mechanical properties may also affect Al particle reactivity. Our study examines the effect of annealing and quenching on the strain of Al particles and the corresponding reactivity of aluminum and copper oxide (CuO) composites. Micron-sized Al particles were annealed and quenched according to treatments designed to affect Al mechanical properties. Furthermore, synchrotron X-ray diffraction (XRD) analysis of the particles reveals that thermal treatment increased the dilatational strain of the aluminum-core, alumina-shell particles. Flame propagation experiments also show thermalmore » treatments effect reactivity when combined with CuO. An effective annealing and quenching treatment for increasing aluminum reactivity was identified. Our results show that altering the mechanical properties of Al particles affects their reactivity.« less

  9. Investigating Dissolution and Precipitation Phenomena with a Smartphone Microscope

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lumetta, Gregg J.; Arcia, Edgar

    A novel smartphone microscope can be used to observe the dissolution and crystallization of sodium chloride at a microscopic level. Observation of these seemingly simple phenomena through the microscope at 100× magnification can actually reveal some surprising behavior. These experiments offer the opportunity to discuss some basic concepts such as how the morphological features of the crystals dictates how the dissolution process proceeds, and how materials can be purified by re-crystallization techniques.

  10. The Effect of Hydrogen Annealing on the Impurity Content of Alumina-Forming Alloys

    NASA Technical Reports Server (NTRS)

    Smialek, James L.

    2000-01-01

    Previously, the effect of hydrogen annealing on increasing the adhesion of Al2O3 scales had been related to the effective desulfurization that occurred during this process. The simultaneous reduction of other impurities has now been re-examined for up to 20 impurity elements in the case of five different alloys (NiCrAl, FeCrAl, PWA 1480, Rene'142, and Rene'N5). Hydrogen annealing produced measurable reductions in elemental concentration for B, C, Na, Mg, P, K, Sr, or Sn in varying degrees for at least one and up to three of these alloys. No single element was reduced by hydrogen annealing for all the alloys except sulfur. In many cases spalling occurred at low levels of these other impurities, while in other cases the scales were adherent at high levels of the impurities. No impurity besides sulfur was strongly correlated with adhesion.

  11. Annealed Au-assisted epitaxial growth of si nanowires: control of alignment and density.

    PubMed

    Park, Yi-Seul; Jung, Da Hee; Kim, Hyun Ji; Lee, Jin Seok

    2015-04-14

    The epitaxial growth of 1D nanostructures is of particular interest for future nanoelectronic devices such as vertical field-effect transistors because it directly influences transistor densities and 3D logic or memory architectures. Silicon nanowires (SiNWs) are a particularly important 1D nanomaterial because they possess excellent electronic and optical properties. What is more, the scalable fabrication of vertically aligned SiNW arrays presents an opportunity for improved device applications if suitable properties can be achieved through controlling the alignment and density of SiNWs, yet this is something that has not been reported in the case of SiNWs synthesized from Au films. This work therefore explores the controllable synthesis of vertically aligned SiNWs through the introduction of an annealing process prior to growth via a Au-catalyzed vapor-liquid-solid mechanism. The epitaxial growth of SiNWs was demonstrated to be achievable using SiCl4 as the Si precursor in chemical vapor deposition, whereas the alignment and density of the SiNWs could be controlled by manipulating the annealing time during the formation of Au nanoparticles (AuNPs) from Au films. During the annealing process, gold silicide was observed to form on the interface of the liquid-phase AuNPs, depending on the size of the AuNPs and the annealing time. This work therefore makes a valuable contribution to improving nanowire-based engineering by controlling its alignment and density as well as providing greater insight into the epitaxial growth of 1D nanostructures.

  12. Superplasticity of Annealed H13 Steel

    PubMed Central

    Duan, Zhenxin; Pei, Wen; Gong, Xuebo; Chen, Hua

    2017-01-01

    H13 steel is a widely used hot work die material. A new type of hot working method is imperative to develop complex and precise dies. In this paper, the heat treatment of H13 steel (AISI) was carried out by annealing, the final structure is a point or spherical pearlite, and the grain size is about 30–40 μm. The tensile properties of the annealed microstructure were investigated at 650, 750, and 850 °C with the strain rates of 1 × 10−3 s−1, 5 × 10−4 s−1, and 1 × 10−4 s−1. The tensile fracture and microstructure were analyzed by SEM and HREM. The results show that the tensile samples reach superplasticity at the strain rate of 1 × 10−4 s−1 in the temperature range of 750–850 °C. When the temperature is 850 °C, the maximum elongation rate reaches 112.5%. This demonstrates the possibility of making superplastic forming molds. During the tensile process, the refined M23C6 and other high hardness carbides which are dispersed uniformly in the matrix, effectively inhibits grain growth and hinders dislocation movement, leading to the improvement of plasticity. PMID:28773231

  13. Quantum annealing with parametrically driven nonlinear oscillators

    NASA Astrophysics Data System (ADS)

    Puri, Shruti

    While progress has been made towards building Ising machines to solve hard combinatorial optimization problems, quantum speedups have so far been elusive. Furthermore, protecting annealers against decoherence and achieving long-range connectivity remain important outstanding challenges. With the hope of overcoming these challenges, I introduce a new paradigm for quantum annealing that relies on continuous variable states. Unlike the more conventional approach based on two-level systems, in this approach, quantum information is encoded in two coherent states that are stabilized by parametrically driving a nonlinear resonator. I will show that a fully connected Ising problem can be mapped onto a network of such resonators, and outline an annealing protocol based on adiabatic quantum computing. During the protocol, the resonators in the network evolve from vacuum to coherent states representing the ground state configuration of the encoded problem. In short, the system evolves between two classical states following non-classical dynamics. As will be supported by numerical results, this new annealing paradigm leads to superior noise resilience. Finally, I will discuss a realistic circuit QED realization of an all-to-all connected network of parametrically driven nonlinear resonators. The continuous variable nature of the states in the large Hilbert space of the resonator provides new opportunities for exploring quantum phase transitions and non-stoquastic dynamics during the annealing schedule.

  14. Unraveling Quantum Annealers using Classical Hardness

    PubMed Central

    Martin-Mayor, Victor; Hen, Itay

    2015-01-01

    Recent advances in quantum technology have led to the development and manufacturing of experimental programmable quantum annealing optimizers that contain hundreds of quantum bits. These optimizers, commonly referred to as ‘D-Wave’ chips, promise to solve practical optimization problems potentially faster than conventional ‘classical’ computers. Attempts to quantify the quantum nature of these chips have been met with both excitement and skepticism but have also brought up numerous fundamental questions pertaining to the distinguishability of experimental quantum annealers from their classical thermal counterparts. Inspired by recent results in spin-glass theory that recognize ‘temperature chaos’ as the underlying mechanism responsible for the computational intractability of hard optimization problems, we devise a general method to quantify the performance of quantum annealers on optimization problems suffering from varying degrees of temperature chaos: A superior performance of quantum annealers over classical algorithms on these may allude to the role that quantum effects play in providing speedup. We utilize our method to experimentally study the D-Wave Two chip on different temperature-chaotic problems and find, surprisingly, that its performance scales unfavorably as compared to several analogous classical algorithms. We detect, quantify and discuss several purely classical effects that possibly mask the quantum behavior of the chip. PMID:26483257

  15. Recursive Branching Simulated Annealing Algorithm

    NASA Technical Reports Server (NTRS)

    Bolcar, Matthew; Smith, J. Scott; Aronstein, David

    2012-01-01

    This innovation is a variation of a simulated-annealing optimization algorithm that uses a recursive-branching structure to parallelize the search of a parameter space for the globally optimal solution to an objective. The algorithm has been demonstrated to be more effective at searching a parameter space than traditional simulated-annealing methods for a particular problem of interest, and it can readily be applied to a wide variety of optimization problems, including those with a parameter space having both discrete-value parameters (combinatorial) and continuous-variable parameters. It can take the place of a conventional simulated- annealing, Monte-Carlo, or random- walk algorithm. In a conventional simulated-annealing (SA) algorithm, a starting configuration is randomly selected within the parameter space. The algorithm randomly selects another configuration from the parameter space and evaluates the objective function for that configuration. If the objective function value is better than the previous value, the new configuration is adopted as the new point of interest in the parameter space. If the objective function value is worse than the previous value, the new configuration may be adopted, with a probability determined by a temperature parameter, used in analogy to annealing in metals. As the optimization continues, the region of the parameter space from which new configurations can be selected shrinks, and in conjunction with lowering the annealing temperature (and thus lowering the probability for adopting configurations in parameter space with worse objective functions), the algorithm can converge on the globally optimal configuration. The Recursive Branching Simulated Annealing (RBSA) algorithm shares some features with the SA algorithm, notably including the basic principles that a starting configuration is randomly selected from within the parameter space, the algorithm tests other configurations with the goal of finding the globally optimal

  16. Study of thermal annealing effect on Bragg gratings photo-inscribed in step-index polymer optical fibers

    NASA Astrophysics Data System (ADS)

    Hu, X.; Kinet, D.; Mégret, P.; Caucheteur, C.

    2016-04-01

    In this paper, both non-annealed and annealed trans-4-stilbenemethanol-doped step-index polymer optical fibers were photo-inscribed using a 325 nm HeCd laser with two different beam power densities reaching the fiber core. In the high density regime where 637 mW/mm2 are used, the grating reflectivity is stable over time after the photo-writing process but the reflected spectrum is of limited quality, as the grating physical length is limited to 1.2 mm. To produce longer gratings exhibiting more interesting spectral features, the beam is enlarged to 6 mm, decreasing the power density to 127 mW/mm2. In this second regime, the grating reflectivity is not stable after the inscription process but tends to decay for both kinds of fibers. A fortunate property in this case results from the possibility to fully recover the initial reflectivity using a post-inscription thermal annealing, where the gratings are annealed at 80 °C during 2 days. The observed evolutions for both regimes are attributed to the behavior of the excited intermediate states between the excited singlet and the ground singlet state of trans- and cis-isomers as well as the temperature-dependent glassy polymer matrix.

  17. Thermalization, Freeze-out, and Noise: Deciphering Experimental Quantum Annealers

    NASA Astrophysics Data System (ADS)

    Marshall, Jeffrey; Rieffel, Eleanor G.; Hen, Itay

    2017-12-01

    By contrasting the performance of two quantum annealers operating at different temperatures, we address recent questions related to the role of temperature in these devices and their function as "Boltzmann samplers." Using a method to reliably calculate the degeneracies of the energy levels of large-scale spin-glass instances, we are able to estimate the instance-dependent effective temperature from the output of annealing runs. Our results corroborate the "freeze-out" picture which posits two regimes, one in which the final state corresponds to a Boltzmann distribution of the final Hamiltonian with a well-defined "effective temperature" determined at a freeze-out point late in the annealing schedule, and another regime in which such a distribution is not necessarily expected. We find that the output distributions of the annealers do not, in general, correspond to a classical Boltzmann distribution for the final Hamiltonian. We also find that the effective temperatures at different programing cycles fluctuate greatly, with the effect worsening with problem size. We discuss the implications of our results for the design of future quantum annealers to act as more-effective Boltzmann samplers and for the programing of such annealers.

  18. Low-temperature volume radiation annealing of cold-worked bands of Al-Li-Cu-Mg alloy by 20-40 keV Ar+ ion

    NASA Astrophysics Data System (ADS)

    Ovchinnikov, V. V.; Gushchina, N. V.; Mozharovsky, S. M.; Kaigorodova, L. I.

    2017-01-01

    The processes of radiation-dynamic nature (in contrast to the thermally-activated processes) in the course of short-term irradiation of 1 mm thick bands of cold-worked aluminum alloy 1441 (of system Al-Li-Cu-Mg) with Ar+ 20-40 keV were studied. An effect of in-the-bulk (throughout the whole of metal bands thickness) low-temperature radiation annealing of the named alloy, multiply accelerated as compared with common thermal annealing processes was registered (with projected ranges of ions of considered energies definitely not exceeding 0.1 μm). The processes of recrystallization and intermetallic structure changes (occurring within a few seconds of Ar+ irradiation) have the common features as well as the differences in comparison with the results of two hour standard thermal annealing.

  19. Annealing induced reorientation of crystallites in Sn doped ZnO films

    NASA Astrophysics Data System (ADS)

    Ravichandran, K.; Vasanthi, M.; Thirumurugan, K.; Sakthivel, B.; Karthika, K.

    2014-11-01

    Tin doped ZnO thin films were prepared by employing a simplified spray pyrolysis technique using a perfume atomizer and subsequently annealed under different temperatures from 350 °C to 500 °C in steps of 50 °C. The structural, optical, electrical, photoluminescence and surface morphological properties of the as-deposited films were studied and compared with that of the annealed films. The X-ray diffraction studies showed that as-deposited film exhibits preferential orientation along the (0 0 2) plane and it changes in favour of (1 0 0) plane after annealing. The increase in crystallite size due to annealing is explained on the basis of Ostwald ripening effect. It is found that the optical transmittance and band gap increases with increase in annealing temperature. A slight decrease in resistivity caused by annealing is discussed in correlation with annealing induced defect modifications and surface morphology.

  20. CHAMP (Camera, Handlens, and Microscope Probe)

    NASA Technical Reports Server (NTRS)

    Mungas, Greg S.; Boynton, John E.; Balzer, Mark A.; Beegle, Luther; Sobel, Harold R.; Fisher, Ted; Klein, Dan; Deans, Matthew; Lee, Pascal; Sepulveda, Cesar A.

    2005-01-01

    CHAMP (Camera, Handlens And Microscope Probe)is a novel field microscope capable of color imaging with continuously variable spatial resolution from infinity imaging down to diffraction-limited microscopy (3 micron/pixel). As a robotic arm-mounted imager, CHAMP supports stereo imaging with variable baselines, can continuously image targets at an increasing magnification during an arm approach, can provide precision rangefinding estimates to targets, and can accommodate microscopic imaging of rough surfaces through a image filtering process called z-stacking. CHAMP was originally developed through the Mars Instrument Development Program (MIDP) in support of robotic field investigations, but may also find application in new areas such as robotic in-orbit servicing and maintenance operations associated with spacecraft and human operations. We overview CHAMP'S instrument performance and basic design considerations below.

  1. An Efficient, Affordable Optically Stimulated Luminescent (OSL) Annealer.

    PubMed

    Abraham, Sara A; Frank, Samuel J; Kearfott, Kimberlee J

    2017-07-01

    Optically stimulated luminescent (OSL) dosimeters are devices used for measuring doses of ionizing radiation. Signal is stored within an OSL material so that when stimulated with light, light of a specific wavelength is emitted in proportion to the integrated ionizing radiation dose. Each interrogation of the material results in the loss of a small fraction of signal, thus allowing multiple interrogations leading to more accurate measurements of dose. In order to reuse a dosimeter, the residual signals from prior doses must be taken into account and subtracted from current readings, adding uncertainty to any future measurements. To reduce these errors when they become large, it is desirable to completely clear the stored signal or anneal the dosimeter. Traditionally, heating the material has accomplished this. In a commercially available dosimeter badge system, the OSL material Al2O3:C is incorporated into a plastic slide that would melt at the necessary high temperatures, which can reach 900 °C, required for annealing. Fortunately, due to the material's high sensitivity to light, OSLs can be optically annealed instead. In order to do this, an affordable OSL dosimeter annealer was designed with inexpensive, exchangeable blue, green, and white high intensity light-emitting diodes (LEDs). Several dosimeters were repeatedly annealed for recorded intervals and then read out. A single dosimeter was partially annealed through repeated interrogations with the LED array from a commercial reader. The signal loss due to the exposure to each light was analyzed to determine the practicality and efficiency of each color. The rate and extent of signal loss was dependent not only on the spectrum of annealing light but on the initial signal levels as well. These findings suggest that blue LEDs are the most promising for effective and rapid clearing of the OSL material Al2O3:C.

  2. Extended morphological processing: a practical method for automatic spot detection of biological markers from microscopic images.

    PubMed

    Kimori, Yoshitaka; Baba, Norio; Morone, Nobuhiro

    2010-07-08

    A reliable extraction technique for resolving multiple spots in light or electron microscopic images is essential in investigations of the spatial distribution and dynamics of specific proteins inside cells and tissues. Currently, automatic spot extraction and characterization in complex microscopic images poses many challenges to conventional image processing methods. A new method to extract closely located, small target spots from biological images is proposed. This method starts with a simple but practical operation based on the extended morphological top-hat transformation to subtract an uneven background. The core of our novel approach is the following: first, the original image is rotated in an arbitrary direction and each rotated image is opened with a single straight line-segment structuring element. Second, the opened images are unified and then subtracted from the original image. To evaluate these procedures, model images of simulated spots with closely located targets were created and the efficacy of our method was compared to that of conventional morphological filtering methods. The results showed the better performance of our method. The spots of real microscope images can be quantified to confirm that the method is applicable in a given practice. Our method achieved effective spot extraction under various image conditions, including aggregated target spots, poor signal-to-noise ratio, and large variations in the background intensity. Furthermore, it has no restrictions with respect to the shape of the extracted spots. The features of our method allow its broad application in biological and biomedical image information analysis.

  3. The influence of the microscope lamp filament colour temperature on the process of digital images of histological slides acquisition standardization.

    PubMed

    Korzynska, Anna; Roszkowiak, Lukasz; Pijanowska, Dorota; Kozlowski, Wojciech; Markiewicz, Tomasz

    2014-01-01

    The aim of this study is to compare the digital images of the tissue biopsy captured with optical microscope using bright field technique under various light conditions. The range of colour's variation in immunohistochemically stained with 3,3'-Diaminobenzidine and Haematoxylin tissue samples is immense and coming from various sources. One of them is inadequate setting of camera's white balance to microscope's light colour temperature. Although this type of error can be easily handled during the stage of image acquisition, it can be eliminated with use of colour adjustment algorithms. The examination of the dependence of colour variation from microscope's light temperature and settings of the camera is done as an introductory research to the process of automatic colour standardization. Six fields of view with empty space among the tissue samples have been selected for analysis. Each field of view has been acquired 225 times with various microscope light temperature and camera white balance settings. The fourteen randomly chosen images have been corrected and compared, with the reference image, by the following methods: Mean Square Error, Structural SIMilarity and visual assessment of viewer. For two types of backgrounds and two types of objects, the statistical image descriptors: range, median, mean and its standard deviation of chromaticity on a and b channels from CIELab colour space, and luminance L, and local colour variability for objects' specific area have been calculated. The results have been averaged for 6 images acquired in the same light conditions and camera settings for each sample. The analysis of the results leads to the following conclusions: (1) the images collected with white balance setting adjusted to light colour temperature clusters in certain area of chromatic space, (2) the process of white balance correction for images collected with white balance camera settings not matched to the light temperature moves image descriptors into proper

  4. Quantum Error Correction for Minor Embedded Quantum Annealing

    NASA Astrophysics Data System (ADS)

    Vinci, Walter; Paz Silva, Gerardo; Mishra, Anurag; Albash, Tameem; Lidar, Daniel

    2015-03-01

    While quantum annealing can take advantage of the intrinsic robustness of adiabatic dynamics, some form of quantum error correction (QEC) is necessary in order to preserve its advantages over classical computation. Moreover, realistic quantum annealers are subject to a restricted connectivity between qubits. Minor embedding techniques use several physical qubits to represent a single logical qubit with a larger set of interactions, but necessarily introduce new types of errors (whenever the physical qubits corresponding to the same logical qubit disagree). We present a QEC scheme where a minor embedding is used to generate a 8 × 8 × 2 cubic connectivity out of the native one and perform experiments on a D-Wave quantum annealer. Using a combination of optimized encoding and decoding techniques, our scheme enables the D-Wave device to solve minor embedded hard instances at least as well as it would on a native implementation. Our work is a proof-of-concept that minor embedding can be advantageously implemented in order to increase both the robustness and the connectivity of a programmable quantum annealer. Applied in conjunction with decoding techniques, this paves the way toward scalable quantum annealing with applications to hard optimization problems.

  5. Automatic analysis for neuron by confocal laser scanning microscope

    NASA Astrophysics Data System (ADS)

    Satou, Kouhei; Aoki, Yoshimitsu; Mataga, Nobuko; Hensh, Takao K.; Taki, Katuhiko

    2005-12-01

    The aim of this study is to develop a system that recognizes both the macro- and microscopic configurations of nerve cells and automatically performs the necessary 3-D measurements and functional classification of spines. The acquisition of 3-D images of cranial nerves has been enabled by the use of a confocal laser scanning microscope, although the highly accurate 3-D measurements of the microscopic structures of cranial nerves and their classification based on their configurations have not yet been accomplished. In this study, in order to obtain highly accurate measurements of the microscopic structures of cranial nerves, existing positions of spines were predicted by the 2-D image processing of tomographic images. Next, based on the positions that were predicted on the 2-D images, the positions and configurations of the spines were determined more accurately by 3-D image processing of the volume data. We report the successful construction of an automatic analysis system that uses a coarse-to-fine technique to analyze the microscopic structures of cranial nerves with high speed and accuracy by combining 2-D and 3-D image analyses.

  6. Annealing Induced Re-crystallization in CH3NH3PbI3−xClx for High Performance Perovskite Solar Cells

    PubMed Central

    Yang, Yingguo; Feng, Shanglei; Li, Meng; Xu, Weidong; Yin, Guangzhi; Wang, Zhaokui; Sun, Baoquan; Gao, Xingyu

    2017-01-01

    Using poly(3,4-ethylenedioxythiophene):polystyrene sulfonate (PEDOT:PSS) as hole conductor, a series of inverted planar CH3NH3PbI3−xClx perovskite solar cells (PSCs) were fabricated based on perovskite annealed by an improved time-temperature dependent (TTD) procedure in a flowing nitrogen atmosphere for different time. Only after an optimum annealing time, an optimized power conversion efficiency of 14.36% could be achieved. To understand their performance dependence on annealing time, an in situ real-time synchrotron-based grazing incidence X-ray diffraction (GIXRD) was used to monitor a step-by-step gradual structure transformation from distinct mainly organic-inorganic hybrid materials into highly ordered CH3NH3PbI3 crystal during annealing. However, a re-crystallization process of perovskite crystal was observed for the first time during such an annealing procedure, which helps to enhance the perovskite crystallization and preferential orientations. The present GIXRD findings could well explain the drops of the open circuit voltage (Voc) and the fill factor (FF) during the ramping of temperature as well as the optimized power conversion efficiency achieved after an optimum annealing time. Thus, the present study not only illustrates clearly the decisive roles of post-annealing in the formation of solution-processed perovskite to better understand its formation mechanism, but also demonstrates the crucial dependences of device performance on the perovskite microstructure in PSCs. PMID:28429762

  7. Electron spectroscopy imaging and surface defect configuration of zinc oxide nanostructures under different annealing ambient

    NASA Astrophysics Data System (ADS)

    Ann, Ling Chuo; Mahmud, Shahrom; Bakhori, Siti Khadijah Mohd

    2013-01-01

    In this study, electron spectroscopy imaging was used to visualize the elemental distribution of zinc oxide nanopowder. Surface modification in zinc oxide was done through annealing treatment and type of surface defect was also inferred from the electron spectroscopy imaging investigation. The micrographs revealed the non-stoichiometric distribution of the elements in the unannealed samples. Annealing the samples in nitrogen and oxygen ambient at 700 °C would alter the density of the elements in the samples as a result of removal or absorption of oxygen. The electrical measurement showed that nitrogen annealing treatment improved surface electrical conductivity, whereas oxygen treatment showed an adverse effect. Observed change in the photoluminescence green emission suggested that oxygen vacancies play a significant role as surface defects. Structural investigation carried out through X-ray diffraction revealed the polycrystalline nature of both zinc oxide samples with hexagonal phase whereby annealing process increased the crystallinity of both zinc oxide specimens. Due to the different morphologies of the two types of zinc oxide nanopowders, X-ray diffraction results showed different stress levels in their structures and the annealing treatment give significant effect to the structural stress. Electron spectroscopy imaging was a useful technique to identify the elemental distribution as well as oxygen defect in zinc oxide nanopowder.

  8. Effects of annealing temperature on the H2-sensing properties of Pd-decorated WO3 nanorods

    NASA Astrophysics Data System (ADS)

    Lee, Sangmin; Lee, Woo Seok; Lee, Jae Kyung; Hyun, Soong Keun; Lee, Chongmu; Choi, Seungbok

    2018-03-01

    The temperature of the post-annealing treatment carried out after noble metal deposition onto semiconducting metal oxides (SMOs) must be carefully optimized to maximize the sensing performance of the metal-decorated SMO sensors. WO3 nanorods were synthesized by thermal evaporation of WO3 powders and decorated with Pd nanoparticles using a sol-gel method, followed by an annealing process. The effects of the annealing temperature on the hydrogen gas-sensing properties of the Pd-decorated WO3 nanorods were then examined; the optimal annealing temperature, leading to the highest response of the WO3 nanorod sensor to H2, was determined to be 600 °C. Post-annealing at 600 °C resulted in nanorods with the highest surface area-to-volume ratio, as well as in the optimal size and the largest number of deposited Pd nanoparticles, leading to the highest response and the shortest response/recovery times toward H2. The improved H2-sensing performance of the Pd-decorated WO3 nanorod sensor, compared to a sensor based on pristine WO3 nanorods, is attributed to the enhanced catalytic activity, increased surface area-to-volume ratio, and higher amounts of surface defects.

  9. Crystal growth and annealing for minimized residual stress

    DOEpatents

    Gianoulakis, Steven E.

    2002-01-01

    A method and apparatus for producing crystals that minimizes birefringence even at large crystal sizes, and is suitable for production of CaF.sub.2 crystals. The method of the present invention comprises annealing a crystal by maintaining a minimal temperature gradient in the crystal while slowly reducing the bulk temperature of the crystal. An apparatus according to the present invention includes a thermal control system added to a crystal growth and annealing apparatus, wherein the thermal control system allows a temperature gradient during crystal growth but minimizes the temperature gradient during crystal annealing.

  10. Annealing temperature effect on self-assembled Au droplets on Si (111).

    PubMed

    Sui, Mao; Li, Ming-Yu; Kim, Eun-Soo; Lee, Jihoon

    2013-12-13

    We investigate the effect of annealing temperature on self-assembled Au droplets on Si (111). The annealing temperature is systematically varied while fixing other growth parameters such as deposition amount and annealing duration clearly to observe the annealing temperature effect. Self-assembled Au droplets are fabricated by annealing from 50°C to 850°C with 2-nm Au deposition for 30 s. With increased annealing temperatures, Au droplets show gradually increased height and diameter while the density of droplets progressively decreases. Self-assembled Au droplets with fine uniformity can be fabricated between 550°C and 800°C. While Au droplets become much larger with increased deposition amount, the extended annealing duration only mildly affects droplet size and density. The results are systematically analyzed with cross-sectional line profiles, Fourier filter transform power spectra, height histogram, surface area ratio, and size and density plots. This study can provide an aid point for the fabrication of nanowires on Si (111).

  11. Annealing effects on electron-beam evaporated Al 2O 3 films

    NASA Astrophysics Data System (ADS)

    Shuzhen, Shang; Lei, Chen; Haihong, Hou; Kui, Yi; Zhengxiu, Fan; Jianda, Shao

    2005-04-01

    The effects of post-deposited annealing on structure and optical properties of electron-beam evaporated Al 2O 3 single layers were investigated. The films were annealed in air for 1.5 h at different temperatures from 250 to 400 °C. The optical constants and cut-off wavelength were deduced. Microstructure of the samples was characterized by X-ray diffraction (XRD). Profile and surface roughness measurement instrument was used to determine the rms surface roughness. It was found that the cut-off wavelength shifted to short wavelength as the annealing temperature increased and the total optical loss decreased. The film structure remained amorphous even after annealing at 400 °C temperature and the samples annealed at higher temperature had the higher rms surface roughness. The decreasing total optical loss with annealing temperature was attributed to the reduction of absorption owing to oxidation of the film by annealing. Guidance to reduce the optical loss of excimer laser mirrors was given.

  12. Controlling CH3NH3PbI(3-x)Cl(x) Film Morphology with Two-Step Annealing Method for Efficient Hybrid Perovskite Solar Cells.

    PubMed

    Liu, Dong; Wu, Lili; Li, Chunxiu; Ren, Shengqiang; Zhang, Jingquan; Li, Wei; Feng, Lianghuan

    2015-08-05

    The methylammonium lead halide perovskite solar cells have become very attractive because they can be prepared with low-cost solution-processable technology and their power conversion efficiency have been increasing from 3.9% to 20% in recent years. However, the high performance of perovskite photovoltaic devices are dependent on the complicated process to prepare compact perovskite films with large grain size. Herein, a new method is developed to achieve excellent CH3NH3PbI3-xClx film with fine morphology and crystallization based on one step deposition and two-step annealing process. This method include the spin coating deposition of the perovskite films with the precursor solution of PbI2, PbCl2, and CH3NH3I at the molar ratio 1:1:4 in dimethylformamide (DMF) and the post two-step annealing (TSA). The first annealing is achieved by solvent-induced process in DMF to promote migration and interdiffusion of the solvent-assisted precursor ions and molecules and realize large size grain growth. The second annealing is conducted by thermal-induced process to further improve morphology and crystallization of films. The compact perovskite films are successfully prepared with grain size up to 1.1 μm according to SEM observation. The PL decay lifetime, and the optic energy gap for the film with two-step annealing are 460 ns and 1.575 eV, respectively, while they are 307 and 327 ns and 1.577 and 1.582 eV for the films annealed in one-step thermal and one-step solvent process. On the basis of the TSA process, the photovoltaic devices exhibit the best efficiency of 14% under AM 1.5G irradiation (100 mW·cm(-2)).

  13. Impact of time-dependent annealing on TiO2 films for CMOS application

    NASA Astrophysics Data System (ADS)

    Gyanan, Mondal, Sandip; Kumar, Arvind

    2017-05-01

    Post-deposition annealing (PDA) is the inherent part of sol-gel fabrication process to achieve the optimum device performance, especially in CMOS applications. The annealing removes the oxygen vacancies and improves the structural order of dielectric films. The process also reduces the interface related defects and improves the interfacial properties. In this work, we have integrated the sol-gel spin-coating deposited high-κ TiO2 films in MOS. The films are fired at 400°C for the duration of 20, 40, 60 and 80 min. The thicknesses of the films were found to be of ˜ 30 nm using ellipsometry. The (Al/TiO2/p-Si) devices were examined with current-voltage (I-V) and capacitance-voltage (C-V) at room temperature to understand the influence of firing time. The C-V and I-V characteristic showed a significant dependence on annealing time such as variation in dielectric constant and leakage current. The accumulation capacitance (Cox), dielectric constant (κ) and the equivalent oxide thickness (EOT) of the film fired for 60 min were found to be 458 pF, 33, and 4.25nm, respectively with a low leakage current density (1.09 × 10-6 A/cm2) fired for 80 min at +1 V.

  14. Improving Simulated Annealing by Replacing Its Variables with Game-Theoretic Utility Maximizers

    NASA Technical Reports Server (NTRS)

    Wolpert, David H.; Bandari, Esfandiar; Tumer, Kagan

    2001-01-01

    The game-theory field of Collective INtelligence (COIN) concerns the design of computer-based players engaged in a non-cooperative game so that as those players pursue their self-interests, a pre-specified global goal for the collective computational system is achieved as a side-effect. Previous implementations of COIN algorithms have outperformed conventional techniques by up to several orders of magnitude, on domains ranging from telecommunications control to optimization in congestion problems. Recent mathematical developments have revealed that these previously developed algorithms were based on only two of the three factors determining performance. Consideration of only the third factor would instead lead to conventional optimization techniques like simulated annealing that have little to do with non-cooperative games. In this paper we present an algorithm based on all three terms at once. This algorithm can be viewed as a way to modify simulated annealing by recasting it as a non-cooperative game, with each variable replaced by a player. This recasting allows us to leverage the intelligent behavior of the individual players to substantially improve the exploration step of the simulated annealing. Experiments are presented demonstrating that this recasting significantly improves simulated annealing for a model of an economic process run over an underlying small-worlds topology. Furthermore, these experiments reveal novel small-worlds phenomena, and highlight the shortcomings of conventional mechanism design in bounded rationality domains.

  15. Self-Healing Thermal Annealing: Surface Morphological Restructuring Control of GaN Nanorods

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Conroy, Michele; Li, Haoning; Zubialevich, Vitaly Z.

    With advances in nanolithography and dry etching, top-down methods of nanostructuring have become a widely used tool for improving the efficiency of optoelectronics. These nano dimensions can offer various benefits to the device performance in terms of light extraction and efficiency, but often at the expense of emission color quality. Broadening of the target emission peak and unwanted yellow luminescence are characteristic defect-related effects due to the ion beam etching damage, particularly for III–N based materials. In this article we focus on GaN based nanorods, showing that through thermal annealing the surface roughness and deformities of the crystal structure canmore » be “self-healed”. Correlative electron microscopy and atomic force microscopy show the change from spherical nanorods to faceted hexagonal structures, revealing the temperature-dependent surface morphology faceting evolution. The faceted nanorods were shown to be strain- and defect-free by cathodoluminescence hyperspectral imaging, micro-Raman, and transmission electron microscopy (TEM). In-situ TEM thermal annealing experiments allowed for real time observation of dislocation movements and surface restructuring observed in ex-situ annealing TEM sampling. This thermal annealing investigation gives new insight into the redistribution path of GaN material and dislocation movement post growth, allowing for improved understanding and in turn advances in optoelectronic device processing of compound semiconductors.« less

  16. Formative Processes of a Sliding Zone in Pelitic Schist - Implications of Microscopic Analyses on High-quality Drilled Cores

    NASA Astrophysics Data System (ADS)

    Yamasaki, S.; Chigira, M.

    2009-04-01

    Pelitic schist has been known to be easily deformed by gravitational force to form characteristic topographic and geologic features, but little is known about how they develop. This is mainly due to the fact that deformed politic schist is so fragile that it could not be obtained from subsurface without disturbance. We analyzed high-quality undisturbed cores obtained by using a sophisticated drilling technique from two typical pelitic schist landslide sites in Japan. We made analyses on physical, chemical, mineralogical properties and observations from mesoscopic to microscopic rock textures of these cores and found that a special layering of rock-forming minerals determines the locations of shearing by gravity and that there is specific water-rock interaction processes in pelitic schist. Pelitic schist consists of thinly alternating beds of black layers and quartz-rich layers, and a black layer has numerous microscopic layers containing abundant pyrite and graphite grains (pyrite-graphite layers). Many of the black layers were observed to have microfractures connected to open cracks, suggesting that relatively thick, continuous black layers are easily sheared to form an incipient sliding layer. Thus unevenly distributed pyrite-graphite layers likely to determine the potential location of microscopic slip in a rock mass. Shear displacement along black layers occurs unevenly, depending upon the microscopic heterogeneity in mineral composition as well as undulating shape of the layers. Open micro-cracks nearly perpendicular to the schistosity were commonly observed in quartz-rich layers in contact with black layers, suggesting that the shearing occurred with heterogeneous displacements along the black layer and that it occurred under the low confining pressure. This is in the incipient stage of a fracture zone. When shearing occurs along two thick neighboring black layers, the rock in between would be fractured, rotated and pulverized. In some cases, quartz

  17. Correlation Between Pre-annealing Temperature and {110}<001> Annealing Texture in C- and Al-Free Fe-3 Pct Si-0.1 Pct Mn-0.002 Pct S Electrical Steel

    NASA Astrophysics Data System (ADS)

    Oh, Eun Jee; Heo, Nam Hoe; Koo, Yang Mo

    2017-06-01

    In C- and Al-free electrical steel, the increase in primary grain size with increasing pre-annealing temperature causes the transition in annealing texture after final annealing from {110} + {100} to {110}. The strip pre-annealed at 1073 K (800 °C) shows a low magnetic induction B8(T) of 1.784 T after final annealing. The strip pre-annealed at 1223 K (950 °C) shows a sharp {110}<001> Goss texture, producing a high magnetic induction B8(T) of 1.914 T comparable to that of the conventional electrical steels.

  18. Effect of template post-annealing on Y(Dy)BaCuO nucleation on CeO2 buffered metallic tapes

    NASA Astrophysics Data System (ADS)

    Hu, Xuefeng; Zhong, Yun; Zhong, Huaxiao; Fan, Feng; Sang, Lina; Li, Mengyao; Fang, Qiang; Zheng, Jiahui; Song, Haoyu; Lu, Yuming; Liu, Zhiyong; Bai, Chuanyi; Guo, Yanqun; Cai, Chuanbing

    2017-08-01

    Substrate engineering is very significant in the synthesis of the high-temperature superconductor (HTS) coated conductor. Here we design and synthesize several distinct and stable Cerium oxide (CeO2) surface reconstructions which are used to grow epitaxial films of the HTS YBa2Cu3O7-δ (YBCO). To identify the influence of annealing and post-annealing surroundings on the nature of nucleation centers, including Ar/5%H2, humid Ar/5%H2 and O2 in high temperature annealing process, we study the well-controlled structure, surface morphology, crystal constants and surface redox processes of the ceria buffers by using X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and field-emission scanning electronic microscopy (FE-SEM), respectively. The ceria film post-annealed under humid Ar/5%H2 gas shows the best buffer layer properties. Furthermore, the film absorbs more oxygen ions, which appears to contribute to oxygenation of superconductor film. The film is well-suited for ceria model studies as well as a perfect substitute for CeO2 bulk material.

  19. Characterization of Microstructure and Mechanical Properties of Mg-8Li-3Al-1Y Alloy Subjected to Different Rolling Processes

    NASA Astrophysics Data System (ADS)

    Zhou, Xiao; Liu, Qiang; Liu, Ruirui; Zhou, Haitao

    2018-06-01

    The mechanical properties and microstructure evolution of Mg-8Li-3Al-1Y alloy undergoing different rolling processes were systematically investigated. X-ray diffraction, optical microscope, scanning electron microscopy, transmission electron microscopy as well as electron backscattered diffraction were used for tracking the microstructure evolution. Tensile testing was employed to characterize the mechanical properties. After hot rolling, the MgLi2Al precipitated in β-Li matrix due to the transformation reaction: β-Li → β-Li + MgLi2Al + α-Mg. As for the alloy subjected to annealed hot rolling, β-Li phase was clearly recrystallized while recrystallization rarely occurred in α-Mg phase. With regard to the microstructure undergoing cold rolling, plenty of dislocations and dislocation walls were easily observed. In addition, the microstructure of alloys subjected to annealed cold rolling revealed the formation of new fresh α-Mg grains in β-Li phase due to the precipitation reaction. The mechanical properties and fracture modes of Mg-8Li-3Al-1Y alloys can be effectively tuned by different rolling processes.

  20. Investigation of Annealing Temperature on Copper Oxide Thin Films Using Sol-Gel Spin Coating Technique

    NASA Astrophysics Data System (ADS)

    Hashim, H.; Samat, S. F. A.; Shariffudin, S. S.; Saad, P. S. M.

    2018-03-01

    Copper (II) Oxide or cupric oxide (CuO) is one of the well-known materials studied for thin films applications. This paper was studied on the effect of annealing temperature to CuO thin films using sol-gel method and spin coating technique. The solution was prepared by sol-gel method and the thin films were synthesized at various temperatures from 500°C to 700°C that deposited onto the quartz substrates. After the annealing process, the thin films were uniform and brownish black in colour. The measurements were performed by atomic force microscopy (AFM), surface profiler (SP), two-point probe and Ultraviolet-visible (UV-Vis-NIR) spectrometer. From the optical measurement, the band gap was estimated to be 1.44eV for sample annealed at 550°C.

  1. Modifying the visual appearance of metal nanoparticle composites by infrared laser annealing

    NASA Astrophysics Data System (ADS)

    Halabica, Andrej; Indrobo, J. C.; Magruder, R. H., III; Haglund, R. F., Jr.; Epp, J. M.; Rashkeev, S.; Boatner, L. A.; Pennycook, S. J.; Pantelides, S. T.

    2007-03-01

    It has long been known that noble-metal nanoparticles in insulators can alter their visual appearance. Many metal nanoparticle composites can be created by ion implantation and subsequent annealing to initiate phase separation, nucleation and growth of nanoparticles. The size and size distribution of the nanoparticles - and therefore the color of the composite - are determined by the chemistry and thermodynamics of the annealing process. In this paper we report that we can also alter the color of gold- and silver-implanted silica and alumina by tunable infrared laser irradiation. Essentially a variant of rapid thermal annealing, this laser treatment can shift the plasmon band of the nanoparticles by tens of nm, resulting in significantly altered visual appearance. The amount of energy delivered to the implanted layer, and the subsequent color variation, can be adjusted by changing the wavelength and fluence of the laser. This makes it possible, as we will show, to write or pattern the composite material with 200 μm linewidths. This work is partially supported by DOE (DE-AC05-00OR22725), NSF (DMR-0513048), and by Alcoa Inc.

  2. Tuning phase transition temperature of VO2 thin films by annealing atmosphere

    NASA Astrophysics Data System (ADS)

    Liu, Xingxing; Wang, Shao-Wei; Chen, Feiliang; Yu, Liming; Chen, Xiaoshuang

    2015-07-01

    A simple new way to tune the optical phase transition temperature of VO2 films was proposed by only controlling the pressure of oxygen during the annealing process. Vanadium films were deposited on glass by a large-scale magnetron sputtering coating system and then annealed in appropriate oxygen atmosphere to form the VO2 films. The infrared transmission change (at 2400 nm) is as high as 58% for the VO2 thin film on the glass substrate, which is very good for tuning infrared radiation and energy saving as smart windows. The phase transition temperature of the films can be easily tuned from an intrinsic temperature to 44.7 °C and 40.2 °C on glass and sapphire by annealing oxygen pressure, respectively. The mechanism is: V3+ ions form in the film when under anaerobic conditions, which can interrupt the V4+ chain and reduce the phase transition temperature. The existence of V3+ ions has been observed by x-ray photoelectron spectroscopy (XPS) experiments as proof.

  3. Post-annealing effect on optical absorbance of hydrothermally grown zinc oxide nanorods

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mohar, Rahmat Setiawan; Djuhana, Dede; Imawan, Cuk

    In this study, the optical absorbance of zinc oxide (ZnO) nanorods was investigated. The ZnO thin film were deposited on indium tin oxide (ITO) layers using ultrasonic spray pyrolysis (USP) method and then grown by hydrothermal method. In order to improve the optical absorbance, the ZnO nanorods were then post-annealed for one hour at three different of temperatures, namely 250, 400, and 500 °C. The X-ray diffraction (XRD) spectra and FESEM images show that the ZnO nanorods have the hexagonal wurtzite crystal structure and the increasing of post-annealing temperature resulted in the increasing of crystallite size from 38.2 nm to 48.4 nm.more » The UV-vis spectra shows that all samples of ZnO nanorods exhibited the identical sharp absorption edge at 390 nm indicating that all samples have the same bandgap. The post-annealing process seemed to decrease the optical absorbance in the region of 300-550 nm and increase the optical absorbance in the region of 550-700 nm..« less

  4. Reduction in number of crystal defects in a p+Si diffusion layer by germanium and boron cryogenic implantation combined with sub-melt laser spike annealing

    NASA Astrophysics Data System (ADS)

    Murakoshi, Atsushi; Harada, Tsubasa; Miyano, Kiyotaka; Harakawa, Hideaki; Aoyama, Tomonori; Yamashita, Hirofumi; Kohyama, Yusuke

    2017-09-01

    To reduce the number of crystal defects in a p+Si diffusion layer by a low-thermal-budget annealing process, we have examined crystal recovery in the amorphous layer formed by the cryogenic implantation of germanium and boron combined with sub-melt laser spike annealing (LSA). The cryogenic implantation at -150 °C is very effective in suppressing vacancy clustering, which is advantageous for rapid crystal recovery during annealing. The crystallinity after LSA is shown to be very high and comparable to that after rapid thermal annealing (RTA) owing to the cryogenic implantation, although LSA is a low-thermal-budget annealing process that can suppress boron diffusion effectively. It is also shown that in the p+Si diffusion layer, there is high contact resistance due to the incomplete formation of a metal silicide contact, which originates from insufficient outdiffusion of surface contaminants such as fluorine. To widely utilize the marked reduction in the number of crystal defects, sufficient removal of surface contaminants will be required in the low-thermal-budget process.

  5. Nanorods on surface of GaN-based thin-film LEDs deposited by post-annealing after photo-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Chen, Lung-Chien; Lin, Wun-Wei; Liu, Te-Yu

    2017-01-01

    This study investigates the optoelectronic characteristics of gallium nitride (GaN)-based thin-film light-emitting diodes (TF-LEDs) that are formed by a two-step transfer process that involves wet etching and post-annealing. In the two-step transfer process, GaN LEDs were stripped from sapphire substrates by the laser lift-off (LLO) method using a KrF laser and then transferred onto ceramic substrates. Ga-K nanorods were formed on the surface of the GaN-based TF-LEDs following photo-assisted chemical etching and photo-enhanced post-annealing at 100 °C for 1 min. As a result, the light output power of GaN-based TF-LEDs with wet etching and post-annealing was over 72% more than that of LEDs that did not undergo these treatments.

  6. Nanorods on surface of GaN-based thin-film LEDs deposited by post-annealing after photo-assisted chemical etching.

    PubMed

    Chen, Lung-Chien; Lin, Wun-Wei; Liu, Te-Yu

    2017-12-01

    This study investigates the optoelectronic characteristics of gallium nitride (GaN)-based thin-film light-emitting diodes (TF-LEDs) that are formed by a two-step transfer process that involves wet etching and post-annealing. In the two-step transfer process, GaN LEDs were stripped from sapphire substrates by the laser lift-off (LLO) method using a KrF laser and then transferred onto ceramic substrates. Ga-K nanorods were formed on the surface of the GaN-based TF-LEDs following photo-assisted chemical etching and photo-enhanced post-annealing at 100 °C for 1 min. As a result, the light output power of GaN-based TF-LEDs with wet etching and post-annealing was over 72% more than that of LEDs that did not undergo these treatments.

  7. Graphene Oxide Annealing Procedures for Graphene-Based Supercapacitors

    DTIC Science & Technology

    2015-09-01

    Annealing Procedures for Graphene-Based Supercapacitors by Louis B Levine and Matthew H Ervin Sensors and Electron Devices Directorate, ARL...SUBTITLE Graphene Oxide Annealing Procedures for Graphene-Based Supercapacitors 5a. CONTRACT NUMBER 5b. GRANT NUMBER 5c. PROGRAM ELEMENT NUMBER 6

  8. A light field microscope imaging spectrometer based on the microlens array

    NASA Astrophysics Data System (ADS)

    Yao, Yu-jia; Xu, Feng; Xia, Yin-xiang

    2017-10-01

    A new light field spectrometry microscope imaging system, which was composed by microscope objective, microlens array and spectrometry system was designed in this paper. 5-D information (4-D light field and 1-D spectrometer) of the sample could be captured by the snapshot system in only one exposure, avoiding the motion blur and aberration caused by the scanning imaging process of the traditional imaging spectrometry. Microscope objective had been used as the former group while microlens array used as the posterior group. The optical design of the system was simulated by Zemax, the parameter matching condition between microscope objective and microlens array was discussed significantly during the simulation process. The result simulated in the image plane was analyzed and discussed.

  9. Densification of a-IGZO with low-temperature annealing for flexible electronics applications

    NASA Astrophysics Data System (ADS)

    Troughton, J. G.; Downs, P.; Price, R.; Atkinson, D.

    2017-01-01

    Amorphous InGaZnO (a-IGZO) thin-film transistors are a leading contender for active channel materials in next generation flat panel displays and flexible electronics. Improved electronic functionality has been linked to the increased density of a-IGZO, and while much work has looked at high-temperature processes, studies at temperatures compatible with flexible substrates are needed. Here, compositional and structural analyses show that short term, low-temperature annealing (<6 h) can increase the density of sputtered a-IGZO by up to 5.6% for temperatures below 300 °C, which is expected to improve the transistor performance, while annealing for longer times leads to a subsequent decrease in density due to oxygen absorption.

  10. Vanishing Act: Experiments on Fission Track Annealing in Monazite

    NASA Astrophysics Data System (ADS)

    Shipley, N. K.; Fayon, A. K.

    2006-12-01

    To determine the viability of monazite as a low temperature thermochronometer, we conducted fission track annealing experiments under isothermal conditions. These experiments evaluated the effects of uranium concentration and zoning on annealing rates. Fission track annealing rates in monazite were also compared to those in Durango apatite. Preliminary results indicate that monazite grains with higher initial track densities anneal at faster rates than those with low initial densities and that fission tracks in monazite anneal at a faster rate than those in apatite. Monazite sand grains were selected from a placer sand deposit, mounted in teflon, and polished. Grains were imaged with electron backscattering to characterize zoning patterns and variations in uranium concentration. Monazite grain mounts were etched in boiling 37% HCl for 50 minutes and fission track densities were determined using standard fission track counting techniques. Durango apatite was etched in 5N HNO3 at room temperature for 20 seconds. After the initial track densities were determined, mounts in one group were annealed at 150 ° C for 1to 6 h. The mounts in a second group were annealed at 200 ° C for 2 hour periods along with mounts of Durango apatite grains. All grains were re-polished prior to each anneal. Upon completion of the experiment, backscatter images were taken of grains from which fission track counts were obtained to verify continuance of concentric zoning. Results of these experiments indicate that annealing rates of fission tracks in monazite vary as a function of uranium concentration. Uranium concentration was constrained on the basis of zoning patterns obtained from electron backscatter images. Fission track densities in grains with initial track densities of approximately 2.4 × 106 tracks/cm2 were reduced at average rate of 16% every two hours. In contrast, track densities in grains with initial track densities of approximately 1.6 × 106 tracks/cm2 average 4.6% density

  11. The Effect of Thermal Annealing on Charge Transport in Organolead Halide Perovskite Microplate Field-Effect Transistors.

    PubMed

    Li, Dehui; Cheng, Hung-Chieh; Wang, Yiliu; Zhao, Zipeng; Wang, Gongming; Wu, Hao; He, Qiyuan; Huang, Yu; Duan, Xiangfeng

    2017-01-01

    Transformation of unipolar n-type semiconductor behavior to ambipolar and finally to unipolar p-type behavior in CH 3 NH 3 PbI 3 microplate field-effect transistors by thermal annealing is reported. The photoluminescence spectra essentially maintain the same features before and after the thermal annealing process, demonstrating that the charge transport measurement provides a sensitive way to probe low-concentration defects in perovskite materials. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Decarburizing Annealing of Technical Alloy Fe - 3% Si

    NASA Astrophysics Data System (ADS)

    Lobanov, M. L.; Gomzikov, A. I.; Akulov, S. V.; Pyatygin, A. I.

    2005-09-01

    Results of a study illustrating the effect of temperature and moisture content in the atmosphere (5% H2 + 95% N2) on the removal of carbon and oxidation of the surface layer of technical alloy Fe - 3% Si (electrical anisotropic steel of the nitride-copper production variant) are presented. Variation of the concentration of silicon over the thickness of the surface layer is studied. The types of phases forming on the surface and their influence on the occurrence of the processes are determined. Annealing parameters (temperature and moisture content of the atmosphere) at which the processes of decarburization and oxidation are decelerated and even stopped are established.

  13. Annealing Increases Stability Of Iridium Thermocouples

    NASA Technical Reports Server (NTRS)

    Germain, Edward F.; Daryabeigi, Kamran; Alderfer, David W.; Wright, Robert E.; Ahmed, Shaffiq

    1989-01-01

    Metallurgical studies carried out on samples of iridium versus iridium/40-percent rhodium thermocouples in condition received from manufacturer. Metallurgical studies included x-ray, macroscopic, resistance, and metallographic studies. Revealed large amount of internal stress caused by cold-working during manufacturing, and large number of segregations and inhomogeneities. Samples annealed in furnace at temperatures from 1,000 to 2,000 degree C for intervals up to 1 h to study effects of heat treatment. Wire annealed by this procedure found to be ductile.

  14. Strain of laser annealed silicon surfaces

    NASA Astrophysics Data System (ADS)

    Nemanich, R. J.; Haneman, D.

    1982-05-01

    High resolution Raman scattering measurements have been carried out on pulse and continuous-wave laser annealed silicon samples with various surface preparations. These included polished and ion-bombarded wafers, and saw-cut crystals. The pulse annealing treatments were carried out in ultrahigh vacuum and in air. The residual strain was inferred from the frequency shift of the first-order Raman active mode of Si, and was detectable in the range 10-2-10-3 in all except the polished samples.

  15. Laser annealing of ion implanted CZ silicon for solar cell junction formation

    NASA Technical Reports Server (NTRS)

    Katzeff, J. S.

    1981-01-01

    The merits of large spot size pulsed laser annealing of phosphorus implanted, Czochralski grown silicon for function formation of solar cells are evaluated. The feasibility and requirements are also determined to scale-up a laser system to anneal 7.62 cm diameter wafers at a rate of one wafer/second. Results show that laser annealing yields active, defect-free, shallow junction devices. Functional cells with AM 1 conversion efficiencies up to 15.4% for 2 x 2 cm and 2 x 4 cm sizes were attained. For larger cells, 7.62 cm dia., conversion efficiencies ranged up to 14.5%. Experiments showed that texture etched surfaces are not compatible with pulsed laser annealing due to the surface melting caused by the laser energy. When compared with furnace annealed cells, the laser annealed cells generally exhibited conversion efficiencies which were equal to or better than those furnace annealed. In addition, laser annealing has greater throughput potential.

  16. 3D microfluidic fabrication using a low refractive index polymer for clear microscopic observation at the fluid boundary

    NASA Astrophysics Data System (ADS)

    Hanada, Y.

    2018-02-01

    Microfluidic chips known as μ-TAS or LoC have become versatile tools in cell research, since functional biochips are able to streamline dynamic observations of various cells. Glass or polymers are generally used as the substrate due to their high transparency, chemical stability and cost-effectiveness. However, these materials are not well suited to the microscopic observation at the fluid boundary due to the refractive index mismatch between the medium and the biochip material. For this reason, we have developed a method of fabricating three-dimensional (3D) microfluidic chips made of a low refractive index fluoric polymer CYTOP. CYTOP has a refractive index of 1.34, a value that is almost equivalent to that of water. This optical property is very important for clear 3D microscopic observations of cell motion near the solid boundary, due to the minimal mismatch between the refractive index values of the medium and the CYTOP substrate. Therefore, CYTOP microfluidics are expected to allow the generation of clear images of unique cell migratory processes near the microfluidic sidewall. Therefore, we established the fabrication procedure involving the use of femtosecond laser direct writing, followed by wet etching and annealing, to create high-quality 3D microfluidics inside a polymer substrate. A microfluidic chip made in this manner enables us to more clearly observe areas near the fluid surface, compared to the observations possible using conventional microfluidic chips.

  17. [Fabrication of annealing equipment for optically stimulated luminescence (OSL) dosimeter].

    PubMed

    Nakagawa, Kohei; Hayashi, Hiroaki; Okino, Hiroki; Takegami, Kazuki; Okazaki, Tohru; Kobayashi, Ikuo

    2014-10-01

    The optically stimulated luminescence (OSL) dosimeter is a useful detector for measuring absorbed doses of X-rays. A small-type OSL dosimeter, "nanoDot", has recently been developed by Landauer, Inc., who also manufacture "microStar" reading equipment. However, additional annealing equipment is needed if the nanoDot OSL dosimeter is used repeatedly. The aim of this study was to fabricate suitable annealing equipment using commonly available products. Our device positions four fluorescent light tubes in a close configuration. The heat from the fluorescent light tubes is dissipated using fans. Experiments using diagnostic X-ray equipment were carried out to evaluate the capability of our annealing equipment. The results indicated that our equipment can fully anneal the nanoDot OSL dosimeter with annealing times of approximately 20 hours.

  18. The effect of thermal annealing on pentacene thin film transistor with micro contact printing.

    PubMed

    Shin, Hong-Sik; Yun, Ho-Jin; Baek, Kyu-Ha; Ham, Yong-Hyun; Park, Kun-Sik; Kim, Dong-Pyo; Lee, Ga-Won; Lee, Hi-Deok; Lee, Kijun; Do, Lee-Mi

    2012-07-01

    We used micro contact printing (micro-CP) to fabricate inverted coplanar pentacene thin film transistors (TFTs) with 1-microm channels. The patterning of micro-scale source/drain electrodes without etch process was successfully achieved using Polydimethylsiloxane (PDMS) elastomer stamp. We used the Ag nano particle ink as an electrode material, and the sheet resistance and surface roughness of the Ag electrodes were effectively reduced with the 2-step thermal annealing on a hotplate, which improved the mobility, the on-off ratio, and the subthreshold slope (SS) of the pentacene TFTs. In addition, the device annealing on a hotplate in a N2 atmosphere for 30 sec can enhance the off-current and the mobility properties of OTFTs without damaging the pentacene thin films and increase the adhesion between pentacene and dielectric layer (SiO2), which was investigated with the pentacene films phase change of the XRD spectrum after device annealing.

  19. Effects of thermal annealing on the structural and optical properties of carbon-implanted SiO2.

    PubMed

    Poudel, P R; Paramo, J A; Poudel, P P; Diercks, D R; Strzhemechny, Y M; Rout, B; McDaniel, F D

    2012-03-01

    Amorphous carbon (a-C) nanoclusters were synthesized by the implantation of carbon ions (C-) into thermally grown silicon dioxide film (-500 nm thick) on a Si (100) wafer and processed by high temperature thermal annealing. The carbon ions were implanted with an energy of 70 keV at a fluence of 5 x 10(17) atoms/cm2. The implanted samples were annealed at 1100 degrees C for different time periods in a gas mixture of 96% Ar+4% H2. Raman spectroscopy, X-ray photoelectron spectroscopy (XPS) and High Resolution Transmission Electron Microscopy (HRTEM) were used to study the structural properties of both the as-implanted and annealed samples. HRTEM reveals the formation of nanostructures in the annealed samples. The Raman spectroscopy also confirms the formation of carbon nano-clusters in the samples annealed for 10 min, 30 min, 60 min and 90 min. No Raman features originating from the carbon-clusters are observed for the sample annealed further to 120 min, indicating a complete loss of implanted carbon from the SiO2 layer. The loss of the implanted carbon in the 120 min annealed sample from the SiO2 layer was also observed in the XPS depth profile measurements. Room temperature photoluminescence (PL) spectroscopy revealed visible emissions from the samples pointing to carbon ion induced defects as the origin of a broad 2.0-2.4 eV band, and the intrinsic defects in SiO2 as the possible origin of the -2.9 eV bands. In low temperature photoluminescence spectra, two sharp and intense photoluminescence lines at -3.31 eV and -3.34 eV appear for the samples annealed for 90 min and 120 min, whereas no such bands are observed in the samples annealed for 10 min, 30 min, and 60 min. The Si nano-clusters forming at the Si-SiO2 interface could be the origin of these intense peaks.

  20. Evolution of Structural and Optical Properties of ZnO Nanorods Grown on Vacuum Annealed Seed Crystallites

    PubMed Central

    Khan, Fasihullah; Ajmal, Hafiz Muhammad Salman; Huda, Noor Ul; Kim, Ji Hyun; Kim, Sam-Dong

    2018-01-01

    In this study, the ambient condition for the as-coated seed layer (SL) annealing at 350 °C is varied from air or nitrogen to vacuum to examine the evolution of structural and optical properties of ZnO nanorods (NRs). The NR crystals of high surface density (~240 rods/μm2) and aspect ratio (~20.3) show greatly enhanced (002) degree of orientation and crystalline quality, when grown on the SLs annealed in vacuum, compared to those annealed in air or nitrogen ambient. This is due to the vacuum-annealed SL crystals of a highly preferred orientation toward (002) and large grain sizes. X-ray photoelectron spectroscopy also reveals that the highest O/Zn atomic ratio of 0.89 is obtained in the case of vacuum-annealed SL crystals, which is due to the effective desorption of hydroxyl groups and other contaminants adsorbed on the surface formed during aqueous solution-based growth process. Near band edge emission (ultra violet range of 360–400 nm) of the vacuum-annealed SLs is also enhanced by 44% and 33% as compared to those annealed in air and nitrogen ambient, respectively, in photoluminescence with significant suppression of visible light emission associated with deep level transition. Due to this improvement of SL optical crystalline quality, the NR crystals grown on the vacuum-annealed SLs produce ~3 times higher ultra violet emission intensity than the other samples. In summary, it is shown that the ZnO NRs preferentially grow along the wurtzite c-axis direction, thereby producing the high crystalline quality of nanostructures when they grow on the vacuum-annealed SLs of high crystalline quality with minimized impurities and excellent preferred orientation. The ZnO nanostructures of high crystalline quality achieved in this study can be utilized for a wide range of potential device applications such as laser diodes, light-emitting diodes, piezoelectric transducers and generators, gas sensors, and ultraviolet detectors. PMID:29373523

  1. Hybrid General Pattern Search and Simulated Annealing for Industrail Production Planning Problems

    NASA Astrophysics Data System (ADS)

    Vasant, P.; Barsoum, N.

    2010-06-01

    In this paper, the hybridization of GPS (General Pattern Search) method and SA (Simulated Annealing) incorporated in the optimization process in order to look for the global optimal solution for the fitness function and decision variables as well as minimum computational CPU time. The real strength of SA approach been tested in this case study problem of industrial production planning. This is due to the great advantage of SA for being easily escaping from trapped in local minima by accepting up-hill move through a probabilistic procedure in the final stages of optimization process. Vasant [1] in his Ph. D thesis has provided 16 different techniques of heuristic and meta-heuristic in solving industrial production problems with non-linear cubic objective functions, eight decision variables and 29 constraints. In this paper, fuzzy technological problems have been solved using hybrid techniques of general pattern search and simulated annealing. The simulated and computational results are compared to other various evolutionary techniques.

  2. Modification of Patterned Nanoporous Gold Thin Film Electrodes via Electro-annealing and Electrochemical Etching

    NASA Astrophysics Data System (ADS)

    Dorofeeva, Tatiana

    Nanostructured materials have had a major impact on various fields, including medicine, catalysis, and energy storage, for the major part due to unique phenomena that arise at nanoscale. For this reason, there is a sustained need for new nanostructured materials, techniques to pattern them, and methods to precisely control their nanostructure. To that end, the primary focus of this dissertation is to demonstrate novel techniques to fabricate and tailor the morphology of a class of nanoporous metals, obtained by a process known as dealloying. In this process, while the less noble constituent of an alloy is chemically dissolved, surface-diffusion of the more noble constituent leads to self-assembly of a bicontinuous ligament network with characteristic porosity of ˜70% and ligament diameter of 10s of nanometers. As a model material produced by dealloying, this work employ nanoporous gold (np-Au), which has attracted significant attention of desirable features, such as high effective surface area, electrical conductivity, well-defined thiol-based surface modification strategies, microfabrication-compatibility, and biocompatibility. The most commonly method used to modify the morphology of np-Au is thermal treatment, where the enhanced diffusivity of the surface atoms leads to ligament (and consequently pore) coarsening. This method, however, is not conducive to modifying the morphology of thin films at specific locations on the film, which is necessary for creating devices that may need to contain different morphologies on a single device. In addition, coarsening attained by thermal treatment also leads to an undesirable reduction in effective surface area. In response to these challenges, this work demonstrates two different techniques that enables in situ modification of np-Au thin film electrodes obtained by sputter-deposition of a precursors silver-rich gold-silver alloy. The first method, referred to as electro-annealing, is achieved by injecting electrical

  3. Influence of post-deposition annealing on structural, morphological and optical properties of copper (II) acetylacetonate thin films.

    PubMed

    Abdel-Khalek, H; El-Samahi, M I; El-Mahalawy, Ahmed M

    2018-05-21

    In this study, the effect of thermal annealing under vacuum conditions on structural, morphological and optical properties of thermally evaporated copper (II) acetylacetonate, cu(acac) 2 , thin films were investigated. The copper (II) acetylacetonate thin films were deposited using thermal evaporation technique at vacuum pressure ~1 × 10 -5  mbar. The deposited films were thermally annealed at 323, 373, 423, and 473 K for 2 h in vacuum. The thermogravimetric analysis of cu(acac) 2 powder indicated a thermal stability of cu(acac) 2 up to 423 K. The effects of thermal annealing on the structural properties of cu(acac) 2 were evaluated employing X-ray diffraction method and the analysis showed a polycrystalline nature of the as-deposited and annealed films with a preferred orientation in [1¯01] direction. Fourier transformation infrared (FTIR) technique was used to negate the decomposition of copper (II) acetylacetonate during preparation or/and annealing up to 423 K. The surface morphology of the prepared films was characterized by means of field emission scanning electron microscopy (FESEM). A significant enhancement of the morphological properties of cu(acac) 2 thin films was obtained till the annealing temperature reaches 423 K. The variation of optical constants that estimated from spectrophotometric measurements of the prepared thin films was investigated as a function of annealing temperature. The annealing process presented significantly impacted the nonlinear optical properties such as third-order optical susceptibility χ (3) and nonlinear refractive index n 2 of cu(acac) 2 thin films. Copyright © 2018 Elsevier B.V. All rights reserved.

  4. Field-portable pixel super-resolution colour microscope.

    PubMed

    Greenbaum, Alon; Akbari, Najva; Feizi, Alborz; Luo, Wei; Ozcan, Aydogan

    2013-01-01

    Based on partially-coherent digital in-line holography, we report a field-portable microscope that can render lensfree colour images over a wide field-of-view of e.g., >20 mm(2). This computational holographic microscope weighs less than 145 grams with dimensions smaller than 17×6×5 cm, making it especially suitable for field settings and point-of-care use. In this lensfree imaging design, we merged a colorization algorithm with a source shifting based multi-height pixel super-resolution technique to mitigate 'rainbow' like colour artefacts that are typical in holographic imaging. This image processing scheme is based on transforming the colour components of an RGB image into YUV colour space, which separates colour information from brightness component of an image. The resolution of our super-resolution colour microscope was characterized using a USAF test chart to confirm sub-micron spatial resolution, even for reconstructions that employ multi-height phase recovery to handle dense and connected objects. To further demonstrate the performance of this colour microscope Papanicolaou (Pap) smears were also successfully imaged. This field-portable and wide-field computational colour microscope could be useful for tele-medicine applications in resource poor settings.

  5. A modular tooling set-up for incremental sheet forming (ISF) with subsequent stress-relief annealing under partial constraints

    NASA Astrophysics Data System (ADS)

    Maqbool, Fawad; Bambach, Markus

    2017-10-01

    Incremental sheet forming (ISF) is a manufacturing process most suitable for small-batch production of sheet metal parts. In ISF, a CNC-controlled tool moves over the sheet metal, following a specified contour to form a part of the desired geometry. This study focuses on one of the dominant process limitations associated with the ISF, i.e., the limited geometrical accuracy. In this regard, a case study is performed which shows that increased geometrical accuracy of the formed part can be achieved by a using stress-relief annealing before unclamping. To keep the tooling costs low, a modular die design consisting of a stiff metal frame and inserts made from inexpensive plastics (Sika®) were devised. After forming, the plastics inserts are removed. The metal frame supports the part during stress-relief annealing. Finite Element (FE) simulations of the manufacturing process are performed. Due to the residual stresses induced during the forming, the geometry of the formed part, from FE simulation and the actual manufacturing process, shows severe distortion upon unclamping the part. Stress relief annealing of the formed part under partial constraints exerted by the tool frame shows that a part with high geometrical accuracy can be obtained.

  6. Non-stoquastic Hamiltonians in quantum annealing via geometric phases

    NASA Astrophysics Data System (ADS)

    Vinci, Walter; Lidar, Daniel A.

    2017-09-01

    We argue that a complete description of quantum annealing implemented with continuous variables must take into account the non-adiabatic Aharonov-Anandan geometric phase that arises when the system Hamiltonian changes during the anneal. We show that this geometric effect leads to the appearance of non-stoquasticity in the effective quantum Ising Hamiltonians that are typically used to describe quantum annealing with flux qubits. We explicitly demonstrate the effect of this geometric non-stoquasticity when quantum annealing is performed with a system of one and two coupled flux qubits. The realization of non-stoquastic Hamiltonians has important implications from a computational complexity perspective, since it is believed that in many cases quantum annealing with stoquastic Hamiltonians can be efficiently simulated via classical algorithms such as Quantum Monte Carlo. It is well known that the direct implementation of non-stoquastic Hamiltonians with flux qubits is particularly challenging. Our results suggest an alternative path for the implementation of non-stoquasticity via geometric phases that can be exploited for computational purposes.

  7. Garage Demos: Using Physical Models to Illustrate Dynamic Aspects of Microscopic Biological Processes

    PubMed Central

    Aguilar-Roca, Nancy

    2009-01-01

    Colorful PowerPoint presentations with detailed drawings, micrographs, and short animations have become the standard format for illustrating the fundamental features of cell biology in large introductory classes. In this essay, we describe a low-tech tool that can be included in a standard lecture to help students visualize, understand, and remember the dynamic aspects of microscopic cell biological processes. This approach involves use of common objects, including pipe insulation and a garden hose, to illustrate basic processes such as protein folding and cloning, hence the appellation “garage demos.” The demonstrations are short, minimizing displacement of course content, easy to make, and provide an avenue for increasing student–faculty interaction in a large lecture hall. Student feedback over the past 4 years has been overwhelmingly positive. In an anonymous postclass survey in 2007, 90% of the respondents rated garage demos as having been very or somewhat helpful for understanding course concepts. Direct measurements of learning gains on specific concepts illustrated by garage demos are the focus of an ongoing study. PMID:19487500

  8. Purification/annealing of graphene with 100-MeV Ag ion irradiation

    PubMed Central

    2014-01-01

    Studies on interaction of graphene with radiation are important because of nanolithographic processes in graphene-based electronic devices and for space applications. Since the electronic properties of graphene are highly sensitive to the defects and number of layers in graphene sample, it is desirable to develop tools to engineer these two parameters. We report swift heavy ion (SHI) irradiation-induced annealing and purification effects in graphene films, similar to that observed in our studies on fullerenes and carbon nanotubes (CNTs). Raman studies after irradiation with 100-MeV Ag ions (fluences from 3 × 1010 to 1 × 1014 ions/cm2) show that the disorder parameter α, defined by ID/IG ratio, decreases at lower fluences but increases at higher fluences beyond 1 × 1012 ions/cm2. This indicates that SHI induces annealing effects at lower fluences. We also observe that the number of graphene layers is reduced at fluences higher than 1 × 1013 ions/cm2. Using inelastic thermal spike model calculations, we estimate a radius of 2.6 nm for ion track core surrounded by a halo extending up to 11.6 nm. The transient temperature above the melting point in the track core results in damage, whereas lower temperature in the track halo is responsible for annealing. The results suggest that SHI irradiation fluence may be used as one of the tools for defect annealing and manipulation of the number of graphene layers. PACS 60.80.x; 81.05.ue PMID:24636520

  9. The role of the Ti and Mo barrier layer in Ti/Al metallization to AlGaN/GaN heterostructures at identical process conditions: a structural and chemical characterization

    NASA Astrophysics Data System (ADS)

    Chandran, Narendraraj; Kolakieva, Lilyana; Kakanakov, Roumen; Polychroniadis, E. K.

    2015-11-01

    The composition and structure of TiAl-based metallizations have been investigated depending on the Ti and Mo barriers. The lowest contact resistivity of 4 × 10-6 Ω.cm2 for a Ti barrier and 7 × 10-6 Ω.cm2 for a Mo barrier is obtained at a Ti/Al ratio of 0.43 after annealing at 800 °C. The scanning transmission electron microscope (STEM) and energy dispersive spectroscopy (EDS) analyses reveal that Mo is not an effective barrier for the Au in-diffusion and Al out of diffusion during annealing. The intensive diffusion processes lead to the formation of the semimetal TiN compound at the interface and intermetallic phases of Au, Al, and Ti, the structure and composition of which depend on the barrier metal.

  10. A new computerized moving stage for optical microscopes

    NASA Astrophysics Data System (ADS)

    Hatiboglu, Can Ulas; Akin, Serhat

    2004-06-01

    Measurements of microscope stage movements in the x and y directions are of importance for some stereological methods. Traditionally, the length of stage movements is measured with differing precision and accuracy using a suitable motorized stage, a microscope and software. Such equipment is generally expensive and not readily available in many laboratories. One other challenging problem is the adaptability to available microscope systems which weakens the possibility of the equipment to be used with any kind of light microscope. This paper describes a simple and cheap programmable moving stage that can be used with the available microscopes in the market. The movements of the stage are controlled by two servo-motors and a controller chip via a Java-based image processing software. With the developed motorized stage and a microscope equipped with a CCD camera, the software allows complete coverage of the specimens with minimum overlap, eliminating the optical strain associated with counting hundreds of images through an eyepiece, in a quick and precise fashion. The uses and the accuracy of the developed stage are demonstrated using thin sections obtained from a limestone core plug.

  11. Accessing Forbidden Glass Regimes through High-Pressure Sub-Tg Annealing

    PubMed Central

    Svenson, Mouritz N.; Mauro, John C.; Rzoska, Sylwester J.; Bockowski, Michal; Smedskjaer, Morten M.

    2017-01-01

    Density and hardness of glasses are known to increase upon both compression at the glass transition temperature (Tg) and ambient pressure sub-Tg annealing. However, a serial combination of the two methods does not result in higher density and hardness, since the effect of compression is countered by subsequent annealing and vice versa. In this study, we circumvent this by introducing a novel treatment protocol that enables the preparation of high-density, high-hardness bulk aluminosilicate glasses. This is done by first compressing a sodium-magnesium aluminosilicate glass at 1 GPa at Tg, followed by sub-Tg annealing in-situ at 1 GPa. Through density, hardness, and heat capacity measurements, we demonstrate that the effects of hot compression and sub-Tg annealing can be combined to access a “forbidden glass” regime that is inaccessible through thermal history or pressure history variation alone. We also study the relaxation behavior of the densified samples during subsequent ambient pressure sub-Tg annealing. Density and hardness are found to relax and approach their ambient condition values upon annealing, but the difference in relaxation time of density and hardness, which is usually observed for hot compressed glasses, vanishes for samples previously subjected to high-pressure sub-Tg annealing. This confirms the unique configurational state of these glasses. PMID:28418017

  12. Characteristics of layered tin disulfide deposited by atomic layer deposition with H2S annealing

    NASA Astrophysics Data System (ADS)

    Lee, Seungjin; Shin, Seokyoon; Ham, Giyul; Lee, Juhyun; Choi, Hyeongsu; Park, Hyunwoo; Jeon, Hyeongtag

    2017-04-01

    Tin disulfide (SnS2) has attracted much attention as a two-dimensional (2D) material. A high-quality, low-temperature process for producing 2D materials is required for future electronic devices. Here, we investigate tin disulfide (SnS2) layers deposited via atomic layer deposition (ALD) using tetrakis(dimethylamino)tin (TDMASn) as a Sn precursor and H2S gas as a sulfur source at low temperature (150° C). The crystallinity of SnS2 was improved by H2S gas annealing. We carried out H2S gas annealing at various conditions (250° C, 300° C, 350° C, and using a three-step method). Angle-resolved X-ray photoelectron spectroscopy (ARXPS) results revealed the valence state corresponding to Sn4+ and S2- in the SnS2 annealed with H2S gas. The SnS2 annealed with H2S gas had a hexagonal structure, as measured via X-ray diffraction (XRD) and the clearly out-of-plane (A1g) mode in Raman spectroscopy. The crystallinity of SnS2 was improved after H2S annealing and was confirmed using the XRD full-width at half-maximum (FWHM). In addition, high-resolution transmission electron microscopy (HR-TEM) images indicated a clear layered structure.

  13. Effect of low-temperature annealing on the creep of 1570 aluminum alloy

    NASA Astrophysics Data System (ADS)

    Perevezentsev, V. N.; Shcherban', M. Yu.; Gracheva, T. A.; Kuz'micheva, T. A.

    2015-08-01

    The effect of preliminary low-temperature annealing on the creep of a submicrocrystalline 1570 aluminum alloy fabricated by severe plastic deformation is studied. The creep rate is found to increase with the annealing time, but long-term annealing for 4 h decreases the creep rate to the value characteristic of the alloy not subjected to preliminary annealing. The increase in the creep rate of the alloy subjected to preliminary annealing is likely to be caused by an increase in the nonequilibrium excess volume in grain boundaries as a result of the dissolution of grain-boundary nanopores upon annealing and, hence, by an increase in the grain-boundary diffusion rate and the grain-boundary sliding rate.

  14. Photo-thermal processing of semiconductor fibers and thin films

    NASA Astrophysics Data System (ADS)

    Gupta, Nishant

    Furnace processing and rapid thermal processing (RTP) have been an integral part of several processing steps in semiconductor manufacturing. The performance of RTP techniques can be improved many times by exploiting quantum photo-effects of UV and vacuum ultraviolet (VUV) photons in thermal processing and this technique is known as rapid photo-thermal processing (RPP). As compared to furnace processing and RTP, RPP provides higher diffusion coefficient, lower stress and lower microscopic defects. In this work, a custom designed automated photo assisted processing system was built from individual parts and an incoherent light source. This photo-assisted processing system is used to anneal silica clad silicon fibers and deposit thin-films. To the best of our knowledge, incoherent light source based rapid photo-thermal processing (RPP) was used for the first time to anneal glass-clad silicon core optical fibers. X-ray diffraction examination, Raman spectroscopy and electrical measurements showed a considerable enhancement of structural and crystalline properties of RPP treated silicon fibers. Photons in UV and vacuum ultraviolet (VUV) regions play a very important role in improving the bulk and carrier transport properties of RPP-treated silicon optical fibers, and the resultant annealing permits a path forward to in situ enhancement of the structure and properties of these new crystalline core optical fibers. To explore further applications of RPP, thin-films of Calcium Copper Titanate (CaCu3Ti4O12) or CCTO and Copper (I) Oxide (Cu2O) were also deposited using photo-assisted metal-organic chemical vapor deposition (MOCVD) on Si/SiO2 and n-Si substrate respectively. CCTO is one of the most researched giant dielectric constant materials in recent years. The given photo-assisted MOCVD approach provided polycrystalline CCTO growth on a SiO2 surface with grain sizes as large as 410 nm. Copper (I) oxide (Cu2O) is a direct band gap semiconductor with p-type conductivity and

  15. Surface imaging microscope

    NASA Astrophysics Data System (ADS)

    Rogala, Eric W.; Bankman, Isaac N.

    2008-04-01

    The three-dimensional shapes of microscopic objects are becoming increasingly important for battlespace CBRNE sensing. Potential applications of microscopic 3D shape observations include characterization of biological weapon particles and manufacturing of micromechanical components. Aerosol signatures of stand-off lidar systems, using elastic backscatter or polarization, are dictated by the aerosol particle shapes and sizes that must be well characterized in the lab. A low-cost, fast instrument for 3D surface shape microscopy will be a valuable point sensor for biological particle sensing applications. Both the cost and imaging durations of traditional techniques such as confocal microscopes, atomic force microscopes, and electron scanning microscopes are too high. We investigated the feasibility of a low-cost, fast interferometric technique for imaging the 3D surface shape of microscopic objects at frame rates limited only by the camera in the system. The system operates at two laser wavelengths producing two fringe images collected simultaneously by a digital camera, and a specialized algorithm we developed reconstructs the surface map of the microscopic object. The current implementation assembled to test the concept and develop the new 3D reconstruction algorithm has 0.25 micron resolution in the x and y directions, and about 0.1 micron accuracy in the z direction, as tested on a microscopic glass test object manufactured with etching techniques. We describe the interferometric instrument, present the reconstruction algorithm, and discuss further development.

  16. Glass transition of aqueous solutions involving annealing-induced ice recrystallization resolves liquid-liquid transition puzzle of water

    PubMed Central

    Zhao, Li-Shan; Cao, Ze-Xian; Wang, Qiang

    2015-01-01

    Liquid-liquid transition of water is an important concept in condensed-matter physics. Recently, it was claimed to have been confirmed in aqueous solutions based on annealing-induced upshift of glass-liquid transition temperature, . Here we report a universal water-content, , dependence of for aqueous solutions. Solutions with vitrify/devitrify at a constant temperature, , referring to freeze-concentrated phase with left behind ice crystallization. Those solutions with totally vitrify at under conventional cooling/heating process though, of the samples annealed at temperatures   to effectively evoke ice recrystallization is stabilized at . Experiments on aqueous glycerol and 1,2,4-butanetriol solutions in literature were repeated, and the same samples subject to other annealing treatments equally reproduce the result. The upshift of by annealing is attributable to freeze-concentrated phase of solutions instead of ‘liquid II phase of water’. Our work also provides a reliable method to determine hydration formula and to scrutinize solute-solvent interaction in solution. PMID:26503911

  17. Hybrid Al/steel-joints manufactured by ultrasound enhanced friction stir welding (USE-FSW): Process comparison, nondestructive testing and microscopic analysis

    NASA Astrophysics Data System (ADS)

    Thomä, M.; Wagner, G.; Straß, B.; Wolter, B.; Benfer, S.; Fürbeth, W.

    2017-03-01

    The process of friction stir welding (FSW) is an innovative joining technique, which proved its potential in joining dissimilar metals that are poorly fusion weldable. This ability opens a wide range for applications in industrial fields, where weight reduction by partial substitution of conventional materials through lightweight materials is a current central aim. As a consequence of this, the realization of aluminum / steel-joints is of great interest. For this material compound, several friction stir welds were carried out by different researchers for varying Al/steel-joints, whereas the definition of optimal process parameters as well as the increase of mechanical properties was in the focus of the studies. To achieve further improved properties for this dissimilar joint a newly developed hybrid process named “ultrasound enhanced friction stir welding (USE-FSW)” was applied. In this paper the resulting properties of Al/steel-joints using FSW and USE-FSW will be presented and compared. Furthermore, first results by using the nondestructive testing method “computer laminography” to analyze the developed joining area will be shown supplemented by detailed light-microscopic investigations, scanning electron microscopic analysis, and EDX.

  18. Alpha-Recoil Damage Annealing Effecfs on Zircon Crystallinity and He Diffusivity: Improving Damage-Diffusivity Models

    NASA Astrophysics Data System (ADS)

    Thurston, O. G.; Guenthner, W.; Garver, J. I.

    2017-12-01

    The effects of radiation damage on He diffusion in zircon has been a major research focus in thermochronology over the past decade. In the zircon-He system, alpha-recoil damage effects He diffusivity in two ways: a decrease in He diffusivity at low radiation damage levels, and an increase in He diffusivity at high radiation damage levels. The radiation damage accumulation process within zircon is well understood; however, the kinetics of annealing of alpha-recoil damage at geologic timescales as they pertain to damage-diffusivity models, and for metamict zircon (i.e. transition from crystalline to amorphous glass via damage accumulation), has not been well constrained. This study aims to develop a more complete model that describes the annealing kinetics for zircon grains with a broad range of pre-annealing, alpha-induced radiation damage. A suite of zircon grains from the Lucerne pluton, ME were chosen for this study due to their simple thermal history (monotonic cooling), notable range of effective uranium (eU, eU = [U] +0.235*[Th]) (15 - 34,239 ppm eU), and large range of radiation damage as measured by Raman shift from crystalline (>1005 cm-1) to metamict (<1000 cm-1). The zircon grains selected represent the full range of eU and radiation damage present in the pluton. The zircon grains were first mapped for overall crystallinity using Raman spectroscopy, then annealed at different time-temperature (t-T) schedules from 1 hr to 24 hrs at temperatures ranging from 700-1100 °C, followed by remapping with Raman spectroscopy to track the total Raman shift for each t-T step. The temperature window selected is at the "roll-over" point established in prior studies (Zhang et al., 2000), at which most laboratory annealing occurs. Our data show that high radiation damage zircon grains show larger Raman shifts than low radiation damage zircon grains when exposed to the same t-T step. The high damage zircon grains typically show a Raman shift of 4 cm-1 toward crystalline

  19. Prevention of nanoparticle coalescence under high-temperature annealing.

    PubMed

    Mizuno, Mikihisa; Sasaki, Yuichi; Yu, Andrew C C; Inoue, Makoto

    2004-12-21

    An effective method of employing 3-aminopropyldimethylethoxysilane linker molecules to stabilize 4.4 nm FePt nanoparticle monolayer films on a SiO2 substrate as well as to prevent coalescence of the particles under 800 degrees C annealing is reported. As-deposited FePt nanoparticle films in chemically disordered face-centered-cubic phase transform to mostly chemically ordered L1 0 structure after annealing, while the nanoparticles are free from serious coalescence. The method may fulfill the pressing need to prevent nanoparticle coalescence under high-temperature annealing for the development of FePt nanoparticle based products, such as ultrahigh-density magnetic recording media and novel memory devices.

  20. Experimental and Numerical Simulations of Phase Transformations Occurring During Continuous Annealing of DP Steel Strips

    NASA Astrophysics Data System (ADS)

    Wrożyna, Andrzej; Pernach, Monika; Kuziak, Roman; Pietrzyk, Maciej

    2016-04-01

    Due to their exceptional strength properties combined with good workability the Advanced High-Strength Steels (AHSS) are commonly used in automotive industry. Manufacturing of these steels is a complex process which requires precise control of technological parameters during thermo-mechanical treatment. Design of these processes can be significantly improved by the numerical models of phase transformations. Evaluation of predictive capabilities of models, as far as their applicability in simulation of thermal cycles thermal cycles for AHSS is considered, was the objective of the paper. Two models were considered. The former was upgrade of the JMAK equation while the latter was an upgrade of the Leblond model. The models can be applied to any AHSS though the examples quoted in the paper refer to the Dual Phase (DP) steel. Three series of experimental simulations were performed. The first included various thermal cycles going beyond limitations of the continuous annealing lines. The objective was to validate models behavior in more complex cooling conditions. The second set of tests included experimental simulations of the thermal cycle characteristic for the continuous annealing lines. Capability of the models to describe properly phase transformations in this process was evaluated. The third set included data from the industrial continuous annealing line. Validation and verification of models confirmed their good predictive capabilities. Since it does not require application of the additivity rule, the upgrade of the Leblond model was selected as the better one for simulation of industrial processes in AHSS production.

  1. Enhanced dielectric and electrical properties of annealed PVDF thin film

    NASA Astrophysics Data System (ADS)

    Arshad, A. N.; Rozana, M. D.; Wahid, M. H. M.; Mahmood, M. K. A.; Sarip, M. N.; Habibah, Z.; Rusop, M.

    2018-05-01

    Poly (vinylideneflouride) (PVDF) thin films were annealed at various annealing temperatures ranging from 70°C to 170°C. This study demonstrates that PVDF thin films annealed at temperature of 70°C (AN70) showed significant enhancement in their dielectric constant (14) at frequency of 1 kHz in comparison to un-annealed PVDF (UN-PVDF), dielectric constant (10) at the same measured frequency. As the annealing temperature was increased from 90°C (AN90) to 150°C (AN150), the dielectric constant value of PVDF thin films was observed to decrease gradually to 11. AN70 also revealed low tangent loss (tan δ) value at similar frequency. With respect to its resistivity properties, the values were found to increase from 1.98×104 Ω.cm to 3.24×104 Ω.cm for AN70 and UN-PVDF films respectively. The improved in dielectric constant, with low tangent loss and high resistivity value suggests that 70°C is the favorable annealing temperature for PVDF thin films. Hence, AN70 is a promising film to be utilized for application in electronic devices such as low frequency capacitor.

  2. Radiation damage annealing mechanisms and possible low temperature annealing in silicon solar cells

    NASA Technical Reports Server (NTRS)

    Weinberg, I.; Swartz, C. K.

    1980-01-01

    The defect responsible for reverse annealing in 2 ohm/cm n(+)/p silicon solar cells was identified. This defect, with energy level at e sub v + 0.30 eV was tentatively identified as a boron oxygen-vacancy complex. Results indicate that its removal could result in significant annealing for 2 ohm/cm and lower resistivity cells at temperatures as low as 200 C. These results were obtained by use of an expression derived from the Shockley-Read-Hall recombination theory which relates measured diffusion length ratios to relative defect concentrations and electron capture cross sections. The relative defect concentrations and one of the required capture cross sections are obtained from Deep Level Transient Spectroscopy. Four additional capture cross sections are obtained using diffusion length data and data from temperature dependent lifetime studied. These calculated results are in reasonable agreement with experimental data.

  3. Comparative study of image contrast in scanning electron microscope and helium ion microscope.

    PubMed

    O'Connell, R; Chen, Y; Zhang, H; Zhou, Y; Fox, D; Maguire, P; Wang, J J; Rodenburg, C

    2017-12-01

    Images of Ga + -implanted amorphous silicon layers in a 110 n-type silicon substrate have been collected by a range of detectors in a scanning electron microscope and a helium ion microscope. The effects of the implantation dose and imaging parameters (beam energy, dwell time, etc.) on the image contrast were investigated. We demonstrate a similar relationship for both the helium ion microscope Everhart-Thornley and scanning electron microscope Inlens detectors between the contrast of the images and the Ga + density and imaging parameters. These results also show that dynamic charging effects have a significant impact on the quantification of the helium ion microscope and scanning electron microscope contrast. © 2017 The Authors Journal of Microscopy © 2017 Royal Microscopical Society.

  4. Structural Evolution during Milling, Annealing, and Rapid Consolidation of Nanocrystalline Fe–10Cr–3Al Powder

    PubMed Central

    Kumar, Rajiv; Bakshi, S. R.; Joardar, Joydip; Parida, S.; Raja, V. S.; Singh Raman, R. K.

    2017-01-01

    Structural changes during the deformation-induced synthesis of nanocrystalline Fe–10Cr–3Al alloy powder via high-energy ball milling followed by annealing and rapid consolidation by spark plasma sintering were investigated. Reduction in crystallite size was observed during the synthesis, which was associated with the lattice expansion and rise in dislocation density, reflecting the generation of the excess grain boundary interfacial energy and the excess free volume. Subsequent annealing led to the exponential growth of the crystallites with a concomitant drop in the dislocation density. The rapid consolidation of the as-synthesized nanocrystalline alloy powder by the spark plasma sintering, on the other hand, showed only a limited grain growth due to the reduction of processing time for the consolidation by about 95% when compared to annealing at the same temperature. PMID:28772633

  5. Thermal annealing and transient electronic excitations induced interfacial and magnetic effects on Pt/Co/Pt trilayer

    NASA Astrophysics Data System (ADS)

    Sehdev, Neeru; Medwal, Rohit; Malik, Rakesh; Kandasami, Asokan; Kanjilal, Dinakar; Annapoorni, S.

    2018-04-01

    Present study investigates the importance of thermal annealing and transient electronic excitations (using 100 MeV oxygen ions) in assisting the interfacial atomic diffusion, alloy composition, and magnetic switching field distributions in Pt/Co/Pt stacked trilayer. X-ray diffraction analysis reveals that thermal annealing results in the formation of the face centered tetragonal L1°CoPt phase. The Rutherford back scattering spectra shows a trilayer structure for as-deposited and as-irradiated films. Interlayer mixing on the thermally annealed films further improves by electronic excitations produced by high energy ion irradiation. Magnetically hard face centered tetragonal CoPt alloy retains its hard phase after ion irradiation and reveals an enhancement in the structural ordering and magnetic stability. Enhancement in the homogeneity of alloy composition and its correlation with the magnetic switching field is evident from this study. A detailed investigation of the contributing parameters shows that the magnetic switching behaviour varies with the type of thermal annealing, transient electronic excitations of ion beams and combination of these processes.

  6. Annealing Would Improve beta" - Alumina Solid Electrolyte

    NASA Technical Reports Server (NTRS)

    Williams, Roger; Homer, Margie; Ryan, Margaret; Cortez, Roger; Shields, Virgil; Kisor, Adam

    2003-01-01

    A pre-operational annealing process is under investigation as a potential means of preventing a sudden reduction of ionic conductivity in a Beta"-alumina solid electrolyte (BASE) during use. On the basis of tests, the sudden reduction of ionic conductivity, followed by a slow recovery, has been found to occur during testing of the solid electrolyte and electrode components of an alkali metal thermal-to-electric converter (AMTEC) cell. At this time, high-temperature tests of limited duration have indicated the superiority of the treated BASE, but reproducible tests over thousands of hours are necessary to confirm that microcracking has been eliminated. The ionic conductivity of the treated BASE is also measured to be higher than untreated BASE at 1,073 K in low-pressure sodium vapor. Microcracking resulting in loss of conductivity was not observed with treated BASE in one high-temperature experiment, but this result must be duplicated over very long testing times to be sure of the effect. Shorter annealing times (10 to 20 hours) were found to result in significantly less loss of mass; it may be necessary for the packed powder mixture to evolve some Na2O before the Na2O can leave the ceramic.

  7. Formation of silicon nanocrystals in silicon carbide using flash lamp annealing

    NASA Astrophysics Data System (ADS)

    Weiss, Charlotte; Schnabel, Manuel; Prucnal, Slawomir; Hofmann, Johannes; Reichert, Andreas; Fehrenbach, Tobias; Skorupa, Wolfgang; Janz, Stefan

    2016-09-01

    During the formation of Si nanocrystals (Si NC) in SixC1-x layers via solid-phase crystallization, the unintended formation of nanocrystalline SiC reduces the minority carrier lifetime and therefore the performance of SixC1-x as an absorber layer in solar cells. A significant reduction in the annealing time may suppress the crystallization of the SiC matrix while maintaining the formation of Si NC. In this study, we investigated the crystallization of stoichiometric SiC and Si-rich SiC using conventional rapid thermal annealing (RTA) and nonequilibrium millisecond range flash lamp annealing (FLA). The investigated SixC1-x films were prepared by plasma-enhanced chemical vapor deposition and annealed at temperatures from 700 °C to 1100 °C for RTA and at flash energies between 34 J/cm2 and 62 J/cm2 for FLA. Grazing incidence X-ray diffraction and Fourier transformed infrared spectroscopy were conducted to investigate hydrogen effusion, Si and SiC NC growth, and SiC crystallinity. Both the Si content and the choice of the annealing process affect the crystallization behavior. It is shown that under certain conditions, FLA can be successfully utilized for the formation of Si NC in a SiC matrix, which closely resembles Si NC in a SiC matrix achieved by RTA. The samples must have excess Si, and the flash energy should not exceed 40 J/cm2 and 47 J/cm2 for Si0.63C0.37 and Si0.77C0.23 samples, respectively. Under these conditions, FLA succeeds in producing Si NC of a given size in less crystalline SiC than RTA does. This result is discussed in terms of nucleation and crystal growth using classical crystallization theory. For FLA and RTA samples, an opposite relationship between NC size and Si content was observed and attributed either to the dependence of H effusion on Si content or to the optical absorption properties of the materials, which also depend on the Si content.

  8. Defect annealing in electron-irradiated boron-doped silicon

    NASA Astrophysics Data System (ADS)

    Awadelkarim, O. O.; Chen, W. M.; Weman, H.; Monemar, B.

    1990-01-01

    Defects introduced by room-temperature electron irradiation and subsequent annealing in boron-doped silicon are studied by means of deep-level transient spectroscopy, photoluminescence, and optical detection of magnetic resonance (ODMR) techniques. ODMR reveals a thermally induced paramagnetic (S=(1/2) defect center that is produced following annealing at 400 °C. The center possesses a C3v point-group symmetry with the trigonal axis along <111>. Detailed analysis of the ODMR line shapes indicates the involvement of a silicon atom in the defect center. It appears from the results that boron is either another possible defect component or an essential catalyst for the defect formation. The occurrence of the ODMR signal together with a luminescence band peaking at 0.80 eV is independent of oxygen or carbon contents in the samples. The band does not belong to the center observed by ODMR; however, a decrease in its intensity, under resonance conditions in the ODMR center, is explained in terms of carrier recombination, capture, or energy-transfer processes involving this center. Annealing studies on a metastable hole trap observed at Ev+0.12 eV (Ev being the top of the valence band) establish the trap assignment to a carbon-interstitial-carbon-substitutional pair. The introduction of postannealing traps observed at Ev+0.07 eV, Ev+0.45 eV, and Ec-0.59 eV (Ec being the conduction-band edge) is found to be boron dependent. Isothermal formation of the centers responsible for these traps are observed, and none of the traps appears to be related to either the center observed by ODMR or the 0.80-eV band.

  9. The role of porosity and annealing in the impact fragmentation of an aluminum reactive material

    NASA Astrophysics Data System (ADS)

    Hooper, Joseph

    2017-06-01

    A reactive fragment has a unique structural requirement to survive explosive launch but then fragment catastrophically and combust upon impact. Suitable materials for this application tend to be metal composites with high ductility in compression but elastic-brittle behavior in tension. Characterizing the dynamic fragmentation of such materials is key for understanding their lethality. Here we consider a prototypical aluminum reactive frag material, formed via cold isostatic pressing of micron-scale powder followed by annealing. Samples were gun-launched into a target and recovered in a soft-catch medium of artificial snow, allowing for excellent recovery down to micron sizes and minimal contamination. Recovered fragment distributions were analyzed and compared to standard energy-balance theories. We study the effect of compaction pressure and annealing conditions on the fragmentation behavior at 500-800 m/s impacts, and find a particularly strong effect from short annealing periods. Though dynamic fracture occurs entirely along original particle boundaries in this material, recovery processes within the Al microstructure during annealing lead to a rapid decrease in the extent of fragmentation. This work was funded by the Office of Naval Research, program director Cliff Bedford.

  10. Annealing characteristics of irradiated hydrogenated amorphous silicon solar cells

    NASA Technical Reports Server (NTRS)

    Payson, J. S.; Abdulaziz, S.; Li, Y.; Woodyard, J. R.

    1991-01-01

    It was shown that 1 MeV proton irradiation with fluences of 1.25E14 and 1.25E15/sq cm reduces the normalized I(sub SC) of a-Si:H solar cell. Solar cells recently fabricated showed superior radiation tolerance compared with cells fabricated four years ago; the improvement is probably due to the fact that the new cells are thinner and fabricated from improved materials. Room temperature annealing was observed for the first time in both new and old cells. New cells anneal at a faster rate than old cells for the same fluence. From the annealing work it is apparent that there are at least two types of defects and/or annealing mechanisms. One cell had improved I-V characteristics following irradiation as compared to the virgin cell. The work shows that the photothermal deflection spectroscopy (PDS) and annealing measurements may be used to predict the qualitative behavior of a-Si:H solar cells. It was anticipated that the modeling work will quantitatively link thin film measurements with solar cell properties. Quantitative predictions of the operation of a-Si:H solar cells in a space environment will require a knowledge of the defect creation mechanisms, defect structures, role of defects on degradation, and defect passivation and annealing mechanisms. The engineering data and knowledge base for justifying space flight testing of a-Si:H alloy based solar cells is being developed.

  11. Structural changes during annealing of GaInAsN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kurtz, Sarah; Webb, J.; Gedvilas, L.

    2001-02-05

    The alloy GaInAsN has great potential as a lower-band-gap material lattice matched to GaAs, but there is little understanding of what causes its poor optoelectronic properties and why these improve with annealing. This study provides information about the structural changes that occur when GaInAsN is annealed. The Fourier transform infrared spectra exhibit two primary features: a triplet at {approx}470 cm-1 (Ga--N stretch) and two or three bands at {approx}3100 cm-1 (N--H stretch). The change in the Ga--N stretch absorption can be explained if the nitrogen environment is converted from NGa{sub 4} to NInGa{sub 3} after annealing. The N--H stretch ismore » also changed after annealing, implying a second, and unrelated, structural change.« less

  12. Microstructure and Texture Evolutions of Biomedical Ti-13Nb-13Zr Alloy Processed by Hydrostatic Extrusion

    NASA Astrophysics Data System (ADS)

    Ozaltin, K.; Panigrahi, A.; Chrominski, W.; Bulutsuz, A. G.; Kulczyk, M.; Zehetbauer, M. J.; Lewandowska, M.

    2017-11-01

    A biomedical β-type Ti-13Nb-13Zr (TNZ) (wt pct) ternary alloy was subjected to severe plastic deformation by means of hydrostatic extrusion (HE) at room temperature without intermediate annealing. Its effect on microstructure, mechanical properties, phase transformations, and texture was investigated by light and electron microscopy, mechanical tests (Vickers microhardness and tensile tests), and XRD analysis. Microstructural investigations by light microscope and transmission electron microscope showed that, after HE, significant grain refinement took place, also reaching high dislocation densities. Increases in strength up to 50 pct occurred, although the elongation to fracture left after HE was almost 9 pct. Furthermore, Young's modulus of HE-processed samples showed slightly lower values than the initial state due to texture. Such mechanical properties combined with lower Young's modulus are favorable for medical applications. Phase transformation analyses demonstrated that both initial and extruded samples consist of α' and β phases but that the phase fraction of α' was slightly higher after two stages of HE.

  13. The effect of vacuum annealing on corrosion resistance of titanium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chikanov, V.N.; Peshkov, V.V.; Kireev, L.S.

    1994-09-01

    The effect of annealing on the corrosion resistance of OT4-1 sheet titanium in 25% HCl under various air pressures and self-evacuating conditions has been investigated. From the kinetic corrosion curves it follows that the least corrosion resistance of titanium is observed after vacuum annealing. Even low residual air pressure in a chamber improves corrosion resistance. The corrosion resistance of titanium decreases with vacuum-annealing time.

  14. Effect of thermal annealing on the structural, optical and dielectrical properties of P3HT:PC{sub 70}BM nanocomposites

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aloui, Walid, E-mail: alouiwalid26@yahoo.fr; Adhikari, Tham; Nunzi, Jean-Michel

    2016-06-15

    Highlights: • A typical structure of ITO/PEDOT: PSS/P3HT: PC{sub 70}BM/Al was fabricated. • Charge carrier diffusion and recombination have been calculated. • AFM and optical results show that thermal annealing promotes the phase separation. • The annealing process improves the transport of charges. - Abstract: The effect of thermal annealing on the optical, structural and the dielectric properties of P3HT:PC{sub 70}BM blended films were investigated. By means of atomic force microscopy, we observed the morphology evolution of the annealed P3HT:PC{sub 70}BM nanocomposites. Raman spectroscopy showed a substantial ordering in the polymer film after annealing. The absorption spectra of the annealedmore » P3HT:PC{sub 70}BM films were improved and red shifted than un-annealed samples. The results indicate that the P3HT in the nanocomposite becomes an ordered structure with annealing. The ordered P3HT facilitates the charge transport. From the photoluminescence measurements, the formation of polymer crystallites was observed upon annealing. Thus, the device efficiency reaches 2.2% after annealing at 150 °C. Impedance spectroscopy shows the classical complex plan curves; the low frequency is related to the effective lifetime of charge carriers and the high frequency corresponds to the diffusion time of these carriers. Global mobilities are in the range 3.8–4.6 × 10{sup −3} cm{sup 2} V{sup −1} s{sup −1}.« less

  15. Structure and optical properties of Ge/Si quantum dots formed by driving the evolution of Ge thin films via thermal annealing

    NASA Astrophysics Data System (ADS)

    Shu, Qijiang; Yang, Jie; Chi, Qingbin; Sun, Tao; Wang, Chong; Yang, Yu

    2018-04-01

    Ge/Si quantum dots (QDs) are fabricated by driving the transformation of a Ge thin film-deposited using the direct current (DC) magnetron sputtering technique by controlling the subsequent in situ annealing processes. The experimental results indicate that, with the increase in annealing temperature, the volume of Ge QDs increases monotonically, while the QD density initially increases then decreases. The maximal QD density can reach 1.1 × 1011 cm‑2 after a 10 min annealing at 650 °C. The Ge–Ge peak of Ge QDs obtained by Raman spectroscopy initially undergoes a blue shift and then a red shift with increasing annealing temperature. This behavior results from the competition between the dislocation and the strain relaxation in QDs. Concurrently, a series of photoelectric detectors are fabricated to evaluate the photoelectric performance of these annealed Ge QD samples. A high-photoelectricity response is demonstrated in the QD sample annealed at 650 °C. Our results pave a promising way for whole-silicon-material optical-electronic integration based on a simple and practicable fabrication method.

  16. Field-Portable Pixel Super-Resolution Colour Microscope

    PubMed Central

    Greenbaum, Alon; Akbari, Najva; Feizi, Alborz; Luo, Wei; Ozcan, Aydogan

    2013-01-01

    Based on partially-coherent digital in-line holography, we report a field-portable microscope that can render lensfree colour images over a wide field-of-view of e.g., >20 mm2. This computational holographic microscope weighs less than 145 grams with dimensions smaller than 17×6×5 cm, making it especially suitable for field settings and point-of-care use. In this lensfree imaging design, we merged a colorization algorithm with a source shifting based multi-height pixel super-resolution technique to mitigate ‘rainbow’ like colour artefacts that are typical in holographic imaging. This image processing scheme is based on transforming the colour components of an RGB image into YUV colour space, which separates colour information from brightness component of an image. The resolution of our super-resolution colour microscope was characterized using a USAF test chart to confirm sub-micron spatial resolution, even for reconstructions that employ multi-height phase recovery to handle dense and connected objects. To further demonstrate the performance of this colour microscope Papanicolaou (Pap) smears were also successfully imaged. This field-portable and wide-field computational colour microscope could be useful for tele-medicine applications in resource poor settings. PMID:24086742

  17. Compact Video Microscope Imaging System Implemented in Colloid Studies

    NASA Technical Reports Server (NTRS)

    McDowell, Mark

    2002-01-01

    Long description Photographs showing fiber-optic light source, microscope and charge-coupled discharge (CCD) camera head connected to camera body, CCD camera body feeding data to image acquisition board in PC, and Cartesian robot controlled via PC board. The Compact Microscope Imaging System (CMIS) is a diagnostic tool with intelligent controls for use in space, industrial, medical, and security applications. CMIS can be used in situ with a minimum amount of user intervention. This system can scan, find areas of interest in, focus on, and acquire images automatically. Many multiple-cell experiments require microscopy for in situ observations; this is feasible only with compact microscope systems. CMIS is a miniature machine vision system that combines intelligent image processing with remote control. The software also has a user-friendly interface, which can be used independently of the hardware for further post-experiment analysis. CMIS has been successfully developed in the SML Laboratory at the NASA Glenn Research Center and adapted for use for colloid studies and is available for telescience experiments. The main innovations this year are an improved interface, optimized algorithms, and the ability to control conventional full-sized microscopes in addition to compact microscopes. The CMIS software-hardware interface is being integrated into our SML Analysis package, which will be a robust general-purpose image-processing package that can handle over 100 space and industrial applications.

  18. Unidirectional self-assembly of soft templated mesoporous carbons by zone annealing

    NASA Astrophysics Data System (ADS)

    Xue, Jiachen; Singh, Gurpreet; Qiang, Zhe; Karim, Alamgir; Vogt, Bryan D.

    2013-08-01

    Surfactant or block copolymer-templated mesoporous films have been extensively explored, but achieving mesostructure coherence and unidirectional orientation over macroscopic dimensions has remained quite challenging for these self-assembled systems. Here, we extend the concepts associated with zone refinement of crystalline materials to soft templated mesoporous carbon films based on the cooperative assembly of commercial non-ionic surfactants (block copolymers) and phenolic resin oligomers (resol) to provide macroscopic alignment of both cubic (FDU-16) and hexagonal (FDU-15) mesostructures. The average orientation of these mesophases is determined from rotation grazing incidence small angle X-ray scattering (GISAXS) measurements. For FDU-15 templated by Pluronic P123, the orientation factor for the zone-annealed film is 0.98 based on the average of the second Legendre polynomial, but this orientation deteriorates significantly during carbonization. Notably, a thermal stabilization step following zone annealing preserves the orientation of the mesostructure during carbonization. The orientation factor for an isotropic cubic structure (FDU-16 templated by Pluronic F127) is only 0.48 (based on the 111 reflection with incident angle 0.15°) for the same zone annealing protocol, but this illustrates the versatility of zone annealing to different mesostructures. Unexpectedly, zone annealing of FDU-15 templated by Pluronic F127 leads to stabilization of the mesostructure through carbonization, whereas this structure collapses fully during carbonization even after extended oven annealing; despite no clear macroscopic orientation of the cylindrical mesostructure from zone annealing. Thermal zone annealing provides a simple methodology to produce highly ordered and macroscopically oriented stable mesoporous carbon films, but the efficacy is strongly tied to the mobility of the template during the zone annealing.Surfactant or block copolymer-templated mesoporous films have

  19. Resolution enhancement in a double-helix phase engineered scanning microscope (RESCH microscope) (Presentation Recording)

    NASA Astrophysics Data System (ADS)

    Jesacher, Alexander; Ritsch-Marte, Monika; Piestun, Rafael

    2015-08-01

    Recently we introduced RESCH microscopy [1] - a scanning microscope that allows slightly refocusing the sample after the acquisition has been performed, solely by performing appropriate data post-processing. The microscope features a double-helix phase-engineered emission point spread function in combination with camera-based detection. Based on the principle of transverse resolution enhancement in Image Scanning Microscopy [2,3], we demonstrate similar resolution improvement in RESCH. Furthermore, we outline a pathway for how the collected 3D sample information can be used to construct sharper optical sections. [1] A. Jesacher, M. Ritsch-Marte and R. Piestun, accepted for Optica. [2] C.J.R. Sheppard, "Super-resolution in Confocal imaging," Optik, 80, 53-54 (1988). [3] C.B. Müller and J. Enderlein "Image Scanning Microscopy," Phys. Rev. Lett. 104, 198101 (2010).

  20. Control of optical properties of YAG crystals by thermal annealing

    NASA Astrophysics Data System (ADS)

    Tkachenko, S.; Arhipov, P.; Gerasymov, I.; Kurtsev, D.; Vasyukov, S.; Nesterkina, V.; Shiran, N.; Mateichenko, P.; Sidletskiy, O.

    2018-02-01

    Optical properties of YAG crystals grown and annealed under different atmosphere conditions have been compared. Simultaneously we have registered the surface composition of crystals and content of basic admixtures in the crystals grown under the reducing conditions. Unlike YAG grown under weakly oxidizing conditions in Ir crucibles and bleached under oxidizing annealing, YAGMo crystals grown in Mo crucibles under reducing Ar + CO atmosphere can be bleached by both oxidizing and reducing thermal annealing. The bleaching of YAGMo is not reversed by further annealing under any available conditions. Mechanisms of this phenomenon have been discussed, including a possible role of admixtures in elimination of color centers in YAG grown under the reducing conditions.