Sample records for n-type silicon nanowires

  1. Porous Silicon Nanowires

    PubMed Central

    Qu, Yongquan; Zhou, Hailong; Duan, Xiangfeng

    2011-01-01

    In this minreview, we summarize recent progress in the synthesis, properties and applications of a new type of one-dimensional nanostructures — single crystalline porous silicon nanowires. The growth of porous silicon nanowires starting from both p- and n-type Si wafers with a variety of dopant concentrations can be achieved through either one-step or two-step reactions. The mechanistic studies indicate the dopant concentration of Si wafers, oxidizer concentration, etching time and temperature can affect the morphology of the as-etched silicon nanowires. The porous silicon nanowires are both optically and electronically active and have been explored for potential applications in diverse areas including photocatalysis, lithium ion battery, gas sensor and drug delivery. PMID:21869999

  2. Electrically Conductive and Optically Active Porous Silicon Nanowires

    PubMed Central

    Qu, Yongquan; Liao, Lei; Li, Yujing; Zhang, Hua; Huang, Yu; Duan, Xiangfeng

    2009-01-01

    We report the synthesis of vertical silicon nanowire array through a two-step metal-assisted chemical etching of highly doped n-type silicon (100) wafers in a solution of hydrofluoric acid and hydrogen peroxide. The morphology of the as-grown silicon nanowires is tunable from solid nonporous nanowires, nonporous/nanoporous core/shell nanowires, and entirely nanoporous nanowires by controlling the hydrogen peroxide concentration in the etching solution. The porous silicon nanowires retain the single crystalline structure and crystallographic orientation of the starting silicon wafer, and are electrically conductive and optically active with visible photoluminescence. The combination of electronic and optical properties in the porous silicon nanowires may provide a platform for the novel optoelectronic devices for energy harvesting, conversion and biosensing. PMID:19807130

  3. Feasibility Study of Extended-Gate-Type Silicon Nanowire Field-Effect Transistors for Neural Recording

    PubMed Central

    Kang, Hongki; Kim, Jee-Yeon; Choi, Yang-Kyu; Nam, Yoonkey

    2017-01-01

    In this research, a high performance silicon nanowire field-effect transistor (transconductance as high as 34 µS and sensitivity as 84 nS/mV) is extensively studied and directly compared with planar passive microelectrode arrays for neural recording application. Electrical and electrochemical characteristics are carefully characterized in a very well-controlled manner. We especially focused on the signal amplification capability and intrinsic noise of the transistors. A neural recording system using both silicon nanowire field-effect transistor-based active-type microelectrode array and platinum black microelectrode-based passive-type microelectrode array are implemented and compared. An artificial neural spike signal is supplied as input to both arrays through a buffer solution and recorded simultaneously. Recorded signal intensity by the silicon nanowire transistor was precisely determined by an electrical characteristic of the transistor, transconductance. Signal-to-noise ratio was found to be strongly dependent upon the intrinsic 1/f noise of the silicon nanowire transistor. We found how signal strength is determined and how intrinsic noise of the transistor determines signal-to-noise ratio of the recorded neural signals. This study provides in-depth understanding of the overall neural recording mechanism using silicon nanowire transistors and solid design guideline for further improvement and development. PMID:28350370

  4. Feasibility Study of Extended-Gate-Type Silicon Nanowire Field-Effect Transistors for Neural Recording.

    PubMed

    Kang, Hongki; Kim, Jee-Yeon; Choi, Yang-Kyu; Nam, Yoonkey

    2017-03-28

    In this research, a high performance silicon nanowire field-effect transistor (transconductance as high as 34 µS and sensitivity as 84 nS/mV) is extensively studied and directly compared with planar passive microelectrode arrays for neural recording application. Electrical and electrochemical characteristics are carefully characterized in a very well-controlled manner. We especially focused on the signal amplification capability and intrinsic noise of the transistors. A neural recording system using both silicon nanowire field-effect transistor-based active-type microelectrode array and platinum black microelectrode-based passive-type microelectrode array are implemented and compared. An artificial neural spike signal is supplied as input to both arrays through a buffer solution and recorded simultaneously. Recorded signal intensity by the silicon nanowire transistor was precisely determined by an electrical characteristic of the transistor, transconductance. Signal-to-noise ratio was found to be strongly dependent upon the intrinsic 1/f noise of the silicon nanowire transistor. We found how signal strength is determined and how intrinsic noise of the transistor determines signal-to-noise ratio of the recorded neural signals. This study provides in-depth understanding of the overall neural recording mechanism using silicon nanowire transistors and solid design guideline for further improvement and development.

  5. Synthesis and characterization of silicon nanowire arrays for photovoltaic applications

    NASA Astrophysics Data System (ADS)

    Eichfeld, Sarah M.

    The overall objective of this thesis was the development of processes for the fabrication of radial p-n silicon nanowires (SiNWs) using bottom-up nanowire growth techniques on silicon and glass substrates. Vapor-liquid-solid (VLS) growth was carried out on Si(111) substrates using SiCl4 as the silicon precursor. Growth conditions including temperature, PSiCl4, PH2, and position were investigated to determine the optimum growth conditions for epitaxially oriented silicon nanowire arrays. The experiments revealed that the growth rate of the silicon nanowires exhibits a maximum as a function of PSiCl4 and P H2. Gas phase equilibrium calculations were used in conjunction with a mass transport model to explain the experimental data. The modeling results demonstrate a similar maximum in the mass of solid silicon predicted to form as a function of PSiCl4 and PH2, which results from a change in the gas phase concentration of SiHxCly and SiClx species. This results in a shift in the process from growth to etching with increasing PSiCl4. In general, for the atmospheric pressure conditions employed in this study, growth at higher temperatures >1000°C and higher SiCl4 concentrations gave the best results. The growth of silicon nanowire arrays on anodized alumina (AAO)-coated glass substrates was also investigated. Glass will not hold up to the high temperatures required for Si nanowire growth with SiCl4 so SiH 4 was used as the Si precursor instead. Initial studies were carried out to measure the resistivity of p-type and n-type silicon nanowires grown in freestanding AAO membranes. A series of nanowire samples were grown in which the doping and the nanowire length inside the membrane were varied. Circular metal contacts were deposited on the top surface of the membranes and the resistance of the nanowire arrays was measured. The measured resistance versus nanowire length was plotted and the nanowire resistivity was extracted from the slope. The resistivity of the silicon

  6. Crystallinity, Surface Morphology, and Photoelectrochemical Effects in Conical InP and InN Nanowires Grown on Silicon.

    PubMed

    Parameshwaran, Vijay; Xu, Xiaoqing; Clemens, Bruce

    2016-08-24

    The growth conditions of two types of indium-based III-V nanowires, InP and InN, are tailored such that instead of yielding conventional wire-type morphologies, single-crystal conical structures are formed with an enlarged diameter either near the base or near the tip. By using indium droplets as a growth catalyst, combined with an excess indium supply during growth, "ice cream cone" type structures are formed with a nanowire "cone" and an indium-based "ice cream" droplet on top for both InP and InN. Surface polycrystallinity and annihilation of the catalyst tip of the conical InP nanowires are observed when the indium supply is turned off during the growth process. This growth design technique is extended to create single-crystal InN nanowires with the same morphology. Conical InN nanowires with an enlarged base are obtained through the use of an excess combined Au-In growth catalyst. Electrochemical studies of the InP nanowires on silicon demonstrate a reduction photocurrent as a proof of photovolatic behavior and provide insight as to how the observed surface polycrystallinity and the resulting interface affect these device-level properties. Additionally, a photovoltage is induced in both types of conical InN nanowires on silicon, which is not replicated in epitaxial InN thin films.

  7. Direct ultrasensitive electrical detection of prostate cancer biomarkers with CMOS-compatible n- and p-type silicon nanowire sensor arrays

    NASA Astrophysics Data System (ADS)

    Gao, Anran; Lu, Na; Dai, Pengfei; Fan, Chunhai; Wang, Yuelin; Li, Tie

    2014-10-01

    Sensitive and quantitative analysis of proteins is central to disease diagnosis, drug screening, and proteomic studies. Here, a label-free, real-time, simultaneous and ultrasensitive prostate-specific antigen (PSA) sensor was developed using CMOS-compatible silicon nanowire field effect transistors (SiNW FET). Highly responsive n- and p-type SiNW arrays were fabricated and integrated on a single chip with a complementary metal oxide semiconductor (CMOS) compatible anisotropic self-stop etching technique which eliminated the need for a hybrid method. The incorporated n- and p-type nanowires revealed complementary electrical response upon PSA binding, providing a unique means of internal control for sensing signal verification. The highly selective, simultaneous and multiplexed detection of PSA marker at attomolar concentrations, a level useful for clinical diagnosis of prostate cancer, was demonstrated. The detection ability was corroborated to be effective by comparing the detection results at different pH values. Furthermore, the real-time measurement was also carried out in a clinically relevant sample of blood serum, indicating the practicable development of rapid, robust, high-performance, and low-cost diagnostic systems.Sensitive and quantitative analysis of proteins is central to disease diagnosis, drug screening, and proteomic studies. Here, a label-free, real-time, simultaneous and ultrasensitive prostate-specific antigen (PSA) sensor was developed using CMOS-compatible silicon nanowire field effect transistors (SiNW FET). Highly responsive n- and p-type SiNW arrays were fabricated and integrated on a single chip with a complementary metal oxide semiconductor (CMOS) compatible anisotropic self-stop etching technique which eliminated the need for a hybrid method. The incorporated n- and p-type nanowires revealed complementary electrical response upon PSA binding, providing a unique means of internal control for sensing signal verification. The highly

  8. Anomalous Seebeck coefficient observed in silicon nanowire micro thermoelectric generator

    NASA Astrophysics Data System (ADS)

    Hashimoto, S.; Asada, S.; Xu, T.; Oba, S.; Himeda, Y.; Yamato, R.; Matsukawa, T.; Matsuki, T.; Watanabe, T.

    2017-07-01

    We have found experimentally an anomalous thermoelectric characteristic of an n-type Si nanowire micro thermoelectric generator (μTEG). The μTEG is fabricated on a silicon-on-insulator wafer by electron beam lithography and dry etching, and its surface is covered with a thermally grown silicon dioxide film. The observed thermoelectric current is opposite to what is expected from the Seebeck coefficient of n-type Si. The result is understandable by considering a potential barrier in the nanowire. Upon the application of the temperature gradient across the nanowire, the potential barrier impedes the diffusion of thermally activated majority carriers into the nanowire, and it rather stimulates the injection of thermally generated minority carriers. The most plausible origin of the potential barrier is negative charges trapped at the interface between the Si nanowire and the oxide film. We practically confirmed that the normal Seebeck coefficient of the n-type Si nanowire is recovered after the hydrogen forming gas annealing. This implies that the interface traps are diminished by the hydrogen termination of bonding defects. The present results show the importance of the surface inactivation treatment of μTEGs to suppress the potential barrier and unfavorable contribution of minority carriers.

  9. Silicon nanowire photodetectors made by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Xu, Ying; Ni, Chuan; Sarangan, Andrew

    2016-09-01

    Silicon nanowires have unique optical effects, and have potential applications in photodetectors. They can exhibit simple optical effects such as anti-reflection, but can also produce quantum confined effects. In this work, we have fabricated silicon photodetectors, and then post-processed them by etching nanowires on the incident surface. These nanowires were produced by a wet-chemical etching process known as the metal-assisted-chemical etching, abbreviated as MACE. N-type silicon substrates were doped by thermal diffusion from a solid ceramic source, followed by etching, patterning and contact metallization. The detectors were first tested for functionality and optical performance. The nanowires were then made by depositing an ultra-thin film of gold below its percolation thickness to produce an interconnected porous film. This was then used as a template to etch high aspect ratio nanowires into the face of the detectors with a HF:H2O2 mixture.

  10. Direct ultrasensitive electrical detection of prostate cancer biomarkers with CMOS-compatible n- and p-type silicon nanowire sensor arrays.

    PubMed

    Gao, Anran; Lu, Na; Dai, Pengfei; Fan, Chunhai; Wang, Yuelin; Li, Tie

    2014-11-07

    Sensitive and quantitative analysis of proteins is central to disease diagnosis, drug screening, and proteomic studies. Here, a label-free, real-time, simultaneous and ultrasensitive prostate-specific antigen (PSA) sensor was developed using CMOS-compatible silicon nanowire field effect transistors (SiNW FET). Highly responsive n- and p-type SiNW arrays were fabricated and integrated on a single chip with a complementary metal oxide semiconductor (CMOS) compatible anisotropic self-stop etching technique which eliminated the need for a hybrid method. The incorporated n- and p-type nanowires revealed complementary electrical response upon PSA binding, providing a unique means of internal control for sensing signal verification. The highly selective, simultaneous and multiplexed detection of PSA marker at attomolar concentrations, a level useful for clinical diagnosis of prostate cancer, was demonstrated. The detection ability was corroborated to be effective by comparing the detection results at different pH values. Furthermore, the real-time measurement was also carried out in a clinically relevant sample of blood serum, indicating the practicable development of rapid, robust, high-performance, and low-cost diagnostic systems.

  11. Aluminum-catalyzed silicon nanowires: Growth methods, properties, and applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hainey, Mel F.; Redwing, Joan M.

    Metal-mediated vapor-liquid-solid (VLS) growth is a promising approach for the fabrication of silicon nanowires, although residual metal incorporation into the nanowires during growth can adversely impact electronic properties particularly when metals such as gold and copper are utilized. Aluminum, which acts as a shallow acceptor in silicon, is therefore of significant interest for the growth of p-type silicon nanowires but has presented challenges due to its propensity for oxidation. This paper summarizes the key aspects of aluminum-catalyzed nanowire growth along with wire properties and device results. In the first section, aluminum-catalyzed nanowire growth is discussed with a specific emphasis onmore » methods to mitigate aluminum oxide formation. Next, the influence of growth parameters such as growth temperature, precursor partial pressure, and hydrogen partial pressure on nanowire morphology is discussed, followed by a brief review of the growth of templated and patterned arrays of nanowires. Aluminum incorporation into the nanowires is then discussed in detail, including measurements of the aluminum concentration within wires using atom probe tomography and assessment of electrical properties by four point resistance measurements. Finally, the use of aluminum-catalyzed VLS growth for device fabrication is reviewed including results on single-wire radial p-n junction solar cells and planar solar cells fabricated with nanowire/nanopyramid texturing.« less

  12. Silicon nanowire Esaki diodes.

    PubMed

    Schmid, Heinz; Bessire, Cedric; Björk, Mikael T; Schenk, Andreas; Riel, Heike

    2012-02-08

    We report on the fabrication and characterization of silicon nanowire tunnel diodes. The silicon nanowires were grown on p-type Si substrates using Au-catalyzed vapor-liquid-solid growth and in situ n-type doping. Electrical measurements reveal Esaki diode characteristics with peak current densities of 3.6 kA/cm(2), peak-to-valley current ratios of up to 4.3, and reverse current densities of up to 300 kA/cm(2) at 0.5 V reverse bias. Strain-dependent current-voltage (I-V) measurements exhibit a decrease of the peak tunnel current with uniaxial tensile stress and an increase of 48% for 1.3 GPa compressive stress along the <111> growth direction, revealing the strain dependence of the Si band structure and thus the tunnel barrier. The contributions of phonons to the indirect tunneling process were probed by conductance measurements at 4.2 K. These measurements show phonon peaks at energies corresponding to the transverse acoustical and transverse optical phonons. In addition, the low-temperature conductance measurements were extended to higher biases to identify potential impurity states in the band gap. The results demonstrate that the most likely impurity, namely, Au from the catalyst particle, is not detectable, a finding that is also supported by the excellent device properties of the Esaki diodes reported here. © 2012 American Chemical Society

  13. Multimode Silicon Nanowire Transistors

    PubMed Central

    2014-01-01

    The combined capabilities of both a nonplanar design and nonconventional carrier injection mechanisms are subject to recent scientific investigations to overcome the limitations of silicon metal oxide semiconductor field effect transistors. In this Letter, we present a multimode field effect transistors device using silicon nanowires that feature an axial n-type/intrinsic doping junction. A heterostructural device design is achieved by employing a self-aligned nickel-silicide source contact. The polymorph operation of the dual-gate device enabling the configuration of one p- and two n-type transistor modes is demonstrated. Not only the type but also the carrier injection mode can be altered by appropriate biasing of the two gate terminals or by inverting the drain bias. With a combined band-to-band and Schottky tunneling mechanism, in p-type mode a subthreshold swing as low as 143 mV/dec and an ON/OFF ratio of up to 104 is found. As the device operates in forward bias, a nonconventional tunneling transistor is realized, enabling an effective suppression of ambipolarity. Depending on the drain bias, two different n-type modes are distinguishable. The carrier injection is dominated by thermionic emission in forward bias with a maximum ON/OFF ratio of up to 107 whereas in reverse bias a Schottky tunneling mechanism dominates the carrier transport. PMID:25303290

  14. Photoelectrochemical modulation of neuronal activity with free-standing coaxial silicon nanowires

    NASA Astrophysics Data System (ADS)

    Parameswaran, Ramya; Carvalho-de-Souza, João L.; Jiang, Yuanwen; Burke, Michael J.; Zimmerman, John F.; Koehler, Kelliann; Phillips, Andrew W.; Yi, Jaeseok; Adams, Erin J.; Bezanilla, Francisco; Tian, Bozhi

    2018-02-01

    Optical methods for modulating cellular behaviour are promising for both fundamental and clinical applications. However, most available methods are either mechanically invasive, require genetic manipulation of target cells or cannot provide subcellular specificity. Here, we address all these issues by showing optical neuromodulation with free-standing coaxial p-type/intrinsic/n-type silicon nanowires. We reveal the presence of atomic gold on the nanowire surfaces, likely due to gold diffusion during the material growth. To evaluate how surface gold impacts the photoelectrochemical properties of single nanowires, we used modified quartz pipettes from a patch clamp and recorded sustained cathodic photocurrents from single nanowires. We show that these currents can elicit action potentials in primary rat dorsal root ganglion neurons through a primarily atomic gold-enhanced photoelectrochemical process.

  15. Optical properties of Mg doped p-type GaN nanowires

    NASA Astrophysics Data System (ADS)

    Patsha, Avinash; Pandian, Ramanathaswamy; Dhara, S.; Tyagi, A. K.

    2015-06-01

    Mg doped p-type GaN nanowires are grown using chemical vapor deposition technique in vapor-liquid-solid (VLS) process. Morphological and structural studies confirm the VLS growth process of nanowires and wurtzite phase of GaN. We report the optical properties of Mg doped p-type GaN nanowires. Low temperature photoluminescence studies on as-grown and post-growth annealed samples reveal the successful incorporation of Mg dopants. The as-grwon and annealed samples show passivation and activation of Mg dopants, respectively, in GaN nanowires.

  16. Core-shell silicon nanowire solar cells

    PubMed Central

    Adachi, M. M.; Anantram, M. P.; Karim, K. S.

    2013-01-01

    Silicon nanowires can enhance broadband optical absorption and reduce radial carrier collection distances in solar cell devices. Arrays of disordered nanowires grown by vapor-liquid-solid method are attractive because they can be grown on low-cost substrates such as glass, and are large area compatible. Here, we experimentally demonstrate that an array of disordered silicon nanowires surrounded by a thin transparent conductive oxide has both low diffuse and specular reflection with total values as low as < 4% over a broad wavelength range of 400 nm < λ < 650 nm. These anti-reflective properties together with enhanced infrared absorption in the core-shell nanowire facilitates enhancement in external quantum efficiency using two different active shell materials: amorphous silicon and nanocrystalline silicon. As a result, the core-shell nanowire device exhibits a short-circuit current enhancement of 15% with an amorphous Si shell and 26% with a nanocrystalline Si shell compared to their corresponding planar devices. PMID:23529071

  17. Effects of gold diffusion on n-type doping of GaAs nanowires.

    PubMed

    Tambe, Michael J; Ren, Shenqiang; Gradecak, Silvija

    2010-11-10

    The deposition of n-GaAs shells is explored as a method of n-type doping in GaAs nanowires grown by the Au-mediated metal-organic chemical vapor deposition. Core-shell GaAs/n-GaAs nanowires exhibit an unintended rectifying behavior that is attributed to the Au diffusion during the shell deposition based on studies using energy dispersive X-ray spectroscopy, current-voltage, capacitance-voltage, and Kelvin probe force measurements. Removing the gold prior to n-type shell deposition results in the realization of n-type GaAs nanowires without rectification. We directly correlate the presence of gold impurities to nanowire electrical properties and provide an insight into the role of seed particles on the properties of nanowires and nanowire heterostructures.

  18. Flexible integration of free-standing nanowires into silicon photonics.

    PubMed

    Chen, Bigeng; Wu, Hao; Xin, Chenguang; Dai, Daoxin; Tong, Limin

    2017-06-14

    Silicon photonics has been developed successfully with a top-down fabrication technique to enable large-scale photonic integrated circuits with high reproducibility, but is limited intrinsically by the material capability for active or nonlinear applications. On the other hand, free-standing nanowires synthesized via a bottom-up growth present great material diversity and structural uniformity, but precisely assembling free-standing nanowires for on-demand photonic functionality remains a great challenge. Here we report hybrid integration of free-standing nanowires into silicon photonics with high flexibility by coupling free-standing nanowires onto target silicon waveguides that are simultaneously used for precise positioning. Coupling efficiency between a free-standing nanowire and a silicon waveguide is up to ~97% in the telecommunication band. A hybrid nonlinear-free-standing nanowires-silicon waveguides Mach-Zehnder interferometer and a racetrack resonator for significantly enhanced optical modulation are experimentally demonstrated, as well as hybrid active-free-standing nanowires-silicon waveguides circuits for light generation. These results suggest an alternative approach to flexible multifunctional on-chip nanophotonic devices.Precisely assembling free-standing nanowires for on-demand photonic functionality remains a challenge. Here, Chen et al. integrate free-standing nanowires into silicon waveguides and show all-optical modulation and light generation on silicon photonic chips.

  19. Synthesis of p-type GaN nanowires.

    PubMed

    Kim, Sung Wook; Park, Youn Ho; Kim, Ilsoo; Park, Tae-Eon; Kwon, Byoung Wook; Choi, Won Kook; Choi, Heon-Jin

    2013-09-21

    GaN has been utilized in optoelectronics for two decades. However, p-type doping still remains crucial for realization of high performance GaN optoelectronics. Though Mg has been used as a p-dopant, its efficiency is low due to the formation of Mg-H complexes and/or structural defects in the course of doping. As a potential alternative p-type dopant, Cu has been recognized as an acceptor impurity for GaN. Herein, we report the fabrication of Cu-doped GaN nanowires (Cu:GaN NWs) and their p-type characteristics. The NWs were grown vertically via a vapor-liquid-solid (VLS) mechanism using a Au/Ni catalyst. Electrical characterization using a nanowire-field effect transistor (NW-FET) showed that the NWs exhibited n-type characteristics. However, with further annealing, the NWs showed p-type characteristics. A homo-junction structure (consisting of annealed Cu:GaN NW/n-type GaN thin film) exhibited p-n junction characteristics. A hybrid organic light emitting diode (OLED) employing the annealed Cu:GaN NWs as a hole injection layer (HIL) also demonstrated current injected luminescence. These results suggest that Cu can be used as a p-type dopant for GaN NWs.

  20. Synthesis and properties of silicon nanowire devices

    NASA Astrophysics Data System (ADS)

    Byon, Kumhyo

    Silicon nanowire (SiNW) is a very attractive one-dimensional material for future nanoelectronic applications. Reliable control of key field effect transistor (FET) parameters such as conductance, mobility, threshold voltage and on/off ratio is crucial to the applications of SiNW to working logic devices and integrated circuits. In this thesis, we fabricated silicon nanowire field effect transistors (SiNW FETs) and studied the dependence of their electrical transport properties upon various parameters including SiNW growth conditions, post-growth doping, and contact annealing. From these studies, we found how different processes control important FET characteristics. Key accomplishments of this thesis include p-channel enhancement mode FETs, n-channel FETs by post-growth vapor doping and high performance ambipolar devices. In the first part of this work, single crystalline SiNWs were synthesized by thermal evaporation without gold catalysts. FETs were fabricated using both as-grown SiNWs and post-growth n-doped SiNWs. FET from p-type source materials behaves as a p-channel enhancement mode FET which is predominant in logic devices due to its fast operation and low power consumption. Using bismuth vapor, the as-grown SiNWs were doped into n-type materials. The majority carriers in SiNWs can therefore be controlled by proper choice of the vapor phase dopant species. Post-growth doping using vapor phase is applicable to other nanowire systems. In the second part, high performance ambipolar FETs were fabricated. A two step annealing process was used to control the Schottky barrier between SiNW and metal contacts in order to enhance device performance. Initial p-channel SiNW FETs were converted into ambipolar SiNW FETs after contact annealing. Furthermore, significant increases in both on/off ratio and channel mobilities were achieved after contact annealing. Promising device structures to implement ambipolar devices into large scale integrated circuits were proposed

  1. Ballistic Spin Field Effect Transistor Based on Silicon Nanowires

    NASA Astrophysics Data System (ADS)

    Osintsev, Dmitri; Sverdlov, Viktor; Stanojevic, Zlatan; Selberherr, Siegfried

    2011-03-01

    We investigate the properties of ballistic spin field-effect transistors build on silicon nanowires. An accurate description of the conduction band based on the k . p} model is necessary in thin and narrow silicon nanostructures. The subband effective mass and subband splitting dependence on the nanowire dimensions is analyzed and used in the transport calculations. The spin transistor is formed by sandwiching the nanowire between two ferromagnetic metallic contacts. Delta-function barriers at the interfaces between the contacts and the silicon channel are introduced. The major contribution to the electric field-dependent spin-orbit interaction in confined silicon systems is due to the interface-induced inversion asymmetry which is of the Dresselhaus type. We study the current and conductance through the system for the contacts being in parallel and anti-parallel configurations. Differences between the [100] and [110] orientated structures are investigated in details. This work is supported by the European Research Council through the grant #247056 MOSILSPIN.

  2. A silicon nanowire heater and thermometer

    NASA Astrophysics Data System (ADS)

    Zhao, Xingyan; Dan, Yaping

    2017-07-01

    In the thermal conductivity measurements of thermoelectric materials, heaters and thermometers made of the same semiconducting materials under test, forming a homogeneous system, will significantly simplify fabrication and integration. In this work, we demonstrate a high-performance heater and thermometer made of single silicon nanowires (SiNWs). The SiNWs are patterned out of a silicon-on-insulator wafer by CMOS-compatible fabrication processes. The electronic properties of the nanowires are characterized by four-probe and low temperature Hall effect measurements. The I-V curves of the nanowires are linear at small voltage bias. The temperature dependence of the nanowire resistance allows the nanowire to be used as a highly sensitive thermometer. At high voltage bias, the I-V curves of the nanowire become nonlinear due to the effect of Joule heating. The temperature of the nanowire heater can be accurately monitored by the nanowire itself as a thermometer.

  3. n-Type Doping of Vapor-Liquid-Solid Grown GaAs Nanowires.

    PubMed

    Gutsche, Christoph; Lysov, Andrey; Regolin, Ingo; Blekker, Kai; Prost, Werner; Tegude, Franz-Josef

    2011-12-01

    In this letter, n-type doping of GaAs nanowires grown by metal-organic vapor phase epitaxy in the vapor-liquid-solid growth mode on (111)B GaAs substrates is reported. A low growth temperature of 400°C is adjusted in order to exclude shell growth. The impact of doping precursors on the morphology of GaAs nanowires was investigated. Tetraethyl tin as doping precursor enables heavily n-type doped GaAs nanowires in a relatively small process window while no doping effect could be found for ditertiarybutylsilane. Electrical measurements carried out on single nanowires reveal an axially non-uniform doping profile. Within a number of wires from the same run, the donor concentrations ND of GaAs nanowires are found to vary from 7 × 10(17) cm(-3) to 2 × 10(18) cm(-3). The n-type conductivity is proven by the transfer characteristics of fabricated nanowire metal-insulator-semiconductor field-effect transistor devices.

  4. Roll up nanowire battery from silicon chips

    PubMed Central

    Vlad, Alexandru; Reddy, Arava Leela Mohana; Ajayan, Anakha; Singh, Neelam; Gohy, Jean-François; Melinte, Sorin; Ajayan, Pulickel M.

    2012-01-01

    Here we report an approach to roll out Li-ion battery components from silicon chips by a continuous and repeatable etch-infiltrate-peel cycle. Vertically aligned silicon nanowires etched from recycled silicon wafers are captured in a polymer matrix that operates as Li+ gel-electrolyte and electrode separator and peeled off to make multiple battery devices out of a single wafer. Porous, electrically interconnected copper nanoshells are conformally deposited around the silicon nanowires to stabilize the electrodes over extended cycles and provide efficient current collection. Using the above developed process we demonstrate an operational full cell 3.4 V lithium-polymer silicon nanowire (LIPOSIL) battery which is mechanically flexible and scalable to large dimensions. PMID:22949696

  5. Doping process of p-type GaN nanowires: A first principle study

    NASA Astrophysics Data System (ADS)

    Xia, Sihao; Liu, Lei; Diao, Yu; Feng, Shu

    2017-10-01

    The process of p-type doping for GaN nanowires is investigated using calculations starting from first principles. The influence of different doping elements, sites, types, and concentrations is discussed. Results suggest that Mg is an optimal dopant when compared to Be and Zn due to its stronger stability, whereas Be atoms are more inclined to exist in the interspace of a nanowire. Interstitially-doped GaN nanowires show notable n-type conductivity, and thus, Be is not a suitable dopant, which is to be expected since systems with inner substitutional dopants are more favorable than those with surface substitutions. Both interstitial and substitutional doping affect the atomic structure near dopants and induce charge transfer between the dopants and adjacent atoms. By altering doping sites and concentrations, nanowire atomic structures remain nearly constant. Substitutional doping models show p-type conductivity, and Mg-doped nanowires with doping concentrations of 4% showing the strongest p-type conductivity. All doping configurations are direct bandgap semiconductors. This study is expected to direct the preparation of high-quality GaN nanowires.

  6. Liquid gallium ball/crystalline silicon polyhedrons/aligned silicon oxide nanowires sandwich structure: An interesting nanowire growth route

    NASA Astrophysics Data System (ADS)

    Pan, Zheng Wei; Dai, Sheng; Beach, David B.; Lowndes, Douglas H.

    2003-10-01

    We demonstrate the growth of silicon oxide nanowires through a sandwich-like configuration, i.e., Ga ball/Si polyhedrons/silicon oxide nanowires, by using Ga as the catalyst and SiO powder as the source material. The sandwich-like structures have a carrot-like morphology, consisting of three materials with different morphologies, states, and crystallographic structures. The "carrot" top is a liquid Ga ball with diameter of ˜10-30 μm; the middle part is a Si ring usually composed of about 10 μm-sized, clearly faceted, and crystalline Si polyhedrons that are arranged sequentially in a band around the lower hemisphere surface of the Ga ball; the bottom part is a carrot-shaped bunch of highly aligned silicon oxide nanowires that grow out from the downward facing facets of the Si polyhedrons. This study reveals several interesting nanowire growth phenomena that enrich the conventional vapor-liquid-solid nanowire growth mechanism.

  7. Indium nanowires at the silicon surface

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozhukhov, A. S., E-mail: antonkozhukhov@yandex.ru; Sheglov, D. V.; Latyshev, A. V.

    2016-07-15

    Conductive indium nanowires up to 50 nm in width and up to 10 μm in length are fabricated on the surface of silicon by local resputtering from the probe of an atomic-force microscope. The transfer of indium from the probe of the atomic-force microscope onto the silicon surface is initiated by applying a potential between the probe and the surface as they approach each other to spacings, at which the mutual repulsive force is ~10{sup –7} N. The conductivity of the nanowires ranges from 7 × 10{sup –3} to 4 × 10{sup –2} Ω cm, which is several orders ofmore » magnitude lower than that in the case of the alternative technique of heat transfer.« less

  8. Surface Characteristics of Silicon Nanowires/Nanowalls Subjected to Octadecyltrichlorosilane Deposition and n-octadecane Coating

    PubMed Central

    Yilbas, Bekir Sami; Salhi, Billel; Yousaf, Muhammad Rizwan; Al-Sulaiman, Fahad; Ali, Haider; Al-Aqeeli, Nasser

    2016-01-01

    In this study, nanowires/nanowalls were generated on a silicon wafer through a chemical etching method. Octadecyltrichlorosilane (OTS) was deposited onto the nanowire/nanowall surfaces to alter their hydrophobicity. The hydrophobic characteristics of the surfaces were further modified via a 1.5-μm-thick layer of n-octadecane coating on the OTS-deposited surface. The hydrophobic characteristics of the resulting surfaces were assessed using the sessile water droplet method. Scratch and ultraviolet (UV)-visible reflectivity tests were conducted to measure the friction coefficient and reflectivity of the surfaces. The nanowires formed were normal to the surface and uniformly extended 10.5 μm to the wafer surface. The OTS coating enhanced the hydrophobic state of the surface, and the water contact angle increased from 27° to 165°. The n-octadecane coating formed on the OTS-deposited nanowires/nanowalls altered the hydrophobic state of the surface. This study provides the first demonstration that the surface wetting characteristics change from hydrophobic to hydrophilic after melting of the n-octadecane coating. In addition, this change is reversible; i.e., the hydrophilic surface becomes hydrophobic after the n-octadecane coating solidifies at the surface, and the process again occurs in the opposite direction after the n-octadecane coating melts. PMID:27934970

  9. A novel passivation process of silicon nanowires by a low-cost PECVD technique for deposition of hydrogenated silicon nitride using SiH4 and N2 as precursor gases

    NASA Astrophysics Data System (ADS)

    Bouaziz, Lamia; Dridi, Donia; Karyaoui, Mokhtar; Angelova, Todora; Sanchez Plaza, Guillermo; Chtourou, Radhouane

    2017-03-01

    In this work, a different SiNx passivation process of silicon nanowires has been opted for the deposition of a hydrogenated silicon nitride (SiNx:H) by a low-cost plasma enhanced chemical vapor deposition (PECVD) using silane ( SiH4 and nitrogen ( N2 as reactive gases. This study is focused on the effect of the gas flow ratio on chemical composition, morphological, optical and optoelectronic properties of silicon nanowires. The existence of Si-N and Si-H bonds was proven by the Fourier transmission infrared (FTIR) spectrum. Morphological structures were shown by scanning electron microscopy (SEM), and the roughness was investigated by atomic force microscopy (AFM). A low reflectivity less than 6% in the wavelength range 250-1200nm has been shown by UV-visible spectroscopy. Furthermore, the thickness and the refractive index of the passivation layer is determined by ellipsometry measurements. As a result, an improvement in minority carrier lifetime has been obtained by reducing surface recombination of silicon nanowires.

  10. Epitaxial regrowth of silicon for the fabrication of radial junction nanowire solar cells

    NASA Astrophysics Data System (ADS)

    Kendrick, Chito E.; Eichfeld, Sarah M.; Ke, Yue; Weng, Xiaojun; Wang, Xin; Mayer, Theresa S.; Redwing, Joan M.

    2010-08-01

    Radial p-n silicon nanowire (SiNW) solar cells are of interest as a potential pathway to increase the efficiency of crystalline silicon photovoltaics by reducing the junction length and surface reflectivity. Our studies have focused on the use of vapor-liquid-solid (VLS) growth in combination with chemical vapor deposition (CVD) processing for the fabrication of radial p-n junction SiNW array solar cells. High aspect ratio p-type SiNW arrays were initially grown on gold-coated (111) Si substrates by CVD using SiCl4 as the source gas and B2H6 as the p-type dopant source. The epitaxial re-growth of n-type Si shell layers on the Si nanowires was then investigated using SiH4 as the source gas and PH3 as the dopant. Highly conformal coatings were achieved on nanowires up to 25 μm in length. The microstructure of the Si shell layer changed from polycrystalline to single crystal as the deposition temperature was raised from 650oC to 950oC. Electrical test structures were fabricated by aligning released SiNWs onto pre-patterned substrates via fieldassisted assembly followed by selective removal of the n-type shell layer and contact deposition. Current-voltage measurements of the radial p-n SiNWs diodes fabricated with re-grown Si shell layers at 950°C demonstrate rectifying behavior with an ideality factor of 1.93. Under illumination from an AM1.5g spectrum and efficiency for this single SiNW radial p-n junction was determined to be 1.8%, total wire diameter was 985 nm.

  11. Fabrication of Si3N4 nanowire membranes: free standing disordered nanopapers and aligned nanowire assemblies

    NASA Astrophysics Data System (ADS)

    Liu, Haitao; Fang, Minghao; Huang, Zhaohui; Huang, Juntong; Liu, Yan-gai; Wu, Xiaowen

    2016-08-01

    Herein, ultralong silicon nitride nanowires were synthesized via a chemical vapor deposition method by using the low-cost quartz and silicon powder as raw materials. Simple processes were used for the fabrication of disordered and ordered nanowire membranes of pure silicon nitride nanowires. The nanowires in the disordered nanopapers are intertwined with each other to form a paper-like structure which exhibit excellent flame retardancy and mechanical properties. Fourier-transform infrared spectroscopy and thermal gravity analysis were employed to characterize the refractory performance of the disordered nanopapers. Highly ordered nanowire membranes were also assembled through a three-phase assembly approach which make the Si3N4 nanowires have potential use in textured ceramics and semiconductor field. Moreover, the surface nanowires can also be modified to be hydrophobic; this characteristic make the as-prepared nanowires have the potential to be assembled by the more effective Langmuir-Blodgett method and also make the disordered nanopapers possess a super-hydrophobic surface.

  12. Silicon Nanowire Growth at Chosen Positions and Orientations

    NASA Technical Reports Server (NTRS)

    Getty, Stephanie A.

    2009-01-01

    It is now possible to grow silicon nanowires at chosen positions and orientations by a method that involves a combination of standard microfabrication processes. Because their positions and orientations can be chosen with unprecedented precision, the nanowires can be utilized as integral parts of individually electronically addressable devices in dense arrays. Nanowires made from silicon and perhaps other semiconductors hold substantial promise for integration into highly miniaturized sensors, field-effect transistors, optoelectronic devices, and other electronic devices. Like bulk semiconductors, inorganic semiconducting nanowires are characterized by electronic energy bandgaps that render them suitable as means of modulating or controlling electronic signals through electrostatic gating, in response to incident light, or in response to molecules of interest close to their surfaces. There is now potential for fabricating arrays of uniform, individually electronically addressable nanowires tailored to specific applications. The method involves formation of metal catalytic particles at the desired positions on a substrate, followed by heating the substrate in the presence of silane gas. The figure illustrates an example in which a substrate includes a silicon dioxide surface layer that has been etched into an array of pillars and the catalytic (in this case, gold) particles have been placed on the right-facing sides of the pillars. The catalytic thermal decomposition of the silane to silicon and hydrogen causes silicon columns (the desired nanowires) to grow outward from the originally catalyzed spots on the substrate, carrying the catalytic particles at their tips. Thus, the position and orientation of each silicon nanowire is determined by the position of its originally catalyzed spot on the substrate surface, and the orientation of the nanowire is perpendicular to the substrate surface at the originally catalyzed spot.

  13. Modulation of thermal conductivity in kinked silicon nanowires: phonon interchanging and pinching effects.

    PubMed

    Jiang, Jin-Wu; Yang, Nuo; Wang, Bing-Shen; Rabczuk, Timon

    2013-04-10

    We perform molecular dynamics simulations to investigate the reduction of the thermal conductivity by kinks in silicon nanowires. The reduction percentage can be as high as 70% at room temperature. The temperature dependence of the reduction is also calculated. By calculating phonon polarization vectors, two mechanisms are found to be responsible for the reduced thermal conductivity: (1) the interchanging effect between the longitudinal and transverse phonon modes and (2) the pinching effect, that is, a new type of localization, for the twisting and transverse phonon modes in the kinked silicon nanowires. Our work demonstrates that the phonon interchanging and pinching effects, induced by kinking, are brand-new and effective ways in modulating heat transfer in nanowires, which enables the kinked silicon nanowires to be a promising candidate for thermoelectric materials.

  14. Vertical Silicon Nanowire Field Effect Transistors with Nanoscale Gate-All-Around

    NASA Astrophysics Data System (ADS)

    Guerfi, Youssouf; Larrieu, Guilhem

    2016-04-01

    Nanowires are considered building blocks for the ultimate scaling of MOS transistors, capable of pushing devices until the most extreme boundaries of miniaturization thanks to their physical and geometrical properties. In particular, nanowires' suitability for forming a gate-all-around (GAA) configuration confers to the device an optimum electrostatic control of the gate over the conduction channel and then a better immunity against the short channel effects (SCE). In this letter, a large-scale process of GAA vertical silicon nanowire (VNW) MOSFETs is presented. A top-down approach is adopted for the realization of VNWs with an optimum reproducibility followed by thin layer engineering at nanoscale. Good overall electrical performances were obtained, with excellent electrostatic behavior (a subthreshold slope (SS) of 95 mV/dec and a drain induced barrier lowering (DIBL) of 25 mV/V) for a 15-nm gate length. Finally, a first demonstration of dual integration of n-type and p-type VNW transistors for the realization of CMOS inverter is proposed.

  15. Impurity and phonon scattering in silicon nanowires

    NASA Astrophysics Data System (ADS)

    Zhang, W.; Persson, M. P.; Mera, H.; Delerue, C.; Niquet, Y. M.; Allan, G.; Wang, E.

    2011-03-01

    We model the scattering of electrons by phonons and dopant impurities in ultimate [110]-oriented gate-all-around silicon nanowires with an atomistic valence force field and tight-binding approach. All electron-phonons interactions are included. We show that impurity scattering can reduce with decreasing nanowire diameter due to the enhanced screening by the gate. Donors and acceptors however perform very differently : acceptors behave as tunnel barriers for the electrons, while donors behave as quantum wells which introduce Fano resonances in the conductance. As a consequence the acceptors are much more limiting the mobility than the donors. The resistances of single acceptors are also very dependent on their radial position in the nanowire, which might be a significant source of variability in ultimate silicon nanowire devices. Concerning phonons, we show that, as a result of strong confinement, i) electrons couple to a wide and complex distribution of phonons modes, and ii) the mobility has a non-monotonic variation with wire diameter and is strongly reduced with respect to bulk. French National Research Agency ANR project QUANTAMONDE Contract No. ANR-07-NANO-023-02 and by the Délégation Générale pour l'Armement, French Ministry of Defense under Grant No. 2008.34.0031.

  16. Electrical and optical evaluation of n-type doping in In x Ga(1-x)P nanowires.

    PubMed

    Zeng, Xulu; Mourão, Renato T; Otnes, Gaute; Hultin, Olof; Dagytė, Vilgailė; Heurlin, Magnus; Borgström, Magnus T

    2018-06-22

    To harvest the benefits of III-V nanowires in optoelectronic devices, the development of ternary materials with controlled doping is needed. In this work, we performed a systematic study of n-type dopant incorporation in dense In x Ga (1-x) P nanowire arrays using tetraethyl tin (TESn) and hydrogen sulfide (H 2 S) as dopant precursors. The morphology, crystal structure and material composition of the nanowires were characterized by use of scanning electron microscopy, transmission electron microscopy and energy dispersive x-ray analysis. To investigate the electrical properties, the nanowires were broken off from the substrate and mechanically transferred to thermally oxidized silicon substrates, after which electron beam lithography and metal evaporation were used to define electrical contacts to selected nanowires. Electrical characterization, including four-probe resistivity and Hall effect, as well as back-gated field effect measurements, is combined with photoluminescence spectroscopy to achieve a comprehensive evaluation of the carrier concentration in the doped nanowires. We measure a carrier concentration of ∼1 × 10 16 cm -3 in nominally intrinsic nanowires, and the maximum doping level achieved by use of TESn and H 2 S as dopant precursors using our parameters is measured to be ∼2 × 10 18 cm -3 , and ∼1 × 10 19 cm -3 , respectively (by Hall effect measurements). Hence, both TESn and H 2 S are suitable precursors for a wide range of n-doping levels in In x Ga (1-x) P nanowires needed for optoelectronic devices, grown via the vapor-liquid-solid mode.

  17. Polarity Control of Heteroepitaxial GaN Nanowires on Diamond.

    PubMed

    Hetzl, Martin; Kraut, Max; Hoffmann, Theresa; Stutzmann, Martin

    2017-06-14

    Group III-nitride materials such as GaN nanowires are characterized by a spontaneous polarization within the crystal. The sign of the resulting sheet charge at the top and bottom facet of a GaN nanowire is determined by the orientation of the wurtzite bilayer of the different atomic species, called N and Ga polarity. We investigate the polarity distribution of heteroepitaxial GaN nanowires on different substrates and demonstrate polarity control of GaN nanowires on diamond. Kelvin Probe Force Microscopy is used to determine the polarity of individual selective area-grown and self-assembled nanowires over a large scale. At standard growth conditions, mixed polarity occurs for selective GaN nanowires on various substrates, namely on silicon, on sapphire and on diamond. To obtain control over the growth orientation on diamond, the substrate surface is modified by nitrogen and oxygen plasma exposure prior to growth, and the growth parameters are adjusted simultaneously. We find that the surface chemistry and the substrate temperature are the decisive factors for obtaining control of up to 93% for both polarity types, whereas the growth mode, namely selective area or self-assembled growth, does not influence the polarity distribution significantly. The experimental results are discussed by a model based on the interfacial bonds between the GaN nanowires, the termination layer, and the substrate.

  18. Monolayer Contact Doping of Silicon Surfaces and Nanowires Using Organophosphorus Compounds

    PubMed Central

    Hazut, Ori; Agarwala, Arunava; Subramani, Thangavel; Waichman, Sharon; Yerushalmi, Roie

    2013-01-01

    Monolayer Contact Doping (MLCD) is a simple method for doping of surfaces and nanostructures1. MLCD results in the formation of highly controlled, ultra shallow and sharp doping profiles at the nanometer scale. In MLCD process the dopant source is a monolayer containing dopant atoms. In this article a detailed procedure for surface doping of silicon substrate as well as silicon nanowires is demonstrated. Phosphorus dopant source was formed using tetraethyl methylenediphosphonate monolayer on a silicon substrate. This monolayer containing substrate was brought to contact with a pristine intrinsic silicon target substrate and annealed while in contact. Sheet resistance of the target substrate was measured using 4 point probe. Intrinsic silicon nanowires were synthesized by chemical vapor deposition (CVD) process using a vapor-liquid-solid (VLS) mechanism; gold nanoparticles were used as catalyst for nanowire growth. The nanowires were suspended in ethanol by mild sonication. This suspension was used to dropcast the nanowires on silicon substrate with a silicon nitride dielectric top layer. These nanowires were doped with phosphorus in similar manner as used for the intrinsic silicon wafer. Standard photolithography process was used to fabricate metal electrodes for the formation of nanowire based field effect transistor (NW-FET). The electrical properties of a representative nanowire device were measured by a semiconductor device analyzer and a probe station. PMID:24326774

  19. A new approach for two-terminal electronic memory devices - Storing information on silicon nanowires

    NASA Astrophysics Data System (ADS)

    Saranti, Konstantina; Alotaibi, Sultan; Paul, Shashi

    2016-06-01

    The work described in this paper focuses on the utilisation of silicon nanowires as the information storage element in flash-type memory devices. Silicon nanostructures have attracted attention due to interesting electrical and optical properties, and their potential integration into electronic devices. A detailed investigation of the suitability of silicon nanowires as the charge storage medium in two-terminal non-volatile memory devices are presented in this report. The deposition of the silicon nanostructures was carried out at low temperatures (less than 400 °C) using a previously developed a novel method within our research group. Two-terminal non-volatile (2TNV) memory devices and metal-insulator-semiconductor (MIS) structures containing the silicon nanowires were fabricated and an in-depth study of their characteristics was carried out using current-voltage and capacitance techniques.

  20. High-sensitivity silicon nanowire phototransistors

    NASA Astrophysics Data System (ADS)

    Tan, Siew Li; Zhao, Xingyan; Dan, Yaping

    2014-08-01

    Silicon nanowires (SiNWs) have emerged as a promising material for high-sensitivity photodetection in the UV, visible and near-infrared spectral ranges. In this work, we demonstrate novel planar SiNW phototransistors on silicon-oninsulator (SOI) substrate using CMOS-compatible processes. The device consists of a bipolar transistor structure with an optically-injected base region. The electronic and optical properties of the SiNW phototransistors are investigated. Preliminary simulation and experimental results show that nanowire geometry, doping densities and surface states have considerable effects on the device performance, and that a device with optimized parameters can potentially outperform conventional Si photodetectors.

  1. Selective doping of silicon nanowires by means of electron beam stimulated oxide etching.

    PubMed

    Pennelli, G; Totaro, M; Piotto, M

    2012-02-08

    Direct patterning of silicon dioxide by means of electron beam stimulated etching is shown, and a full characterization of exposure dose is presented. For its high dose, this technique is unsuitable for large areas but can be usefully employed like a precision scalpel for removing silicon dioxide by well-localized points. In this work, this technique is applied to the definition of windows through the oxide surrounding top down fabricated n-doped silicon nanowires. These windows will be employed for a selective doping of the nanowire by boron diffusion. In this way, pn junctions can be fabricated in well-localized points in the longitudinal direction of the nanowire, and an electrical contact to the different junctions can be provided. Electrical I-V characteristics of a nanowire with pn longitudinal junctions are reported and discussed. © 2012 American Chemical Society

  2. Potentialities of silicon nanowire forests for thermoelectric generation

    NASA Astrophysics Data System (ADS)

    Dimaggio, Elisabetta; Pennelli, Giovanni

    2018-04-01

    Silicon is a material with very good thermoelectric properties, with regard to Seebeck coefficient and electrical conductivity. Low thermal conductivities, and hence high thermal to electrical conversion efficiencies, can be achieved in nanostructures, which are smaller than the phonon mean free path but large enough to preserve the electrical conductivity. We demonstrate that it is possible to fabricate a leg of a thermoelectric generator based on large collections of long nanowires, placed perpendicularly to the two faces of a silicon wafer. The process exploits the metal assisted etching technique which is simple, low cost, and can be easily applied to large surfaces. Copper can be deposited by electrodeposition on both faces, so that contacts can be provided, on top of the nanowires. Thermal conductivity of silicon nanowire forests with more than 107 nanowires mm-2 have been measured; the result is comparable with that achieved by several groups on devices based on few nanowires. On the basis of the measured parameters, numerical calculations of the efficiency of silicon-based thermoelectric generators are reported, and the potentialities of these devices for thermal to electrical energy conversion are shown. Criteria to improve the conversion efficiency are suggested and described.

  3. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires.

    PubMed

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Unalan, Husnu Emrah

    2011-04-15

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  4. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires

    NASA Astrophysics Data System (ADS)

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Emrah Unalan, Husnu

    2011-04-01

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  5. Electrical and optical evaluation of n-type doping in In x Ga(1‑x)P nanowires

    NASA Astrophysics Data System (ADS)

    Zeng, Xulu; Mourão, Renato T.; Otnes, Gaute; Hultin, Olof; Dagytė, Vilgailė; Heurlin, Magnus; Borgström, Magnus T.

    2018-06-01

    To harvest the benefits of III–V nanowires in optoelectronic devices, the development of ternary materials with controlled doping is needed. In this work, we performed a systematic study of n-type dopant incorporation in dense In x Ga(1‑x)P nanowire arrays using tetraethyl tin (TESn) and hydrogen sulfide (H2S) as dopant precursors. The morphology, crystal structure and material composition of the nanowires were characterized by use of scanning electron microscopy, transmission electron microscopy and energy dispersive x-ray analysis. To investigate the electrical properties, the nanowires were broken off from the substrate and mechanically transferred to thermally oxidized silicon substrates, after which electron beam lithography and metal evaporation were used to define electrical contacts to selected nanowires. Electrical characterization, including four-probe resistivity and Hall effect, as well as back-gated field effect measurements, is combined with photoluminescence spectroscopy to achieve a comprehensive evaluation of the carrier concentration in the doped nanowires. We measure a carrier concentration of ∼1 × 1016 cm‑3 in nominally intrinsic nanowires, and the maximum doping level achieved by use of TESn and H2S as dopant precursors using our parameters is measured to be ∼2 × 1018 cm‑3, and ∼1 × 1019 cm‑3, respectively (by Hall effect measurements). Hence, both TESn and H2S are suitable precursors for a wide range of n-doping levels in In x Ga(1‑x)P nanowires needed for optoelectronic devices, grown via the vapor–liquid–solid mode.

  6. Rectifying properties of p-GaN nanowires and an n-silicon heterojunction vertical diode.

    PubMed

    Manna, Sujit; Ashok, Vishal D; De, S K

    2010-12-01

    The heterojunction of a Pd-doped p-GaN nanowire and n-Si (100) is fabricated vertically by the vapor-liquid-solid method. The average diameter of the nanowire is 40 nm. The vertical junction reveals a significantly high rectification ratio of 10(3) at 5 V, a moderate ideality factor of ∼2, and a high breakdown voltage of ∼40 V. The charge transport across the p-n junction is dominated by the electron-hole recombination process. The voltage dependence of capacitance indicates a graded-type junction. The resistance of the junction decreases with an increase in the bias voltage confirmed by impedance measurements.

  7. Multispectral imaging with vertical silicon nanowires

    PubMed Central

    Park, Hyunsung; Crozier, Kenneth B.

    2013-01-01

    Multispectral imaging is a powerful tool that extends the capabilities of the human eye. However, multispectral imaging systems generally are expensive and bulky, and multiple exposures are needed. Here, we report the demonstration of a compact multispectral imaging system that uses vertical silicon nanowires to realize a filter array. Multiple filter functions covering visible to near-infrared (NIR) wavelengths are simultaneously defined in a single lithography step using a single material (silicon). Nanowires are then etched and embedded into polydimethylsiloxane (PDMS), thereby realizing a device with eight filter functions. By attaching it to a monochrome silicon image sensor, we successfully realize an all-silicon multispectral imaging system. We demonstrate visible and NIR imaging. We show that the latter is highly sensitive to vegetation and furthermore enables imaging through objects opaque to the eye. PMID:23955156

  8. Conductive-probe atomic force microscopy characterization of silicon nanowire

    PubMed Central

    2011-01-01

    The electrical conduction properties of lateral and vertical silicon nanowires (SiNWs) were investigated using a conductive-probe atomic force microscopy (AFM). Horizontal SiNWs, which were synthesized by the in-plane solid-liquid-solid technique, are randomly deployed into an undoped hydrogenated amorphous silicon layer. Local current mapping shows that the wires have internal microstructures. The local current-voltage measurements on these horizontal wires reveal a power law behavior indicating several transport regimes based on space-charge limited conduction which can be assisted by traps in the high-bias regime (> 1 V). Vertical phosphorus-doped SiNWs were grown by chemical vapor deposition using a gold catalyst-driving vapor-liquid-solid process on higly n-type silicon substrates. The effect of phosphorus doping on the local contact resistance between the AFM tip and the SiNW was put in evidence, and the SiNWs resistivity was estimated. PMID:21711623

  9. Biodegradable porous silicon barcode nanowires with defined geometry

    PubMed Central

    Chiappini, Ciro; Liu, Xuewu; Fakhoury, Jean Raymond; Ferrari, Mauro

    2010-01-01

    Silicon nanowires are of proven importance in diverse fields such as energy production and storage, flexible electronics, and biomedicine due to the unique characteristics emerging from their one-dimensional semiconducting nature and their mechanical properties. Here we report the synthesis of biodegradable porous silicon barcode nanowires by metal assisted electroless etch of single crystal silicon with resistivity ranging from 0.0008 Ω-cm to 10 Ω-cm. We define the geometry of the barcode nanowiresby nanolithography and we characterize their multicolor reflectance and photoluminescence. We develop phase diagrams for the different nanostructures obtained as a function of metal catalyst, H2O2 concentration, ethanol concentration and silicon resistivity, and propose a mechanism that explains these observations. We demonstrate that these nanowires are biodegradable, and their degradation time can be modulated by surface treatments. PMID:21057669

  10. A deep etching mechanism for trench-bridging silicon nanowires

    NASA Astrophysics Data System (ADS)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Erdem Alaca, B.

    2016-03-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  11. A deep etching mechanism for trench-bridging silicon nanowires.

    PubMed

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Alaca, B Erdem

    2016-03-04

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  12. Insights into gold-catalyzed plasma-assisted CVD growth of silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Wanghua, E-mail: wanghua.chen@polytechnique.edu; Roca i Cabarrocas, Pere

    2016-07-25

    Understanding and controlling effectively the behavior of metal catalyst droplets during the Vapor-Liquid-Solid growth of nanowires are crucial for their applications. In this work, silicon nanowires are produced by plasma-assisted Chemical Vapor Deposition using gold as a catalyst. The influence of hydrogen plasma on nanowire growth is investigated experimentally and theoretically. Interestingly, in contrast to conventional chemical vapor deposition, the growth rate of silicon nanowires shows a decrease as a function of their diameters, which is consistent with the incorporation of silicon via sidewall diffusion. We show that Ostwald ripening of catalyst droplets during nanowire growth is inhibited in themore » presence of a hydrogen plasma. However, when the plasma is off, the diffusion of Au atoms on the nanowire sidewall can take place. Based on this observation, we have developed a convenient method to grow silicon nanotrees.« less

  13. Direct measurement of AC electrokinetics properties and capture frequencies of silicon and silicon-germanium nanowires

    NASA Astrophysics Data System (ADS)

    Merhej, M.; Honegger, T.; Bassani, F.; Baron, T.; Peyrade, D.; Drouin, D.; Salem, B.

    2018-01-01

    The assembly of semiconductor nanowires with nanoscale precision is crucial for their integration into functional systems. In this work, we propose a novel method to experimentally determine the real part of the Clausius-Mossotti factor (CMF) of silicon and silicon-germanium nanowires. The quantification of this CMF is measured with the nanowires velocities in a pure dielectrophoretic regime. This approach combined with a study on the connected nanowires alignment yield has led to a frequency of capture evaluation. In addition, we have also presented the morphology of nanowires assembly using dielectrophoresis for a wide frequency variation of AC electric fields.

  14. Epitaxial insertion of gold silicide nanodisks during the growth of silicon nanowires.

    PubMed

    Um, Han-Don; Jee, Sang-Won; Park, Kwang-Tae; Jung, Jin-Young; Guo, Zhongyi; Lee, Jung-Ho

    2011-07-01

    Nanodisk-shaped, single-crystal gold silicide heterojunctions were inserted into silicon nanowires during vapor-liquid-solid growth using Au as a catalyst within a specific range of chlorine-to-hydrogen atomic ratio. The mechanism of nanodisk formation has been investigated by changing the source gas ratio of SiCl4 to H2. We report that an over-supply of silicon into the Au-Si liquid alloy leads to highly supersaturated solution and enhances the precipitation of Au in the silicon nanowires due to the formation of unstable phases within the liquid alloy. It is shown that the gold precipitates embedded in the silicon nanowires consisted of a metastable gold silicide. Interestingly, faceting of gold silicide was observed at the Au/Si interfaces, and silicon nanowires were epitaxially grown on the top of the nanodisk by vapor-liquid-solid growth. High resolution transmission electron microscopy confirmed that gold silicide nanodisks are epitaxially connected to the silicon nanowires in the direction of growth direction. These gold silicide nanodisks would be useful as nanosized electrical junctions for future applications in nanowire interconnections.

  15. Silicon nanowire arrays as thermoelectric material for a power microgenerator

    NASA Astrophysics Data System (ADS)

    Dávila, D.; Tarancón, A.; Fernández-Regúlez, M.; Calaza, C.; Salleras, M.; San Paulo, A.; Fonseca, L.

    2011-10-01

    A novel design of a silicon-based thermoelectric power microgenerator is presented in this work. Arrays of silicon nanowires, working as thermoelectric material, have been integrated in planar uni-leg thermocouple microstructures to convert waste heat into electrical energy. Homogeneous, uniformly dense, well-oriented and size-controlled arrays of silicon nanowires have been grown by chemical vapor deposition using the vapor-liquid-solid mechanism. Compatibility issues between the nanowire growth method and microfabrication techniques, such as electrical contact patterning, are discussed. Electrical measurements of the nanowire array electrical conductivity and the Seebeck voltage induced by a controlled thermal gradient or under harvesting operation mode have been carried out to demonstrate the feasibility of the microdevice. A resistance of 240 Ω at room temperature was measured for an array of silicon nanowires 10 µm -long, generating a Seebeck voltage of 80 mV under an imposed thermal gradient of 450 °C, whereas only 4.5 mV were generated under a harvesting operation mode. From the results presented, a Seebeck coefficient of about 150-190 µV K-1 was estimated, which corresponds to typical values for bulk silicon.

  16. Silicon nanowire biologically sensitive field effect transistors: electrical characteristics and applications.

    PubMed

    Rim, Taiuk; Baek, Chang-Ki; Kim, Kihyun; Jeong, Yoon-Ha; Lee, Jeong-Soo; Meyyappan, M

    2014-01-01

    The interest in biologically sensitive field effect transistors (BioFETs) is growing explosively due to their potential as biosensors in biomedical, environmental monitoring and security applications. Recently, adoption of silicon nanowires in BioFETs has enabled enhancement of sensitivity, device miniaturization, decreasing power consumption and emerging applications such as the 3D cell probe. In this review, we describe the device physics and operation of the silicon nanowire BioFETs along with recent advances in the field. The silicon nanowire BioFETs are basically the same as the conventional field-effect transistors (FETs) with the exceptions of nanowire channel instead of thin film and a liquid gate instead of the conventional gate. Therefore, the silicon device physics is important to understand the operation of the BioFETs. Herein, physical characteristics of the silicon nanowire FETs are described and the operational principles of the BioFETs are classified according to the number of gates and the analysis domain of the measured signal. Even the bottom-up process has merits on low-cost fabrication; the top-down process technique is highlighted here due to its reliability and reproducibility. Finally, recent advances in the silicon nanowire BioFETs in the literature are described and key features for commercialization are discussed.

  17. Microspheres for the growth of silicon nanowires via vapor-liquid-solid mechanism

    DOE PAGES

    Gomez-Martinez, Arancha; Marquez, Francisco; Elizalde, Eduardo; ...

    2014-01-01

    Silicon nanowires have been synthesized by a simple process using a suitable support containing silica and carbon microspheres. Nanowires were grown by thermal chemical vapor deposition via a vapor-liquid-solid mechanism with only the substrate as silicon source. The curved surface of the microsized spheres allows arranging the gold catalyst as nanoparticles with appropriate dimensions to catalyze the growth of nanowires. Here, the resulting material is composed of the microspheres with the silicon nanowires attached on their surface.

  18. Synchrotron studies of top-down grown silicon nanowires

    NASA Astrophysics Data System (ADS)

    Turishchev, S. Yu.; Parinova, E. V.; Nesterov, D. N.; Koyuda, D. A.; Sivakov, V.; Schleusener, A.; Terekhov, V. A.

    2018-06-01

    Morphology of the top-down grown silicon nanowires obtained by metal-assisted wet-chemical approach on silicon substrates with different resistance were studied by scanning electron microscopy. Obtained arrays of compact grown Si nanowires were a subject for the high resolution electronic structures studies by X-ray absorption near edge structure technique performed with the usage of high intensity synchrotron radiation of the SRC storage ring of the University of Wisconsin-Madison. The different oxidation rates were found by investigation of silicon atoms local surrounding specificity of the highly developed surface and near surface layer that is not exceeded 70 nm. Flexibility of the wires arrays surface morphology and its composition is demonstrated allowing smoothly form necessary surface oxidation rate and using Si nanowires as a useful matrixes for a wide range of further functionalization.

  19. Giant enhancement of the carrier mobility in silicon nanowires with diamond coating.

    PubMed

    Fonoberov, Vladimir A; Balandin, Alexander A

    2006-11-01

    We show theoretically that the low-field carrier mobility in silicon nanowires can be greatly enhanced by embedding the nanowires within a hard material such as diamond. The electron mobility in the cylindrical silicon nanowires with 4-nm diameter, which are coated with diamond, is 2 orders of magnitude higher at 10 K and a factor of 2 higher at room temperature than the mobility in a free-standing silicon nanowire. The importance of this result for the downscaled architectures and possible silicon-carbon nanoelectronic devices is augmented by an extra benefit of diamond, a superior heat conductor, for thermal management.

  20. Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD.

    PubMed

    Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro

    2013-04-07

    We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 10(7) cm(-2). The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).

  1. Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD

    NASA Astrophysics Data System (ADS)

    Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro

    2013-03-01

    We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 107 cm-2. The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).

  2. Smart integration of silicon nanowire arrays in all-silicon thermoelectric micro-nanogenerators

    NASA Astrophysics Data System (ADS)

    Fonseca, Luis; Santos, Jose-Domingo; Roncaglia, Alberto; Narducci, Dario; Calaza, Carlos; Salleras, Marc; Donmez, Inci; Tarancon, Albert; Morata, Alex; Gadea, Gerard; Belsito, Luca; Zulian, Laura

    2016-08-01

    Micro and nanotechnologies are called to play a key role in the fabrication of small and low cost sensors with excellent performance enabling new continuous monitoring scenarios and distributed intelligence paradigms (Internet of Things, Trillion Sensors). Harvesting devices providing energy autonomy to those large numbers of microsensors will be essential. In those scenarios where waste heat sources are present, thermoelectricity will be the obvious choice. However, miniaturization of state of the art thermoelectric modules is not easy with the current technologies used for their fabrication. Micro and nanotechnologies offer an interesting alternative considering that silicon in nanowire form is a material with a promising thermoelectric figure of merit. This paper presents two approaches for the integration of large numbers of silicon nanowires in a cost-effective and practical way using only micromachining and thin-film processes compatible with silicon technologies. Both approaches lead to automated physical and electrical integration of medium-high density stacked arrays of crystalline or polycrystalline silicon nanowires with arbitrary length (tens to hundreds microns) and diameters below 100 nm.

  3. Piezoresistive silicon nanowire resonators as embedded building blocks in thick SOI

    NASA Astrophysics Data System (ADS)

    Nasr Esfahani, Mohammad; Kilinc, Yasin; Çagatay Karakan, M.; Orhan, Ezgi; Hanay, M. Selim; Leblebici, Yusuf; Erdem Alaca, B.

    2018-04-01

    The use of silicon nanowire resonators in nanoelectromechanical systems for new-generation sensing and communication devices faces integration challenges with higher-order structures. Monolithic and deterministic integration of such nanowires with the surrounding microscale architecture within the same thick crystal is a critical aspect for the improvement of throughput, reliability and device functionality. A monolithic and IC-compatible technology based on a tuned combination of etching and protection processes was recently introduced yielding silicon nanowires within a 10 μ m-thick device layer. Motivated by its success, the implications of the technology regarding the electromechanical resonance are studied within a particular setting, where the resonator is co-fabricated with all terminals and tuning electrodes. Frequency response is measured via piezoresistive readout with frequency down-mixing. Measurements indicate mechanical resonance with frequencies as high as 100 MHz exhibiting a Lorentzian behavior with proper transition to nonlinearity, while Allan deviation on the order of 3-8 ppm is achieved. Enabling the fabrication of silicon nanowires in thick silicon crystals using conventional semiconductor manufacturing, the present study thus demonstrates an alternative pathway to bottom-up and thin silicon-on-insulator approaches for silicon nanowire resonators.

  4. Electrodeposition at room temperature of amorphous silicon and germanium nanowires in ionic liquid

    NASA Astrophysics Data System (ADS)

    Martineau, F.; Namur, K.; Mallet, J.; Delavoie, F.; Endres, F.; Troyon, M.; Molinari, M.

    2009-11-01

    The electrodeposition at room temperature of silicon and germanium nanowires from the air- and water-stable ionic liquid 1-butyl-1-methylpyrrolidinium bis(trifluoromethanesulfonyl)imide (P1,4) containing SiCl4 as Si source or GeCl4 as Ge source is investigated by cyclic voltammetry. By using nanoporous polycarbonate membranes as templates, it is possible to reproducibly grow pure silicon and germanium nanowires of different diameters. The nanowires are composed of pure amorphous silicon or germanium. The nanowires have homogeneous cylindrical shape with a roughness of a few nanometres on the wire surfaces. The nanowires' diameters and lengths well match with the initial membrane characteristics. Preliminary photoluminescence experiments exhibit strong emission in the near infrared for the amorphous silicon nanowires.

  5. Tip-Enhanced Raman Imaging and Nano Spectroscopy of Etched Silicon Nanowires

    PubMed Central

    Kazemi-Zanjani, Nastaran; Kergrene, Erwan; Liu, Lijia; Sham, Tsun-Kong; Lagugné-Labarthet, François

    2013-01-01

    Tip-enhanced Raman spectroscopy (TERS) is used to investigate the influence of strains in isolated and overlapping silicon nanowires prepared by chemical etching of a (100) silicon wafer. An atomic force microscopy tip made of nanocrystalline diamond coated with a thin layer of silver is used in conjunction with an excitation wavelength of 532 nm in order to probe the first order optical phonon mode of the [100] silicon nanowires. The frequency shift and the broadening of the silicon first order phonon are analyzed and compared to the topographical measurements for distinct configuration of nanowires that are disposed in straight, bent or overlapping configuration over a microscope coverslip. The TERS spatial resolution is close to the topography provided by the nanocrystalline diamond tip and subtle spectral changes are observed for different nanowire configurations. PMID:24072021

  6. Studies of Silicon Nanowires with Different Parameters — By PECVD

    NASA Astrophysics Data System (ADS)

    Leela, S.; Abirami, T.; Bhattacharya, Sekhar; Ahmed, Nafis; Monika, S.; Priya, R. Nivedha

    2016-10-01

    One-dimensional nanostructures such as nanowires have a wide range of applications. Silicon is the best competitive material for the carbon nanotubes (CNTs). Carbon and silicon have some similar and peculiar properties. Silicon nanowires (SiNWs) were synthesized using plasma enhanced chemical vapor deposition (PECVD) on p-Si (111) wafer. Gold is used as a catalyst for the growth of the SiNWs. Based on our fundamental understanding of vapor-liquid-solid (VLS) nanowire growth mechanism, different levels of growth controls have been achieved. Gold catalyst deposited and annealed at different temperatures with different thicknesses (450∘C, 500∘C and 550∘C, 600∘C, 650∘C for 4min and 8min and 3nm, 5nm, 30nm Au thickness). SiNW grown by PECVD with different carrier gases varies with flow rate. We observed the different dimensions of Si nanowires by FESEM and optimized the growth parameters to get the vertical aligned and singular Si nanowires. Optical phonon of the Si nanowires and crystallinity nature were identified by Raman spectral studies.

  7. Vertically aligned silicon microwire arrays of various lengths by repeated selective vapor-liquid-solid growth of n-type silicon/n-type silicon

    NASA Astrophysics Data System (ADS)

    Ikedo, Akihito; Kawashima, Takahiro; Kawano, Takeshi; Ishida, Makoto

    2009-07-01

    Repeated vapor-liquid-solid (VLS) growth with Au and PH3-Si2H6 mixture gas as the growth catalyst and silicon source, respectively, was used to construct n-type silicon/n-type silicon wire arrays of various lengths. Silicon wires of various lengths within an array could be grown by employing second growth over the first VLS grown wire. Additionally, the junction at the interface between the first and the second wires were examined. Current-voltage measurements of the wires exhibited linear behavior with a resistance of 850 Ω, confirming nonelectrical barriers at the junction, while bending tests indicated that the mechanical properties of the wire did not change.

  8. Optical modulator based on silicon nanowires racetrack resonator

    NASA Astrophysics Data System (ADS)

    Sherif, S. M.; Shahada, L.; Swillam, M.

    2018-02-01

    An optical modulator based on the racetrack resonator configuration is introduced. The structure of the resonator modulator is built from silicon nanowires on silica. The cladding and voids between the silicon nanowires are filled with an electro-optic polymer. The proposed modulator is fully CMOS compatible. When the resonance is tuned to the 1.55μm wavelength, it experiences a wavelength shift upon voltage application, which is measured at the output as a change in the power level.

  9. Efficient n-type doping of zinc-blende III-V semiconductor nanowires

    NASA Astrophysics Data System (ADS)

    Besteiro, Lucas V.; Tortajada, Luis; Souto, J.; Gallego, L. J.; Chelikowsky, James R.; Alemany, M. M. G.

    2014-03-01

    We demonstrate that it is preferable to dope III-V semiconductor nanowires by n-type anion substitution as opposed to cation substitution. Specifically, we show the dopability of zinc-blende nanowires is more efficient when the dopants are placed at the anion site as quantified by formation energies and the stabilization of DX-like defect centers. The comparison with previous work on n - type III-V semiconductor nanocrystals also allows to determine the role of dimensionality and quantum confinement on doping characteristics of materials. Our results are based on first-principles calculations of InP nanowires by using the PARSEC code. Work supported by the Spanish MICINN (FIS2012-33126) and Xunta de Galicia (GPC2013-043) in conjunction with FEDER. JRC acknowledges support from DoE (DE-FG02-06ER46286 and DESC0008877). Computational support was provided in part by CESGA.

  10. Structural and optical properties of silicon-carbide nanowires produced by the high-temperature carbonization of silicon nanostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pavlikov, A. V., E-mail: pavlikov@physics.msu.ru; Latukhina, N. V.; Chepurnov, V. I.

    Silicon-carbide (SiC) nanowire structures 40–50 nm in diameter are produced by the high-temperature carbonization of porous silicon and silicon nanowires. The SiC nanowires are studied by scanning electron microscopy, X-ray diffraction analysis, Raman spectroscopy, and infrared reflectance spectroscopy. The X-ray structural and Raman data suggest that the cubic 3C-SiC polytype is dominant in the samples under study. The shape of the infrared reflectance spectrum in the region of the reststrahlen band 800–900 cm{sup –1} is indicative of the presence of free charge carriers. The possibility of using SiC nanowires in microelectronic, photonic, and gas-sensing devices is discussed.

  11. Unveiling the Formation Pathway of Single Crystalline Porous Silicon Nanowires

    PubMed Central

    Zhong, Xing; Qu, Yongquan; Lin, Yung-Chen; Liao, Lei; Duan, Xiangfeng

    2011-01-01

    Porous silicon nanowire is emerging as an interesting material system due to its unique combination of structural, chemical, electronic, and optical properties. To fully understand their formation mechanism is of great importance for controlling the fundamental physical properties and enabling potential applications. Here we present a systematic study to elucidate the mechanism responsible for the formation of porous silicon nanowires in a two-step silver-assisted electroless chemical etching method. It is shown that silicon nanowire arrays with various porosities can be prepared by varying multiple experimental parameters such as the resistivity of the starting silicon wafer, the concentration of oxidant (H2O2) and the amount of silver catalyst. Our study shows a consistent trend that the porosity increases with the increasing wafer conductivity (dopant concentration) and oxidant (H2O2) concentration. We further demonstrate that silver ions, formed by the oxidation of silver, can diffuse upwards and re-nucleate on the sidewalls of nanowires to initiate new etching pathways to produce porous structure. The elucidation of this fundamental formation mechanism opens a rational pathway to the production of wafer-scale single crystalline porous silicon nanowires with tunable surface areas ranging from 370 m2·g−1 to 30 m2·g−1, and can enable exciting opportunities in catalysis, energy harvesting, conversion, storage, as well as biomedical imaging and therapy. PMID:21244020

  12. Silicon nanowire synthesis by a vapor-liquid-solid approach.

    PubMed

    Mao, Aaron; Ng, H T; Nguyen, Pho; McNeil, Melanie; Meyyappan, M

    2005-05-01

    Synthesis of silicon nanowires is studied by using a vapor-liquid-solid growth technique. Silicon tetrachloride reduction with hydrogen in the gas phase is used with gold serving as catalyst to facilitate growth. Only a narrow set of conditions of SiCl4 concentration and temperature yield straight nanowires. High concentrations and temperatures generally result in particulates, catalyst coverage and deactivation, and coatinglike materials.

  13. Silicon nanowire synthesis by a vapor-liquid-solid approach

    NASA Technical Reports Server (NTRS)

    Mao, Aaron; Ng, H. T.; Nguyen, Pho; McNeil, Melanie; Meyyappan, M.

    2005-01-01

    Synthesis of silicon nanowires is studied by using a vapor-liquid-solid growth technique. Silicon tetrachloride reduction with hydrogen in the gas phase is used with gold serving as catalyst to facilitate growth. Only a narrow set of conditions of SiCl4 concentration and temperature yield straight nanowires. High concentrations and temperatures generally result in particulates, catalyst coverage and deactivation, and coatinglike materials.

  14. Incubation behavior of silicon nanowire growth investigated by laser-assisted rapid heating

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ryu, Sang-gil; Kim, Eunpa; Grigoropoulos, Costas P., E-mail: cgrigoro@berkeley.edu

    2016-08-15

    We investigate the early stage of silicon nanowire growth by the vapor-liquid-solid mechanism using laser-localized heating combined with ex-situ chemical mapping analysis by energy-filtered transmission electron microscopy. By achieving fast heating and cooling times, we can precisely determine the nucleation times for nanowire growth. We find that the silicon nanowire nucleation process occurs on a time scale of ∼10 ms, i.e., orders of magnitude faster than the times reported in investigations using furnace processes. The rate-limiting step for silicon nanowire growth at temperatures in the vicinity of the eutectic temperature is found to be the gas reaction and/or the silicon crystalmore » growth process, whereas at higher temperatures it is the rate of silicon diffusion through the molten catalyst that dictates the nucleation kinetics.« less

  15. Method of making a silicon nanowire device

    DOEpatents

    None, None

    2017-05-23

    There is provided an electronic device and a method for its manufacture. The device comprises an elongate silicon nanowire less than 0.5 .mu.m in cross-sectional dimensions and having a hexagonal cross-sectional shape due to annealing-induced energy relaxation. The method, in examples, includes thinning the nanowire through iterative oxidation and etching of the oxidized portion.

  16. Structural and electrical properties of trimethylboron-doped silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lew, K.-K.; Pan Ling; Bogart, Timothy E.

    2004-10-11

    Trimethylboron (TMB) was investigated as a p-type dopant source for the vapor-liquid-solid growth of boron-doped silicon nanowires (SiNWs). The boron concentration in the nanowires was measured using secondary ion mass spectrometry and results were compared for boron-doping using TMB and diborane (B{sub 2}H{sub 6}) sources. Boron concentrations ranging from 1x10{sup 18} to 4x10{sup 19} cm{sup -3} were obtained by varying the inlet dopant/SiH{sub 4} gas ratio. TEM characterization revealed that the B{sub 2}H{sub 6}-doped SiNWs consisted of a crystalline core with a thick amorphous Si coating, while the TMB-doped SiNWs were predominantly single crystal even at high boron concentrations. Themore » difference in structural properties was attributed to the higher thermal stability and reduced reactivity of TMB compared to B{sub 2}H{sub 6}. Four-point resistivity and gate-dependent conductance measurements were used to confirm p-type conductivity in the TMB-doped nanowires and to investigate the effect of dopant concentration on nanowire resistivity.« less

  17. A silicon-nanowire memory driven by optical gradient force induced bistability

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dong, B.; Institute of Microelectronics, A*STAR; Cai, H., E-mail: caih@ime.a-star.edu.sg

    2015-12-28

    In this paper, a bistable optical-driven silicon-nanowire memory is demonstrated, which employs ring resonator to generate optical gradient force over a doubly clamped silicon-nanowire. Two stable deformation positions of a doubly clamped silicon-nanowire represent two memory states (“0” and “1”) and can be set/reset by modulating the light intensity (<3 mW) based on the optical force induced bistability. The time response of the optical-driven memory is less than 250 ns. It has applications in the fields of all optical communication, quantum computing, and optomechanical circuits.

  18. Formation of silicon nanowire packed films from metallurgical-grade silicon powder using a two-step metal-assisted chemical etching method.

    PubMed

    Ouertani, Rachid; Hamdi, Abderrahmen; Amri, Chohdi; Khalifa, Marouan; Ezzaouia, Hatem

    2014-01-01

    In this work, we use a two-step metal-assisted chemical etching method to produce films of silicon nanowires shaped in micrograins from metallurgical-grade polycrystalline silicon powder. The first step is an electroless plating process where the powder was dipped for few minutes in an aqueous solution of silver nitrite and hydrofluoric acid to permit Ag plating of the Si micrograins. During the second step, corresponding to silicon dissolution, we add a small quantity of hydrogen peroxide to the plating solution and we leave the samples to be etched for three various duration (30, 60, and 90 min). We try elucidating the mechanisms leading to the formation of silver clusters and silicon nanowires obtained at the end of the silver plating step and the silver-assisted silicon dissolution step, respectively. Scanning electron microscopy (SEM) micrographs revealed that the processed Si micrograins were covered with densely packed films of self-organized silicon nanowires. Some of these nanowires stand vertically, and some others tilt to the silicon micrograin facets. The thickness of the nanowire films increases from 0.2 to 10 μm with increasing etching time. Based on SEM characterizations, laser scattering estimations, X-ray diffraction (XRD) patterns, and Raman spectroscopy, we present a correlative study dealing with the effect of the silver-assisted etching process on the morphological and structural properties of the processed silicon nanowire films.

  19. Influence of surface pre-treatment on the electronic levels in silicon MaWCE nanowires.

    PubMed

    Venturi, Giulia; Castaldini, Antonio; Schleusener, Alexander; Sivakov, Vladimir; Cavallini, Anna

    2015-05-15

    Deep level transient spectroscopy (DLTS) was performed on n-doped silicon nanowires grown by metal-assisted wet chemical etching (MaWCE) with gold as the catalyst in order to investigate the energetic scheme inside the bandgap. To observe the possible dependence of the level scheme on the processing temperature, DLTS measurements were performed on the nanowires grown on a non-treated Au/Si surface and on a thermally pre-treated Au/Si surface. A noticeable modification of the configuration of the energy levels was observed, induced by the annealing process. Based on our results on these MaWCE nanowires and on literature data about deep levels in bulk silicon, some hypotheses were advanced regarding the identification of the defects responsible of the energy levels revealed.

  20. Facile synthesis of silicon nanowire-nanopillar superhydrophobic structures

    NASA Astrophysics Data System (ADS)

    Roy, Abhijit; Satpati, Biswarup

    2018-04-01

    We have used metal assisted chemical etching (MACE) method to produce silicon (Si) nanowire-nanopillar array. Nanowire-nanopillar combined structures show higher degree of hydrophobicity compared to its nanowire (Si-NW) counterparts. The rate of etching is depended on initial metal deposition. The structural analysis was carried out using scanning electron microscopy (SEM) in combination with transmission electron microscopy (TEM) to determine different parameters like etching direction, crystallinity etc.

  1. Silicon and germanium nanowire electronics: physics of conventional and unconventional transistors

    NASA Astrophysics Data System (ADS)

    Weber, Walter M.; Mikolajick, Thomas

    2017-06-01

    Research in the field of electronics of 1D group-IV semiconductor structures has attracted increasing attention over the past 15 years. The exceptional combination of the unique 1D electronic transport properties with the mature material know-how of highly integrated silicon and germanium technology holds the promise of enhancing state-of-the-art electronics. In addition of providing conduction channels that can bring conventional field effect transistors to the uttermost scaling limits, the physics of 1D group IV nanowires endows new device principles. Such unconventional silicon and germanium nanowire devices are contenders for beyond complementary metal oxide semiconductor (CMOS) computing by virtue of their distinct switching behavior and higher expressive value. This review conveys to the reader a systematic recapitulation and analysis of the physics of silicon and germanium nanowires and the most relevant CMOS and CMOS-like devices built from silicon and germanium nanowires, including inversion mode, junctionless, steep-slope, quantum well and reconfigurable transistors.

  2. Droop-free AlxGa1-xN/AlyGa1-yN quantum-disks-in-nanowires ultraviolet LED emitting at 337 nm on metal/silicon substrates.

    PubMed

    Janjua, Bilal; Sun, Haiding; Zhao, Chao; Anjum, Dalaver H; Priante, Davide; Alhamoud, Abdullah A; Wu, Feng; Li, Xiaohang; Albadri, Abdulrahman M; Alyamani, Ahmed Y; El-Desouki, Munir M; Ng, Tien Khee; Ooi, Boon S

    2017-01-23

    Currently the AlGaN-based ultraviolet (UV) solid-state lighting research suffers from numerous challenges. In particular, low internal quantum efficiency, low extraction efficiency, inefficient doping, large polarization fields, and high dislocation density epitaxy constitute bottlenecks in realizing high power devices. Despite the clear advantage of quantum-confinement nanostructure, it has not been widely utilized in AlGaN-based nanowires. Here we utilize the self-assembled nanowires (NWs) with embedding quantum-disks (Qdisks) to mitigate these issues, and achieve UV emission of 337 nm at 32 A/cm2 (80 mA in 0.5 × 0.5 mm2 device), a turn-on voltage of ~5.5 V and droop-free behavior up to 120 A/cm2 of injection current. The device was grown on a titanium-coated n-type silicon substrate, to improve current injection and heat dissipation. A narrow linewidth of 11.7 nm in the electroluminescence spectrum and a strong wavefunctions overlap factor of 42% confirm strong quantum confinement within uniformly formed AlGaN/AlGaN Qdisks, verified using transmission electron microscopy (TEM). The nitride-based UV nanowires light-emitting diodes (NWs-LEDs) grown on low cost and scalable metal/silicon template substrate, offers a scalable, environment friendly and low cost solution for numerous applications, such as solid-state lighting, spectroscopy, medical science and security.

  3. Field-effect modulation of the thermoelectric characteristics of silicon nanowires on plastic substrates.

    PubMed

    Choi, Jinyong; Jeon, Youngin; Cho, Kyoungah; Kim, Sangsig

    2016-12-02

    In this study, we demonstrate the substantial enhancement of the thermoelectric power factors of silicon nanowires (SiNWs) on plastic substrates achievable by field-effect modulation. The Seebeck coefficient and electrical conductivity are adjusted by varying the charge carrier concentration via electrical modulation with a gate voltage in the 0 to ±5 range, thus enhancing the power factors from 2.08 to 935 μW K -2 m -1 ) for n-type SiNWs, and from 453 to 944 μW K -2 m -1 ) for p-type SiNWs. The electrically modulated thermoelectric characteristics of SiNWs are analyzed and discussed.

  4. Formation of silicon nanowire packed films from metallurgical-grade silicon powder using a two-step metal-assisted chemical etching method

    PubMed Central

    2014-01-01

    In this work, we use a two-step metal-assisted chemical etching method to produce films of silicon nanowires shaped in micrograins from metallurgical-grade polycrystalline silicon powder. The first step is an electroless plating process where the powder was dipped for few minutes in an aqueous solution of silver nitrite and hydrofluoric acid to permit Ag plating of the Si micrograins. During the second step, corresponding to silicon dissolution, we add a small quantity of hydrogen peroxide to the plating solution and we leave the samples to be etched for three various duration (30, 60, and 90 min). We try elucidating the mechanisms leading to the formation of silver clusters and silicon nanowires obtained at the end of the silver plating step and the silver-assisted silicon dissolution step, respectively. Scanning electron microscopy (SEM) micrographs revealed that the processed Si micrograins were covered with densely packed films of self-organized silicon nanowires. Some of these nanowires stand vertically, and some others tilt to the silicon micrograin facets. The thickness of the nanowire films increases from 0.2 to 10 μm with increasing etching time. Based on SEM characterizations, laser scattering estimations, X-ray diffraction (XRD) patterns, and Raman spectroscopy, we present a correlative study dealing with the effect of the silver-assisted etching process on the morphological and structural properties of the processed silicon nanowire films. PMID:25349554

  5. Nonlinear Conductive Behaviour of Silver Nanowires/Silicone Rubber Composites

    NASA Astrophysics Data System (ADS)

    Lu, Pin; Qu, Zhaoming; Wang, Qingguo; Bai, Liyun; Zhao, Shiyang

    2018-01-01

    Silver nanowires with an average length of 10 μm and diameter of about 90 nm have been synthesized by polyol reduction of silver nitrate in the presence of polyvinylpyrrolidone(PVP). Silver nanowires (AgNWs)/silicone rubber (SR) composites have been made by mixing silver nanowires into silicone rubber. The nonlinear response of AgNWs/SR composites under high electric field is investigated. The nonlinear Conductive behavior of composites is considered as a competitive process of several effects. From the perspective of the microstructure of composites, the conductive path is established by the quantum tunnel effect between silver nanowires. The influence factors on the conductivity of composites are discussed and analyzed. The results show that the AgNWs/SR composites with nonlinear conductive properties are of great potential application in electromagnetic protection of electron device and system.

  6. Fabrication of sub-12 nm thick silicon nanowires by processing scanning probe lithography masks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kyoung Ryu, Yu; Garcia, Ricardo, E-mail: r.garcia@csic.es; Aitor Postigo, Pablo

    2014-06-02

    Silicon nanowires are key elements to fabricate very sensitive mechanical and electronic devices. We provide a method to fabricate sub-12 nm silicon nanowires in thickness by combining oxidation scanning probe lithography and anisotropic dry etching. Extremely thin oxide masks (0.3–1.1 nm) are transferred into nanowires of 2–12 nm in thickness. The width ratio between the mask and the silicon nanowire is close to one which implies that the nanowire width is controlled by the feature size of the nanolithography. This method enables the fabrication of very small single silicon nanowires with cross-sections below 100 nm{sup 2}. Those values are the smallest obtained withmore » a top-down lithography method.« less

  7. Interference lithographically defined and catalytically etched, large-area silicon nanocones from nanowires.

    PubMed

    Dawood, M K; Liew, T H; Lianto, P; Hong, M H; Tripathy, S; Thong, J T L; Choi, W K

    2010-05-21

    We report a simple and cost effective method for the synthesis of large-area, precisely located silicon nanocones from nanowires. The nanowires were obtained from our interference lithography and catalytic etching (IL-CE) method. We found that porous silicon was formed near the Au catalyst during the fabrication of the nanowires. The porous silicon exhibited enhanced oxidation ability when exposed to atmospheric conditions or in wet oxidation ambient. Very well located nanocones with uniform sharpness resulted when these oxidized nanowires were etched in 10% HF. Nanocones of different heights were obtained by varying the doping concentration of the silicon wafers. We believe this is a novel method of producing large-area, low cost, well defined nanocones from nanowires both in terms of the control of location and shape of the nanocones. A wide range of potential applications of the nanocone array can be found as a master copy for nanoimprinted polymer substrates for possible biomedical research; as a candidate for making sharp probes for scanning probe nanolithography; or as a building block for field emitting tips or photodetectors in electronic/optoelectronic applications.

  8. Twisted ultrathin silicon nanowires: A possible torsion electromechanical nanodevice

    NASA Astrophysics Data System (ADS)

    Garcia, J. C.; Justo, J. F.

    2014-11-01

    Nanowires have been considered for a number of applications in nanometrology. In such a context, we have explored the possibility of using ultrathin twisted nanowires as torsion nanobalances to probe forces and torques at molecular level with high precision, a nanoscale system analogous to the Coulomb's torsion balance electrometer. In order to achieve this goal, we performed a first-principles investigation on the structural and electronic properties of twisted silicon nanowires, in their pristine and hydrogenated forms. The results indicated that wires with pentagonal and hexagonal cross-sections are the thinnest stable silicon nanostructures. Additionally, all wires followed a Hooke's law behavior for small twisting deformations. Hydrogenation leads to spontaneous twisting, but with angular spring constants considerably smaller than the ones for the respective pristine forms. We observed considerable changes on the nanowire electronic properties upon twisting, which allows to envision the possibility of correlating the torsional angular deformation with the nanowire electronic transport. This could ultimately allow a direct access to measurements on interatomic forces at molecular level.

  9. Sub-diffraction Laser Synthesis of Silicon Nanowires

    PubMed Central

    Mitchell, James I.; Zhou, Nan; Nam, Woongsik; Traverso, Luis M.; Xu, Xianfan

    2014-01-01

    We demonstrate synthesis of silicon nanowires of tens of nanometers via laser induced chemical vapor deposition. These nanowires with diameters as small as 60 nm are produced by the interference between incident laser radiation and surface scattered radiation within a diffraction limited spot, which causes spatially confined, periodic heating needed for high resolution chemical vapor deposition. By controlling the intensity and polarization direction of the incident radiation, multiple parallel nanowires can be simultaneously synthesized. The nanowires are produced on a dielectric substrate with controlled diameter, length, orientation, and the possibility of in-situ doping, and therefore are ready for device fabrication. Our method offers rapid one-step fabrication of nano-materials and devices unobtainable with previous CVD methods. PMID:24469704

  10. pH-controlled silicon nanowires fluorescence switch

    NASA Astrophysics Data System (ADS)

    Mu, Lixuan; Shi, Wensheng; Zhang, Taiping; Zhang, Hongyan; She, Guangwei

    2010-08-01

    Covalently immobilizing photoinduced electronic transfer (PET) fluorophore 3-[N, N-bis(9-anthrylmethyl)amino]-propyltriethoxysilane (DiAN) on the surface of silicon nanowires (SiNWs) resulted a SiNWs-based fluorescence switch. This fluorescence switch is operated by adjustment of the acidity of the environment and exhibits sensitive response to pH at the range from 8 to 10. Such response is attributed to the effect of pH on the PET process. The successful combination of logic switch and SiNWs provides a rational approach to assemble different logic molecules on SiNWs for realization of miniaturization and modularization of switches and logic devices.

  11. Highly efficient and low voltage silver nanowire-based OLEDs employing a n-type hole injection layer.

    PubMed

    Lee, Hyungjin; Lee, Donghwa; Ahn, Yumi; Lee, Eun-Woo; Park, Lee Soon; Lee, Youngu

    2014-08-07

    Highly flexible and efficient silver nanowire-based organic light-emitting diodes (OLEDs) have been successfully fabricated by employing a n-type hole injection layer (HIL). The silver nanowire-based OLEDs without light outcoupling structures exhibited excellent device characteristics such as extremely low turn-on voltage (3.6 V) and high current and power efficiencies (44.5 cd A(-1) and 35.8 lm W(-1)). In addition, flexible OLEDs with the silver nanowire transparent conducting electrode (TCE) and n-type HIL fabricated on plastic substrates showed remarkable mechanical flexibility as well as device performance.

  12. Zn-dopant dependent defect evolution in GaN nanowires

    NASA Astrophysics Data System (ADS)

    Yang, Bing; Liu, Baodan; Wang, Yujia; Zhuang, Hao; Liu, Qingyun; Yuan, Fang; Jiang, Xin

    2015-10-01

    Zn doped GaN nanowires with different doping levels (0, <1 at%, and 3-5 at%) have been synthesized through a chemical vapor deposition (CVD) process. The effect of Zn doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a hexagonal wurtzite (WZ) structure with good crystallinity. Several kinds of twin boundaries, including (101&cmb.macr;3), (101&cmb.macr;1) and (202&cmb.macr;1), as well as Type I stacking faults (...ABABC&cmb.b.line;BCB...), are observed in the nanowires. The increasing Zn doping level (<1 at%) induces the formation of screw dislocations featuring a predominant screw component along the radial direction of the GaN nanowires. At high Zn doping level (3-5 at%), meta-stable cubic zinc blende (ZB) domains are generated in the WZ GaN nanowires. The WZ/ZB phase boundary (...ABABAC&cmb.b.line;BA...) can be identified as Type II stacking faults. The density of stacking faults (both Type I and Type II) increases with increasing the Zn doping levels, which in turn leads to a rough-surface morphology in the GaN nanowires. First-principles calculations reveal that Zn doping will reduce the formation energy of both Type I and Type II stacking faults, favoring their nucleation in GaN nanowires. An understanding of the effect of Zn doping on the defect evolution provides an important method to control the microstructure and the electrical properties of p-type GaN nanowires.Zn doped GaN nanowires with different doping levels (0, <1 at%, and 3-5 at%) have been synthesized through a chemical vapor deposition (CVD) process. The effect of Zn doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a

  13. Approaching the ideal elastic strain limit in silicon nanowires

    PubMed Central

    Zhang, Hongti; Tersoff, Jerry; Xu, Shang; Chen, Huixin; Zhang, Qiaobao; Zhang, Kaili; Yang, Yong; Lee, Chun-Sing; Tu, King-Ning; Li, Ju; Lu, Yang

    2016-01-01

    Achieving high elasticity for silicon (Si) nanowires, one of the most important and versatile building blocks in nanoelectronics, would enable their application in flexible electronics and bio-nano interfaces. We show that vapor-liquid-solid–grown single-crystalline Si nanowires with diameters of ~100 nm can be repeatedly stretched above 10% elastic strain at room temperature, approaching the theoretical elastic limit of silicon (17 to 20%). A few samples even reached ~16% tensile strain, with estimated fracture stress up to ~20 GPa. The deformations were fully reversible and hysteresis-free under loading-unloading tests with varied strain rates, and the failures still occurred in brittle fracture, with no visible sign of plasticity. The ability to achieve this “deep ultra-strength” for Si nanowires can be attributed mainly to their pristine, defect-scarce, nanosized single-crystalline structure and atomically smooth surfaces. This result indicates that semiconductor nanowires could have ultra-large elasticity with tunable band structures for promising “elastic strain engineering” applications. PMID:27540586

  14. Silicon heterojunction solar cells with novel fluorinated n-type nanocrystalline silicon oxide emitters on p-type crystalline silicon

    NASA Astrophysics Data System (ADS)

    Dhar, Sukanta; Mandal, Sourav; Das, Gourab; Mukhopadhyay, Sumita; Pratim Ray, Partha; Banerjee, Chandan; Barua, Asok Kumar

    2015-08-01

    A novel fluorinated phosphorus doped silicon oxide based nanocrystalline material have been used to prepare heterojunction solar cells on flat p-type crystalline silicon (c-Si) Czochralski (CZ) wafers. The n-type nc-SiO:F:H material were deposited by radio frequency plasma enhanced chemical vapor deposition. Deposited films were characterized in detail by using atomic force microscopy (AFM), high resolution transmission electron microscopy (HRTEM), Raman, fourier transform infrared spectroscopy (FTIR) and optoelectronics properties have been studied using temperature dependent conductivity measurement, Ellipsometry, UV-vis spectrum analysis etc. It is observed that the cell fabricated with fluorinated silicon oxide emitter showing higher initial efficiency (η = 15.64%, Jsc = 32.10 mA/cm2, Voc = 0.630 V, FF = 0.77) for 1 cm2 cell area compare to conventional n-a-Si:H emitter (14.73%) on flat c-Si wafer. These results indicate that n type nc-SiO:F:H material is a promising candidate for heterojunction solar cell on p-type crystalline wafers. The high Jsc value is associated with excellent quantum efficiencies at short wavelengths (<500 nm).

  15. Solution-grown silicon nanowires for lithium-ion battery anodes.

    PubMed

    Chan, Candace K; Patel, Reken N; O'Connell, Michael J; Korgel, Brian A; Cui, Yi

    2010-03-23

    Composite electrodes composed of silicon nanowires synthesized using the supercritical fluid-liquid-solid (SFLS) method mixed with amorphous carbon or carbon nanotubes were evaluated as Li-ion battery anodes. Carbon coating of the silicon nanowires using the pyrolysis of sugar was found to be crucial for making good electronic contact to the material. Using multiwalled carbon nanotubes as the conducting additive was found to be more effective for obtaining good cycling behavior than using amorphous carbon. Reversible capacities of 1500 mAh/g were observed for 30 cycles.

  16. High efficiency silicon solar cell based on asymmetric nanowire.

    PubMed

    Ko, Myung-Dong; Rim, Taiuk; Kim, Kihyun; Meyyappan, M; Baek, Chang-Ki

    2015-07-08

    Improving the efficiency of solar cells through novel materials and devices is critical to realize the full potential of solar energy to meet the growing worldwide energy demands. We present here a highly efficient radial p-n junction silicon solar cell using an asymmetric nanowire structure with a shorter bottom core diameter than at the top. A maximum short circuit current density of 27.5 mA/cm(2) and an efficiency of 7.53% were realized without anti-reflection coating. Changing the silicon nanowire (SiNW) structure from conventional symmetric to asymmetric nature improves the efficiency due to increased short circuit current density. From numerical simulation and measurement of the optical characteristics, the total reflection on the sidewalls is seen to increase the light trapping path and charge carrier generation in the radial junction of the asymmetric SiNW, yielding high external quantum efficiency and short circuit current density. The proposed asymmetric structure has great potential to effectively improve the efficiency of the SiNW solar cells.

  17. Carbon-silicon core-shell nanowires as high capacity electrode for lithium ion batteries.

    PubMed

    Cui, Li-Feng; Yang, Yuan; Hsu, Ching-Mei; Cui, Yi

    2009-09-01

    We introduce a novel design of carbon-silicon core-shell nanowires for high power and long life lithium battery electrodes. Amorphous silicon was coated onto carbon nanofibers to form a core-shell structure and the resulted core-shell nanowires showed great performance as anode material. Since carbon has a much smaller capacity compared to silicon, the carbon core experiences less structural stress or damage during lithium cycling and can function as a mechanical support and an efficient electron conducting pathway. These nanowires have a high charge storage capacity of approximately 2000 mAh/g and good cycling life. They also have a high Coulmbic efficiency of 90% for the first cycle and 98-99.6% for the following cycles. A full cell composed of LiCoO(2) cathode and carbon-silicon core-shell nanowire anode is also demonstrated. Significantly, using these core-shell nanowires we have obtained high mass loading and an area capacity of approximately 4 mAh/cm(2), which is comparable to commercial battery values.

  18. A III-V nanowire channel on silicon for high-performance vertical transistors.

    PubMed

    Tomioka, Katsuhiro; Yoshimura, Masatoshi; Fukui, Takashi

    2012-08-09

    Silicon transistors are expected to have new gate architectures, channel materials and switching mechanisms in ten years' time. The trend in transistor scaling has already led to a change in gate structure from two dimensions to three, used in fin field-effect transistors, to avoid problems inherent in miniaturization such as high off-state leakage current and the short-channel effect. At present, planar and fin architectures using III-V materials, specifically InGaAs, are being explored as alternative fast channels on silicon because of their high electron mobility and high-quality interface with gate dielectrics. The idea of surrounding-gate transistors, in which the gate is wrapped around a nanowire channel to provide the best possible electrostatic gate control, using InGaAs channels on silicon, however, has been less well investigated because of difficulties in integrating free-standing InGaAs nanostructures on silicon. Here we report the position-controlled growth of vertical InGaAs nanowires on silicon without any buffering technique and demonstrate surrounding-gate transistors using InGaAs nanowires and InGaAs/InP/InAlAs/InGaAs core-multishell nanowires as channels. Surrounding-gate transistors using core-multishell nanowire channels with a six-sided, high-electron-mobility transistor structure greatly enhance the on-state current and transconductance while keeping good gate controllability. These devices provide a route to making vertically oriented transistors for the next generation of field-effect transistors and may be useful as building blocks for wireless networks on silicon platforms.

  19. Zn-dopant dependent defect evolution in GaN nanowires.

    PubMed

    Yang, Bing; Liu, Baodan; Wang, Yujia; Zhuang, Hao; Liu, Qingyun; Yuan, Fang; Jiang, Xin

    2015-10-21

    Zn doped GaN nanowires with different doping levels (0, <1 at%, and 3-5 at%) have been synthesized through a chemical vapor deposition (CVD) process. The effect of Zn doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a hexagonal wurtzite (WZ) structure with good crystallinity. Several kinds of twin boundaries, including (101¯3), (101¯1) and (202¯1), as well as Type I stacking faults (…ABABCBCB…), are observed in the nanowires. The increasing Zn doping level (<1 at%) induces the formation of screw dislocations featuring a predominant screw component along the radial direction of the GaN nanowires. At high Zn doping level (3-5 at%), meta-stable cubic zinc blende (ZB) domains are generated in the WZ GaN nanowires. The WZ/ZB phase boundary (…ABABACBA…) can be identified as Type II stacking faults. The density of stacking faults (both Type I and Type II) increases with increasing the Zn doping levels, which in turn leads to a rough-surface morphology in the GaN nanowires. First-principles calculations reveal that Zn doping will reduce the formation energy of both Type I and Type II stacking faults, favoring their nucleation in GaN nanowires. An understanding of the effect of Zn doping on the defect evolution provides an important method to control the microstructure and the electrical properties of p-type GaN nanowires.

  20. Heteroepitaxial Writing of Silicon-on-Sapphire Nanowires.

    PubMed

    Xu, Mingkun; Xue, Zhaoguo; Wang, Jimmy; Zhao, Yaolong; Duan, Yao; Zhu, Guangyao; Yu, Linwei; Xu, Jun; Wang, Junzhuan; Shi, Yi; Chen, Kunji; Roca I Cabarrocas, Pere

    2016-12-14

    The heteroepitaxial growth of crystal silicon thin films on sapphire, usually referred to as SoS, has been a key technology for high-speed mixed-signal integrated circuits and processors. Here, we report a novel nanoscale SoS heteroepitaxial growth that resembles the in-plane writing of self-aligned silicon nanowires (SiNWs) on R-plane sapphire. During a low-temperature growth at <350 °C, compared to that required for conventional SoS fabrication at >900 °C, the bottom heterointerface cultivates crystalline Si pyramid seeds within the catalyst droplet, while the vertical SiNW/catalyst interface subsequently threads the seeds into continuous nanowires, producing self-oriented in-plane SiNWs that follow a set of crystallographic directions of the sapphire substrate. Despite the low-temperature fabrication process, the field effect transistors built on the SoS-SiNWs demonstrate a high on/off ratio of >5 × 10 4 and a peak hole mobility of >50 cm 2 /V·s. These results indicate the novel potential of deploying in-plane SoS nanowire channels in places that require high-performance nanoelectronics and optoelectronics with a drastically reduced thermal budget and a simplified manufacturing procedure.

  1. An innovative large scale integration of silicon nanowire-based field effect transistors

    NASA Astrophysics Data System (ADS)

    Legallais, M.; Nguyen, T. T. T.; Mouis, M.; Salem, B.; Robin, E.; Chenevier, P.; Ternon, C.

    2018-05-01

    Since the early 2000s, silicon nanowire field effect transistors are emerging as ultrasensitive biosensors while offering label-free, portable and rapid detection. Nevertheless, their large scale production remains an ongoing challenge due to time consuming, complex and costly technology. In order to bypass these issues, we report here on the first integration of silicon nanowire networks, called nanonet, into long channel field effect transistors using standard microelectronic process. A special attention is paid to the silicidation of the contacts which involved a large number of SiNWs. The electrical characteristics of these FETs constituted by randomly oriented silicon nanowires are also studied. Compatible integration on the back-end of CMOS readout and promising electrical performances open new opportunities for sensing applications.

  2. Dynamic observation on the growth behaviors in manganese silicide/silicon nanowire heterostructures.

    PubMed

    Hsieh, Yu-Hsun; Chiu, Chung-Hua; Huang, Chun-Wei; Chen, Jui-Yuan; Lin, Wan-Jhen; Wu, Wen-Wei

    2015-02-07

    Metal silicide nanowires (NWs) are very interesting materials with diverse physical properties. Among the silicides, manganese silicide nanostructures have attracted wide attention due to their several potential applications, including in microelectronics, optoelectronics, spintronics and thermoelectric devices. In this work, we exhibited the formation of pure manganese silicide and manganese silicide/silicon nanowire heterostructures through solid state reaction with line contacts between manganese pads and silicon NWs. Dynamical process and phase characterization were investigated by in situ transmission electron microscopy (in situ TEM) and spherical aberration corrected scanning transmission electron microscopy (Cs-corrected STEM), respectively. The growth dynamics of the manganese silicide phase under thermal effects were systematically studied. Additionally, Al2O3, serving as the surface oxide, altered the growth behavior of the MnSi nanowire, enhancing the silicide/Si epitaxial growth and effecting the diffusion process in the silicon nanowire as well. In addition to fundamental science, this significant study has great potential in advancing future processing techniques in nanotechnology and related applications.

  3. First-principles simulation on Seebeck coefficient in silicon nanowires

    NASA Astrophysics Data System (ADS)

    Nakamura, Koichi

    2017-06-01

    The Seebeck coefficients of silicon nanowires (SiNWs) were simulated on the basis of first-principles calculation using various atomistic structure models. The electronic band structures of fully hydrogen-terminated SiNW models give the correct image of quantum mechanical confinement from bulk silicon to SiNW for each axial direction, and the change in the density of states by dimensional reduction to SiNW enhances the thermoelectric performance in terms of the Seebeck coefficient, compared with those of bulk silicon and silicon nanosheets. The uniaxial tensile strain for the SiNW models does not strongly affect the Seebeck coefficient even for the SiNW system with giant piezoresistivity. In contrast, dangling bonds on a wire wall sharply reduce the Seebeck coefficient of SiNW and totally degrade thermoelectric performance from the viewpoint of the power factor. The exclusion of dangling bonds is a key element for the design and application of high-performance thermoelectric nanowires of semiconducting materials.

  4. A comparison of light-harvesting performance of silicon nanocones and nanowires for radial-junction solar cells.

    PubMed

    Li, Yingfeng; Li, Meicheng; Fu, Pengfei; Li, Ruike; Song, Dandan; Shen, Chao; Zhao, Yan

    2015-06-26

    Silicon nanorod based radial-junction solar cells are competitive alternatives to traditional planar silicon solar cells. In various silicon nanorods, nanocone is always considered to be better than nanowire in light-absorption. Nevertheless, we find that this notion isn't absolutely correct. Silicon nanocone is indeed significantly superior over nanowire in light-concentration due to its continuous diameters, and thus resonant wavelengths excited. However, the concentrated light can't be effectively absorbed and converted to photogenerated carriers, since its propagation path in silicon nanocone is shorter than that in nanowire. The results provide critical clues for the design of silicon nanorod based radial-junction solar cells.

  5. Resonant tunnelling features in a suspended silicon nanowire single-hole transistor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Llobet, Jordi; Pérez-Murano, Francesc, E-mail: francesc.perez@csic.es, E-mail: z.durrani@imperial.ac.uk; Krali, Emiljana

    2015-11-30

    Suspended silicon nanowires have significant potential for a broad spectrum of device applications. A suspended p-type Si nanowire incorporating Si nanocrystal quantum dots has been used to form a single-hole transistor. Transistor fabrication uses a novel and rapid process, based on focused gallium ion beam exposure and anisotropic wet etching, generating <10 nm nanocrystals inside suspended Si nanowires. Electrical characteristics at 10 K show Coulomb diamonds with charging energy ∼27 meV, associated with a single dominant nanocrystal. Resonant tunnelling features with energy spacing ∼10 meV are observed, parallel to both diamond edges. These may be associated either with excited states or hole–acoustic phonon interactions,more » in the nanocrystal. In the latter case, the energy spacing corresponds well with reported Raman spectroscopy results and phonon spectra calculations.« less

  6. Top-Down Nanofabrication and Characterization of 20 nm Silicon Nanowires for Biosensing Applications

    PubMed Central

    M. N, M. Nuzaihan; Hashim, U.; Md Arshad, M. K.; Ruslinda, A. Rahim; Rahman, S. F. A.; Fathil, M. F. M.; Ismail, Mohd. H.

    2016-01-01

    A top-down nanofabrication approach is used to develop silicon nanowires from silicon-on-insulator (SOI) wafers and involves direct-write electron beam lithography (EBL), inductively coupled plasma-reactive ion etching (ICP-RIE) and a size reduction process. To achieve nanometer scale size, the crucial factors contributing to the EBL and size reduction processes are highlighted. The resulting silicon nanowires, which are 20 nm in width and 30 nm in height (with a triangular shape) and have a straight structure over the length of 400 μm, are fabricated precisely at the designed location on the device. The device is applied in biomolecule detection based on the changes in drain current (Ids), electrical resistance and conductance of the silicon nanowires upon hybridization to complementary target deoxyribonucleic acid (DNA). In this context, the scaled-down device exhibited superior performances in terms of good specificity and high sensitivity, with a limit of detection (LOD) of 10 fM, enables for efficient label-free, direct and higher-accuracy DNA molecules detection. Thus, this silicon nanowire can be used as an improved transducer and serves as novel biosensor for future biomedical diagnostic applications. PMID:27022732

  7. Enhanced photocatalytic degradation of methylene blue by metal-modified silicon nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brahiti, N., E-mail: dihiabrahiti@yahoo.fr; Université Mouloud MAMMERI de TiziOuzou, Département de Physique, Bastos; Hadjersi, T., E-mail: hadjersi@gmx.com

    2015-02-15

    Highlights: • SiNWs modified with Pd, Au and Pt were used as photocatalysts to degrade MB. • Yield of photodegardation increases with UV irradiation time. • SiNWs modified with Pd nanoparticles show the best photocatalytic activity. • A degradation of 97% was obtained after 200 min of UV irradiation. - Abstract: Silicon nanowires (SiNWs) modified with Au, Pt and Pd nanoparticles were used as heterogeneous photocatalysts for the photodegradation of methylene blue in water under UV light irradiation. The modification of SiNWs was carried out by deposition of metal nanoparticles using the electroless metal deposition (EMD) technique. The effect ofmore » metal nanoparticles deposition time on the photocatalytic activity was studied. It was found that the photocatalytic activity of modified SiNWs was enhanced when the deposition time of metal nanoparticles was increased. In addition of modified SiNWs with Pt, Au and Pd nanoparticles, oxidized silicon substrate (Ox-Si), oxidized silicon nanowires (Ox-SiNWs) and hydrogen-terminated silicon nanowires (H-SiNWs) were also evaluated for the photodegradation of methylene blue.« less

  8. Plasma-Assisted Growth of Silicon Nanowires by Sn Catalyst: Step-by-Step Observation

    NASA Astrophysics Data System (ADS)

    Tang, Jian; Maurice, Jean-Luc; Chen, Wanghua; Misra, Soumyadeep; Foldyna, Martin; Johnson, Erik V.; Roca i Cabarrocas, Pere

    2016-10-01

    A comprehensive study of the silicon nanowire growth process has been carried out. Silicon nanowires were grown by plasma-assisted-vapor-solid method using tin as a catalyst. We have focused on the evolution of the silicon nanowire density, morphology, and crystallinity. For the first time, the initial growth stage, which determines the nanowire (NW) density and growth direction, has been observed step by step. We provide direct evidence of the merging of Sn catalyst droplets and the formation of Si nanowires during the first 10 s of growth. We found that the density of Sn droplets decreases from 9000 Sn droplets/μm2 to 2000 droplets/μm2 after just 10 s of growth. Moreover, the long and straight nanowire density decreases from 170/μm2 after 2 min of growth to less than 10/μm2 after 90 min. This strong reduction in nanowire density is accompanied by an evolution of their morphology from cylindrical to conical, then to bend conical, and finally, to a bend inverted conical shape. Moreover, the changes in the crystalline structure of nanowires are from (i) monocrystalline to (ii) monocrystalline core/defective crystalline shell and then to (iii) monocrystalline core/defective crystalline shell/amorphous shell. The evolutions of NW properties have been explained in detail.

  9. n-type doping and morphology of GaAs nanowires in Aerotaxy

    DOE PAGES

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R.; ...

    2018-05-10

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 and 530 degrees C, respectively, resulted in good morphologicalmore » quality nanowires for a flow ratio of TESn to TMGa up to 2.25 x 10 -3. The wires are pure Zinc-blende for all investigated growth conditions, whereas nanowires grown by MOVPE with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1- 3) x 10 19 cm -3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm-3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.« less

  10. n-type doping and morphology of GaAs nanowires in Aerotaxy

    NASA Astrophysics Data System (ADS)

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R.; Geijselaers, Irene; Reine Wallenberg, L.; Deppert, Knut; Samuelson, Lars; Magnusson, Martin H.

    2018-07-01

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au–Ga–Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 °C and 530 °C, respectively, resulted in good morphological quality nanowires for a flow ratio of TESn to TMGa up to 2.25 × 10‑3. The wires are pure zinc-blende for all investigated growth conditions, whereas nanowires grown by metal-organic vapor phase epitaxy with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1–3) × 1019 cm‑3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm‑3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.

  11. n-type doping and morphology of GaAs nanowires in Aerotaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Metaferia, Wondwosen; sivakumar, sudhakar; R. Persson, Axel

    2018-04-17

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 and 530 degrees C, respectively, resulted in good morphologicalmore » quality nanowires for a flow ratio of TESn to TMGa up to 2.25 x 10-3. The wires are pure Zinc-blende for all investigated growth conditions, whereas nanowires grown by MOVPE with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1- 3) x 1019 cm-3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm-3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.« less

  12. n-type doping and morphology of GaAs nanowires in Aerotaxy.

    PubMed

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R; Geijselaers, Irene; Wallenberg, L Reine; Deppert, Knut; Samuelson, Lars; Magnusson, Martin H

    2018-04-17

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 °C and 530 °C, respectively, resulted in good morphological quality nanowires for a flow ratio of TESn to TMGa up to 2.25 × 10 -3 . The wires are pure zinc-blende for all investigated growth conditions, whereas nanowires grown by metal-organic vapor phase epitaxy with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1-3) × 10 19 cm -3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 10 19 cm -3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.

  13. n-type doping and morphology of GaAs nanowires in Aerotaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Metaferia, Wondwosen; Sivakumar, Sudhakar; Persson, Axel R.

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 and 530 degrees C, respectively, resulted in good morphologicalmore » quality nanowires for a flow ratio of TESn to TMGa up to 2.25 x 10 -3. The wires are pure Zinc-blende for all investigated growth conditions, whereas nanowires grown by MOVPE with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1- 3) x 10 19 cm -3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm-3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.« less

  14. InGaN/GaN dot-in-nanowire monolithic LEDs and lasers on (001) silicon

    NASA Astrophysics Data System (ADS)

    Bhattacharya, P.; Hazari, A.; Jahangir, S.

    2017-02-01

    GaN-based nanowire arrays have been grown on (001)Si substrate by plasma-assisted molecular beam epitaxy and their structural and optical properties have been determined. InxGa1-xN disks inserted in the nanowires behave as quantum dots with emission ranging from visible to near-infrared. We have exploited these nanowire heterostructure arrays to realize light-emitting diodes and diode lasers in which the quantum dots form the active light emitting media. The fabrication and characteristics of 630nm light-emitting diodes and 1.3μm edge-emitting diode lasers are described.

  15. Metallic rare-earth silicide nanowires on silicon surfaces.

    PubMed

    Dähne, Mario; Wanke, Martina

    2013-01-09

    The formation, atomic structure, and electronic properties of self-assembled rare-earth silicide nanowires on silicon surfaces were studied by scanning tunneling microscopy and angle-resolved photoelectron spectroscopy. Metallic dysprosium and erbium silicide nanowires were observed on both the Si(001) and Si(557) surfaces. It was found that they consist of hexagonal rare-earth disilicides for both surface orientations. On Si(001), the nanowires are characterized by a one-dimensional band structure, while the electronic dispersion is two-dimensional for the nanowires formed on Si(557). This behavior is explained by the different orientations of the hexagonal c axis of the silicide leading to different conditions for the carrier confinement. By considering this carrier confinement it is demonstrated how the one-dimensional band structure of the nanowires on Si(001) can be derived from the two-dimensional one of the silicide monolayer on Si(111).

  16. Silicon nanowires for photovoltaic solar energy conversion.

    PubMed

    Peng, Kui-Qing; Lee, Shuit-Tong

    2011-01-11

    Semiconductor nanowires are attracting intense interest as a promising material for solar energy conversion for the new-generation photovoltaic (PV) technology. In particular, silicon nanowires (SiNWs) are under active investigation for PV applications because they offer novel approaches for solar-to-electric energy conversion leading to high-efficiency devices via simple manufacturing. This article reviews the recent developments in the utilization of SiNWs for PV applications, the relationship between SiNW-based PV device structure and performance, and the challenges to obtaining high-performance cost-effective solar cells.

  17. Fully Tunable Silicon Nanowire Arrays Fabricated by Soft Nanoparticle Templating.

    PubMed

    Rey, By Marcel; Elnathan, Roey; Ditcovski, Ran; Geisel, Karen; Zanini, Michele; Fernandez-Rodriguez, Miguel-Angel; Naik, Vikrant V; Frutiger, Andreas; Richtering, Walter; Ellenbogen, Tal; Voelcker, Nicolas H; Isa, Lucio

    2016-01-13

    We demonstrate a fabrication breakthrough to produce large-area arrays of vertically aligned silicon nanowires (VA-SiNWs) with full tunability of the geometry of the single nanowires and of the whole array, paving the way toward advanced programmable designs of nanowire platforms. At the core of our fabrication route, termed "Soft Nanoparticle Templating", is the conversion of gradually compressed self-assembled monolayers of soft nanoparticles (microgels) at a water-oil interface into customized lithographical masks to create VA-SiNW arrays by means of metal-assisted chemical etching (MACE). This combination of bottom-up and top-down techniques affords excellent control of nanowire etching site locations, enabling independent control of nanowire spacing, diameter and height in a single fabrication route. We demonstrate the fabrication of centimeter-scale two-dimensional gradient photonic crystals exhibiting continuously varying structural colors across the entire visible spectrum on a single silicon substrate, and the formation of tunable optical cavities supported by the VA-SiNWs, as unambiguously demonstrated through numerical simulations. Finally, Soft Nanoparticle Templating is combined with optical lithography to create hierarchical and programmable VA-SiNW patterns.

  18. Guided growth of horizontal GaN nanowires on quartz and their transfer to other substrates.

    PubMed

    Goren-Ruck, Lior; Tsivion, David; Schvartzman, Mark; Popovitz-Biro, Ronit; Joselevich, Ernesto

    2014-03-25

    The guided growth of horizontal nanowires has so far been demonstrated on a limited number of substrates. In most cases, the nanowires are covalently bonded to the substrate where they grow and cannot be transferred to other substrates. Here we demonstrate the guided growth of well-aligned horizontal GaN nanowires on quartz and their subsequent transfer to silicon wafers by selective etching of the quartz while maintaining their alignment. The guided growth was observed on different planes of quartz with varying degrees of alignment. We characterized the crystallographic orientations of the nanowires and proposed a new mechanism of "dynamic graphoepitaxy" for their guided growth on quartz. The transfer of the guided nanowires enabled the fabrication of back-gated field-effect transistors from aligned nanowire arrays on oxidized silicon wafers and the production of crossbar arrays. The guided growth of transferrable nanowires opens up the possibility of massively parallel integration of nanowires into functional systems on virtually any desired substrate.

  19. Homoepitaxial n-core: p-shell gallium nitride nanowires: HVPE overgrowth on MBE nanowires.

    PubMed

    Sanders, Aric; Blanchard, Paul; Bertness, Kris; Brubaker, Matthew; Dodson, Christopher; Harvey, Todd; Herrero, Andrew; Rourke, Devin; Schlager, John; Sanford, Norman; Chiaramonti, Ann N; Davydov, Albert; Motayed, Abhishek; Tsvetkov, Denis

    2011-11-18

    We present the homoepitaxial growth of p-type, magnesium doped gallium nitride shells by use of halide vapor phase epitaxy (HVPE) on n-type gallium nitride nanowires grown by plasma-assisted molecular beam epitaxy (MBE). Scanning electron microscopy shows clear dopant contrast between the core and shell of the nanowire. The growth of magnesium doped nanowire shells shows little or no effect on the lattice parameters of the underlying nanowires, as measured by x-ray diffraction (XRD). Photoluminescence measurements of the nanowires show the appearance of sub-bandgap features in the blue and the ultraviolet, indicating the presence of acceptors. Finally, electrical measurements confirm the presence of electrically active holes in the nanowires.

  20. Amorphous Silicon Nanowires Grown on Silicon Oxide Film by Annealing

    NASA Astrophysics Data System (ADS)

    Yuan, Zhishan; Wang, Chengyong; Chen, Ke; Ni, Zhonghua; Chen, Yunfei

    2017-08-01

    In this paper, amorphous silicon nanowires (α-SiNWs) were synthesized on (100) Si substrate with silicon oxide film by Cu catalyst-driven solid-liquid-solid mechanism (SLS) during annealing process (1080 °C for 30 min under Ar/H2 atmosphere). Micro size Cu pattern fabrication decided whether α-SiNWs can grow or not. Meanwhile, those micro size Cu patterns also controlled the position and density of wires. During the annealing process, Cu pattern reacted with SiO2 to form Cu silicide. More important, a diffusion channel was opened for Si atoms to synthesis α-SiNWs. What is more, the size of α-SiNWs was simply controlled by the annealing time. The length of wire was increased with annealing time. However, the diameter showed the opposite tendency. The room temperature resistivity of the nanowire was about 2.1 × 103 Ω·cm (84 nm diameter and 21 μm length). This simple fabrication method makes application of α-SiNWs become possible.

  1. Amorphous Silicon Nanowires Grown on Silicon Oxide Film by Annealing.

    PubMed

    Yuan, Zhishan; Wang, Chengyong; Chen, Ke; Ni, Zhonghua; Chen, Yunfei

    2017-08-10

    In this paper, amorphous silicon nanowires (α-SiNWs) were synthesized on (100) Si substrate with silicon oxide film by Cu catalyst-driven solid-liquid-solid mechanism (SLS) during annealing process (1080 °C for 30 min under Ar/H 2 atmosphere). Micro size Cu pattern fabrication decided whether α-SiNWs can grow or not. Meanwhile, those micro size Cu patterns also controlled the position and density of wires. During the annealing process, Cu pattern reacted with SiO 2 to form Cu silicide. More important, a diffusion channel was opened for Si atoms to synthesis α-SiNWs. What is more, the size of α-SiNWs was simply controlled by the annealing time. The length of wire was increased with annealing time. However, the diameter showed the opposite tendency. The room temperature resistivity of the nanowire was about 2.1 × 10 3  Ω·cm (84 nm diameter and 21 μm length). This simple fabrication method makes application of α-SiNWs become possible.

  2. Room temperature photoluminescence in the visible range from silicon nanowires grown by a solid-state reaction

    NASA Astrophysics Data System (ADS)

    Anguita, J. V.; Sharma, P.; Henley, S. J.; Silva, S. R. P.

    2009-11-01

    The solid-liquid-solid method (also known as the solid-state method) is used to produce silicon nanowires at the core of silica nanowires with a support catalyst layer structure of nickel and titanium layers sputtered on oxide-coated silicon wafers. This silane-free process is low cost and large-area compatible. Using electron microscopy and Raman spectroscopy we deduce that the wires have crystalline silicon cores. The nanowires show photoluminescence in the visible range (orange), and we investigate the origin of this band. We further show that the nanowires form a random mesh that acts as an efficient optical trap, giving rise to an optically absorbing medium.

  3. Highly organised and dense vertical silicon nanowire arrays grown in porous alumina template on <100> silicon wafers

    PubMed Central

    2013-01-01

    In this work, nanoimprint lithography combined with standard anodization etching is used to make perfectly organised triangular arrays of vertical cylindrical alumina nanopores onto standard <100>−oriented silicon wafers. Both the pore diameter and the period of alumina porous array are well controlled and can be tuned: the periods vary from 80 to 460 nm, and the diameters vary from 15 nm to any required diameter. These porous thin layers are then successfully used as templates for the guided epitaxial growth of organised mono-crystalline silicon nanowire arrays in a chemical vapour deposition chamber. We report the densities of silicon nanowires up to 9 × 109 cm−2 organised in highly regular arrays with excellent diameter distribution. All process steps are demonstrated on surfaces up to 2 × 2 cm2. Specific emphasis was made to select techniques compatible with microelectronic fabrication standards, adaptable to large surface samples and with a reasonable cost. Achievements made in the quality of the porous alumina array, therefore on the silicon nanowire array, widen the number of potential applications for this technology, such as optical detectors or biological sensors. PMID:23773702

  4. Chemically Etched Silicon Nanowires as Anodes for Lithium-Ion Batteries

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    West, Hannah Elise

    2015-08-01

    This study focused on silicon as a high capacity replacement anode for Lithium-ion batteries. The challenge of silicon is that it expands ~270% upon lithium insertion which causes particles of silicon to fracture, causing the capacity to fade rapidly. To account for this expansion chemically etched silicon nanowires from the University of Maine were studied as anodes. They were built into electrochemical half-cells and cycled continuously to measure the capacity and capacity fade.

  5. Novel Iron-oxide Catalyzed CNT Formation on Semiconductor Silicon Nanowire

    PubMed Central

    Adam, Tijjani; U, Hashim

    2014-01-01

    An aqueous ferric nitrate nonahydrate (Fe(NO3)3.9H2O) and magnesium oxide (MgO) were mixed and deposited on silicon nanowires (SiNWs), the carbon nanotubes (CNTs) formed by the concentration of Fe3O4/MgO catalysts with the mole ratio set at 0.15:9.85 and 600°C had diameter between 15.23 to 90nm with high-density distribution of CNT while those with the mole ratio set at 0.45:9.55 and 730°C had diameter of 100 to 230nm. The UV/Vis/NIR and FT-IR spectroscopes clearly confirmed the presence of the silicon-CNTs hybrid structure. UV/Vis/NIR, FT-IR spectra and FESEM images confirmed the silicon-CNT structure exists with diameters ranging between 15-230nm. Thus, the study demonstrated cost effective method of silicon-CNT composite nanowire formation via Iron-oxide Catalyze synthesis. PMID:25237290

  6. Vapor-liquid-solid growth of <110> silicon nanowire arrays

    NASA Astrophysics Data System (ADS)

    Eichfeld, Sarah M.; Hainey, Mel F.; Shen, Haoting; Kendrick, Chito E.; Fucinato, Emily A.; Yim, Joanne; Black, Marcie R.; Redwing, Joan M.

    2013-09-01

    The epitaxial growth of <110> silicon nanowires on (110) Si substrates by the vapor-liquid-solid growth process was investigated using SiCl4 as the source gas. A high percentage of <110> nanowires was obtained at high temperatures and reduced SiCl4 partial pressures. Transmission electron microscopy characterization of the <110> Si nanowires revealed symmetric V-shaped {111} facets at the tip and large {111} facets on the sidewalls of the nanowires. The symmetric {111} tip faceting was explained as arising from low catalyst supersaturation during growth which is expected to occur given the near-equilibrium nature of the SiCl4 process. The predominance of {111} facets obtained under these conditions promotes the growth of <110> SiNWs.

  7. Texturing Silicon Nanowires for Highly Localized Optical Modulation of Cellular Dynamics.

    PubMed

    Fang, Yin; Jiang, Yuanwen; Acaron Ledesma, Hector; Yi, Jaeseok; Gao, Xiang; Weiss, Dara E; Shi, Fengyuan; Tian, Bozhi

    2018-06-18

    Engineered silicon-based materials can display photoelectric and photothermal responses under light illumination, which may lead to further innovations at the silicon-biology interfaces. Silicon nanowires have small radial dimensions, promising as highly localized cellular modulators, however the single crystalline form typically has limited photothermal efficacy due to the poor light absorption and fast heat dissipation. In this work, we report strategies to improve the photothermal response from silicon nanowires by introducing nanoscale textures on the surface and in the bulk. We next demonstrate high-resolution extracellular modulation of calcium dynamics in a number of mammalian cells including glial cells, neurons, and cancer cells. The new materials may be broadly used in probing and modulating electrical and chemical signals at the subcellular length scale, which is currently a challenge in the field of electrophysiology or cellular engineering.

  8. N-Type delta Doping of High-Purity Silicon Imaging Arrays

    NASA Technical Reports Server (NTRS)

    Blacksberg, Jordana; Hoenk, Michael; Nikzad, Shouleh

    2005-01-01

    A process for n-type (electron-donor) delta doping has shown promise as a means of modifying back-illuminated image detectors made from n-doped high-purity silicon to enable them to detect high-energy photons (ultraviolet and x-rays) and low-energy charged particles (electrons and ions). This process is applicable to imaging detectors of several types, including charge-coupled devices, hybrid devices, and complementary metal oxide/semiconductor detector arrays. Delta doping is so named because its density-vs.-depth characteristic is reminiscent of the Dirac delta function (impulse function): the dopant is highly concentrated in a very thin layer. Preferably, the dopant is concentrated in one or at most two atomic layers in a crystal plane and, therefore, delta doping is also known as atomic-plane doping. The use of doping to enable detection of high-energy photons and low-energy particles was reported in several prior NASA Tech Briefs articles. As described in more detail in those articles, the main benefit afforded by delta doping of a back-illuminated silicon detector is to eliminate a "dead" layer at the back surface of the silicon wherein high-energy photons and low-energy particles are absorbed without detection. An additional benefit is that the delta-doped layer can serve as a back-side electrical contact. Delta doping of p-type silicon detectors is well established. The development of the present process addresses concerns specific to the delta doping of high-purity silicon detectors, which are typically n-type. The present process involves relatively low temperatures, is fully compatible with other processes used to fabricate the detectors, and does not entail interruption of those processes. Indeed, this process can be the last stage in the fabrication of an imaging detector that has, in all other respects, already been fully processed, including metallized. This process includes molecular-beam epitaxy (MBE) for deposition of three layers, including

  9. Tuning the polarization-induced free hole density in nanowires graded from GaN to AlN

    NASA Astrophysics Data System (ADS)

    Golam Sarwar, A. T. M.; Carnevale, Santino D.; Kent, Thomas F.; Yang, Fan; McComb, David W.; Myers, Roberto C.

    2015-01-01

    We report a systematic study of p-type polarization-induced doping in graded AlGaN nanowire light emitting diodes grown on silicon wafers by plasma-assisted molecular beam epitaxy. The composition gradient in the p-type base is varied in a set of samples from 0.7%Al/nm to 4.95%Al/nm corresponding to negative bound polarization charge densities of 2.2 × 1018 cm-3 to 1.6 × 1019 cm-3. Capacitance measurements and energy band modeling reveal that for gradients greater than or equal to 1.30%Al/nm, the deep donor concentration is negligible and free hole concentrations roughly equal to the bound polarization charge density are achieved up to 1.6 × 1019 cm-3 at a gradient of 4.95%Al/nm. Accurate grading lengths in the p- and n-side of the pn-junction are extracted from scanning transmission electron microscopy images and are used to support energy band calculation and capacitance modeling. These results demonstrate the robust nature of p-type polarization doping in nanowires and put an upper bound on the magnitude of deep donor compensation.

  10. Self-catalyzed GaAs nanowires on silicon by hydride vapor phase epitaxy.

    PubMed

    Dong, Zhenning; André, Yamina; Dubrovskii, Vladimir G; Bougerol, Catherine; Leroux, Christine; Ramdani, Mohammed R; Monier, Guillaume; Trassoudaine, Agnès; Castelluci, Dominique; Gil, Evelyne

    2017-03-24

    Gold-free GaAs nanowires on silicon substrates can pave the way for monolithic integration of photonic nanodevices with silicon electronic platforms. It is extensively documented that the self-catalyzed approach works well in molecular beam epitaxy but is much more difficult to implement in vapor phase epitaxies. Here, we report the first gallium-catalyzed hydride vapor phase epitaxy growth of long (more than 10 μm) GaAs nanowires on Si(111) substrates with a high integrated growth rate up to 60 μm h -1 and pure zincblende crystal structure. The growth is achieved by combining a low temperature of 600 °C with high gaseous GaCl/As flow ratios to enable dechlorination and formation of gallium droplets. GaAs nanowires exhibit an interesting bottle-like shape with strongly tapered bases, followed by straight tops with radii as small as 5 nm. We present a model that explains the peculiar growth mechanism in which the gallium droplets nucleate and rapidly swell on the silicon surface but then are gradually consumed to reach a stationary size. Our results unravel the necessary conditions for obtaining gallium-catalyzed GaAs nanowires by vapor phase epitaxy techniques.

  11. Silicon Nanowires for Solar Thermal Energy Harvesting: an Experimental Evaluation on the Trade-off Effects of the Spectral Optical Properties.

    PubMed

    Sekone, Abdoul Karim; Chen, Yu-Bin; Lu, Ming-Chang; Chen, Wen-Kai; Liu, Chia-An; Lee, Ming-Tsang

    2016-12-01

    Silicon nanowire possesses great potential as the material for renewable energy harvesting and conversion. The significantly reduced spectral reflectivity of silicon nanowire to visible light makes it even more attractive in solar energy applications. However, the benefit of its use for solar thermal energy harvesting remains to be investigated and has so far not been clearly reported. The purpose of this study is to provide practical information and insight into the performance of silicon nanowires in solar thermal energy conversion systems. Spectral hemispherical reflectivity and transmissivity of the black silicon nanowire array on silicon wafer substrate were measured. It was observed that the reflectivity is lower in the visible range but higher in the infrared range compared to the plain silicon wafer. A drying experiment and a theoretical calculation were carried out to directly evaluate the effects of the trade-off between scattering properties at different wavelengths. It is clearly seen that silicon nanowires can improve the solar thermal energy harnessing. The results showed that a 17.8 % increase in the harvest and utilization of solar thermal energy could be achieved using a silicon nanowire array on silicon substrate as compared to that obtained with a plain silicon wafer.

  12. Characterization of GaN nanowires grown on PSi, PZnO and PGaN on Si (111) substrates by thermal evaporation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shekari, Leila; Hassan, Haslan Abu; Thahab, Sabah M.

    2012-06-20

    In this research, we used an easy and inexpensive method to synthesize highly crystalline GaN nanowires (NWs); on different substrates such as porous silicon (PSi), porous zinc oxide (PZnO) and porous gallium nitride (PGaN) on Si (111) wafer by thermal evaporation using commercial GaN powder without any catalyst. Micro structural studies by scanning electron microscopy and transmission electron microscope measurements reveal the role of different substrates in the morphology, nucleation and alignment of the GaN nanowires. The degree of alignment of the synthesized nanowires does not depend on the lattice mismatch between wires and their substrates. Further structural and opticalmore » characterizations were performed using high resolution X-ray diffraction and energy-dispersive X-ray spectroscopy. Results indicate that the nanowires are of single-crystal hexagonal GaN. The quality and density of grown GaN nanowires for different substrates are highly dependent on the lattice mismatch between the nanowires and their substrates and also on the size of the porosity of the substrates. Nanowires grown on PGaN have the best quality and highest density as compared to nanowires on other substrates. By using three kinds of porous substrates, we are able to study the increase in the alignment and density of the nanowires.« less

  13. Silicon nanowire device and method for its manufacture

    DOEpatents

    Okandan, Murat; Draper, Bruce L.; Resnick, Paul J.

    2017-01-03

    There is provided an electronic device and a method for its manufacture. The device comprises an elongate silicon nanowire less than 0.5 .mu.m in cross-sectional dimensions and having a hexagonal cross-sectional shape due to annealing-induced energy relaxation.

  14. David Adler Lectureship Award Talk: III-V Semiconductor Nanowires on Silicon for Future Devices

    NASA Astrophysics Data System (ADS)

    Riel, Heike

    Bottom-up grown nanowires are very attractive materials for direct integration of III-V semiconductors on silicon thus opening up new possibilities for the design and fabrication of nanoscale devices for electronic, optoelectronic as well as quantum information applications. Template-Assisted Selective Epitaxy (TASE) allows the well-defined and monolithic integration of complex III-V nanostructures and devices on silicon. Achieving atomically abrupt heterointerfaces, high crystal quality and control of dimension down to 1D nanowires enabled the demonstration of FETs and tunnel devices based on In(Ga)As and GaSb. Furthermore, the strong influence of strain on nanowires as well as results on quantum transport studies of InAs nanowires with well-defined geometry will be presented.

  15. Nanodevices based on silicon nanowires.

    PubMed

    Wan, Yuting; Sha, Jian; Chen, Bo; Fang, Yanjun; Wang, Zongli; Wang, Yewu

    2009-01-01

    Silicon nanowires (SiNWs) have been demonstrated as one of the promising building blocks for future nanodevices such as field effect transistors, solar cells, sensors and lithium battery; much progress has been made in this field during last decades. In this review paper, the synthesis and physical properties of SiNWs are introduced briefly. Significant advances of SiNWs-related nanodevices reported in recent literature and registered patents are reviewed. The latest development and prospects of SiNWs-related nanodevices are also discussed.

  16. Shape Evolution of Highly Lattice-Mismatched InN/InGaN Nanowire Heterostructures

    NASA Astrophysics Data System (ADS)

    Yan, Lifan; Hazari, Arnab; Bhattacharya, Pallab; Millunchick, Joanna M.

    2018-02-01

    We have investigated the structure and shape of GaN-based nanowires grown on (001) Si substrates for optoelectronic device applications. The nanowire heterostructures contained InN disks and In0.4Ga0.6N barrier layers in the active region. The resulting nanowire array comprised two differently shaped nanowires: shorter pencil-like nanowires and longer bead-like nanowires. The two different nanowire shapes evolve due to a variation in the In incorporation rate, which was faster for the bead-like nanowires. Both types of nanowires exhibited evidence of significant migration of both Ga and In during growth. Ga tended to diffuse away and down along the sidewalls, resulting in a Ga-rich shell for all nanowires. Despite the complex structure and great variability in the In composition, the optical properties of the nanowire arrays were very good, with strong luminescence peaking at ˜ 1.63 μm.

  17. Reassessment of the recombination parameters of chromium in n- and p-type crystalline silicon and chromium-boron pairs in p-type crystalline silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sun, Chang, E-mail: chang.sun@anu.edu.au; Rougieux, Fiacre E.; Macdonald, Daniel

    2014-06-07

    Injection-dependent lifetime spectroscopy of both n- and p-type, Cr-doped silicon wafers with different doping levels is used to determine the defect parameters of Cr{sub i} and CrB pairs, by simultaneously fitting the measured lifetimes with the Shockley-Read-Hall model. A combined analysis of the two defects with the lifetime data measured on both n- and p-type samples enables a significant tightening of the uncertainty ranges of the parameters. The capture cross section ratios k = σ{sub n}/σ{sub p} of Cr{sub i} and CrB are determined as 3.2 (−0.6, +0) and 5.8 (−3.4, +0.6), respectively. Courtesy of a direct experimental comparison of the recombinationmore » activity of chromium in n- and p-type silicon, and as also suggested by modelling results, we conclude that chromium has a greater negative impact on carrier lifetimes in p-type silicon than n-type silicon with similar doping levels.« less

  18. The influence of passivation and photovoltaic properties of α-Si:H coverage on silicon nanowire array solar cells

    PubMed Central

    2013-01-01

    Silicon nanowire (SiNW) arrays for radial p-n junction solar cells offer potential advantages of light trapping effects and quick charge collection. Nevertheless, lower open circuit voltages (Voc) lead to lower energy conversion efficiencies. In such cases, the performance of the solar cells depends critically on the quality of the SiNW interfaces. In this study, SiNW core-shell solar cells have been fabricated by growing crystalline silicon (c-Si) nanowires via the metal-assisted chemical etching method and by depositing hydrogenated amorphous silicon (α-Si:H) via the plasma-enhanced chemical vapor deposition (PECVD) method. The influence of deposition parameters on the coverage and, consequently, the passivation and photovoltaic properties of α-Si:H layers on SiNW solar cells have been analyzed. PMID:24059343

  19. Flexible transparent and free-standing silicon nanowires paper.

    PubMed

    Pang, Chunlei; Cui, Hao; Yang, Guowei; Wang, Chengxin

    2013-10-09

    If the flexible transparent and free-standing paper-like materials that would be expected to meet emerging technological demands, such as components of transparent electrical batteries, flexible solar cells, bendable electronics, paper displays, wearable computers, and so on, could be achieved in silicon, it is no doubt that the traditional semiconductor materials would be rejuvenated. Bulk silicon cannot provide a solution because it usually exhibits brittleness at below their melting point temperature due to high Peierls stress. Fortunately, when the silicon's size goes down to nanoscale, it possesses the ultralarge straining ability, which results in the possibility to design flexible transparent and self-standing silicon nanowires paper (FTS-SiNWsP). However, realization of the FTS-SiNWsP is still a challenging task due largely to the subtlety in the preparation of a unique interlocking alignment with free-catalyst controllable growth. Herein, we present a simple synthetic strategy by gas flow directed assembly of a unique interlocking alignment of the Si nanowires (SiNWs) to produce, for the first time, the FTS-SiNWsP, which consisted of interconnected SiNWs with the diameter of ~10 nm via simply free-catalyst thermal evaporation in a vertical high-frequency induction furnace. This approach opens up the possibility for creating various flexible transparent functional devices based on the FTS-SiNWsP.

  20. Catalyst- and template-free low-temperature in situ growth of n-type CdS nanowire on p-type CdTe film and p-n heterojunction properties

    PubMed Central

    Ma, Ligang; Liu, Wenchao; Cai, Hongling; Zhang, Fengming; Wu, Xiaoshan

    2016-01-01

    CdS is an important semiconductor used in optoelectronic devices. Simple techniques for growing CdS nanostructures are thus essential at a low cost. This study presents a novel method for growing single-crystal n-type CdS nanowires on p-type CdTe films by thermal annealing in an H2S/N2 mixed gas flow, which does not require the help of a catalyst or template. The formation process and growth mechanism of the nanowires are investigated. Well-dispersed whiskerlike CdS nanostructures are obtained at an appropriate annealing temperature and duration. We suggest that the stress-driving mechanism of nanowire formation may contribute to the growth of CdS nanowires, and that the evaporation of Te through the boundaries of the CdS grain seeds plays an important role in the sustainable growth of nanowire. In addition, CdS/CdTe heterojunction device is fabricated on Mo glass. The I-V characteristic of the heterojunction in dark shows typical rectifying diode behavior. The turn-on voltage can be regulated by annealing conditions. Meanwhile, the obvious photovoltaic effect is obtained on the in situ growth heterojunction prepared at low annealing temperature. Hence, this is a new fabricated method for CdTe-based materials in the field of energy conversion. PMID:27958306

  1. Guided Growth of Horizontal p-Type ZnTe Nanowires

    PubMed Central

    2016-01-01

    A major challenge toward large-scale integration of nanowires is the control over their alignment and position. A possible solution to this challenge is the guided growth process, which enables the synthesis of well-aligned horizontal nanowires that grow according to specific epitaxial or graphoepitaxial relations with the substrate. However, the guided growth of horizontal nanowires was demonstrated for a limited number of materials, most of which exhibit unintentional n-type behavior. Here we demonstrate the vapor–liquid–solid growth of guided horizontal ZnTe nanowires and nanowalls displaying p-type behavior on four different planes of sapphire. The growth directions of the nanowires are determined by epitaxial relations between the nanowires and the substrate or by a graphoepitaxial effect that guides their growth along nanogrooves or nanosteps along the surface. We characterized the crystallographic orientations and elemental composition of the nanowires using transmission electron microscopy and photoluminescence. The optoelectronic and electronic properties of the nanowires were studied by fabricating photodetectors and top-gate thin film transistors. These measurements showed that the guided ZnTe nanowires are p-type semiconductors and are photoconductive in the visible range. The guided growth of horizontal p-type nanowires opens up the possibility of parallel nanowire integration into functional systems with a variety of potential applications not available by other means. PMID:27885331

  2. Guided Growth of Horizontal p-Type ZnTe Nanowires.

    PubMed

    Reut, Gilad; Oksenberg, Eitan; Popovitz-Biro, Ronit; Rechav, Katya; Joselevich, Ernesto

    2016-08-04

    A major challenge toward large-scale integration of nanowires is the control over their alignment and position. A possible solution to this challenge is the guided growth process, which enables the synthesis of well-aligned horizontal nanowires that grow according to specific epitaxial or graphoepitaxial relations with the substrate. However, the guided growth of horizontal nanowires was demonstrated for a limited number of materials, most of which exhibit unintentional n-type behavior. Here we demonstrate the vapor-liquid-solid growth of guided horizontal ZnTe nanowires and nanowalls displaying p-type behavior on four different planes of sapphire. The growth directions of the nanowires are determined by epitaxial relations between the nanowires and the substrate or by a graphoepitaxial effect that guides their growth along nanogrooves or nanosteps along the surface. We characterized the crystallographic orientations and elemental composition of the nanowires using transmission electron microscopy and photoluminescence. The optoelectronic and electronic properties of the nanowires were studied by fabricating photodetectors and top-gate thin film transistors. These measurements showed that the guided ZnTe nanowires are p-type semiconductors and are photoconductive in the visible range. The guided growth of horizontal p-type nanowires opens up the possibility of parallel nanowire integration into functional systems with a variety of potential applications not available by other means.

  3. Silicon Nanowire Fabric as a Lithium Ion Battery Electrode Material

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chockla, Aaron M.; Harris, Justin T.; Akhavan, Vahid A.

    2011-11-09

    A nonwoven fabric with paperlike qualities composed of silicon nanowires is reported. The nanowires, made by the supercritical-fluid–liquid–solid process, are crystalline, range in diameter from 10 to 50 nm with an average length of >100 μm, and are coated with a thin chemisorbed polyphenylsilane shell. About 90% of the nanowire fabric volume is void space. Thermal annealing of the nanowire fabric in a reducing environment converts the polyphenylsilane coating to a carbonaceous layer that significantly increases the electrical conductivity of the material. This makes the nanowire fabric useful as a self-supporting, mechanically flexible, high-energy-storage anode material in a lithium ionmore » battery. Anode capacities of more than 800 mA h g{sup –1} were achieved without the addition of conductive carbon or binder.« less

  4. Specific and selective target detection of supra-genome 21 Mers Salmonella via silicon nanowires biosensor

    NASA Astrophysics Data System (ADS)

    Mustafa, Mohammad Razif Bin; Dhahi, Th S.; Ehfaed, Nuri. A. K. H.; Adam, Tijjani; Hashim, U.; Azizah, N.; Mohammed, Mohammed; Noriman, N. Z.

    2017-09-01

    The nano structure based on silicon can be surface modified to be used as label-free biosensors that allow real-time measurements. The silicon nanowire surface was functionalized using 3-aminopropyltrimethoxysilane (APTES), which functions as a facilitator to immobilize biomolecules on the silicon nanowire surface. The process is simple, economical; this will pave the way for point-of-care applications. However, the surface modification and subsequent detection mechanism still not clear. Thus, study proposed step by step process of silicon nano surface modification and its possible in specific and selective target detection of Supra-genome 21 Mers Salmonella. The device captured the molecule with precisely; the approach took the advantages of strong binding chemistry created between APTES and biomolecule. The results indicated how modifications of the nanowires provide sensing capability with strong surface chemistries that can lead to specific and selective target detection.

  5. Carrier dynamics in silicon nanowires studied using optical-pump terahertz-probe spectroscopy

    NASA Astrophysics Data System (ADS)

    Beaudoin, Alexandre; Salem, Bassem; Baron, Thierry; Gentile, Pascal; Morris, Denis

    2014-03-01

    The advance of non-contact measurements involving pulsed terahertz radiation presents great interests for characterizing electrical properties of a large ensemble of nanowires. In this work, N-doped and undoped silicon nanowires (SiNWs) grown by chemical vapour deposition (CVD) on quartz substrate were characterized using optical-pump terahertz probe (OPTP) transmission experiments. Our results show that defects and ionized impurities introduced by N-doping the CVD-grown SiNWs tend to reduce the photoexcited carrier lifetime and degrade their conductivity properties. Capture mechanisms by the surface trap states play a key role on the photocarrier dynamics in theses small diameters' (~100 nm) SiNWs and the doping level is found to alter this dynamics. We propose convincing capture and recombination scenarios that explain our OPTP measurements. Fits of our photoconductivity data curves, from 0.5 to 2 THz, using a Drude-plasmon conductivity model allow determining photocarrier mobility values of 190 and 70 cm2/V .s, for the undoped and N-doped NWs samples, respectively.

  6. The Development of High-Density Vertical Silicon Nanowires and Their Application in a Heterojunction Diode.

    PubMed

    Chang, Wen-Chung; Su, Sheng-Chien; Wu, Chia-Ching

    2016-06-30

    Vertically aligned p-type silicon nanowire (SiNW) arrays were fabricated through metal-assisted chemical etching (MACE) of Si wafers. An indium tin oxide/indium zinc oxide/silicon nanowire (ITO/IZO/SiNW) heterojunction diode was formed by depositing ITO and IZO thin films on the vertically aligned SiNW arrays. The structural and electrical properties of the resulting ITO/IZO/SiNW heterojunction diode were characterized by field emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), and current-voltage (I-V) measurements. Nonlinear and rectifying I-V properties confirmed that a heterojunction diode was successfully formed in the ITO/IZO/SiNW structure. The diode had a well-defined rectifying behavior, with a rectification ratio of 550.7 at 3 V and a turn-on voltage of 2.53 V under dark conditions.

  7. The Development of High-Density Vertical Silicon Nanowires and Their Application in a Heterojunction Diode

    PubMed Central

    Chang, Wen-Chung; Su, Sheng-Chien; Wu, Chia-Ching

    2016-01-01

    Vertically aligned p-type silicon nanowire (SiNW) arrays were fabricated through metal-assisted chemical etching (MACE) of Si wafers. An indium tin oxide/indium zinc oxide/silicon nanowire (ITO/IZO/SiNW) heterojunction diode was formed by depositing ITO and IZO thin films on the vertically aligned SiNW arrays. The structural and electrical properties of the resulting ITO/IZO/SiNW heterojunction diode were characterized by field emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), and current−voltage (I−V) measurements. Nonlinear and rectifying I−V properties confirmed that a heterojunction diode was successfully formed in the ITO/IZO/SiNW structure. The diode had a well-defined rectifying behavior, with a rectification ratio of 550.7 at 3 V and a turn-on voltage of 2.53 V under dark conditions. PMID:28773656

  8. Reconfigurable quadruple quantum dots in a silicon nanowire transistor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Betz, A. C., E-mail: ab2106@cam.ac.uk; Broström, M.; Gonzalez-Zalba, M. F.

    2016-05-16

    We present a reconfigurable metal-oxide-semiconductor multi-gate transistor that can host a quadruple quantum dot in silicon. The device consists of an industrial quadruple-gate silicon nanowire field-effect transistor. Exploiting the corner effect, we study the versatility of the structure in the single quantum dot and the serial double quantum dot regimes and extract the relevant capacitance parameters. We address the fabrication variability of the quadruple-gate approach which, paired with improved silicon fabrication techniques, makes the corner state quantum dot approach a promising candidate for a scalable quantum information architecture.

  9. Synthesis and characterization of silicon nanorod on n-type porous silicon.

    PubMed

    Behzad, Kasra; Mat Yunus, Wan Mahmood; Bahrami, Afarin; Kharazmi, Alireza; Soltani, Nayereh

    2016-03-20

    This work reports a new method for growing semiconductor nanorods on a porous silicon substrate. After preparation of n-type porous silicon samples, a thin layer of gold was deposited on them. Gold deposited samples were annealed at different temperatures. The structural, thermal, and optical properties of the samples were studied using a field emission scanning electron microscope (FESEM), photoacoustic spectroscopy, and photoluminescence spectroscopy, respectively. FESEM analysis revealed that silicon nanorods of different sizes grew on the annealed samples. Thermal behavior of the samples was studied using photoacoustic spectroscopy. Photoluminescence spectroscopy showed that the emission peaks were degraded by gold deposition and attenuated for all samples by annealing.

  10. The influence of H{sub 2}O{sub 2} concentration to the structure of silicon nanowire growth by metal-assisted chemical etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Omar, Hafsa, E-mail: mrshafsaomar@gmail.com; Jani, Abdul Mutalib Md., E-mail: abdmutalib@perlis.uitm.edu.my; Abdullah, Saifollah, E-mail: saifollah@salam.utm.edu.my

    2016-07-06

    A simple and low cost method to produce well aligned silicon nanowires at large areas using Ag-assisted chemical etching at room temperature were presented. The structure of silicon nanowires growth by metal-assisted chemical etching was observed. Prior to the etching, the silicon nanowires were prepared by electroless metal deposited (EMD) in solution containing hydrofluoric acid and hydrogen peroxide in Teflon vessel. The silver particle was deposited on substrate by immersion in hydrofluoric acid and silver nitrate solution for sixty second. The silicon nanowires were growth in different hydrogen peroxide concentration which are 0.3M, 0.4M, 0.5M and 0.6M and 0.7M.The influencemore » of hydrogen peroxide concentration to the formation of silicon nanowires was studied. The morphological properties of silicon nanowires were investigated using field emission scanning electron microscopy (FESEM) and Energy Dispersive X-Ray Spectroscopy (EDS).« less

  11. Ab initio design of nanostructures for solar energy conversion: a case study on silicon nitride nanowire.

    PubMed

    Pan, Hui

    2014-01-01

    Design of novel materials for efficient solar energy conversion is critical to the development of green energy technology. In this work, we present a first-principles study on the design of nanostructures for solar energy harvesting on the basis of the density functional theory. We show that the indirect band structure of bulk silicon nitride is transferred to direct bandgap in nanowire. We find that intermediate bands can be created by doping, leading to enhancement of sunlight absorption. We further show that codoping not only reduces the bandgap and introduces intermediate bands but also enhances the solubility of dopants in silicon nitride nanowires due to reduced formation energy of substitution. Importantly, the codoped nanowire is ferromagnetic, leading to the improvement of carrier mobility. The silicon nitride nanowires with direct bandgap, intermediate bands, and ferromagnetism may be applicable to solar energy harvesting.

  12. N-type compensated silicon: resistivity, crystal growth, carrier lifetime, and relevant application for HIT solar cells

    NASA Astrophysics Data System (ADS)

    Li, Shuai; Gao, Wenxiu; Li, Zhen; Cheng, Haoran; Lin, Jinxia; Cheng, Qijin

    2017-05-01

    N-type compensated silicon shows unusual distribution of resistivity as crystal grows compared to the n-type uncompensated silicon. In this paper, evolutions of resistivities with varied concentrations of boron and varied starting resistivities of the n-type silicon are intensively calculated. Moreover, reduction of carrier mobility is taken into account by Schindler’s modified model of carrier mobility for the calculation of resistivity of the compensated silicon. As for substrates of solar cells, optimized starting resistivity and corresponding concentration of boron are suggested for better uniformity of resistivity and higher yield (fraction with ρ >0.5 ~ Ω \\centerdot \\text{cm} ) of the n-type compensated Cz crystal rod. A two-step growth method is investigated to obtain better uniformity of resistivity of crystal rod, and this method is very practical especially for the n-type compensated silicon. Regarding the carrier lifetime, the recombination by shallow energy-level dopants is taken into account for the compensated silicon, and evolution of carrier lifetime is simulated by considering all main recombination centers which agrees well with our measured carrier lifetimes as crystal grows. The n-type compensated silicon shows a larger reduction of carrier lifetime compared to the uncompensated silicon at the beginning of crystal growth, and recombination with a oxygen-related deep defect is sufficient to describe the reduction of degraded lifetime. Finally, standard heterojunction with intrinsic thin-layer (HIT) solar cells are made with substrates from the n-type compensated silicon rod, and a high efficiency of 22.1% is obtained with a high concentration (0.8× {{10}16}~\\text{c}{{\\text{m}}-3} ) of boron in the n-type compensated silicon feedstock. However, experimental efficiencies of HIT solar cells based on the n-type compensated silicon show an average reduction of 4% along with the crystal length compared to the uncompensated silicon. The

  13. Characterization and modelling of the boron-oxygen defect activation in compensated n-type silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schön, J.; Niewelt, T.; Broisch, J.

    2015-12-28

    A study of the activation of the light-induced degradation in compensated n-type Czochralski grown silicon is presented. A kinetic model is established that verifies the existence of both the fast and the slow components known from p-type and proves the quadratic dependence of the defect generation rates of both defects on the hole concentration. The model allows for the description of lifetime degradation kinetics in compensated n-type silicon under various intensities and is in accordance with the findings for p-type silicon. We found that the final concentrations of the slow defect component in compensated n-type silicon only depend on themore » interstitial oxygen concentration and on neither the boron concentration nor the equilibrium electron concentration n{sub 0}. The final concentrations of the fast defect component slightly increase with increasing boron concentration. The results on n-type silicon give new insight to the origin of the BO defect and question the existing models for the defect composition.« less

  14. Structural and optical properties of axial silicon-germanium nanowire heterojunctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, X.; Tsybeskov, L., E-mail: tsybesko@njit.edu; Kamins, T. I.

    2015-12-21

    Detailed studies of the structural and optical properties of axial silicon-germanium nanowire heterojunctions show that despite the 4.2% lattice mismatch between Si and Ge they can be grown without a significant density of structural defects. The lattice mismatch induced strain is partially relieved due to spontaneous SiGe intermixing at the heterointerface during growth and lateral expansion of the Ge segment of the nanowire. The mismatch in Ge and Si coefficients of thermal expansion and low thermal conductivity of Si/Ge nanowire heterojunctions are proposed to be responsible for the thermally induced stress detected under intense laser radiation in photoluminescence and Ramanmore » scattering measurements.« less

  15. Comparative study of absorption in tilted silicon nanowire arrays for photovoltaics

    PubMed Central

    2014-01-01

    Silicon nanowire arrays have been shown to demonstrate light trapping properties and promising potential for next-generation photovoltaics. In this paper, we show that the absorption enhancement in vertical nanowire arrays on a perfectly electric conductor can be further improved through tilting. Vertical nanowire arrays have a 66.2% improvement in ultimate efficiency over an ideal double-pass thin film of the equivalent amount of material. Tilted nanowire arrays, with the same amount of material, exhibit improved performance over vertical nanowire arrays across a broad range of tilt angles (from 38° to 72°). The optimum tilt of 53° has an improvement of 8.6% over that of vertical nanowire arrays and 80.4% over that of the ideal double-pass thin film. Tilted nanowire arrays exhibit improved absorption over the solar spectrum compared with vertical nanowires since the tilt allows for the excitation of additional modes besides the HE 1m modes that are excited at normal incidence. We also observed that tilted nanowire arrays have improved performance over vertical nanowire arrays for a large range of incidence angles (under about 60°). PMID:25435833

  16. Comparative study of absorption in tilted silicon nanowire arrays for photovoltaics.

    PubMed

    Kayes, Md Imrul; Leu, Paul W

    2014-01-01

    Silicon nanowire arrays have been shown to demonstrate light trapping properties and promising potential for next-generation photovoltaics. In this paper, we show that the absorption enhancement in vertical nanowire arrays on a perfectly electric conductor can be further improved through tilting. Vertical nanowire arrays have a 66.2% improvement in ultimate efficiency over an ideal double-pass thin film of the equivalent amount of material. Tilted nanowire arrays, with the same amount of material, exhibit improved performance over vertical nanowire arrays across a broad range of tilt angles (from 38° to 72°). The optimum tilt of 53° has an improvement of 8.6% over that of vertical nanowire arrays and 80.4% over that of the ideal double-pass thin film. Tilted nanowire arrays exhibit improved absorption over the solar spectrum compared with vertical nanowires since the tilt allows for the excitation of additional modes besides the HE 1m modes that are excited at normal incidence. We also observed that tilted nanowire arrays have improved performance over vertical nanowire arrays for a large range of incidence angles (under about 60°).

  17. Gamma ray irradiated silicon nanowires: An effective model to investigate defects at the interface of Si/SiOx

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yin, Kui; Zhao, Yi; Liu, Liangbin

    2014-01-20

    The effect of gamma ray irradiation on silicon nanowires was investigated. Here, an additional defect emerged in the gamma-ray-irradiated silicon nanowires and was confirmed with electron spin resonance spectra. {sup 29}Si nuclear magnetic resonance spectroscopy showed that irradiation doses had influence on the Q{sup 4} unit structure. This phenomenon indicated that the unique core/shell structure of silicon nanowires might contribute to induce metastable defects under gamma ray irradiation, which served as a satisfactory model to investigate defects at the interface of Si/SiOx.

  18. High-performance silicon nanowire bipolar phototransistors

    NASA Astrophysics Data System (ADS)

    Tan, Siew Li; Zhao, Xingyan; Chen, Kaixiang; Crozier, Kenneth B.; Dan, Yaping

    2016-07-01

    Silicon nanowires (SiNWs) have emerged as sensitive absorbing materials for photodetection at wavelengths ranging from ultraviolet (UV) to the near infrared. Most of the reports on SiNW photodetectors are based on photoconductor, photodiode, or field-effect transistor device structures. These SiNW devices each have their own advantages and trade-offs in optical gain, response time, operating voltage, and dark current noise. Here, we report on the experimental realization of single SiNW bipolar phototransistors on silicon-on-insulator substrates. Our SiNW devices are based on bipolar transistor structures with an optically injected base region and are fabricated using CMOS-compatible processes. The experimentally measured optoelectronic characteristics of the SiNW phototransistors are in good agreement with simulation results. The SiNW phototransistors exhibit significantly enhanced response to UV and visible light, compared with typical Si p-i-n photodiodes. The near infrared responsivities of the SiNW phototransistors are comparable to those of Si avalanche photodiodes but are achieved at much lower operating voltages. Compared with other reported SiNW photodetectors as well as conventional bulk Si photodiodes and phototransistors, the SiNW phototransistors in this work demonstrate the combined advantages of high gain, high photoresponse, low dark current, and low operating voltage.

  19. Advancements in n-Type Base Crystalline Silicon Solar Cells and Their Emergence in the Photovoltaic Industry

    PubMed Central

    ur Rehman, Atteq; Lee, Soo Hong

    2013-01-01

    The p-type crystalline silicon wafers have occupied most of the solar cell market today. However, modules made with n-type crystalline silicon wafers are actually the most efficient modules up to date. This is because the material properties offered by n-type crystalline silicon substrates are suitable for higher efficiencies. Properties such as the absence of boron-oxygen related defects and a greater tolerance to key metal impurities by n-type crystalline silicon substrates are major factors that underline the efficiency of n-type crystalline silicon wafer modules. The bi-facial design of n-type cells with good rear-side electronic and optical properties on an industrial scale can be shaped as well. Furthermore, the development in the industrialization of solar cell designs based on n-type crystalline silicon substrates also highlights its boost in the contributions to the photovoltaic industry. In this paper, a review of various solar cell structures that can be realized on n-type crystalline silicon substrates will be given. Moreover, the current standing of solar cell technology based on n-type substrates and its contribution in photovoltaic industry will also be discussed. PMID:24459433

  20. Advancements in n-type base crystalline silicon solar cells and their emergence in the photovoltaic industry.

    PubMed

    ur Rehman, Atteq; Lee, Soo Hong

    2013-01-01

    The p-type crystalline silicon wafers have occupied most of the solar cell market today. However, modules made with n-type crystalline silicon wafers are actually the most efficient modules up to date. This is because the material properties offered by n-type crystalline silicon substrates are suitable for higher efficiencies. Properties such as the absence of boron-oxygen related defects and a greater tolerance to key metal impurities by n-type crystalline silicon substrates are major factors that underline the efficiency of n-type crystalline silicon wafer modules. The bi-facial design of n-type cells with good rear-side electronic and optical properties on an industrial scale can be shaped as well. Furthermore, the development in the industrialization of solar cell designs based on n-type crystalline silicon substrates also highlights its boost in the contributions to the photovoltaic industry. In this paper, a review of various solar cell structures that can be realized on n-type crystalline silicon substrates will be given. Moreover, the current standing of solar cell technology based on n-type substrates and its contribution in photovoltaic industry will also be discussed.

  1. Direct monolithic integration of vertical single crystalline octahedral molecular sieve nanowires on silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Carretero-Genevrier, Adrian; Oro-Sole, Judith; Gazquez, Jaume

    2013-12-13

    We developed an original strategy to produce vertical epitaxial single crystalline manganese oxide octahedral molecular sieve (OMS) nanowires with tunable pore sizes and compositions on silicon substrates by using a chemical solution deposition approach. The nanowire growth mechanism involves the use of track-etched nanoporous polymer templates combined with the controlled growth of quartz thin films at the silicon surface, which allowed OMS nanowires to stabilize and crystallize. α-quartz thin films were obtained after thermal activated crystallization of the native amorphous silica surface layer assisted by Sr 2+- or Ba 2+-mediated heterogeneous catalysis in the air at 800 °C. These α-quartzmore » thin films work as a selective template for the epitaxial growth of randomly oriented vertical OMS nanowires. Furthermore, the combination of soft chemistry and epitaxial growth opens new opportunities for the effective integration of novel technological functional tunneled complex oxides nanomaterials on Si substrates.« less

  2. Silicon nanowires: where mechanics and optics meet at the nanoscale.

    PubMed

    Ramos, Daniel; Gil-Santos, Eduardo; Malvar, Oscar; Llorens, Jose M; Pini, Valerio; San Paulo, Alvaro; Calleja, Montserrat; Tamayo, Javier

    2013-12-06

    Mechanical transducers based on nanowires promise revolutionary advances in biological sensing and force microscopy/spectroscopy. A crucial step is the development of simple and non-invasive techniques able to detect displacements with subpicometer sensitivity per unit bandwidth. Here, we design suspended tapered silicon nanowires supporting a range of optical resonances that confine and efficiently scatter light in the visible range. Then, we develop an optical method for efficiently coupling the evanescent field to the regular interference pattern generated by an incoming laser beam and the reflected beam from the substrate underneath the nanowire. This optomechanical coupling is here applied to measure the displacement of 50 nm wide nanowires with sensitivity on the verge of 1 fm/Hz(1/2) at room temperature with a simple laser interferometry set-up. This method opens the door to the measurement of the Brownian motion of ultrashort nanowires for the detection of single biomolecular recognition events in liquids, and single molecule spectroscopy in vacuum.

  3. Strain effects in low-dimensional silicon MOS and AlGaN/GaN HEMT devices

    NASA Astrophysics Data System (ADS)

    Baykan, Mehmet Onur

    dependent strain response of tri-gate p-type FinFETs are experimentally extracted using a 4-point bending jig. It is found that the low-field piezoresistance coefficient of p-type FinFETs can be modeled by using a weighted conductance average of the top and sidewall bulk piezoresistance coefficients. Next, the strain enhancement of p-type ballistic silicon nanowire MOSFETs is studied using sp3d 5s* basis nearest-neighbor tight-binding simulations coupled with a semiclassical top-of-the-barrier transport model. Size and orientation dependent strain enhancement of ballistic hole transport is explained by the strain-induced modification of the 1D nanowire valence band density-of-states. Further insights are provided for future p-type high-performance silicon nanowire logic devices. A physics based investigation is conducted to understand the strain effects on surface roughness limited electron mobility in silicon inversion layers. Based on the evidence from electrical and material characterization, a strain-induced surface morphology change is hypothesized. To model the observed electrical characteristics, we have employed a self-consistent MOSFET mobility simulator coupled with an ad hoc strain-induced roughness modification. The strain induced surface morphology change is found to be consistent among electrical and materials characterization, as well as transport simulations. In order to bridge the gap between the drift-diffusion based models for long-channel devices and the quasi-ballistic models for nanoscale channels, a unified carrier transport model is developed using an updated one-flux theory. Including the high-field and carrier confinement effects, a surface-potential based analytical transmission expression is obtained for the entire MOSFET operation range. With the new channel transmission equation and average carrier drift velocity, a new expression for channel ballisticity is defined. Impact of mechanical strain on carrier transport for both nMOSFETs and p

  4. Highly conductive indium nanowires deposited on silicon by dip-pen nanolithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozhukhov, Anton; Volodin, Vladimir; Novosibirsk State University, Novosibirsk 630090

    2015-04-14

    In this paper, we developed a new dip-pen nanolithography (DPN) method. Using this method, we fabricated conductive nanowires with diameters of 30–50 nm on silicon substrates. To accomplish this, indium was transferred from an atomic force microscopy tip to the surface by applying a potential difference between the tip and substrate. The fabricated indium nanowires were several micrometers in length. Unlike thermal DPN, our DPN method hardly oxidized the indium, producing nanowires with conductivities from 5.7 × 10{sup −3} to 4 × 10{sup −2} Ω cm.

  5. Gallium assisted plasma enhanced chemical vapor deposition of silicon nanowires.

    PubMed

    Zardo, I; Yu, L; Conesa-Boj, S; Estradé, S; Alet, Pierre Jean; Rössler, J; Frimmer, M; Roca I Cabarrocas, P; Peiró, F; Arbiol, J; Morante, J R; Fontcuberta I Morral, A

    2009-04-15

    Silicon nanowires have been grown with gallium as catalyst by plasma enhanced chemical vapor deposition. The morphology and crystalline structure has been studied by electron microscopy and Raman spectroscopy as a function of growth temperature and catalyst thickness. We observe that the crystalline quality of the wires increases with the temperature at which they have been synthesized. The crystalline growth direction has been found to vary between <111> and <112>, depending on both the growth temperature and catalyst thickness. Gallium has been found at the end of the nanowires, as expected from the vapor-liquid-solid growth mechanism. These results represent good progress towards finding alternative catalysts to gold for the synthesis of nanowires.

  6. High Efficiency Organic/Silicon-Nanowire Hybrid Solar Cells: Significance of Strong Inversion Layer

    PubMed Central

    Yu, Xuegong; Shen, Xinlei; Mu, Xinhui; Zhang, Jie; Sun, Baoquan; Zeng, Lingsheng; Yang, Lifei; Wu, Yichao; He, Hang; Yang, Deren

    2015-01-01

    Organic/silicon nanowires (SiNWs) hybrid solar cells have recently been recognized as one of potentially low-cost candidates for photovoltaic application. Here, we have controllably prepared a series of uniform silicon nanowires (SiNWs) with various diameters on silicon substrate by metal-assisted chemical etching followed by thermal oxidization, and then fabricated the organic/SiNWs hybrid solar cells with poly(3,4-ethylenedioxythiophene): poly(styrenesulfonate) (PEDOT:PSS). It is found that the reflective index of SiNWs layer for sunlight depends on the filling ratio of SiNWs. Compared to the SiNWs with the lowest reflectivity (LR-SiNWs), the solar cell based on the SiNWs with low filling ratio (LF-SiNWs) has a higher open-circuit voltage and fill factor. The capacitance-voltage measurements have clarified that the built-in potential barrier at the LF-SiNWs/PEDOT:PSS interface is much larger than that at the LR-SiNWs/PEDOT one, which yields a strong inversion layer generating near the silicon surface. The formation of inversion layer can effectively suppress the carrier recombination, reducing the leakage current of solar cell, and meanwhile transfer the LF-SiNWs/PEDOT:PSS device into a p-n junction. As a result, a highest efficiency of 13.11% is achieved for the LF-SiNWs/PEDOT:PSS solar cell. These results pave a way to the fabrication of high efficiency organic/SiNWs hybrid solar cells. PMID:26610848

  7. High Efficiency Organic/Silicon-Nanowire Hybrid Solar Cells: Significance of Strong Inversion Layer.

    PubMed

    Yu, Xuegong; Shen, Xinlei; Mu, Xinhui; Zhang, Jie; Sun, Baoquan; Zeng, Lingsheng; Yang, Lifei; Wu, Yichao; He, Hang; Yang, Deren

    2015-11-27

    Organic/silicon nanowires (SiNWs) hybrid solar cells have recently been recognized as one of potentially low-cost candidates for photovoltaic application. Here, we have controllably prepared a series of uniform silicon nanowires (SiNWs) with various diameters on silicon substrate by metal-assisted chemical etching followed by thermal oxidization, and then fabricated the organic/SiNWs hybrid solar cells with poly(3,4-ethylenedioxythiophene): poly(styrenesulfonate) ( PSS). It is found that the reflective index of SiNWs layer for sunlight depends on the filling ratio of SiNWs. Compared to the SiNWs with the lowest reflectivity (LR-SiNWs), the solar cell based on the SiNWs with low filling ratio (LF-SiNWs) has a higher open-circuit voltage and fill factor. The capacitance-voltage measurements have clarified that the built-in potential barrier at the LF-SiNWs/ PSS interface is much larger than that at the LR-SiNWs/PEDOT one, which yields a strong inversion layer generating near the silicon surface. The formation of inversion layer can effectively suppress the carrier recombination, reducing the leakage current of solar cell, and meanwhile transfer the LF-SiNWs/ PSS device into a p-n junction. As a result, a highest efficiency of 13.11% is achieved for the LF-SiNWs/ PSS solar cell. These results pave a way to the fabrication of high efficiency organic/SiNWs hybrid solar cells.

  8. Modeling of phonon scattering in n-type nanowire transistors using one-shot analytic continuation technique

    NASA Astrophysics Data System (ADS)

    Bescond, Marc; Li, Changsheng; Mera, Hector; Cavassilas, Nicolas; Lannoo, Michel

    2013-10-01

    We present a one-shot current-conserving approach to model the influence of electron-phonon scattering in nano-transistors using the non-equilibrium Green's function formalism. The approach is based on the lowest order approximation (LOA) to the current and its simplest analytic continuation (LOA+AC). By means of a scaling argument, we show how both LOA and LOA+AC can be easily obtained from the first iteration of the usual self-consistent Born approximation (SCBA) algorithm. Both LOA and LOA+AC are then applied to model n-type silicon nanowire field-effect-transistors and are compared to SCBA current characteristics. In this system, the LOA fails to describe electron-phonon scattering, mainly because of the interactions with acoustic phonons at the band edges. In contrast, the LOA+AC still well approximates the SCBA current characteristics, thus demonstrating the power of analytic continuation techniques. The limits of validity of LOA+AC are also discussed, and more sophisticated and general analytic continuation techniques are suggested for more demanding cases.

  9. Resistive switching behaviors of Au/pentacene/Si-nanowire arrays/heavily doped n-type Si devices for memory applications

    NASA Astrophysics Data System (ADS)

    Tsao, Hou-Yen; Lin, Yow-Jon

    2014-02-01

    The fabrication of memory devices based on the Au/pentacene/heavily doped n-type Si (n+-Si), Au/pentacene/Si nanowires (SiNWs)/n+-Si, and Au/pentacene/H2O2-treated SiNWs/n+-Si structures and their resistive switching characteristics were reported. A pentacene memory structure using SiNW arrays as charge storage nodes was demonstrated. The Au/pentacene/SiNWs/n+-Si devices show hysteresis behavior. H2O2 treatment may lead to the hysteresis degradation. However, no hysteresis-type current-voltage characteristics were observed for Au/pentacene/n+-Si devices, indicating that the resistive switching characteristic is sensitive to SiNWs and the charge trapping effect originates from SiNWs. The concept of nanowires within the organic layer opens a promising direction for organic memory devices.

  10. Structural properties and magic structures in hydrogenated finite and infinite silicon nanowires

    NASA Astrophysics Data System (ADS)

    Zdetsis, A. D.; Koukaras, E. N.; Garoufalis, C. S.

    2007-11-01

    Unusual effects such as bending and "canting," related with the stability, have been identified by ab initio real-space calculations for hydrogenated silicon nanowires. We have examined in detail the electronic and structural properties of finite and infinite nanowires as a function of length (and width) and have developed stability and bending rules, demonstrating that "magic" wires do not bend. Reconstructed 2×1 nanowires are practically as stable as the magic ones. Our calculations are in good agreement with the experimental data of Ma et al. [Science 299, 1874 (2003).].

  11. Dissipative quantum transport in silicon nanowires based on Wigner transport equation

    NASA Astrophysics Data System (ADS)

    Barraud, Sylvain

    2011-11-01

    In this work, we present a one-dimensional model of quantum electron transport for silicon nanowire transistor that makes use of the Wigner function formalism and that takes into account the carrier scattering. Effect of scattering on the current-voltage (I-V) characteristics is assessed using both the relaxation time approximation and the Boltzmann collision operator. Similarly to the classical transport theory, the scattering mechanisms are included in the Wigner formulation through the addition of a collision term in the Liouville equation. As compared to the relaxation time, the Boltzmann collision operator approach is considered to be more realistic because it provides a better description of the scattering events. Within the Fermi golden rule approximation, the standard collision term is described for both acoustic phonon and surface-roughness interactions. It is introduced in the discretized version of the Liouville equation to obtain the Wigner distribution function and the current density. The model is then applied to study the impact of each scattering mechanism on short-channel electrical performance of silicon nanowire transistors for different gate lengths and nanowire widths.

  12. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kruse, J. E.; Doundoulakis, G.; Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well asmore » numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.« less

  13. Mapping Free-Carriers in Multijunction Silicon Nanowires Using Infrared Near-Field Optical Microscopy.

    PubMed

    Ritchie, Earl T; Hill, David J; Mastin, Tucker M; Deguzman, Panfilo C; Cahoon, James F; Atkin, Joanna M

    2017-11-08

    We report the use of infrared (IR) scattering-type scanning near-field optical microscopy (s-SNOM) as a nondestructive method to map free-carriers in axially modulation-doped silicon nanowires (SiNWs) with nanoscale spatial resolution. Using this technique, we can detect local changes in the electrically active doping concentration based on the infrared free-carrier response in SiNWs grown using the vapor-liquid-solid (VLS) method. We demonstrate that IR s-SNOM is sensitive to both p-type and n-type free-carriers for carrier densities above ∼1 × 10 19 cm -3 . We also resolve subtle changes in local conductivity properties, which can be correlated with growth conditions and surface effects. The use of s-SNOM is especially valuable in low mobility materials such as boron-doped p-type SiNWs, where optimization of growth has been difficult to achieve due to the lack of information on dopant distribution and junction properties. s-SNOM can be widely employed for the nondestructive characterization of nanostructured material synthesis and local electronic properties without the need for contacts or inert atmosphere.

  14. Synthesis and Characterization of Silicon Nanowires by Electroless Etching

    NASA Astrophysics Data System (ADS)

    Bhujel, Rabina; Rizal, Umesh; Agarwal, Amit; Swain, Bhabani S.; Swain, Bibhu P.

    2018-02-01

    Silicon nanowires (SiNWs) were synthesized by two-step electroless etching of p-type Si (100) wafer and characterized by field emission scanning electron microscopy, UV-Vis spectroscopy, x-ray photoelectron spectroscopy, Fourier transform infrared spectroscopy and Raman spectroscopy. The vibrational signature at 1108 and 2087 cm-1 confirmed SiNWs were passivated by both oxygen and hydrogen atoms. Raman peak at 517 cm-1 indicated crystalline SiNWs with tailing toward redshift due to Fano effect. The Si(2p) and Si(2s) core orbital spectra of SiNWs were found at 99.8 and 150.5 eV, respectively. Moreover, the reflection of SiNWs is minimized to 1 to 5% in the 650-nm wavelength.

  15. Core-shell homojunction silicon vertical nanowire tunneling field-effect transistors.

    PubMed

    Yoon, Jun-Sik; Kim, Kihyun; Baek, Chang-Ki

    2017-01-23

    We propose three-terminal core-shell (CS) silicon vertical nanowire tunneling field-effect transistors (TFETs), which can be fabricated by conventional CMOS technology. CS TFETs show lower subthreshold swing (SS) and higher on-state current than conventional TFETs through their high surface-to-volume ratio, which increases carrier-tunneling region with no additional device area. The on-state current can be enhanced by increasing the nanowire height, decreasing equivalent oxide thickness (EOT) or creating a nanowire array. The off-state current is also manageable for power saving through selective epitaxial growth at the top-side nanowire region. CS TFETs with an EOT of 0.8 nm and an aspect ratio of 20 for the core nanowire region provide the largest drain current ranges with point SS values below 60 mV/dec and superior on/off current ratio under all operation voltages of 0.5, 0.7, and 1.0 V. These devices are promising for low-power applications at low fabrication cost and high device density.

  16. Surface sensitization mechanism on negative electron affinity p-GaN nanowires

    NASA Astrophysics Data System (ADS)

    Diao, Yu; Liu, Lei; Xia, Sihao; Feng, Shu; Lu, Feifei

    2018-03-01

    The surface sensitization is the key to prepare negative electron affinity photocathode. The thesis emphasizes on the study of surface sensitization mechanism of p-type doping GaN nanowires utilizing first principles based on density function theory. The adsorption energy, work function, dipole moment, geometry structure, electronic structure and optical properties of Mg-doped GaN nanowires surfaces with various coverages of Cs atoms are investigated. The GaN nanowire with Mg doped in core position is taken as the sensitization base. At the initial stage of sensitization, the best adsorption site for Cs atom on GaN nanowire surface is BN, the bridge site of two adjacent N atoms. Surface sensitization generates a p-type internal surface with an n-type surface state, introducing a band bending region which can help reduce surface barrier and work function. With increasing Cs coverage, work functions decrease monotonously and the "Cs-kill" phenomenon disappears. For Cs coverage of 0.75 ML and 1 ML, the corresponding sensitization systems reach negative electron affinity state. Through surface sensitization, the absorption curves are red shifted and the absorption coefficient is cut down. All theoretical calculations can guide the design of negative electron affinity Mg doped GaN nanowires photocathode.

  17. p-Type Doping of GaN Nanowires Characterized by Photoelectrochemical Measurements.

    PubMed

    Kamimura, Jumpei; Bogdanoff, Peter; Ramsteiner, Manfred; Corfdir, Pierre; Feix, Felix; Geelhaar, Lutz; Riechert, Henning

    2017-03-08

    GaN nanowires (NWs) doped with Mg as a p-type impurity were grown on Si(111) substrates by plasma-assisted molecular beam epitaxy. In a systematic series of experiments, the amount of Mg supplied during NW growth was varied. The incorporation of Mg into the NWs was confirmed by the observation of donor-acceptor pairs and acceptor-bound excitons in low-temperature photoluminescence spectroscopy. Quantitative information about the Mg concentrations was deduced from Raman scattering by local vibrational modes related to Mg. In order to study the type and density of charge carriers present in the NWs, we employed two photoelectrochemical techniques, open-circuit potential and Mott-Schottky measurements. Both methods showed the expected transition from n-type to p-type conductivity with increasing Mg doping level, and the latter characterization technique allowed us to quantify the charge carrier concentration. Beyond the quantitative information obtained for Mg doping of GaN NWs, our systematic and comprehensive investigation demonstrates the benefit of photoelectrochemical methods for the analysis of doping in semiconductor NWs in general.

  18. Hybrid heterojunction solar cell based on organic-inorganic silicon nanowire array architecture.

    PubMed

    Shen, Xiaojuan; Sun, Baoquan; Liu, Dong; Lee, Shuit-Tong

    2011-12-07

    Silicon nanowire arrays (SiNWs) on a planar silicon wafer can be fabricated by a simple metal-assisted wet chemical etching method. They can offer an excellent light harvesting capability through light scattering and trapping. In this work, we demonstrated that the organic-inorganic solar cell based on hybrid composites of conjugated molecules and SiNWs on a planar substrate yielded an excellent power conversion efficiency (PCE) of 9.70%. The high efficiency was ascribed to two aspects: one was the improvement of the light absorption by SiNWs structure on the planar components; the other was the enhancement of charge extraction efficiency, resulting from the novel top contact by forming a thin organic layer shell around the individual silicon nanowire. On the contrary, the sole planar junction solar cell only exhibited a PCE of 6.01%, due to the lower light trapping capability and the less hole extraction efficiency. It indicated that both the SiNWs structure and the thin organic layer top contact were critical to achieve a high performance organic/silicon solar cell. © 2011 American Chemical Society

  19. Vertical silicon nanowires as a universal platform for delivering biomolecules into living cells

    PubMed Central

    Shalek, Alex K.; Robinson, Jacob T.; Karp, Ethan S.; Lee, Jin Seok; Ahn, Dae-Ro; Yoon, Myung-Han; Sutton, Amy; Jorgolli, Marsela; Gertner, Rona S.; Gujral, Taranjit S.; MacBeath, Gavin; Yang, Eun Gyeong; Park, Hongkun

    2010-01-01

    A generalized platform for introducing a diverse range of biomolecules into living cells in high-throughput could transform how complex cellular processes are probed and analyzed. Here, we demonstrate spatially localized, efficient, and universal delivery of biomolecules into immortalized and primary mammalian cells using surface-modified vertical silicon nanowires. The method relies on the ability of the silicon nanowires to penetrate a cell’s membrane and subsequently release surface-bound molecules directly into the cell’s cytosol, thus allowing highly efficient delivery of biomolecules without chemical modification or viral packaging. This modality enables one to assess the phenotypic consequences of introducing a broad range of biological effectors (DNAs, RNAs, peptides, proteins, and small molecules) into almost any cell type. We show that this platform can be used to guide neuronal progenitor growth with small molecules, knock down transcript levels by delivering siRNAs, inhibit apoptosis using peptides, and introduce targeted proteins to specific organelles. We further demonstrate codelivery of siRNAs and proteins on a single substrate in a microarray format, highlighting this technology’s potential as a robust, monolithic platform for high-throughput, miniaturized bioassays. PMID:20080678

  20. Photoluminescence of silicon nanowires obtained by epitaxial chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Demichel, O.; Oehler, F.; Calvo, V.; Noé, P.; Pauc, N.; Gentile, P.; Ferret, P.; Baron, T.; Magnea, N.

    2009-05-01

    We have carried out photoluminescence measurements of silicon nanowires (SiNWs) obtained by the chemical vapor deposition method with a copper-catalyzed vapor-liquid-solid mechanism. The nanowires have a typical diameter of 200 nm. Spectrum of the as-grown SiNWs exhibits radiative states below the energy bandgap and a small contribution near the silicon gap energy at 1.08 eV. A thermal oxidation allows to decrease the intensity at low energy and to enhance the intensity of the 1.08 eV contribution. The behavior of this contribution as a function of the pump power is correlated to a free carrier recombination. Furthermore, the spatial confinement of the carriers in SiNWs could explain the difference of shape and recombination energy of this contribution compared to the recombination of free exciton in the bulk silicon. The electronic system seems to be in an electron-hole plasma (ehp), as it has already been shown in SOI structures [M. Tajima, et al., J. Appl. Phys. 84 (1998) 2224]. A simulation of the radiative emission of an ehp is performed and results are discussed.

  1. Dispersion of Cobalt Nanoparticles on Nanowires Grown on Silicon Carbide-Alumina Nanocomposites.

    PubMed

    Kim, Inho; Seo, Kyeong Won; Ahn, Byoung Sung; Moon, Dong Ju; Kim, Sang Woo

    2017-04-01

    Silicon carbide-alumina nanocomposite supports including a nanowire architecture for a high dispersion of cobalt nanocatalysts were fabricated using a modified sol–gel process and paste extrusion process to form cylindrical shape beads, followed by thermal treatment. Well-developed aluminosilicate nanowires were formed on a nanoporous support, which are grown from a catalytic metal seed at the nanowire growth tips during heat treatment at 1,100 °C for 1 h under nitrogen gas flow. Cobalt oxide precursors were highly dispersed on the nanowires grown on the surface of the nanoporous bodies through a supercritical carbon dioxide fluid-assisted wet-impregnation process. The highly-dispersed Co nanoparticles with size of less than 10 nm were finally obtained on the nanowires via phase transitions from Co₃O₄ to CoO and from CoO to Co during the thermal reduction.

  2. Localized synthesis, assembly and integration of silicon nanowires

    NASA Astrophysics Data System (ADS)

    Englander, Ongi

    Localized synthesis, assembly and integration of one-dimensional silicon nanowires with MEMS structures is demonstrated and characterized in terms of local synthesis processes, electric-field assisted self-assembly, and a proof-of-concept nanoelectromechanical system (HEMS) demonstration. Emphasis is placed on the ease of integration, process control strategies, characterization techniques and the pursuit of integrated devices. A top-down followed by a bottom-up integration approach is utilized. Simple MEMS heater structures are utilized as the microscale platforms for the localized, bottom-up synthesis of one-dimensional nanostructures. Localized heating confines the high temperature region permitting only localized nanostructure synthesis and allowing the surroundings to remain at room temperature thus enabling CMOS compatible post-processing. The vapor-liquid-solid (VLS) process in the presence of a catalytic nanoparticle, a vapor phase reactant, and a specific temperature environment is successfully employed locally. Experimentally, a 5nm thick gold-palladium layer is used as the catalyst while silane is the vapor phase reactant. The current-voltage behavior of the MEMS structures can be correlated to the approximate temperature range required for the VLS reaction to take place. Silicon nanowires averaging 45nm in diameter and up to 29mum in length synthesized at growth rates of up to 1.5mum/min result. By placing two MEMS structures in close proximity, 4--10mum apart, localized silicon nanowire growth can be used to link together MEMS structures to yield a two-terminal, self-assembled micro-to-nano system. Here, one MEMS structure is designated as the hot growth structure while a nearby structure is designated as the cold secondary structure, whose role is to provide a natural stopping point for the VLS reaction. The application of a localized electric-field, 5 to 13V/mum in strength, during the synthesis process, has been shown to improve nanowire

  3. Local sensor based on nanowire field effect transistor from inhomogeneously doped silicon on insulator

    NASA Astrophysics Data System (ADS)

    Presnov, Denis E.; Bozhev, Ivan V.; Miakonkikh, Andrew V.; Simakin, Sergey G.; Trifonov, Artem S.; Krupenin, Vladimir A.

    2018-02-01

    We present the original method for fabricating a sensitive field/charge sensor based on field effect transistor (FET) with a nanowire channel that uses CMOS-compatible processes only. A FET with a kink-like silicon nanowire channel was fabricated from the inhomogeneously doped silicon on insulator wafer very close (˜100 nm) to the extremely sharp corner of a silicon chip forming local probe. The single e-beam lithographic process with a shadow deposition technique, followed by separate two reactive ion etching processes, was used to define the narrow semiconductor nanowire channel. The sensors charge sensitivity was evaluated to be in the range of 0.1-0.2 e /√{Hz } from the analysis of their transport and noise characteristics. The proposed method provides a good opportunity for the relatively simple manufacture of a local field sensor for measuring the electrical field distribution, potential profiles, and charge dynamics for a wide range of mesoscopic objects. Diagnostic systems and devices based on such sensors can be used in various fields of physics, chemistry, material science, biology, electronics, medicine, etc.

  4. Structure, growth kinetics, and ledge flow during vapor-solid-solid growth of copper-catalyzed silicon nanowires.

    PubMed

    Wen, C-Y; Reuter, M C; Tersoff, J; Stach, E A; Ross, F M

    2010-02-10

    We use real-time observations of the growth of copper-catalyzed silicon nanowires to determine the nanowire growth mechanism directly and to quantify the growth kinetics of individual wires. Nanowires were grown in a transmission electron microscope using chemical vapor deposition on a copper-coated Si substrate. We show that the initial reaction is the formation of a silicide, eta'-Cu(3)Si, and that this solid silicide remains on the wire tips during growth so that growth is by the vapor-solid-solid mechanism. Individual wire directions and growth rates are related to the details of orientation relation and catalyst shape, leading to a rich morphology compared to vapor-liquid-solid grown nanowires. Furthermore, growth occurs by ledge propagation at the silicide/silicon interface, and the ledge propagation kinetics suggest that the solubility of precursor atoms in the catalyst is small, which is relevant to the fabrication of abrupt heterojunctions in nanowires.

  5. Microstructures of the silicon carbide nanowires obtained by annealing the mechanically-alloyed amorphous powders

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Pengfei, E-mail: zhangpengfei1984@163.com; Li, Xinli

    2015-07-15

    Silicon, graphite and boron nitride powders were mechanically alloyed for 40 h in argon. The as-milled powders were annealed at 1700 °C in nitrogen for 30 min. The annealed powders are covered by a thick layer of gray–green SiC nanowires, which are 300 nm to 1000 nm in diameter and several hundred microns in length. Trace iron in the raw powders acts as a catalyst, promoting the V–L–S process. It follows that the actual substances contributing to the growth of the SiC nanowires may be silicon, graphite and the metal impurities in the raw powders. The results from HRTEM andmore » XRD reveal that the products contain both straight α/β-SiC nanowires and nodular α/β-SiC nanochains. It is interestingly found that 6H–SiC coexists with 3C–SiC in one nodular nanowire. This novel structure may introduce periodic potential field along the longitudinal direction of the nanowires, and may find applications in the highly integrated optoelectronic devices. - Graphical abstract: Display Omitted - Highlights: • SiC nanowires were prepared by annealing the mechanically alloyed amorphous powders. • SiC nanowires are 300 nm to 1000 nm in diameter and several hundred microns in length. • The products contain both straight α/β-SiC nanowires and nodular α/β-SiC nanochains. • Trace Fe in the raw powders acts as a catalyst, promoting the V–L–S process. • 6H–SiC coexists with 3C–SiC in one nodular SiC nanowire.« less

  6. Fabrication of a Silicon Nanowire on a Bulk Substrate by Use of a Plasma Etching and Total Ionizing Dose Effects on a Gate-All-Around Field-Effect Transistor

    NASA Technical Reports Server (NTRS)

    Moon, Dong-Il; Han, Jin-Woo; Meyyappan, Meyya

    2016-01-01

    The gate all around transistor is investigated through experiment. The suspended silicon nanowire for the next generation is fabricated on bulk substrate by plasma etching method. The scallop pattern generated by Bosch process is utilized to form a floating silicon nanowire. By combining anisotropic and istropic silicon etch process, the shape of nanowire is accurately controlled. From the suspended nanowire, the gate all around transistor is demonstrated. As the silicon nanowire is fully surrounded by the gate, the device shows excellent electrostatic characteristics.

  7. Lifetime degradation of n-type Czochralski silicon after hydrogenation

    NASA Astrophysics Data System (ADS)

    Vaqueiro-Contreras, M.; Markevich, V. P.; Mullins, J.; Halsall, M. P.; Murin, L. I.; Falster, R.; Binns, J.; Coutinho, J.; Peaker, A. R.

    2018-04-01

    Hydrogen plays an important role in the passivation of interface states in silicon-based metal-oxide semiconductor technologies and passivation of surface and interface states in solar silicon. We have shown recently [Vaqueiro-Contreras et al., Phys. Status Solidi RRL 11, 1700133 (2017)] that hydrogenation of n-type silicon slices containing relatively large concentrations of carbon and oxygen impurity atoms {[Cs] ≥ 1 × 1016 cm-3 and [Oi] ≥ 1017 cm-3} can produce a family of C-O-H defects, which act as powerful recombination centres reducing the minority carrier lifetime. In this work, evidence of the silicon's lifetime deterioration after hydrogen injection from SiNx coating, which is widely used in solar cell manufacturing, has been obtained from microwave photoconductance decay measurements. We have characterised the hydrogenation induced deep level defects in n-type Czochralski-grown Si samples through a series of deep level transient spectroscopy (DLTS), minority carrier transient spectroscopy (MCTS), and high-resolution Laplace DLTS/MCTS measurements. It has been found that along with the hydrogen-related hole traps, H1 and H2, in the lower half of the gap reported by us previously, hydrogenation gives rise to two electron traps, E1 and E2, in the upper half of the gap. The activation energies for electron emission from the E1 and E2 trap levels have been determined as 0.12, and 0.14 eV, respectively. We argue that the E1/H1 and E2/H2 pairs of electron/hole traps are related to two energy levels of two complexes, each incorporating carbon, oxygen, and hydrogen atoms. Our results show that the detrimental effect of the C-O-H defects on the minority carrier lifetime in n-type Si:O + C materials can be very significant, and the carbon concentration in Czochralski-grown silicon is a key parameter in the formation of the recombination centers.

  8. Controlling bottom-up rapid growth of single crystalline gallium nitride nanowires on silicon.

    PubMed

    Wu, Ko-Li; Chou, Yi; Su, Chang-Chou; Yang, Chih-Chaing; Lee, Wei-I; Chou, Yi-Chia

    2017-12-20

    We report single crystalline gallium nitride nanowire growth from Ni and Ni-Au catalysts on silicon using hydride vapor phase epitaxy. The growth takes place rapidly; efficiency in time is higher than the conventional nanowire growth in metal-organic chemical vapor deposition and thin film growth in molecular beam epitaxy. The effects of V/III ratio and carrier gas flow on growth are discussed regarding surface polarity and sticking coefficient of molecules. The nanowires of gallium nitride exhibit excellent crystallinity with smooth and straight morphology and uniform orientation. The growth mechanism follows self-assembly from both catalysts, where Au acts as a protection from etching during growth enabling the growth of ultra-long nanowires. The photoluminescence of such nanowires are adjustable by tuning the growth parameters to achieve blue emission. The practical range of parameters for mass production of such high crystal quality and uniformity of nanowires is suggested.

  9. Self-aligned process for forming microlenses at the tips of vertical silicon nanowires by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dan, Yaping, E-mail: yaping.dan@sjtu.edu.cn; Chen, Kaixiang; Crozier, Kenneth B.

    The microlens is a key enabling technology in optoelectronics, permitting light to be efficiently coupled to and from devices such as image sensors and light-emitting diodes. Their ubiquitous nature motivates the development of new fabrication techniques, since existing methods face challenges as microlenses are scaled to smaller dimensions. Here, the authors demonstrate the formation of microlenses at the tips of vertically oriented silicon nanowires via a rapid atomic layer deposition process. The nature of the process is such that the microlenses are centered on the nanowires, and there is a self-limiting effect on the final sizes of the microlenses arisingmore » from the nanowire spacing. Finite difference time domain electromagnetic simulations are performed of microlens focusing properties, including showing their ability to enhance visible-wavelength absorption in silicon nanowires.« less

  10. Large-size, high-uniformity, random silver nanowire networks as transparent electrodes for crystalline silicon wafer solar cells.

    PubMed

    Xie, Shouyi; Ouyang, Zi; Jia, Baohua; Gu, Min

    2013-05-06

    Metal nanowire networks are emerging as next generation transparent electrodes for photovoltaic devices. We demonstrate the application of random silver nanowire networks as the top electrode on crystalline silicon wafer solar cells. The dependence of transmittance and sheet resistance on the surface coverage is measured. Superior optical and electrical properties are observed due to the large-size, highly-uniform nature of these networks. When applying the nanowire networks on the solar cells with an optimized two-step annealing process, we achieved as large as 19% enhancement on the energy conversion efficiency. The detailed analysis reveals that the enhancement is mainly caused by the improved electrical properties of the solar cells due to the silver nanowire networks. Our result reveals that this technology is a promising alternative transparent electrode technology for crystalline silicon wafer solar cells.

  11. Thermal conductivity engineering in width-modulated silicon nanowires and thermoelectric efficiency enhancement

    NASA Astrophysics Data System (ADS)

    Zianni, Xanthippi

    2018-03-01

    Width-modulated nanowires have been proposed as efficient thermoelectric materials. Here, the electron and phonon transport properties and the thermoelectric efficiency are discussed for dimensions above the quantum confinement regime. The thermal conductivity decreases dramatically in the presence of thin constrictions due to their ballistic thermal resistance. It shows a scaling behavior upon the width-modulation rate that allows for thermal conductivity engineering. The electron conductivity also decreases due to enhanced boundary scattering by the constrictions. The effect of boundary scattering is weaker for electrons than for phonons and the overall thermoelectric efficiency is enhanced. A ZT enhancement by a factor of 20-30 is predicted for width-modulated nanowires compared to bulk silicon. Our findings indicate that width-modulated nanostructures are promising for developing silicon nanostructures with high thermoelectric efficiency.

  12. Optoelectrical modeling of solar cells based on c-Si/a-Si:H nanowire array: focus on the electrical transport in between the nanowires.

    PubMed

    Levtchenko, Alexandra; Le Gall, Sylvain; Lachaume, Raphaël; Michallon, Jérôme; Collin, Stéphane; Alvarez, José; Djebbour, Zakaria; Kleider, Jean-Paul

    2018-06-22

    By coupling optical and electrical modeling, we have investigated the photovoltaic performances of p-i-n radial nanowires array based on crystalline p-type silicon (c-Si) core/hydrogenated amorphous silicon (a-Si:H) shell. By varying either the doping concentration of the c-Si core, or back contact work function we can separate and highlight the contribution to the cell's performance of the nanowires themselves (the radial cell) from the interspace between the nanowires (the planar cell). We show that the build-in potential (V bi ) in the radial and planar cells strongly depends on the doping of c-Si core and the work function of the back contact respectively. Consequently, the solar cell's performance is degraded if either the doping concentration of the c-Si core, or/and the work function of the back contact is too low. By inserting a thin (p) a-Si:H layer between both core/absorber and back contact/absorber, the performance of the solar cell can be improved by partly fixing the V bi at both interfaces due to strong electrostatic screening effect. Depositing such a buffer layer playing the role of an electrostatic screen for charge carriers is a suggested way of enhancing the performance of solar cells based on radial p-i-n or n-i-p nanowire array.

  13. Optoelectrical modeling of solar cells based on c-Si/a-Si:H nanowire array: focus on the electrical transport in between the nanowires

    NASA Astrophysics Data System (ADS)

    Levtchenko, Alexandra; Le Gall, Sylvain; Lachaume, Raphaël; Michallon, Jérôme; Collin, Stéphane; Alvarez, José; Djebbour, Zakaria; Kleider, Jean-Paul

    2018-06-01

    By coupling optical and electrical modeling, we have investigated the photovoltaic performances of p-i-n radial nanowires array based on crystalline p-type silicon (c-Si) core/hydrogenated amorphous silicon (a-Si:H) shell. By varying either the doping concentration of the c-Si core, or back contact work function we can separate and highlight the contribution to the cell’s performance of the nanowires themselves (the radial cell) from the interspace between the nanowires (the planar cell). We show that the build-in potential (V bi) in the radial and planar cells strongly depends on the doping of c-Si core and the work function of the back contact respectively. Consequently, the solar cell’s performance is degraded if either the doping concentration of the c-Si core, or/and the work function of the back contact is too low. By inserting a thin (p) a-Si:H layer between both core/absorber and back contact/absorber, the performance of the solar cell can be improved by partly fixing the V bi at both interfaces due to strong electrostatic screening effect. Depositing such a buffer layer playing the role of an electrostatic screen for charge carriers is a suggested way of enhancing the performance of solar cells based on radial p-i-n or n-i-p nanowire array.

  14. Superamphiphobic Silicon-Nanowire-Embedded Microsystem and In-Contact Flow Performance of Gas and Liquid Streams.

    PubMed

    Ko, Dong-Hyeon; Ren, Wurong; Kim, Jin-Oh; Wang, Jun; Wang, Hao; Sharma, Siddharth; Faustini, Marco; Kim, Dong-Pyo

    2016-01-26

    Gas and liquid streams are invariably separated either by a solid wall or by a membrane for heat or mass transfer between the gas and liquid streams. Without the separating wall, the gas phase is present as bubbles in liquid or, in a microsystem, as gas plugs between slugs of liquid. Continuous and direct contact between the two moving streams of gas and liquid is quite an efficient way of achieving heat or mass transfer between the two phases. Here, we report a silicon nanowire built-in microsystem in which a liquid stream flows in contact with an underlying gas stream. The upper liquid stream does not penetrate into the lower gas stream due to the superamphiphobic nature of the silicon nanowires built into the bottom wall, thereby preserving the integrity of continuous gas and liquid streams, although they are flowing in contact. Due to the superamphiphobic nature of silicon nanowires, the microsystem provides the best possible interfacial mass transfer known to date between flowing gas and liquid phases, which can achieve excellent chemical performance in two-phase organic syntheses.

  15. Fabricating and Controlling Silicon Zigzag Nanowires by Diffusion-Controlled Metal-Assisted Chemical Etching Method.

    PubMed

    Chen, Yun; Zhang, Cheng; Li, Liyi; Tuan, Chia-Chi; Wu, Fan; Chen, Xin; Gao, Jian; Ding, Yong; Wong, Ching-Ping

    2017-07-12

    Silicon (Si) zigzag nanowires (NWs) have a great potential in many applications because of its high surface/volume ratio. However, fabricating Si zigzag NWs has been challenging. In this work, a diffusion-controlled metal-assisted chemical etching method is developed to fabricate Si zigzag NWs. By tailoring the composition of etchant to change its diffusivity, etching direction, and etching time, various zigzag NWs can be easily fabricated. In addition, it is also found that a critical length of NW (>1 μm) is needed to form zigzag nanowires. Also, the amplitude of zigzag increases as the location approaches the center of the substrate and the length of zigzag nanowire increases. It is also demonstrated that such zigzag NWs can help the silicon substrate for self-cleaning and antireflection. This method may provide a feasible and economical way to fabricate zigzag NWs and novel structures for broad applications.

  16. Fabrication of amorphous silica nanowires via oxygen plasma treatment of polymers on silicon

    NASA Astrophysics Data System (ADS)

    Chen, Zhuojie; She, Didi; Chen, Qinghua; Li, Yanmei; Wu, Wengang

    2018-02-01

    We demonstrate a facile non-catalytic method of fabricating silica nanowires at room temperature. Different polymers including photoresists, parylene C and polystyrene are patterned into pedestals on the silicon substrates. The silica nanowires are obtained via the oxygen plasma treatment on those pedestals. Compared to traditional strategies of silica nanowire fabrication, this method is much simpler and low-cost. Through designing the proper initial patterns and plasma process parameters, the method can be used to fabricate various regiment nano-scale silica structure arrays in any laboratory with a regular oxygen-plasma-based cleaner or reactive-ion-etching equipment.

  17. Controlled Synthesis of Millimeter-Long Silicon Nanowires with Uniform Electronic Properties

    PubMed Central

    Park, Won Il; Zheng, Gengfeng; Jiang, Xiaocheng; Tian, Bozhi; Lieber, Charles M.

    2009-01-01

    We report the nanocluster-catalyzed growth of ultra-long and highly-uniform single-crystalline silicon nanowires (SiNWs) with millimeter-scale lengths and aspect ratios up to ca. 100,000. The average SiNW growth rate using disilane (Si2H6) at 400 °C was 31 µm/min, while the growth rate determined for silane (SiH4) reactant under similar growth conditions was 130 times lower. Transmission electron microscopy studies of millimeter-long SiNWs with diameters of 20–80 nm show that the nanowires grow preferentially along the <110> direction independent of diameter. In addition, ultra-long SiNWs were used as building blocks to fabricate one-dimensional arrays of field-effect transistors (FETs) consisting of ca. 100 independent devices per nanowire. Significantly, electrical transport measurements demonstrated that the millimeter-long SiNWs had uniform electrical properties along the entire length of wires, and each device can behave as a reliable FET with an on-state current, threshold voltage, and transconductance values (average ± 1 standard deviation) of 1.8 ± 0.3 µA, 6.0 ± 1.1 V, 210 ± 60 nS, respectively. Electronically-uniform millimeter-long SiNWs were also functionalized with monoclonal antibody receptors, and used to demonstrate multiplexed detection of cancer marker proteins with a single nanowire. The synthesis of structurally- and electronically-uniform ultra-long SiNWs may open up new opportunities for integrated nanoelectronics, and could serve as unique building blocks linking integrated structures from the nanometer through millimeter length scales. PMID:18710294

  18. Silicon nanowire field-effect transistors for the detection of proteins

    NASA Astrophysics Data System (ADS)

    Madler, Carsten

    In this dissertation I present results on our efforts to increase the sensitivity and selectivity of silicon nanowire ion-sensitive field-effect transistors for the detection of biomarkers, as well as a novel method for wireless power transfer based on metamaterial rectennas for their potential use as implantable sensors. The sensing scheme is based on changes in the conductance of the semiconducting nanowires upon binding of charged entities to the surface, which induces a field-effect. Monitoring the differential conductance thus provides information of the selective binding of biological molecules of interest to previously covalently linked counterparts on the nanowire surface. In order to improve on the performance of the nanowire sensing, we devised and fabricated a nanowire Wheatstone bridge, which allows canceling out of signal drift due to thermal fluctuations and dynamics of fluid flow. We showed that balancing the bridge significantly improves the signal-to-noise ratio. Further, we demonstrated the sensing of novel melanoma biomarker TROY at clinically relevant concentrations and distinguished it from nonspecific binding by comparing the reaction kinetics. For increased sensitivity, an amplification method was employed using an enzyme which catalyzes a signal-generating reaction by changing the redox potential of a redox pair. In addition, we investigated the electric double layer, which forms around charges in an electrolytic solution. It causes electrostatic screening of the proteins of interest, which puts a fundamental limitation on the biomarker detection in solutions with high salt concentrations, such as blood. We solved the coupled Nernst-Planck and Poisson equations for the electrolyte under influence of an oscillating electric field and discovered oscillations of the counterion concentration at a characteristic frequency. In addition to exploring different methods for improved sensing capabilities, we studied an innovative method to supply power

  19. Optically efficient InAsSb nanowires for silicon-based mid-wavelength infrared optoelectronics.

    PubMed

    Zhuang, Q D; Alradhi, H; Jin, Z M; Chen, X R; Shao, J; Chen, X; Sanchez, Ana M; Cao, Y C; Liu, J Y; Yates, P; Durose, K; Jin, C J

    2017-03-10

    InAsSb nanowires (NWs) with a high Sb content have potential in the fabrication of advanced silicon-based optoelectronics such as infrared photondetectors/emitters and highly sensitive phototransistors, as well as in the generation of renewable electricity. However, producing optically efficient InAsSb NWs with a high Sb content remains a challenge, and optical emission is limited to 4.0 μm due to the quality of the nanowires. Here, we report, for the first time, the success of high-quality and optically efficient InAsSb NWs enabling silicon-based optoelectronics operating in entirely mid-wavelength infrared. Pure zinc-blende InAsSb NWs were realized with efficient photoluminescence emission. We obtained room-temperature photoluminescence emission in InAs NWs and successfully extended the emission wavelength in InAsSb NWs to 5.1 μm. The realization of this optically efficient InAsSb NW material paves the way to realizing next-generation devices, combining advances in III-V semiconductors and silicon.

  20. Dislocation-induced nanoparticle decoration on a GaN nanowire.

    PubMed

    Yang, Bing; Yuan, Fang; Liu, Qingyun; Huang, Nan; Qiu, Jianhang; Staedler, Thorsten; Liu, Baodan; Jiang, Xin

    2015-02-04

    GaN nanowires with homoepitaxial decorated GaN nanoparticles on their surface along the radial direction have been synthesized by means of a chemical vapor deposition method. The growth of GaN nanowires is catalyzed by Au particles via the vapor-liquid-solid (VLS) mechanism. Screw dislocations are generated along the radial direction of the nanowires under slight Zn doping. In contrast to the metal-catalyst-assisted VLS growth, GaN nanoparticles are found to prefer to nucleate and grow at these dislocation sites. High-resolution transmission electron microscopy (HRTEM) analysis demonstrates that the GaN nanoparticles possess two types of epitaxial orientation with respect to the corresponding GaN nanowire: (I) [1̅21̅0]np//[1̅21̅0]nw, (0001)np//(0001)nw; (II) [1̅21̅3]np//[12̅10]nw, (101̅0)np//(101̅0)nw. An increased Ga signal in the energy-dispersive spectroscopy (EDS) profile lines of the nanowires suggests GaN nanoparticle growth at the edge surface of the wires. All the crystallographic results confirm the importance of the dislocations with respect to the homoepitaxial growth of the GaN nanoparticles. Here, screw dislocations situated on the (0001) plane provide the self-step source to enable nucleation of the GaN nanoparticles.

  1. Three dimensional reconstruction of InGaN nanodisks in GaN nanowires: Improvement of the nanowire sample preparation to avoid missing wedge effects

    NASA Astrophysics Data System (ADS)

    Gries, Katharina Ines; Schlechtweg, Julian; Hille, Pascal; Schörmann, Jörg; Eickhoff, Martin; Volz, Kerstin

    2017-10-01

    Scanning transmission electron microscopy is an extremely useful method to image small features with a size in the range of a few nanometers and below. But it must be taken into account that such images are projections of the sample and do not necessarily represent the real three dimensional structure of the specimen. By applying electron tomography this problem can be overcome. In our work GaN nanowires including InGaN nanodisks were investigated. To reduce the effect of the missing wedge a single nanowire was removed from the underlying silicon substrate using a manipulator needle and attached to a tomography holder. Since this sample exhibits the same thickness of few tens of nanometers in all directions normal to the tilt axis, this procedure allows a sample tilt of ±90°. Reconstruction of the received data reveals a split of the InGaN nanodisks into a horizontal continuation of the (0 0 0 1 bar) central facet and a declined {1 0 1 bar l} facet (with l = -2 or -3).

  2. CMOS-Compatible Silicon Nanowire Field-Effect Transistor Biosensor: Technology Development toward Commercialization

    PubMed Central

    Wolfrum, Bernhard; Thierry, Benjamin

    2018-01-01

    Owing to their two-dimensional confinements, silicon nanowires display remarkable optical, magnetic, and electronic properties. Of special interest has been the development of advanced biosensing approaches based on the field effect associated with silicon nanowires (SiNWs). Recent advancements in top-down fabrication technologies have paved the way to large scale production of high density and quality arrays of SiNW field effect transistor (FETs), a critical step towards their integration in real-life biosensing applications. A key requirement toward the fulfilment of SiNW FETs’ promises in the bioanalytical field is their efficient integration within functional devices. Aiming to provide a comprehensive roadmap for the development of SiNW FET based sensing platforms, we critically review and discuss the key design and fabrication aspects relevant to their development and integration within complementary metal-oxide-semiconductor (CMOS) technology. PMID:29751688

  3. CMOS-Compatible Silicon Nanowire Field-Effect Transistor Biosensor: Technology Development toward Commercialization.

    PubMed

    Tran, Duy Phu; Pham, Thuy Thi Thanh; Wolfrum, Bernhard; Offenhäusser, Andreas; Thierry, Benjamin

    2018-05-11

    Owing to their two-dimensional confinements, silicon nanowires display remarkable optical, magnetic, and electronic properties. Of special interest has been the development of advanced biosensing approaches based on the field effect associated with silicon nanowires (SiNWs). Recent advancements in top-down fabrication technologies have paved the way to large scale production of high density and quality arrays of SiNW field effect transistor (FETs), a critical step towards their integration in real-life biosensing applications. A key requirement toward the fulfilment of SiNW FETs' promises in the bioanalytical field is their efficient integration within functional devices. Aiming to provide a comprehensive roadmap for the development of SiNW FET based sensing platforms, we critically review and discuss the key design and fabrication aspects relevant to their development and integration within complementary metal-oxide-semiconductor (CMOS) technology.

  4. Alignment control and atomically-scaled heteroepitaxial interface study of GaN nanowires.

    PubMed

    Liu, Qingyun; Liu, Baodan; Yang, Wenjin; Yang, Bing; Zhang, Xinglai; Labbé, Christophe; Portier, Xavier; An, Vladimir; Jiang, Xin

    2017-04-20

    Well-aligned GaN nanowires are promising candidates for building high-performance optoelectronic nanodevices. In this work, we demonstrate the epitaxial growth of well-aligned GaN nanowires on a [0001]-oriented sapphire substrate in a simple catalyst-assisted chemical vapor deposition process and their alignment control. It is found that the ammonia flux plays a key role in dominating the initial nucleation of GaN nanocrystals and their orientation. Typically, significant improvement of the GaN nanowire alignment can be realized at a low NH 3 flow rate. X-ray diffraction and cross-sectional scanning electron microscopy studies further verified the preferential orientation of GaN nanowires along the [0001] direction. The growth mechanism of GaN nanowire arrays is also well studied based on cross-sectional high-resolution transmission electron microscopy (HRTEM) characterization and it is observed that GaN nanowires have good epitaxial growth on the sapphire substrate following the crystallographic relationship between (0001) GaN ∥(0001) sapphire and (101[combining macron]0) GaN ∥(112[combining macron]0) sapphire . Most importantly, periodic misfit dislocations are also experimentally observed in the interface region due to the large lattice mismatch between the GaN nanowire and the sapphire substrate, and the formation of such dislocations will favor the release of structural strain in GaN nanowires. HRTEM analysis also finds the existence of "type I" stacking faults and voids inside the GaN nanowires. Optical investigation suggests that the GaN nanowire arrays have strong emission in the UV range, suggesting their crystalline nature and chemical purity. The achievement of aligned GaN nanowires will further promote the wide applications of GaN nanostructures toward diverse high-performance optoelectronic nanodevices including nano-LEDs, photovoltaic cells, photodetectors etc.

  5. The controlled growth of GaN nanowires.

    PubMed

    Hersee, Stephen D; Sun, Xinyu; Wang, Xin

    2006-08-01

    This paper reports a scalable process for the growth of high-quality GaN nanowires and uniform nanowire arrays in which the position and diameter of each nanowire is precisely controlled. The approach is based on conventional metalorganic chemical vapor deposition using regular precursors and requires no additional metal catalyst. The location, orientation, and diameter of each GaN nanowire are controlled using a thin, selective growth mask that is patterned by interferometric lithography. It was found that use of a pulsed MOCVD process allowed the nanowire diameter to remain constant after the nanowires had emerged from the selective growth mask. Vertical GaN nanowire growth rates in excess of 2 mum/h were measured, while remarkably the diameter of each nanowire remained constant over the entire (micrometer) length of the nanowires. The paper reports transmission electron microscopy and photoluminescence data.

  6. High-performance silicon nanowire field-effect transistor with silicided contacts

    NASA Astrophysics Data System (ADS)

    Rosaz, G.; Salem, B.; Pauc, N.; Gentile, P.; Potié, A.; Solanki, A.; Baron, T.

    2011-08-01

    Undoped silicon nanowire (Si NW) field-effect transistors (FETs) with a back-gate configuration have been fabricated and characterized. A thick (200 nm) Si3N4 layer was used as a gate insulator and a p++ silicon substrate as a back gate. Si NWs have been grown by the chemical vapour deposition method using the vapour-liquid-solid mechanism and gold as a catalyst. Metallic contacts have been deposited using Ni/Al (80 nm/120 nm) and characterized before and after an optimized annealing step at 400 °C, which resulted in a great decrease in the contact resistance due to the newly formed nickel silicide/Si interface at source and drain. These optimized devices show a good hole mobility of around 200 cm2 V-1 s-1, in the same range as the bulk material, with a good ON current density of about 28 kA cm-2. Finally, hysteretic behaviour of NW channel conductance is discussed to explain the importance of NW surface passivation.

  7. Critical Role of Diels-Adler Adducts to Realise Stretchable Transparent Electrodes Based on Silver Nanowires and Silicone Elastomer

    NASA Astrophysics Data System (ADS)

    Heo, Gaeun; Pyo, Kyoung-Hee; Lee, Da Hee; Kim, Youngmin; Kim, Jong-Woong

    2016-05-01

    This paper presents the successful fabrication of a transparent electrode comprising a sandwich structure of silicone/Ag nanowires (AgNWs)/silicone equipped with Diels-Alder (DA) adducts as crosslinkers to realise highly stable stretchability. Because of the reversible DA reaction, the crosslinked silicone successfully bonds with the silicone overcoat, which should completely seal the electrode. Thus, any surrounding liquid cannot leak through the interfaces among the constituents. Furthermore, the nanowires are protected by the silicone cover when they are stressed by mechanical loads such as bending, folding, and stretching. After delicate optimisation of the layered silicone/AgNW/silicone sandwich structure, a stretchable transparent electrode which can withstand 1000 cycles of 50% stretching-releasing with an exceptionally high stability and reversibility was fabricated. This structure can be used as a transparent strain sensor; it possesses a strong piezoresistivity with a gauge factor greater than 11.

  8. Bandgap engineering of GaN nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ming, Bang-Ming; Yan, Hui; Wang, Ru-Zhi, E-mail: wrz@bjut.edu.cn, E-mail: yamcy@csrc.ac.cn

    2016-05-15

    Bandgap engineering has been a powerful technique for manipulating the electronic and optical properties of semiconductors. In this work, a systematic investigation of the electronic properties of [0001] GaN nanowires was carried out using the density functional based tight-binding method (DFTB). We studied the effects of geometric structure and uniaxial strain on the electronic properties of GaN nanowires with diameters ranging from 0.8 to 10 nm. Our results show that the band gap of GaN nanowires depends linearly on both the surface to volume ratio (S/V) and tensile strain. The band gap of GaN nanowires increases linearly with S/V, whilemore » it decreases linearly with increasing tensile strain. These linear relationships provide an effect way in designing GaN nanowires for their applications in novel nano-devices.« less

  9. Enhanced lithium ion battery cycling of silicon nanowire anodes by template growth to eliminate silicon underlayer islands.

    PubMed

    Cho, Jeong-Hyun; Picraux, S Tom

    2013-01-01

    It is well-known that one-dimensional nanostructures reduce pulverization of silicon (Si)-based anode materials during Li ion cycling because they allow lateral relaxation. However, even with improved designs, Si nanowire-based structures still exhibit limited cycling stability for extended numbers of cycles, with the specific capacity retention with cycling not showing significant improvements over commercial carbon-based anode materials. We have found that one important reason for the lack of long cycling stability can be the presence of milli- and microscale Si islands which typically form under nanowire arrays during their growth. Stress buildup in these Si island underlayers with cycling results in cracking, and the loss of specific capacity for Si nanowire anodes, due to progressive loss of contact with current collectors. We show that the formation of these parasitic Si islands for Si nanowires grown directly on metal current collectors can be avoided by growth through anodized aluminum oxide templates containing a high density of sub-100 nm nanopores. Using this template approach we demonstrate significantly enhanced cycling stability for Si nanowire-based lithium-ion battery anodes, with retentions of more than ~1000 mA·h/g discharge capacity over 1100 cycles.

  10. Ultrashort channel silicon nanowire transistors with nickel silicide source/drain contacts.

    PubMed

    Tang, Wei; Dayeh, Shadi A; Picraux, S Tom; Huang, Jian Yu; Tu, King-Ning

    2012-08-08

    We demonstrate the shortest transistor channel length (17 nm) fabricated on a vapor-liquid-solid (VLS) grown silicon nanowire (NW) by a controlled reaction with Ni leads on an in situ transmission electron microscope (TEM) heating stage at a moderate temperature of 400 °C. NiSi(2) is the leading phase, and the silicide-silicon interface is an atomically sharp type-A interface. At such channel lengths, high maximum on-currents of 890 (μA/μm) and a maximum transconductance of 430 (μS/μm) were obtained, which pushes forward the performance of bottom-up Si NW Schottky barrier field-effect transistors (SB-FETs). Through accurate control over the silicidation reaction, we provide a systematic study of channel length dependent carrier transport in a large number of SB-FETs with channel lengths in the range of 17 nm to 3.6 μm. Our device results corroborate with our transport simulations and reveal a characteristic type of short channel effects in SB-FETs, both in on- and off-state, which is different from that in conventional MOSFETs, and that limits transport parameter extraction from SB-FETs using conventional field-effect transconductance measurements.

  11. Effect of indium on photovoltaic property of n-ZnO/p-Si heterojunction device prepared using solution-synthesized ZnO nanowire film

    NASA Astrophysics Data System (ADS)

    Kathalingam, Adaikalam; Kim, Hyun-Seok; Park, Hyung-Moo; Valanarasu, Santiyagu; Mahalingam, Thaiyan

    2015-01-01

    Preparation of n-ZnO/p-Si heterostructures using solution-synthesized ZnO nanowire films and their photovoltaic characterization is reported. The solution-grown ZnO nanowire film is characterized using scanning electron microscope, electron dispersive x-ray, and optical absorption studies. Electrical and photovoltaic properties of the fabricated heterostructures are studied using e-beam-evaporated aluminum as metal contacts. In order to use transparent contact and to simultaneously collect the photogenerated carriers, sandwich-type solar cells were fabricated using ZnO nanorod films grown on p-silicon and indium tin oxide (ITO) coated glass as ITO/n-ZnO NR/p-Si. The electrical properties of these structures are analyzed from current-voltage (I-V) characteristics. ZnO nanowire film thickness-dependent photovoltaic properties are also studied. Indium metal was also deposited over the ZnO nanowires and its effects on the photovoltaic response of the devices were studied. The results demonstrated that all the samples exhibit a strong rectifying behavior indicating the diode nature of the devices. The sandwich-type ITO/n-ZnO NR/p-Si solar cells exhibit improved photovoltaic performance over the Al-metal-coated n-ZnO/p-Si structures. The indium deposition is found to show enhancement in photovoltaic behavior with a maximum open-circuit voltage (Voc) of 0.3 V and short-circuit current (Isc) of 70×10-6 A under ultraviolet light excitation.

  12. p-Type dopant incorporation and surface charge properties of catalyst-free GaN nanowires revealed by micro-Raman scattering and X-ray photoelectron spectroscopy.

    PubMed

    Wang, Q; Liu, X; Kibria, M G; Zhao, S; Nguyen, H P T; Li, K H; Mi, Z; Gonzalez, T; Andrews, M P

    2014-09-07

    Micro-Raman scattering and X-ray photoelectron spectroscopy were employed to investigate Mg-doped GaN nanowires. With the increase of Mg doping level, pronounced Mg-induced local vibrational modes were observed. The evolution of longitudinal optical phonon-plasmon coupled mode, together with detailed X-ray photoelectron spectroscopy studies, show that the near-surface region of nanowires can be transformed from weakly n-type to p-type with the increase of Mg doping.

  13. Monolithically Integrated High-β Nanowire Lasers on Silicon.

    PubMed

    Mayer, B; Janker, L; Loitsch, B; Treu, J; Kostenbader, T; Lichtmannecker, S; Reichert, T; Morkötter, S; Kaniber, M; Abstreiter, G; Gies, C; Koblmüller, G; Finley, J J

    2016-01-13

    Reliable technologies for the monolithic integration of lasers onto silicon represent the holy grail for chip-level optical interconnects. In this context, nanowires (NWs) fabricated using III-V semiconductors are of strong interest since they can be grown site-selectively on silicon using conventional epitaxial approaches. Their unique one-dimensional structure and high refractive index naturally facilitate low loss optical waveguiding and optical recirculation in the active NW-core region. However, lasing from NWs on silicon has not been achieved to date, due to the poor modal reflectivity at the NW-silicon interface. We demonstrate how, by inserting a tailored dielectric interlayer at the NW-Si interface, low-threshold single mode lasing can be achieved in vertical-cavity GaAs-AlGaAs core-shell NW lasers on silicon as measured at low temperature. By exploring the output characteristics along a detection direction parallel to the NW-axis, we measure very high spontaneous emission factors comparable to nanocavity lasers (β = 0.2) and achieve ultralow threshold pump energies ≤11 pJ/pulse. Analysis of the input-output characteristics of the NW lasers and the power dependence of the lasing emission line width demonstrate the potential for high pulsation rates ≥250 GHz. Such highly efficient nanolasers grown monolithically on silicon are highly promising for the realization of chip-level optical interconnects.

  14. Dual ohmic contact to N- and P-type silicon carbide

    NASA Technical Reports Server (NTRS)

    Okojie, Robert S. (Inventor)

    2013-01-01

    Simultaneous formation of electrical ohmic contacts to silicon carbide (SiC) semiconductor having donor and acceptor impurities (n- and p-type doping, respectively) is disclosed. The innovation provides for ohmic contacts formed on SiC layers having n- and p-doping at one process step during the fabrication of the semiconductor device. Further, the innovation provides a non-discriminatory, universal ohmic contact to both n- and p-type SiC, enhancing reliability of the specific contact resistivity when operated at temperatures in excess of 600.degree. C.

  15. Influence of the doping level on the porosity of silicon nanowires prepared by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Geyer, Nadine; Wollschläger, Nicole; Fuhrmann, Bodo; Tonkikh, Alexander; Berger, Andreas; Werner, Peter; Jungmann, Marco; Krause-Rehberg, Reinhard; Leipner, Hartmut S.

    2015-06-01

    A systematic method to control the porosity of silicon nanowires is presented. This method is based on metal-assisted chemical etching (MACE) and takes advantage of an HF/H2O2 etching solution and a silver catalyst in the form of a thin patterned film deposited on a doped silicon wafer. It is found that the porosity of the etched nanowires can be controlled by the doping level of the wafer. For low doping concentrations, the wires are primarily crystalline and surrounded by only a very thin layer of porous silicon (pSi) layer, while for highly doped silicon, they are porous in their entire volume. We performed a series of controlled experiments to conclude that there exists a well-defined critical doping concentration separating the crystalline and porous regimes. Furthermore, transmission electron microscopy investigations showed that the pSi has also a crystalline morphology on a length scale smaller than the pore size, determined from positron annihilation lifetime spectroscopy to be mesoscopic. Based on the experimental evidence, we devise a theoretical model of the pSi formation during MACE and apply it for better control of the nanowire morphology.

  16. Fabrication of silicon nanowires based on-chip micro-supercapacitor

    NASA Astrophysics Data System (ADS)

    Soam, Ankur; Arya, Nitin; Singh, Aniruddh; Dusane, Rajiv

    2017-06-01

    An on-chip micro-supercapacitor (μ-SC) based on Silicon nanowires (SiNWs) has been developed by Hot-wire chemical vapor process. First, finger patterned electrodes of Al were made on a silicon nitride coated Si wafer and SiNWs were then grown selectively on the Al electrodes. μ-SC performance has been tested in an ionic electrolyte and a capacitance of 13 μF/cm2 has been obtained by the μ-SC. The resulted μ-SC can be exploited to store the harvesting energy in micro-electro-mechanical-systems and coupled with battery for peak power leveling. Low temperature growth of SiNWs at 350 °C makes it suitable for prospective flexible electronics applications.

  17. Multiscale Study of Plasmonic Scattering and Light Trapping Effect in Silicon Nanowire Array Solar Cells.

    PubMed

    Meng, Lingyi; Zhang, Yu; Yam, ChiYung

    2017-02-02

    Nanometallic structures that support surface plasmons provide new ways to confine light at deep-subwavelength scales. The effect of light scattering in nanowire array solar cells is studied by a multiscale approach combining classical electromagnetic (EM) and quantum mechanical simulations. A photovoltaic device is constructed by integrating a silicon nanowire array with a plasmonic silver nanosphere. The light scatterings by plasmonic element and nanowire array are obtained via classical EM simulations, while current-voltage characteristics and optical properties of the nanowire cells are evaluated quantum mechanically. We found that the power conversion efficiency (PCE) of photovoltaic device is substantially improved due to the local field enhancement of the plasmonic effect and light trapping by the nanowire array. In addition, we showed that there exists an optimal nanowire number density in terms of optical confinement and solar cell PCE.

  18. Silicon nanowires: electron holography studies of doped p-n junctions and biased Schottky barriers.

    PubMed

    He, Kai; Cho, Jeong-Hyun; Jung, Yeonwoong; Picraux, S Tom; Cumings, John

    2013-03-22

    We report an in situ examination of individual Si p-n junction nanowires (NWs) using off-axis electron holography (EH) during transmission electron microscopy. The SiNWs were synthesized by chemical vapor deposition with an axial dopant profile from n- to p-type, and then placed inside the transmission electron microscope as a cantilever geometry in contact with a movable Pt probe for in situ biasing measurements during simultaneous EH observations. The phase shift from EH indicates the potential shift between the p- and n-segments to be 1.03 ± 0.17 V due to the built-in voltage. The I-V characteristics of a single SiNW indicate the formation of a Schottky barrier between the NW tip and the movable Pt contact. EH observations show a strong concentration of electric field at this contact, preventing a change in the Si energy bands in the p-n junction region due to the applied bias.

  19. Laser-induced Greenish-Blue Photoluminescence of Mesoporous Silicon Nanowires

    PubMed Central

    Choi, Yan-Ru; Zheng, Minrui; Bai, Fan; Liu, Junjun; Tok, Eng-Soon; Huang, Zhifeng; Sow, Chorng-Haur

    2014-01-01

    Solid silicon nanowires and their luminescent properties have been widely studied, but lesser is known about the optical properties of mesoporous silicon nanowires (mp-SiNWs). In this work, we present a facile method to generate greenish-blue photoluminescence (GB-PL) by fast scanning a focused green laser beam (wavelength of 532 nm) on a close-packed array of mp-SiNWs to carry out photo-induced chemical modification. The threshold of laser power is 5 mW to excite the GB-PL, whose intensity increases with laser power in the range of 5–105 mW. The quenching of GB-PL comes to occur beyond 105 mW. The in-vacuum annealing effectively excites the GB-PL in the pristine mp-SiNWs and enhances the GB-PL of the laser-modified mp-SiNWs. A complex model of the laser-induced surface modification is proposed to account for the laser-power and post-annealing effect. Moreover, the fast scanning of focused laser beam enables us to locally tailor mp-SiNWs en route to a wide variety of micropatterns with different optical functionality, and we demonstrate the feasibility in the application of creating hidden images. PMID:24820533

  20. Silicon nanowire-based tunneling field-effect transistors on flexible plastic substrates.

    PubMed

    Lee, Myeongwon; Koo, Jamin; Chung, Eun-Ae; Jeong, Dong-Young; Koo, Yong-Seo; Kim, Sangsig

    2009-11-11

    A technique to implement silicon nanowire (SiNW)-based tunneling field-effect transistors (TFETs) on flexible plastic substrates is developed for the first time. The p-i-n configured Si NWs are obtained from an Si wafer using a conventional top-down CMOS-compatible technology, and they are then transferred onto the plastic substrate. Based on gate-controlled band-to-band tunneling (BTBT) as their working principle, the SiNW-based TFETs show normal p-channel switching behavior with a threshold voltage of -1.86 V and a subthreshold swing of 827 mV/dec. In addition, ambipolar conduction is observed due to the presence of the BTBT between the heavily doped p+ drain and n+ channel regions, indicating that our TFETs can operate in the n-channel mode as well. Furthermore, the BTBT generation rates for both the p-channel and n-channel operating modes are nearly independent of the bending state (strain = 0.8%) of the plastic substrate.

  1. Conversion between hexagonal GaN and beta-Ga(2)O(3) nanowires and their electrical transport properties.

    PubMed

    Li, Jianye; An, Lei; Lu, Chenguang; Liu, Jie

    2006-02-01

    We have observed that the hexagonal GaN nanowires grown from a simple chemical vapor deposition method using gallium metal and ammonia gas are usually gallium-doped. By annealing in air, the gallium-doped hexagonal GaN nanowires could be completely converted to beta-Ga(2)O(3) nanowires. Annealing the beta-Ga(2)O(3) nanowires in ammonia could convert them back to undoped hexagonal GaN nanowires. Field effect transistors based on these three kinds of nanowires were fabricated, and their performances were studied. Because of gallium doping, the as-grown GaN nanowires show a weak gating effect. Through the conversion process of GaN nanowires (gallium-doped) --> Ga(2)O(3) nanowires --> GaN nanowires (undoped) via annealing, the final undoped GaN nanowires display different electrical properties than the initial gallium-doped GaN nanowires, show a pronounced n-type gating effect, and can be completely turned off.

  2. Determination of n-Type Doping Level in Single GaAs Nanowires by Cathodoluminescence.

    PubMed

    Chen, Hung-Ling; Himwas, Chalermchai; Scaccabarozzi, Andrea; Rale, Pierre; Oehler, Fabrice; Lemaître, Aristide; Lombez, Laurent; Guillemoles, Jean-François; Tchernycheva, Maria; Harmand, Jean-Christophe; Cattoni, Andrea; Collin, Stéphane

    2017-11-08

    We present an effective method of determining the doping level in n-type III-V semiconductors at the nanoscale. Low-temperature and room-temperature cathodoluminescence (CL) measurements are carried out on single Si-doped GaAs nanowires. The spectral shift to higher energy (Burstein-Moss shift) and the broadening of luminescence spectra are signatures of increased electron densities. They are compared to the CL spectra of calibrated Si-doped GaAs layers, whose doping levels are determined by Hall measurements. We apply the generalized Planck's law to fit the whole spectra, taking into account the electron occupation in the conduction band, the bandgap narrowing, and band tails. The electron Fermi levels are used to determine the free electron concentrations, and we infer nanowire doping of 6 × 10 17 to 1 × 10 18  cm -3 . These results show that cathodoluminescence provides a robust way to probe carrier concentrations in semiconductors with the possibility of mapping spatial inhomogeneities at the nanoscale.

  3. Silicon nanowires reliability and robustness investigation using AFM-based techniques

    NASA Astrophysics Data System (ADS)

    Bieniek, Tomasz; Janczyk, Grzegorz; Janus, Paweł; Grabiec, Piotr; Nieprzecki, Marek; Wielgoszewski, Grzegorz; Moczała, Magdalena; Gotszalk, Teodor; Buitrago, Elizabeth; Badia, Montserrat F.; Ionescu, Adrian M.

    2013-07-01

    Silicon nanowires (SiNWs) have undergone intensive research for their application in novel integrated systems such as field effect transistor (FET) biosensors and mass sensing resonators profiting from large surface-to-volume ratios (nano dimensions). Such devices have been shown to have the potential for outstanding performances in terms of high sensitivity, selectivity through surface modification and unprecedented structural characteristics. This paper presents the results of mechanical characterization done for various types of suspended SiNWs arranged in a 3D array. The characterization has been performed using techniques based on atomic force microscopy (AFM). This investigation is a necessary prerequisite for the reliable and robust design of any biosensing system. This paper also describes the applied investigation methodology and reports measurement results aggregated during series of AFM-based tests.

  4. High-speed GaN/GaInN nanowire array light-emitting diode on silicon(111).

    PubMed

    Koester, Robert; Sager, Daniel; Quitsch, Wolf-Alexander; Pfingsten, Oliver; Poloczek, Artur; Blumenthal, Sarah; Keller, Gregor; Prost, Werner; Bacher, Gerd; Tegude, Franz-Josef

    2015-04-08

    The high speed on-off performance of GaN-based light-emitting diodes (LEDs) grown in c-plane direction is limited by long carrier lifetimes caused by spontaneous and piezoelectric polarization. This work demonstrates that this limitation can be overcome by m-planar core-shell InGaN/GaN nanowire LEDs grown on Si(111). Time-resolved electroluminescence studies exhibit 90-10% rise- and fall-times of about 220 ps under GHz electrical excitation. The data underline the potential of these devices for optical data communication in polymer fibers and free space.

  5. Effect of confinement on anharmonic phonon scattering and thermal conductivity in pristine silicon nanowires

    NASA Astrophysics Data System (ADS)

    Rashid, Zahid; Zhu, Liyan; Li, Wu

    2018-02-01

    The effect of confinement on the anharmonic phonon scattering rates and the consequences thereof on the thermal transport properties in ultrathin silicon nanowires with a diameter of 1-4 nm have been characterized using atomistic simulations and the phonon Boltzmann transport equation. The phonon density of states (PDOS) for ultrathin nanowires approaches a constant value in the vicinity of the Γ point and increases with decreasing diameter, which indicates the increasing importance of the low-frequency phonons as heat carriers. The anharmonic phonon scattering becomes dramatically enhanced with decreasing thickness of the nanowires. In the thinnest nanowire, the scattering rates for phonons above 1 THz are one order of magnitude higher than those in the bulk Si. Below 1 THz, the increase in scattering rates is even much more appreciable. Our numerical calculations revealed that the scattering rates for transverse (longitudinal) acoustic modes follow √{ω } (1 /√{ω } ) dependence at the low-frequency limit, whereas those for the degenerate flexural modes asymptotically approach a constant value. In addition, the group velocities of phonons are reduced compared with bulk Si except for low-frequency phonons (<1 -2 THz depending on the thickness of the nanowires). The increased scattering rates combined with reduced group velocities lead to a severely reduced thermal conductivity contribution from the high-frequency phonons. Although the thermal conductivity contributed by those phonons with low frequencies is instead increased mainly due to the increased PDOS, the total thermal conductivity is still reduced compared to that of the bulk. This work reveals an unexplored mechanism to understand the measured ultralow thermal conductivity of silicon nanowires.

  6. Organophosphonate-based PNA-functionalization of silicon nanowires for label-free DNA detection.

    PubMed

    Cattani-Scholz, Anna; Pedone, Daniel; Dubey, Manish; Neppl, Stefan; Nickel, Bert; Feulner, Peter; Schwartz, Jeffrey; Abstreiter, Gerhard; Tornow, Marc

    2008-08-01

    We investigated hydroxyalkylphosphonate monolayers as a novel platform for the biofunctionalization of silicon-based field effect sensor devices. This included a detailed study of the thin film properties of organophosphonate films on Si substrates using several surface analysis techniques, including AFM, ellipsometry, contact angle, X-ray photoelectron spectroscopy (XPS), X-ray reflectivity, and current-voltage characteristics in electrolyte solution. Our results indicate the formation of a dense monolayer on the native silicon oxide that has excellent passivation properties. The monolayer was biofunctionalized with 12 mer peptide nucleic acid (PNA) receptor molecules in a two-step procedure using the heterobifunctional linker, 3-maleimidopropionic-acid-N-hydroxysuccinimidester. Successful surface modification with the probe PNA was verified by XPS and contact angle measurements, and hybridization with DNA was determined by fluorescence measurements. Finally, the PNA functionalization protocol was translated to 2 microm long, 100 nm wide Si nanowire field effect devices, which were successfully used for label-free DNA/PNA hybridization detection.

  7. Effect of tetramethylammonium hydroxide/isopropyl alcohol wet etching on geometry and surface roughness of silicon nanowires fabricated by AFM lithography

    PubMed Central

    Yusoh, Siti Noorhaniah

    2016-01-01

    Summary The optimization of etchant parameters in wet etching plays an important role in the fabrication of semiconductor devices. Wet etching of tetramethylammonium hydroxide (TMAH)/isopropyl alcohol (IPA) on silicon nanowires fabricated by AFM lithography is studied herein. TMAH (25 wt %) with different IPA concentrations (0, 10, 20, and 30 vol %) and etching time durations (30, 40, and 50 s) were investigated. The relationships between etching depth and width, and etching rate and surface roughness of silicon nanowires were characterized in detail using atomic force microscopy (AFM). The obtained results indicate that increased IPA concentration in TMAH produced greater width of the silicon nanowires with a smooth surface. It was also observed that the use of a longer etching time causes more unmasked silicon layers to be removed. Importantly, throughout this study, wet etching with optimized parameters can be applied in the design of the devices with excellent performance for many applications. PMID:27826521

  8. Thermal conductivity of ultrathin nano-crystalline diamond films determined by Raman thermography assisted by silicon nanowires

    NASA Astrophysics Data System (ADS)

    Anaya, Julian; Rossi, Stefano; Alomari, Mohammed; Kohn, Erhard; Tóth, Lajos; Pécz, Béla; Kuball, Martin

    2015-06-01

    The thermal transport in polycrystalline diamond films near its nucleation region is still not well understood. Here, a steady-state technique to determine the thermal transport within the nano-crystalline diamond present at their nucleation site has been demonstrated. Taking advantage of silicon nanowires as surface temperature nano-sensors, and using Raman Thermography, the in-plane and cross-plane components of the thermal conductivity of ultra-thin diamond layers and their thermal barrier to the Si substrate were determined. Both components of the thermal conductivity of the nano-crystalline diamond were found to be well below the values of polycrystalline bulk diamond, with a cross-plane thermal conductivity larger than the in-plane thermal conductivity. Also a depth dependence of the lateral thermal conductivity through the diamond layer was determined. The results impact the design and integration of diamond for thermal management of AlGaN/GaN high power transistors and also show the usefulness of the nanowires as accurate nano-thermometers.

  9. Optical Control of Internal Electric Fields in Band Gap-Graded InGaN Nanowires

    NASA Astrophysics Data System (ADS)

    Erhard, N.; Sarwar, A. T. M. Golam; Yang, F.; McComb, D. W.; Myers, R. C.; Holleitner, A. W.

    2015-01-01

    InGaN nanowires are suitable building blocks for many future optoelectronic devices. We show that a linear grading of the indium content along the nanowire axis from GaN to InN introduces an internal electric field evoking a photocurrent. Consistent with quantitative band structure simulations we observe a sign change in the measured photocurrent as a function of photon flux. This negative differential photocurrent opens the path to a new type of nanowire-based photodetector. We demonstrate that the photocurrent response of the nanowires is as fast as 1.5 ps.

  10. Electrical Control of g-Factor in a Few-Hole Silicon Nanowire MOSFET.

    PubMed

    Voisin, B; Maurand, R; Barraud, S; Vinet, M; Jehl, X; Sanquer, M; Renard, J; De Franceschi, S

    2016-01-13

    Hole spins in silicon represent a promising yet barely explored direction for solid-state quantum computation, possibly combining long spin coherence, resulting from a reduced hyperfine interaction, and fast electrically driven qubit manipulation. Here we show that a silicon-nanowire field-effect transistor based on state-of-the-art silicon-on-insulator technology can be operated as a few-hole quantum dot. A detailed magnetotransport study of the first accessible hole reveals a g-factor with unexpectedly strong anisotropy and gate dependence. We infer that these two characteristics could enable an electrically driven g-tensor-modulation spin resonance with Rabi frequencies exceeding several hundred mega-Hertz.

  11. Effects of Asymmetric Local Joule Heating on Silicon Nanowire-Based Devices Formed by Dielectrophoresis Alignment Across Pt Electrodes

    NASA Astrophysics Data System (ADS)

    Ho, Hsiang-Hsi; Lin, Chun-Lung; Tsai, Wei-Che; Hong, Liang-Zheng; Lyu, Cheng-Han; Hsu, Hsun-Feng

    2018-01-01

    We demonstrate the fabrication and characterization of silicon nanowire-based devices in metal-nanowire-metal configuration using direct current dielectrophoresis. The current-voltage characteristics of the devices were found rectifying, and their direction of rectification could be determined by voltage sweep direction due to the asymmetric Joule heating effect that occurred in the electrical measurement process. The photosensing properties of the rectifying devices were investigated. It reveals that when the rectifying device was in reverse-biased mode, the excellent photoresponse was achieved due to the strong built-in electric field at the junction interface. It is expected that rectifying silicon nanowire-based devices through this novel and facile method can be potentially applied to other applications such as logic gates and sensors.

  12. Electronic transport through Al/InN nanowire/Al junctions

    DOE PAGES

    Lu, Tzu -Ming; Wang, George T.; Pan, Wei; ...

    2016-02-10

    We report non-linear electronic transport measurement of Al/Si-doped n-type InN nanowire/Al junctions performed at T = 0.3 K, below the superconducting transition temperature of the Al electrodes. The proximity effect is observed in these devices through a strong dip in resistance at zero bias. In addition to the resistance dip at zero bias, several resistance peaks can be identified at bias voltages above the superconducting gap of the electrodes, while no resistance dip is observed at the superconducting gap. The resistance peaks disappear as the Al electrodes turn normal beyond the critical magnetic field except one which remains visible atmore » fields several times higher than critical magnetic field. An unexpected non-monotonic magnetic field dependence of the peak position is observed. As a result, we discuss the physical origin of these observations and propose that the resistance peaks could be the McMillan-Rowell oscillations arising from different closed paths localized near different regions of the junctions.« less

  13. Monolithic Integration of a Silicon Nanowire Field-Effect Transistors Array on a Complementary Metal-Oxide Semiconductor Chip for Biochemical Sensor Applications

    PubMed Central

    Livi, Paolo; Kwiat, Moria; Shadmani, Amir; Pevzner, Alexander; Navarra, Giulio; Rothe, Jörg; Stettler, Alexander; Chen, Yihui; Patolsky, Fernando; Hierlemann, Andreas

    2017-01-01

    We present a monolithic complementary metal-oxide semiconductor (CMOS)-based sensor system comprising an array of silicon nanowire field-effect transistors (FETs) and the signal-conditioning circuitry on the same chip. The silicon nanowires were fabricated by chemical vapor deposition methods and then transferred to the CMOS chip, where Ti/Pd/Ti contacts had been patterned via e-beam lithography. The on-chip circuitry measures the current flowing through each nanowire FET upon applying a constant source-drain voltage. The analog signal is digitized on chip and then transmitted to a receiving unit. The system has been successfully fabricated and tested by acquiring I−V curves of the bare nanowire-based FETs. Furthermore, the sensing capabilities of the complete system have been demonstrated by recording current changes upon nanowire exposure to solutions of different pHs, as well as by detecting different concentrations of Troponin T biomarkers (cTnT) through antibody-functionalized nanowire FETs. PMID:26348408

  14. Monolithic integration of a silicon nanowire field-effect transistors array on a complementary metal-oxide semiconductor chip for biochemical sensor applications.

    PubMed

    Livi, Paolo; Kwiat, Moria; Shadmani, Amir; Pevzner, Alexander; Navarra, Giulio; Rothe, Jörg; Stettler, Alexander; Chen, Yihui; Patolsky, Fernando; Hierlemann, Andreas

    2015-10-06

    We present a monolithic complementary metal-oxide semiconductor (CMOS)-based sensor system comprising an array of silicon nanowire field-effect transistors (FETs) and the signal-conditioning circuitry on the same chip. The silicon nanowires were fabricated by chemical vapor deposition methods and then transferred to the CMOS chip, where Ti/Pd/Ti contacts had been patterned via e-beam lithography. The on-chip circuitry measures the current flowing through each nanowire FET upon applying a constant source-drain voltage. The analog signal is digitized on chip and then transmitted to a receiving unit. The system has been successfully fabricated and tested by acquiring I-V curves of the bare nanowire-based FETs. Furthermore, the sensing capabilities of the complete system have been demonstrated by recording current changes upon nanowire exposure to solutions of different pHs, as well as by detecting different concentrations of Troponin T biomarkers (cTnT) through antibody-functionalized nanowire FETs.

  15. APPLIED OPTICS. Voltage-tunable circular photogalvanic effect in silicon nanowires.

    PubMed

    Dhara, Sajal; Mele, Eugene J; Agarwal, Ritesh

    2015-08-14

    Electronic bands in crystals can support nontrivial topological textures arising from spin-orbit interactions, but purely orbital mechanisms can realize closely related dynamics without breaking spin degeneracies, opening up applications in materials containing only light elements. One such application is the circular photogalvanic effect (CPGE), which is the generation of photocurrents whose magnitude and polarity depend on the chirality of optical excitation. We show that the CPGE can arise from interband transitions at the metal contacts to silicon nanowires, where inversion symmetry is locally broken by an electric field. Bias voltage that modulates this field further controls the sign and magnitude of the CPGE. The generation of chirality-dependent photocurrents in silicon with a purely orbital-based mechanism will enable new functionalities in silicon that can be integrated with conventional electronics. Copyright © 2015, American Association for the Advancement of Science.

  16. Quantified hole concentration in AlGaN nanowires for high-performance ultraviolet emitters.

    PubMed

    Zhao, Chao; Ebaid, Mohamed; Zhang, Huafan; Priante, Davide; Janjua, Bilal; Zhang, Daliang; Wei, Nini; Alhamoud, Abdullah A; Shakfa, Mohammad Khaled; Ng, Tien Khee; Ooi, Boon S

    2018-06-13

    p-Type doping in wide bandgap and new classes of ultra-wide bandgap materials has long been a scientific and engineering problem. The challenges arise from the large activation energy of dopants and high densities of dislocations in materials. We report here, a significantly enhanced p-type conduction using high-quality AlGaN nanowires. For the first time, the hole concentration in Mg-doped AlGaN nanowires is quantified. The incorporation of Mg into AlGaN was verified by correlation with photoluminescence and Raman measurements. The open-circuit potential measurements further confirmed the p-type conductivity, while Mott-Schottky experiments measured a hole concentration of 1.3 × 1019 cm-3. These results from photoelectrochemical measurements allow us to design prototype ultraviolet (UV) light-emitting diodes (LEDs) incorporating the AlGaN quantum-disks-in-nanowire and an optimized p-type AlGaN contact layer for UV-transparency. The ∼335 nm LEDs exhibited a low turn-on voltage of 5 V with a series resistance of 32 Ω, due to the efficient p-type doping of the AlGaN nanowires. The bias-dependent Raman measurements further revealed the negligible self-heating of devices. This study provides an attractive solution to evaluate the electrical properties of AlGaN, which is applicable to other wide bandgap nanostructures. Our results are expected to open doors to new applications for wide and ultra-wide bandgap materials.

  17. The electronic structures of AlN and InN wurtzite nanowires

    NASA Astrophysics Data System (ADS)

    Xiong, Wen; Li, Dong-Xiao

    2017-07-01

    We derive the relations between the analogous seven Luttinger-Kohn parameters and six Rashba-Sheka-Pikus parameters for wurtzite semiconductors, which can be used to investigate the electronic structures of some wurtzite semiconductors such as AlN and InN materials, including their low-dimensional structures. As an example, the electronic structures of AlN and InN nanowires are calculated by using the derived relations and six-band effective-mass k · p theory. Interestingly, it is found that the ground hole state of AlN nanowires is always a pure S state whether the radius R is small (1 nm) or large (6 nm), and the ground hole state only contains | Z 〉 Bloch orbital component. Therefore, AlN nanowires is the ideal low-dimensional material for the production of purely linearly polarized π light, unlike ZnO nanowires, which emits plane-polarized σ light. However, the ground hole state of InN nanowires can be tuned from a pure S state to a mixed P state when the radius R is larger than 2.6 nm, which will make the polarized properties of the lowest optical transition changes from linearly polarized π light to plane-polarized σ light. Meanwhile, the valence band structures of InN nanowires will present strong band-crossings when the radius R increases to 6 nm, and through the detail analysis of possible transitions of InN nanowires at the Γ point, we find some of the neighbor optical transitions are almost degenerate, because the spin-orbit splitting energy of InN material is only 0.001 eV. Therefore, it is concluded that the electronic structures and optical properties of InN nanowires present great differences with that of AlN nanowires.

  18. Fabrication of CoFe2O4 ferrite nanowire arrays in porous silicon template and their local magnetic properties

    NASA Astrophysics Data System (ADS)

    Hui, Zheng; Man-Gui, Han; Long-Jiang, Deng

    2016-02-01

    CoFe2O4 ferrite nanowire arrays are fabricated in porous silicon templates. The porous silicon templates are prepared via metal-assisted chemical etching with gold (Au) nanoparticles as the catalyst. Subsequently, CoFe2O4 ferrite nanowires are successfully synthesized into porous silicon templates by the sol-gel method. The magnetic hysteresis loop of nanowire array shows an isotropic feature of magnetic properties. The coercivity and squareness ratio (Mr/Ms) of ensemble nanowires are found to be 630 Oe (1 Oe, = 79.5775 A·m-1 and 0.4 respectively. However, the first-order reversal curve (FORC) is adopted to reveal the probability density function of local magnetostatic properties (i.e., interwire interaction field and coercivity). The FORC diagram shows an obvious distribution feature for interaction field and coercivity. The local coercivity with a value of about 1000 Oe is found to have the highest probability. Project supported by the National Natural Science Foundation of China (Grant No. 61271039), the Scientific Projects of Sichuan Province, China (Grant No. 2015HH0016), and the Natural Science Foundations of Zhejiang Province, China (Grant Nos. LQ12E02001 and Y107255).

  19. Self-bridging of vertical silicon nanowires and a universal capacitive force model for spontaneous attraction in nanostructures.

    PubMed

    Sun, Zhelin; Wang, Deli; Xiang, Jie

    2014-11-25

    Spontaneous attractions between free-standing nanostructures have often caused adhesion or stiction that affects a wide range of nanoscale devices, particularly nano/microelectromechanical systems. Previous understandings of the attraction mechanisms have included capillary force, van der Waals/Casimir forces, and surface polar charges. However, none of these mechanisms universally applies to simple semiconductor structures such as silicon nanowire arrays that often exhibit bunching or adhesions. Here we propose a simple capacitive force model to quantitatively study the universal spontaneous attraction that often causes stiction among semiconductor or metallic nanostructures such as vertical nanowire arrays with inevitably nonuniform size variations due to fabrication. When nanostructures are uniform in size, they share the same substrate potential. The presence of slight size differences will break the symmetry in the capacitive network formed between the nanowires, substrate, and their environment, giving rise to electrostatic attraction forces due to the relative potential difference between neighboring wires. Our model is experimentally verified using arrays of vertical silicon nanowire pairs with varied spacing, diameter, and size differences. Threshold nanowire spacing, diameter, or size difference between the nearest neighbors has been identified beyond which the nanowires start to exhibit spontaneous attraction that leads to bridging when electrostatic forces overcome elastic restoration forces. This work illustrates a universal understanding of spontaneous attraction that will impact the design, fabrication, and reliable operation of nanoscale devices and systems.

  20. Single n+-i-n+ InP nanowires for highly sensitive terahertz detection.

    PubMed

    Peng, Kun; Parkinson, Patrick; Gao, Qian; Boland, Jessica L; Li, Ziyuan; Wang, Fan; Mokkapati, Sudha; Fu, Lan; Johnston, Michael B; Tan, Hark Hoe; Jagadish, Chennupati

    2017-03-24

    Developing single-nanowire terahertz (THz) electronics and employing them as sub-wavelength components for highly-integrated THz time-domain spectroscopy (THz-TDS) applications is a promising approach to achieve future low-cost, highly integrable and high-resolution THz tools, which are desirable in many areas spanning from security, industry, environmental monitoring and medical diagnostics to fundamental science. In this work, we present the design and growth of n + -i-n + InP nanowires. The axial doping profile of the n + -i-n + InP nanowires has been calibrated and characterized using combined optical and electrical approaches to achieve nanowire devices with low contact resistances, on which the highly-sensitive InP single-nanowire photoconductive THz detectors have been demonstrated. While the n + -i-n + InP nanowire detector has a only pA-level response current, it has a 2.5 times improved signal-to-noise ratio compared with the undoped InP nanowire detector and is comparable to traditional bulk THz detectors. This performance indicates a promising path to nanowire-based THz electronics for future commercial applications.

  1. Characterization of dilute species within CVD-grown silicon nanowires doped using trimethylboron: protected lift-out specimen preparation for atom probe tomography.

    PubMed

    Prosa, T J; Alvis, R; Tsakalakos, L; Smentkowski, V S

    2010-08-01

    Three-dimensional quantitative compositional analysis of nanowires is a challenge for standard techniques such as secondary ion mass spectrometry because of specimen size and geometry considerations; however, it is precisely the size and geometry of nanowires that makes them attractive candidates for analysis via atom probe tomography. The resulting boron composition of various trimethylboron vapour-liquid-solid grown silicon nanowires were measured both with time-of-flight secondary ion mass spectrometry and pulsed-laser atom probe tomography. Both characterization techniques yielded similar results for relative composition. Specialized specimen preparation for pulsed-laser atom probe tomography was utilized and is described in detail whereby individual silicon nanowires are first protected, then lifted out, trimmed, and finally wet etched to remove the protective layer for subsequent three-dimensional analysis.

  2. Fabrication and Gas-Sensing Properties of Ni-Silicide/Si Nanowires.

    PubMed

    Hsu, Hsun-Feng; Chen, Chun-An; Liu, Shang-Wu; Tang, Chun-Kai

    2017-12-01

    Ni-silicide/Si nanowires were fabricated by atomic force microscope nano-oxidation on silicon-on-insulator substrates, selective wet etching, and reactive deposition epitaxy. Ni-silicide nanocrystal-modified Si nanowire and Ni-silicide/Si heterostructure multi-stacked nanowire were formed by low- and high-coverage depositions of Ni, respectively. The Ni-silicide/Si Schottky junction and Ni-silicide region were attributed high- and low-resistance parts of nanowire, respectively, causing the resistance of the Ni-silicide nanocrystal-modified Si nanowire and the Ni-silicide/Si heterostructure multi-stacked nanowire to be a little higher and much lower than that of Si nanowire. An O 2 sensing device was formed from a nanowire that was mounted on Pt electrodes. When the nanowires exposed to O 2 , the increase in current in the Ni-silicide/Si heterostructure multi-stacked nanowire was much larger than that in the other nanowires. The Ni-silicide nanocrystal-modified Si nanowire device had the highest sensitivity. The phenomenon can be explained by the formation of a Schottky junction at the Ni-silicide/Si interface in these two types of Ni-Silicide/Si nanowire and the formation of a hole channel at the silicon nanowire/native oxide interface after exposing the nanowires to O 2 .

  3. Enhanced thermoelectric transport in modulation-doped GaN/AlGaN core/shell nanowires.

    PubMed

    Song, Erdong; Li, Qiming; Swartzentruber, Brian; Pan, Wei; Wang, George T; Martinez, Julio A

    2016-01-08

    The thermoelectric properties of unintentionally n-doped core GaN/AlGaN core/shell N-face nanowires are reported. We found that the temperature dependence of the electrical conductivity is consistent with thermally activated carriers with two distinctive donor energies. The Seebeck coefficient of GaN/AlGaN nanowires is more than twice as large as that for the GaN nanowires alone. However, an outer layer of GaN deposited onto the GaN/AlGaN core/shell nanowires decreases the Seebeck coefficient at room temperature, while the temperature dependence of the electrical conductivity remains the same. We attribute these observations to the formation of an electron gas channel within the heavily-doped GaN core of the GaN/AlGaN nanowires. The room-temperature thermoelectric power factor for the GaN/AlGaN nanowires can be four times higher than the GaN nanowires. Selective doping in bandgap engineered core/shell nanowires is proposed for enhancing the thermoelectric power.

  4. High efficiency silicon nanowire/organic hybrid solar cells with two-step surface treatment.

    PubMed

    Wang, Jianxiong; Wang, Hao; Prakoso, Ari Bimo; Togonal, Alienor Svietlana; Hong, Lei; Jiang, Changyun; Rusli

    2015-03-14

    A simple two-step surface treatment process is proposed to boost the efficiency of silicon nanowire/PEDOT:PSS hybrid solar cells. The Si nanowires (SiNWs) are first subjected to a low temperature ozone treatment to form a surface sacrificial oxide, followed by a HF etching process to partially remove the oxide. TEM investigation demonstrates that a clean SiNW surface is achieved after the treatment, in contrast to untreated SiNWs that have Ag nanoparticles left on the surface from the metal-catalyzed etching process that is used to form the SiNWs. The cleaner SiNW surface achieved and the thin layer of residual SiO2 on the SiNWs have been found to improve the performance of the hybrid solar cells. Overall, the surface recombination of the hybrid SiNW solar cells is greatly suppressed, resulting in a remarkably improved open circuit voltage of 0.58 V. The power conversion efficiency has also increased from about 10% to 12.4%. The two-step surface treatment method is promising in enhancing the photovoltaic performance of the hybrid silicon solar cells, and can also be applied to other silicon nanostructure based solar cells.

  5. Realization of radial p-n junction silicon nanowire solar cell based on low-temperature and shallow phosphorus doping

    NASA Astrophysics Data System (ADS)

    Dong, Gangqiang; Liu, Fengzhen; Liu, Jing; Zhang, Hailong; Zhu, Meifang

    2013-12-01

    A radial p-n junction solar cell based on vertically free-standing silicon nanowire (SiNW) array is realized using a novel low-temperature and shallow phosphorus doping technique. The SiNW arrays with excellent light trapping property were fabricated by metal-assisted chemical etching technique. The shallow phosphorus doping process was carried out in a hot wire chemical vapor disposition chamber with a low substrate temperature of 250°C and H2-diluted PH3 as the doping gas. Auger electron spectroscopy and Hall effect measurements prove the formation of a shallow p-n junction with P atom surface concentration of above 1020 cm-3 and a junction depth of less than 10 nm. A short circuit current density of 37.13 mA/cm2 is achieved for the radial p-n junction SiNW solar cell, which is enhanced by 7.75% compared with the axial p-n junction SiNW solar cell. The quantum efficiency spectra show that radial transport based on the shallow phosphorus doping of SiNW array improves the carrier collection property and then enhances the blue wavelength region response. The novel shallow doping technique provides great potential in the fabrication of high-efficiency SiNW solar cells.

  6. Fabrication of p-type porous silicon nanowire with oxidized silicon substrate through one-step MACE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Shaoyuan; Faculty of Metallurgical and Energy Engineering, Kunming University of Science and Technology, Kunming 650093; Ma, Wenhui, E-mail: mwhsilicon@163.com

    2014-05-01

    In this paper, the simple pre-oxidization process is firstly used to treat the starting silicon wafer, and then MPSiNWs are successfully fabricated from the moderately doped wafer by one-step MACE technology in HF/AgNO{sub 3} system. The PL spectrum of MPSiNWs obtained from the oxidized silicon wafers show a large blue-shift, which can be attributed to the deep Q. C. effect induced by numerous mesoporous structures. The effects of HF and AgNO{sub 3} concentration on formation of SiNWs were carefully investigated. The results indicate that the higher HF concentration is favorable to the growth of SiNWs, and the density of SiNWsmore » is significantly reduced when Ag{sup +} ions concentrations are too high. The deposition behaviors of Ag{sup +} ions on oxidized and unoxidized silicon surface were studied. According to the experimental results, a model was proposed to explain the formation mechanism of porous SiNWs by etching the oxidized starting silicon. - Graphical abstract: Schematic cross-sectional views of PSiNWs array formation by etching oxidized silicon wafer in HF/AgNO{sub 3} solution. (A) At the starting point; (B) during the etching process; and (C) after Ag dendrites remove. - Highlights: • Prior to etching, a simple pre-oxidation is firstly used to treat silicon substrate. • The medially doped p-type MPSiNWs are prepared by one-step MACE. • Deposition behaviors of Ag{sup +} ions on oxidized and unoxidized silicon are studied. • A model is finally proposed to explain the formation mechanism of PSiNWs.« less

  7. Template-free fabrication of silicon micropillar/nanowire composite structure by one-step etching

    PubMed Central

    2012-01-01

    A template-free fabrication method for silicon nanostructures, such as silicon micropillar (MP)/nanowire (NW) composite structure is presented. Utilizing an improved metal-assisted electroless etching (MAEE) of silicon in KMnO4/AgNO3/HF solution and silicon composite nanostructure of the long MPs erected in the short NWs arrays were generated on the silicon substrate. The morphology evolution of the MP/NW composite nanostructure and the role of self-growing K2SiF6 particles as the templates during the MAEE process were investigated in detail. Meanwhile, a fabrication mechanism based on the etching of silver nanoparticles (catalyzed) and the masking of K2SiF6 particles is proposed, which gives guidance for fabricating different silicon nanostructures, such as NW and MP arrays. This one-step method provides a simple and cost-effective way to fabricate silicon nanostructures. PMID:23043719

  8. High-Temperature Performance of Stacked Silicon Nanowires for Thermoelectric Power Generation

    NASA Astrophysics Data System (ADS)

    Stranz, Andrej; Waag, Andreas; Peiner, Erwin

    2013-07-01

    Deep reactive-ion etching at cryogenic temperatures (cryo-DRIE) has been used to produce arrays of silicon nanowires (NWs) for thermoelectric (TE) power generation devices. Using cryo-DRIE, we were able to fabricate NWs of large aspect ratios (up to 32) using a photoresist mask. Roughening of the NW sidewalls occurred, which has been recognized as beneficial for low thermal conductivity. Generated NWs, which were 7 μm in length and 220 nm to 270 nm in diameter, were robust enough to be stacked with a bulk silicon chip as a common top contact to the NWs. Mechanical support of the NW array, which can be created by filling the free space between the NWs using silicon oxide or polyimide, was not required. The Seebeck voltage, measured across multiple stacks of up to 16 bulk silicon dies, revealed negligible thermal interface resistance. With stacked silicon NWs, we observed Seebeck voltages that were an order of magnitude higher than those observed for bulk silicon. Degradation of the TE performance of silicon NWs was not observed for temperatures up to 470°C and temperature gradients up to 170 K.

  9. Performance characteristics of supercapacitor electrodes made of silicon carbide nanowires grown on carbon fabric

    NASA Astrophysics Data System (ADS)

    Gu, Lin; Wang, Yewu; Fang, Yanjun; Lu, Ren; Sha, Jian

    2013-12-01

    In this paper, we report the supercapacitor electrodes with excellent cycle stability, which are made of silicon carbide nanowires (SiC NWs) grown on flexible carbon fabric. A high areal capacitance of 23 mF cm-2 is achieved at a scan rate of 50 mV s-1 at room temperature and capacitances increase with the rise of the working temperature. Owing to the excellent thermal stability of SiC NWs and carbon fabric, no observable decrease of capacitance occurs at room temperature (20 °C) after 105 cycles, which satisfies the demands of the commercial applications. Further increasing the measurement temperature to 60 °C, 90% of the initial capacitance is still retained after 105 cycles. This study shows that silicon carbide nanowires on carbon fabric are a promising electrode material for high temperature and stable micro-supercapacitors.

  10. Ultra-thin g-C{sub 3}N{sub 4} nanosheets wrapped silicon nanowire array for improved chemical stability and enhanced photoresponse

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Beibei; Yu, Hongtao; Quan, Xie, E-mail: quanxie@dlut.edu.cn

    2014-11-15

    Highlights: • g-C{sub 3}N{sub 4}, as an oxygen free and metal free protective material for Si, was proposed. • g-C{sub 3}N{sub 4} nanosheets wrapped Si nanowire array was synthesized. • SiNW/g-C{sub 3}N{sub 4} exhibited enhancement of photoelectrochemical stability and photocurrent. - Abstract: In order to inhibit the oxidation of Si materials in aqueous solution, Si nanowire array was wrapped by ultra-thin g-C{sub 3}N{sub 4} nanosheets via an electrophoresis process. Scanning electron microscopy and transmission electron microscopy images showed that g-C{sub 3}N{sub 4} nanosheets were evenly distributed on the surface of Si nanowire array. X-ray diffraction patterns indicated that Si nanowiremore » array/g-C{sub 3}N{sub 4} nanosheets were composed of Si (4 0 0 crystal plane) and g-C{sub 3}N{sub 4} (0 0 2 and 1 0 0 crystal planes). The cyclic voltammetry curves revealed that the corrosion of Si nanowire array was restrained under the protection of g-C{sub 3}N{sub 4} nanosheets. Furthermore, the photocurrent density of Si nanowire array/g-C{sub 3}N{sub 4} nanosheets increased by nearly 3 times compared to that of bare Si nanowire array due to the effective charge separation caused by the built-in electric field at the interface. This work will facilitate the applications of Si materials in aqueous solution, such as solar energy harvest and photocatalytic pollution control.« less

  11. Nanowire size dependence on sensitivity of silicon nanowire field-effect transistor-based pH sensor

    NASA Astrophysics Data System (ADS)

    Lee, Ryoongbin; Kwon, Dae Woong; Kim, Sihyun; Kim, Sangwan; Mo, Hyun-Sun; Kim, Dae Hwan; Park, Byung-Gook

    2017-12-01

    In this study, we investigated the effects of nanowire size on the current sensitivity of silicon nanowire (SiNW) ion-sensitive field-effect transistors (ISFETs). The changes in on-current (I on) and resistance according to pH were measured in fabricated SiNW ISFETs of various lengths and widths. As a result, it was revealed that the sensitivity expressed as relative I on change improves as the width decreases. Through technology computer-aided design (TCAD) simulation analysis, the width dependence on the relative I on change can be explained by the observation that the target molecules located at the edge region along the channel width have a stronger effect on the sensitivity as the SiNW width is reduced. Additionally, the length dependence on the sensitivity can be understood in terms of the resistance ratio of the fixed parasitic resistance, including source/drain resistance, to the varying channel resistance as a function of channel length.

  12. Enhanced thermoelectric transport in modulation-doped GaN/AlGaN core/shell nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Song, Erdong; Li, Qiming; Swartzentruber, Brian

    2015-11-25

    The thermoelectric properties of unintentionally n-doped core GaN/AlGaN core/shell N-face nanowires are reported. We found that the temperature dependence of the electrical conductivity is consistent with thermally activated carriers with two distinctive donor energies. The Seebeck coefficient of GaN/AlGaN nanowires is more than twice as large as that for the GaN nanowires alone. However, an outer layer of GaN deposited onto the GaN/AlGaN core/shell nanowires decreases the Seebeck coefficient at room temperature, while the temperature dependence of the electrical conductivity remains the same. We attribute these observations to the formation of an electron gas channel within the heavily-doped GaN coremore » of the GaN/AlGaN nanowires. The room-temperature thermoelectric power factor for the GaN/AlGaN nanowires can be four times higher than the GaN nanowires. As a result, selective doping in bandgap engineered core/shell nanowires is proposed for enhancing the thermoelectric power.« less

  13. Fabrication of flexible and vertical silicon nanowire electronics.

    PubMed

    Weisse, Jeffrey M; Lee, Chi Hwan; Kim, Dong Rip; Zheng, Xiaolin

    2012-06-13

    Vertical silicon nanowire (SiNW) array devices directly connected on both sides to metallic contacts were fabricated on various non-Si-based substrates (e.g., glass, plastics, and metal foils) in order to fully exploit the nanomaterial properties for final applications. The devices were realized with uniform length Ag-assisted electroless etched SiNW arrays that were detached from their fabrication substrate, typically Si wafers, reattached to arbitrary substrates, and formed with metallic contacts on both sides of the NW array. Electrical characterization of the SiNW array devices exhibits good current-voltage characteristics consistent with the SiNW morphology.

  14. A Highly Responsive Silicon Nanowire/Amplifier MOSFET Hybrid Biosensor.

    PubMed

    Lee, Jieun; Jang, Jaeman; Choi, Bongsik; Yoon, Jinsu; Kim, Jee-Yeon; Choi, Yang-Kyu; Kim, Dong Myong; Kim, Dae Hwan; Choi, Sung-Jin

    2015-07-21

    This study demonstrates a hybrid biosensor comprised of a silicon nanowire (SiNW) integrated with an amplifier MOSFET to improve the current response of field-effect-transistor (FET)-based biosensors. The hybrid biosensor is fabricated using conventional CMOS technology, which has the potential advantage of high density and low noise performance. The biosensor shows a current response of 5.74 decades per pH for pH detection, which is 2.5 × 10(5) times larger than that of a single SiNW sensor. In addition, we demonstrate charged polymer detection using the biosensor, with a high current change of 4.5 × 10(5) with a 500 nM concentration of poly(allylamine hydrochloride). In addition, we demonstrate a wide dynamic range can be obtained by adjusting the liquid gate voltage. We expect that this biosensor will be advantageous and practical for biosensor applications which requires lower noise, high speed, and high density.

  15. A Highly Responsive Silicon Nanowire/Amplifier MOSFET Hybrid Biosensor

    PubMed Central

    Lee, Jieun; Jang, Jaeman; Choi, Bongsik; Yoon, Jinsu; Kim, Jee-Yeon; Choi, Yang-Kyu; Myong Kim, Dong; Hwan Kim, Dae; Choi, Sung-Jin

    2015-01-01

    This study demonstrates a hybrid biosensor comprised of a silicon nanowire (SiNW) integrated with an amplifier MOSFET to improve the current response of field-effect-transistor (FET)-based biosensors. The hybrid biosensor is fabricated using conventional CMOS technology, which has the potential advantage of high density and low noise performance. The biosensor shows a current response of 5.74 decades per pH for pH detection, which is 2.5 × 105 times larger than that of a single SiNW sensor. In addition, we demonstrate charged polymer detection using the biosensor, with a high current change of 4.5 × 105 with a 500 nM concentration of poly(allylamine hydrochloride). In addition, we demonstrate a wide dynamic range can be obtained by adjusting the liquid gate voltage. We expect that this biosensor will be advantageous and practical for biosensor applications which requires lower noise, high speed, and high density. PMID:26197105

  16. Nonlinear Dynamics of Silicon Nanowire Resonator Considering Nonlocal Effect.

    PubMed

    Jin, Leisheng; Li, Lijie

    2017-12-01

    In this work, nonlinear dynamics of silicon nanowire resonator considering nonlocal effect has been investigated. For the first time, dynamical parameters (e.g., resonant frequency, Duffing coefficient, and the damping ratio) that directly influence the nonlinear dynamics of the nanostructure have been derived. Subsequently, by calculating their response with the varied nonlocal coefficient, it is unveiled that the nonlocal effect makes more obvious impacts at the starting range (from zero to a small value), while the impact of nonlocal effect becomes weaker when the nonlocal term reaches to a certain threshold value. Furthermore, to characterize the role played by nonlocal effect in exerting influence on nonlinear behaviors such as bifurcation and chaos (typical phenomena in nonlinear dynamics of nanoscale devices), we have calculated the Lyapunov exponents and bifurcation diagram with and without nonlocal effect, and results shows the nonlocal effect causes the most significant effect as the device is at resonance. This work advances the development of nanowire resonators that are working beyond linear regime.

  17. Monolithically Integrated InGaAs Nanowires on 3D Structured Silicon-on-Insulator as a New Platform for Full Optical Links.

    PubMed

    Kim, Hyunseok; Farrell, Alan C; Senanayake, Pradeep; Lee, Wook-Jae; Huffaker, Diana L

    2016-03-09

    Monolithically integrated III-V semiconductors on a silicon-on-insulator (SOI) platform can be used as a building block for energy-efficient on-chip optical links. Epitaxial growth of III-V semiconductors on silicon, however, has been challenged by the large mismatches in lattice constants and thermal expansion coefficients between epitaxial layers and silicon substrates. Here, we demonstrate for the first time the monolithic integration of InGaAs nanowires on the SOI platform and its feasibility for photonics and optoelectronic applications. InGaAs nanowires are grown not only on a planar SOI layer but also on a 3D structured SOI layer by catalyst-free metal-organic chemical vapor deposition. The precise positioning of nanowires on 3D structures, including waveguides and gratings, reveals the versatility and practicality of the proposed platform. Photoluminescence measurements exhibit that the composition of ternary InGaAs nanowires grown on the SOI layer has wide tunability covering all telecommunication wavelengths from 1.2 to 1.8 μm. We also show that the emission from an optically pumped single nanowire is effectively coupled and transmitted through an SOI waveguide, explicitly showing that this work lays the foundation for a new platform toward energy-efficient optical links.

  18. Bottom-up and top-down fabrication of nanowire-based electronic devices: In situ doping of vapor liquid solid grown silicon nanowires and etch-dependent leakage current in InGaAs tunnel junctions

    NASA Astrophysics Data System (ADS)

    Kuo, Meng-Wei

    Semiconductor nanowires are important components in future nanoelectronic and optoelectronic device applications. These nanowires can be fabricated using either bottom-up or top-down methods. While bottom-up techniques can achieve higher aspect ratio at reduced dimension without having surface and sub-surface damage, uniform doping distributions with abrupt junction profiles are less challenging for top-down methods. In this dissertation, nanowires fabricated by both methods were systematically investigated to understand: (1) the in situ incorporation of boron (B) dopants in Si nanowires grown by the bottom-up vapor-liquid-solid (VLS) technique, and (2) the impact of plasma-induced etch damage on InGaAs p +-i-n+ nanowire junctions for tunnel field-effect transistors (TFETs) applications. In Chapter 2 and 3, the in situ incorporation of B in Si nanowires grown using silane (SiH4) or silicon tetrachloride (SiCl4) as the Si precursor and trimethylboron (TMB) as the p-type dopant source is investigated by I-V measurements of individual nanowires. The results from measurements using a global-back-gated test structure reveal nonuniform B doping profiles on nanowires grown from SiH4, which is due to simultaneous incorporation of B from nanowire surface and the catalyst during VLS growth. In contrast, a uniform B doping profile in both the axial and radial directions is achieved for TMBdoped Si nanowires grown using SiCl4 at high substrate temperatures. In Chapter 4, the I-V characteristics of wet- and dry-etched InGaAs p+-i-n+ junctions with different mesa geometries, orientations, and perimeter-to-area ratios are compared to evaluate the impact of the dry etch process on the junction leakage current properties. Different post-dry etch treatments, including wet etching and thermal annealing, are performed and the effectiveness of each is assessed by temperaturedependent I-V measurements. As compared to wet-etched control devices, dry-etched junctions have a significantly

  19. Study of GaN nanowires converted from β-Ga2O3 and photoconduction in a single nanowire

    NASA Astrophysics Data System (ADS)

    Kumar, Mukesh; Kumar, Sudheer; Chauhan, Neha; Sakthi Kumar, D.; Kumar, Vikram; Singh, R.

    2017-08-01

    The formation of GaN nanowires from β-Ga2O3 nanowires and photoconduction in a fabricated single GaN nanowire device has been studied. Wurtzite phase GaN were formed from monoclinic β-Ga2O3 nanowires with or without catalyst particles at their tips. The formation of faceted nanostructures from catalyst droplets presented on a nanowire tip has been discussed. The nucleation of GaN phases in β-Ga2O3 nanowires and their subsequent growth due to interfacial strain energy has been examined using a high resolution transmission electron microscope. The high quality of the converted GaN nanowire is confirmed by fabricating single nanowire photoconducting devices which showed ultra high responsivity under ultra-violet illumination.

  20. Dynamics of Charge Carriers in Silicon Nanowire Photoconductors Revealed by Photo Hall Effect Measurements.

    PubMed

    Chen, Kaixiang; Zhao, Xiaolong; Mesli, Abdelmadjid; He, Yongning; Dan, Yaping

    2018-04-24

    Photoconductors have extraordinarily high gain in quantum efficiency, but the origin of the gain has remained in dispute for decades. In this work, we employ photo Hall effect to reveal the gain mechanisms by probing the dynamics of photogenerated charge carriers in silicon nanowire photoconductors. The results reveal that a large number of photogenerated minority electrons are localized in the surface depletion region and surface trap states. The same number of excess hole counterparts is left in the nanowire conduction channel, resulting in the fact that excess holes outnumber the excess electrons in the nanowire conduction channel by orders of magnitude. The accumulation of the excess holes broadens the conduction channel by narrowing down the depletion region, which leads to the experimentally observed high photo gain.

  1. Conductance of kinked nanowires

    NASA Astrophysics Data System (ADS)

    Cook, B. G.; Varga, K.

    2011-01-01

    The conductance properties of kinked nanowires are studied by first-principles transport calculations within a recently developed complex potential framework. Using prototypical examples of monoatomic Au chains as well as small diameter single-crystalline silicon nanowires we show that transmission strongly depends on the kink geometry and one can tune the conductance properties by the kink angle and other geometrical factors. In the case of a silicon nanowire the presence of a kink drastically reduces the conductance.

  2. Nonpolar InGaN/GaN Core-Shell Single Nanowire Lasers.

    PubMed

    Li, Changyi; Wright, Jeremy B; Liu, Sheng; Lu, Ping; Figiel, Jeffrey J; Leung, Benjamin; Chow, Weng W; Brener, Igal; Koleske, Daniel D; Luk, Ting-Shan; Feezell, Daniel F; Brueck, S R J; Wang, George T

    2017-02-08

    We report lasing from nonpolar p-i-n InGaN/GaN multi-quantum well core-shell single-nanowire lasers by optical pumping at room temperature. The nanowire lasers were fabricated using a hybrid approach consisting of a top-down two-step etch process followed by a bottom-up regrowth process, enabling precise geometrical control and high material gain and optical confinement. The modal gain spectra and the gain curves of the core-shell nanowire lasers were measured using micro-photoluminescence and analyzed using the Hakki-Paoli method. Significantly lower lasing thresholds due to high optical gain were measured compared to previously reported semipolar InGaN/GaN core-shell nanowires, despite significantly shorter cavity lengths and reduced active region volume. Mode simulations show that due to the core-shell architecture, annular-shaped modes have higher optical confinement than solid transverse modes. The results show the viability of this p-i-n nonpolar core-shell nanowire architecture, previously investigated for next-generation light-emitting diodes, as low-threshold, coherent UV-visible nanoscale light emitters, and open a route toward monolithic, integrable, electrically injected single-nanowire lasers operating at room temperature.

  3. Nonpolar InGaN/GaN core–shell single nanowire lasers

    DOE PAGES

    Li, Changyi; Wright, Jeremy Benjamin; Liu, Sheng; ...

    2017-01-24

    We report lasing from nonpolar p-i-n InGaN/GaN multi-quantum well core–shell single-nanowire lasers by optical pumping at room temperature. The nanowire lasers were fabricated using a hybrid approach consisting of a top-down two-step etch process followed by a bottom-up regrowth process, enabling precise geometrical control and high material gain and optical confinement. The modal gain spectra and the gain curves of the core–shell nanowire lasers were measured using micro-photoluminescence and analyzed using the Hakki-Paoli method. Significantly lower lasing thresholds due to high optical gain were measured compared to previously reported semipolar InGaN/GaN core–shell nanowires, despite significantly shorter cavity lengths and reducedmore » active region volume. Mode simulations show that due to the core–shell architecture, annular-shaped modes have higher optical confinement than solid transverse modes. Furthermore, the results show the viability of this p-i-n nonpolar core–shell nanowire architecture, previously investigated for next-generation light-emitting diodes, as low-threshold, coherent UV–visible nanoscale light emitters, and open a route toward monolithic, integrable, electrically injected single-nanowire lasers operating at room temperature.« less

  4. Predictable quantum efficient detector based on n-type silicon photodiodes

    NASA Astrophysics Data System (ADS)

    Dönsberg, Timo; Manoocheri, Farshid; Sildoja, Meelis; Juntunen, Mikko; Savin, Hele; Tuovinen, Esa; Ronkainen, Hannu; Prunnila, Mika; Merimaa, Mikko; Tang, Chi Kwong; Gran, Jarle; Müller, Ingmar; Werner, Lutz; Rougié, Bernard; Pons, Alicia; Smîd, Marek; Gál, Péter; Lolli, Lapo; Brida, Giorgio; Rastello, Maria Luisa; Ikonen, Erkki

    2017-12-01

    The predictable quantum efficient detector (PQED) consists of two custom-made induced junction photodiodes that are mounted in a wedged trap configuration for the reduction of reflectance losses. Until now, all manufactured PQED photodiodes have been based on a structure where a SiO2 layer is thermally grown on top of p-type silicon substrate. In this paper, we present the design, manufacturing, modelling and characterization of a new type of PQED, where the photodiodes have an Al2O3 layer on top of n-type silicon substrate. Atomic layer deposition is used to deposit the layer to the desired thickness. Two sets of photodiodes with varying oxide thicknesses and substrate doping concentrations were fabricated. In order to predict recombination losses of charge carriers, a 3D model of the photodiode was built into Cogenda Genius semiconductor simulation software. It is important to note that a novel experimental method was developed to obtain values for the 3D model parameters. This makes the prediction of the PQED responsivity a completely autonomous process. Detectors were characterized for temperature dependence of dark current, spatial uniformity of responsivity, reflectance, linearity and absolute responsivity at the wavelengths of 488 nm and 532 nm. For both sets of photodiodes, the modelled and measured responsivities were generally in agreement within the measurement and modelling uncertainties of around 100 parts per million (ppm). There is, however, an indication that the modelled internal quantum deficiency may be underestimated by a similar amount. Moreover, the responsivities of the detectors were spatially uniform within 30 ppm peak-to-peak variation. The results obtained in this research indicate that the n-type induced junction photodiode is a very promising alternative to the existing p-type detectors, and thus give additional credibility to the concept of modelled quantum detector serving as a primary standard. Furthermore, the manufacturing of

  5. Optimal design of aperiodic, vertical silicon nanowire structures for photovoltaics.

    PubMed

    Lin, Chenxi; Povinelli, Michelle L

    2011-09-12

    We design a partially aperiodic, vertically-aligned silicon nanowire array that maximizes photovoltaic absorption. The optimal structure is obtained using a random walk algorithm with transfer matrix method based electromagnetic forward solver. The optimal, aperiodic structure exhibits a 2.35 times enhancement in ultimate efficiency compared to its periodic counterpart. The spectral behavior mimics that of a periodic array with larger lattice constant. For our system, we find that randomly-selected, aperiodic structures invariably outperform the periodic array.

  6. Temperature dependent growth of GaN nanowires using CVD technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mukesh, E-mail: mukeshjihrnp@gmail.com; Singh, R.; Kumar, Vikram

    2016-05-23

    Growth of GaN nanowires have been carried out on sapphire substrates with Au as a catalyst using chemical vapour deposition technique. GaN nanowires growth have been studied with the experimental parameter as growth temperature. Diameter of grown GaN nanowires are in the range of 50 nm to 100 nm while the nanowire length depends on growth temperature. Morphology of the GaN nanowires have been studied by scanning electron microscopy. Crystalline nature has been observed by XRD patterns. Optical properties of grown GaN nanowires have been investigated by photoluminescence spectra.

  7. Silicon Nanowire Field Effect Transistor Sensors with Minimal Sensor to Sensor Variations and Enhanced Sensing Characteristics.

    PubMed

    Zafar, Sufi; D'Emic, Christopher; Jagtiani, Ashish; Kratschmer, Ernst; Miao, Xin; Zhu, Yu; Mo, Renee; Sosa, Norma; Hamann, Hendrik F; Shahidi, Ghavam; Riel, Heike

    2018-06-22

    Silicon nanowire field effect transistor (FET) sensors have demonstrated their ability for rapid and label free detection of proteins, nucleotide sequences, and viruses at ultralow concentrations with the potential to be a transformative diagnostic technology. Their nanoscale size gives them their unique ultralow detection ability but also makes their fabrication challenging with large sensor to sensor variations, thus limiting their commercial applications. In this work, a combined approach of nanofabrication, device simulation, materials and electrical characterization is applied towards identifying and improving fabrication steps that induce sensor to sensor variations. An enhanced complementary metal-oxide-semiconductor (CMOS) compatible process for fabricating silicon nanowire FET sensors is demonstrated. Nanowire (30 nm width) FETs with aqueous solution as gates are shown to have the Nernst limit sub-threshold swing SS = 60 mV/decade with ~1.7% variations, whereas literature values for SS are ≥ 80 mV/decade with larger (>10 times) variations. Also, their threshold voltage variations are significantly (~3 times) reduced, compared to literature values. Furthermore, these improved FETs have significantly reduced drain current hysteresis (~0.6 mV) and enhanced on-current to off-current ratios (~10 6 ). These improvements resulted in nanowire FET sensors with lowest (~3%) reported sensor to sensor variations, compared to literature studies. Also, these improved nanowire sensors have the highest reported sensitivity and enhanced signal to noise ratio with the lowest reported defect density of 1x10 18 eV -1 cm -3 in comparison to literature data. In summary, this work brings the nanowire sensor technology a step closer to commercial products for early diagnosis and monitoring of diseases.

  8. Strained silicon based complementary tunnel-FETs: Steep slope switches for energy efficient electronics

    NASA Astrophysics Data System (ADS)

    Knoll, L.; Richter, S.; Nichau, A.; Trellenkamp, S.; Schäfer, A.; Wirths, S.; Blaeser, S.; Buca, D.; Bourdelle, K. K.; Zhao, Q.-T.; Mantl, S.

    2014-08-01

    Electrical characteristics of silicon nanowire tunnel field effect transistors (TFETs) are presented and benchmarked versus other concepts. Particular emphasis is placed on the band to band tunneling (BTBT) junctions, the functional core of the device. Dopant segregation from ion implanted ultrathin silicide contacts is proved as a viable method to achieve steep tunneling junctions. This reduces defect generation by direct implantation into the junction and thus minimizes the risk of trap assisted tunneling. The method is applied to strained silicon, specifically to nanowire array transistors, enabling the realization of n-type and p-type TFETs with fairly high currents and complementary TFET inverters with sharp transitions and good static gain, even at very low drain voltages of VDD = 0.2 V. These achievements suggest a considerable potential of TFETs for ultralow power applications. Gate-all-around Si nanowire array p-type TFETs have been fabricated to demonstrate the impact of electrostatic control on the device performance. A high on-current of 78 μA/μm at VD = VG = 1.1 V is obtained.

  9. A facile fluorescent sensor based on silicon nanowires for dithionite

    NASA Astrophysics Data System (ADS)

    Cao, Xingxing; Mu, Lixuan; Chen, Min; She, Guangwei

    2018-05-01

    A facile and novel fluorescent sensor for dithionite has been constructed by simultaneously immobilizing dansyl group (fluorescence molecule) and dabsyl group (quencher and recognizing group) on the silicon nanowires (SiNWs) and SiNW arrays surface. This sensor for dithionite exhibited high selectivity and a good relationship of linearity between fluorescence intensities and dithionite concentrations from 0.1 to 1 mM. This approach is straightforward and does not require complicated synthesis, which can be extended to develop other sensors with similar rationale.

  10. Lithium-assisted electrochemical welding in silicon nanowire battery electrodes.

    PubMed

    Karki, Khim; Epstein, Eric; Cho, Jeong-Hyun; Jia, Zheng; Li, Teng; Picraux, S Tom; Wang, Chunsheng; Cumings, John

    2012-03-14

    From in situ transmission electron microscopy (TEM) observations, we present direct evidence of lithium-assisted welding between physically contacted silicon nanowires (SiNWs) induced by electrochemical lithiation and delithiation. This electrochemical weld between two SiNWs demonstrates facile transport of lithium ions and electrons across the interface. From our in situ observations, we estimate the shear strength of the welded region after delithiation to be approximately 200 MPa, indicating that a strong bond is formed at the junction of two SiNWs. This welding phenomenon could help address the issue of capacity fade in nanostructured silicon battery electrodes, which is typically caused by fracture and detachment of active materials from the current collector. The process could provide for more robust battery performance either through self-healing of fractured components that remain in contact or through the formation of a multiconnected network architecture. © 2012 American Chemical Society

  11. Growing InGaAs quasi-quantum wires inside semi-rhombic shaped planar InP nanowires on exact (001) silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Han, Yu; Li, Qiang; Lau, Kei May, E-mail: eekmlau@ust.hk

    We report InGaAs quasi-quantum wires embedded in planar InP nanowires grown on (001) silicon emitting in the 1550 nm communication band. An array of highly ordered InP nanowire with semi-rhombic cross-section was obtained in pre-defined silicon V-grooves through selective-area hetero-epitaxy. The 8% lattice mismatch between InP and Si was accommodated by an ultra-thin stacking disordered InP/GaAs nucleation layer. X-ray diffraction and transmission electron microscope characterizations suggest excellent crystalline quality of the nanowires. By exploiting the morphological evolution of the InP and a self-limiting growth process in the V-grooves, we grew embedded InGaAs quantum-wells and quasi-quantum-wires with tunable shape and position. Roommore » temperature analysis reveals substantially improved photoluminescence in the quasi-quantum wires as compared to the quantum-well reference, due to the reduced intrusion defects and enhanced quantum confinement. These results show great promise for integration of III-V based long wavelength nanowire lasers on the well-established (001) Si platform.« less

  12. Dual-gate operation and carrier transport in SiGe p-n junction nanowires

    NASA Astrophysics Data System (ADS)

    Delker, C. J.; Yoo, J. Y.; Bussmann, E.; Swartzentruber, B. S.; Harris, C. T.

    2017-11-01

    We investigate carrier transport in silicon-germanium nanowires with an axial p-n junction doping profile by fabricating these wires into transistors that feature separate top gates over each doping segment. By independently biasing each gate, carrier concentrations in the n- and p-side of the wire can be modulated. For these devices, which were fabricated with nickel source-drain electrical contacts, holes are the dominant charge carrier, with more favorable hole injection occurring on the p-side contact. Channel current exhibits greater sensitivity to the n-side gate, and in the reverse biased source-drain configuration, current is limited by the nickel/n-side Schottky contact.

  13. New method for MBE growth of GaAs nanowires on silicon using colloidal Au nanoparticles

    NASA Astrophysics Data System (ADS)

    Bouravleuv, A.; Ilkiv, I.; Reznik, R.; Kotlyar, K.; Soshnikov, I.; Cirlin, G.; Brunkov, P.; Kirilenko, D.; Bondarenko, L.; Nepomnyaschiy, A.; Gruznev, D.; Zotov, A.; Saranin, A.; Dhaka, V.; Lipsanen, H.

    2018-01-01

    We present a new method for the deposition of colloidal Au nanoparticles on the surface of silicon substrates based on short-time Ar plasma treatment without the use of any polymeric layers. The elaborated method is compatible with molecular beam epitaxy, which allowed us to carry out the detailed study of GaAs nanowire synthesis on Si(111) substrates using colloidal Au nanoparticles as seeds for their growth. The results obtained elucidated the causes of the difference between the initial nanoparticle sizes and the diameters of the grown nanowires.

  14. Droop-Free, Reliable, and High-Power InGaN/GaN Nanowire Light-Emitting Diodes for Monolithic Metal-Optoelectronics.

    PubMed

    Zhao, Chao; Ng, Tien Khee; ElAfandy, Rami T; Prabaswara, Aditya; Consiglio, Giuseppe Bernardo; Ajia, Idris A; Roqan, Iman S; Janjua, Bilal; Shen, Chao; Eid, Jessica; Alyamani, Ahmed Y; El-Desouki, Munir M; Ooi, Boon S

    2016-07-13

    A droop-free nitride light-emitting diode (LED) with the capacity to operate beyond the "green gap" has been a subject of intense scientific and engineering interest. While several properties of nanowires on silicon make them promising for use in LED development, the high aspect ratio of individual nanowires and their laterally discontinuous features limit phonon transport and device performance. Here, we report on the monolithic integration of metal heat-sink and droop-free InGaN/GaN quantum-disks-in-nanowire LEDs emitting at ∼710 nm. The reliable operation of our uncooled nanowire-LEDs (NW-LEDs) epitaxially grown on molybdenum was evident in the constant-current soft burn-in performed on a 380 μm × 380 μm LED. The square LED sustained 600 mA electrical stress over an 8 h period, providing stable light output at maturity without catastrophic failure. The absence of carrier and phonon transport barriers in NW-LEDs was further inferred from current-dependent Raman measurements (up to 700 mA), which revealed the low self-heating. The radiative recombination rates of NW-LEDs between room temperature and 40 °C was not limited by Shockley-Read-Hall recombination, Auger recombination, or carrier leakage mechanisms, thus realizing droop-free operation. The discovery of reliable, droop-free devices constitutes significant progress toward the development of nanowires for practical applications. Our monolithic approach realized a high-performance device that will revolutionize the way high power, low-junction-temperature LED lamps are manufactured for solid-state lighting and for applications in high-temperature harsh environment.

  15. On Field-Effect Photovoltaics: Gate Enhancement of the Power Conversion Efficiency in a Nanotube/Silicon-Nanowire Solar Cell.

    PubMed

    Petterson, Maureen K; Lemaitre, Maxime G; Shen, Yu; Wadhwa, Pooja; Hou, Jie; Vasilyeva, Svetlana V; Kravchenko, Ivan I; Rinzler, Andrew G

    2015-09-30

    Recent years have seen a resurgence of interest in crystalline silicon Schottky junction solar cells distinguished by the use of low density of electronic states (DOS) nanocarbons (nanotubes, graphene) as the metal contacting the Si. Recently, unprecedented modulation of the power conversion efficiency in a single material system has been demonstrated in such cells by the use of electronic gating. The gate field induced Fermi level shift in the low-DOS carbon serves to enhance the junction built-in potential, while a gate field induced inversion layer at the Si surface, in regions remote from the junction, keeps the photocarriers well separated there, avoiding recombination at surface traps and defects (a key loss mechanism). Here, we extend these results into the third dimension of a vertical Si nanowire array solar cell. A single wall carbon nanotube layer engineered to contact virtually each n-Si nanowire tip extracts the minority carriers, while an ionic liquid electrolytic gate drives the nanowire body into inversion. The enhanced light absorption of the vertical forest cell, at 100 mW/cm(2) AM1.5G illumination, results in a short-circuit current density of 35 mA/cm(2) and associated power conversion efficiency of 15%. These results highlight the use of local fields as opposed to surface passivation as a means of avoiding front surface recombination. A deleterious electrochemical reaction of the silicon due to the electrolyte gating is shown to be caused by oxygen/water entrained in the ionic liquid electrolyte. While encapsulation can avoid the issue, a nonencapsulation-based approach is also implemented.

  16. Optical analysis of a III-V-nanowire-array-on-Si dual junction solar cell.

    PubMed

    Chen, Yang; Höhn, Oliver; Tucher, Nico; Pistol, Mats-Erik; Anttu, Nicklas

    2017-08-07

    A tandem solar cell consisting of a III-V nanowire subcell on top of a planar Si subcell is a promising candidate for next generation photovoltaics due to the potential for high efficiency. However, for success with such applications, the geometry of the system must be optimized for absorption of sunlight. Here, we consider this absorption through optics modeling. Similarly, as for a bulk dual-junction tandem system on a silicon bottom cell, a bandgap of approximately 1.7 eV is optimum for the nanowire top cell. First, we consider a simplified system of bare, uncoated III-V nanowires on the silicon substrate and optimize the absorption in the nanowires. We find that an optimum absorption in 2000 nm long nanowires is reached for a dense array of approximately 15 nanowires per square micrometer. However, when we coat such an array with a conformal indium tin oxide (ITO) top contact layer, a substantial absorption loss occurs in the ITO. This ITO could absorb 37% of the low energy photons intended for the silicon subcell. By moving to a design with a 50 nm thick, planarized ITO top layer, we can reduce this ITO absorption to 5%. However, such a planarized design introduces additional reflection losses. We show that these reflection losses can be reduced with a 100 nm thick SiO 2 anti-reflection coating on top of the ITO layer. When we at the same time include a Si 3 N 4 layer with a thickness of 90 nm on the silicon surface between the nanowires, we can reduce the average reflection loss of the silicon cell from 17% to 4%. Finally, we show that different approximate models for the absorption in the silicon substrate can lead to a 15% variation in the estimated photocurrent density in the silicon subcell.

  17. A CMOS-Compatible Poly-Si Nanowire Device with Hybrid Sensor/Memory Characteristics for System-on-Chip Applications

    PubMed Central

    Chen, Min-Cheng; Chen, Hao-Yu; Lin, Chia-Yi; Chien, Chao-Hsin; Hsieh, Tsung-Fan; Horng, Jim-Tong; Qiu, Jian-Tai; Huang, Chien-Chao; Ho, Chia-Hua; Yang, Fu-Liang

    2012-01-01

    This paper reports a versatile nano-sensor technology using “top-down” poly-silicon nanowire field-effect transistors (FETs) in the conventional Complementary Metal-Oxide Semiconductor (CMOS)-compatible semiconductor process. The nanowire manufacturing technique reduced nanowire width scaling to 50 nm without use of extra lithography equipment, and exhibited superior device uniformity. These n type polysilicon nanowire FETs have positive pH sensitivity (100 mV/pH) and sensitive deoxyribonucleic acid (DNA) detection ability (100 pM) at normal system operation voltages. Specially designed oxide-nitride-oxide buried oxide nanowire realizes an electrically Vth-adjustable sensor to compensate device variation. These nanowire FETs also enable non-volatile memory application for a large and steady Vth adjustment window (>2 V Programming/Erasing window). The CMOS-compatible manufacturing technique of polysilicon nanowire FETs offers a possible solution for commercial System-on-Chip biosensor application, which enables portable physiology monitoring and in situ recording. PMID:22666012

  18. Nanofabrication of Arrays of Silicon Field Emitters with Vertical Silicon Nanowire Current Limiters and Self-Aligned Gates

    DTIC Science & Technology

    2016-08-19

    in a dielectric matrix. This paper explores the electronic device applications of dense arrays of silicon nanowires that are embedded in Nanotechnology ... Nanotechnology 27 (2016) 295302 (11pp) doi:10.1088/0957-4484/27/29/295302 Original content from this work may be used under the terms of the Creative...compared 2 Nanotechnology 27 (2016) 295302 S A Guerrera and A I Akinwande to the device reported by Velasquez-Garcia et al, but it also reduces the

  19. Morphological Effect of Doping Environment on Silicon Nanowires Grown by Plasma-Assisted Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Lohn, Andrew J.; Cormia, Robert D.; Fryauf, David M.; Zhang, Junce; Norris, Kate J.; Kobayashi, Nobuhiko P.

    2012-11-01

    Physical properties of semiconductor nanowires are tied intimately to their specific morphologies such as length and diameter. We studied the growth of silicon nanowires and found their lengths and diameters to vary over orders of magnitude in different doping environments. In all cases we examined, doping resulted in increased diameters. In addition, boron doping was found to accelerate volume growth rate while arsenic and antimony both appeared to slow it down. We further studied the formation of the native oxides that cover the nanowires. X-ray photoelectron spectroscopy indicated that properties of the native oxides are also dependent on doping environment and correlated to doping-dependent shifts in apparent binding energy of the Si 2p3/2 peak illustrating that the electronic contribution is the dominant mechanism for the oxide growth.

  20. Self-assembled InN quantum dots on side facets of GaN nanowires

    NASA Astrophysics Data System (ADS)

    Bi, Zhaoxia; Ek, Martin; Stankevic, Tomas; Colvin, Jovana; Hjort, Martin; Lindgren, David; Lenrick, Filip; Johansson, Jonas; Wallenberg, L. Reine; Timm, Rainer; Feidenhans'l, Robert; Mikkelsen, Anders; Borgström, Magnus T.; Gustafsson, Anders; Ohlsson, B. Jonas; Monemar, Bo; Samuelson, Lars

    2018-04-01

    Self-assembled, atomic diffusion controlled growth of InN quantum dots was realized on the side facets of dislocation-free and c-oriented GaN nanowires having a hexagonal cross-section. The nanowires were synthesized by selective area metal organic vapor phase epitaxy. A 3 Å thick InN wetting layer was observed after growth, on top of which the InN quantum dots formed, indicating self-assembly in the Stranski-Krastanow growth mode. We found that the InN quantum dots can be tuned to nucleate either preferentially at the edges between GaN nanowire side facets, or directly on the side facets by tuning the adatom migration by controlling the precursor supersaturation and growth temperature. Structural characterization by transmission electron microscopy and reciprocal space mapping show that the InN quantum dots are close to be fully relaxed (residual strain below 1%) and that the c-planes of the InN quantum dots are tilted with respect to the GaN core. The strain relaxes mainly by the formation of misfit dislocations, observed with a periodicity of 3.2 nm at the InN and GaN hetero-interface. The misfit dislocations introduce I1 type stacking faults (…ABABCBC…) in the InN quantum dots. Photoluminescence investigations of the InN quantum dots show that the emissions shift to higher energy with reduced quantum dot size, which we attribute to increased quantum confinement.

  1. ZnO/ZnSxSe1-x core/shell nanowire arrays as photoelectrodes with efficient visible light absorption

    NASA Astrophysics Data System (ADS)

    Wang, Zhenxing; Zhan, Xueying; Wang, Yajun; Safdar, Muhammad; Niu, Mutong; Zhang, Jinping; Huang, Ying; He, Jun

    2012-08-01

    ZnO/ZnSxSe1-x core/shell nanowires have been synthesized on n+-type silicon substrate via a two-step chemical vapor deposition method. Transmission electron microscopy reveals that ZnSxSe1-x can be deposited on the entire surface of ZnO nanowire, forming coaxial heterojunction along ZnO nanowire with very smooth shell surface and high shell thickness uniformity. The photoelectrode after deposition of the ternary alloy shell significantly improves visible light absorption efficiency. Electrochemical impedance spectroscopy results explicitly indicate that the introduction of ZnSxSe1-x shell to ZnO nanowires effectively improves the photogenerated charge separation process. Our finding opens up an efficient means for achieving high efficient energy conversion devices.

  2. Effects of Defects on the Mechanical Properties of Kinked Silicon Nanowires.

    PubMed

    Chen, Yun; Zhang, Cheng; Li, Liyi; Tuan, Chia-Chi; Chen, Xin; Gao, Jian; He, Yunbo; Wong, Ching-Ping

    2017-12-01

    Kinked silicon nanowires (KSiNWs) have many special properties that make them attractive for a number of applications. The mechanical properties of KSiNWs play important roles in the performance of sensors. In this work, the effects of defects on the mechanical properties of KSiNWs are studied using molecular dynamics simulations and indirectly validated by experiments. It is found that kinks are weak points in the nanowire (NW) because of inharmonious deformation, resulting in a smaller elastic modulus than that of straight NWs. In addition, surface defects have more significant effects on the mechanical properties of KSiNWs than internal defects. The effects of the width or the diameter of the defects are larger than those of the length of the defects. Overall, the elastic modulus of KSiNWs is not sensitive to defects; therefore, KSiNWs have a great potential as strain or stress sensors in special applications.

  3. Near-Infrared Intersubband Photodetection in GaN/AlN Nanowires.

    PubMed

    Lähnemann, Jonas; Ajay, Akhil; Den Hertog, Martien I; Monroy, Eva

    2017-11-08

    Intersubband optoelectronic devices rely on transitions between quantum-confined electron levels in semiconductor heterostructures, which enables infrared (IR) photodetection in the 1-30 μm wavelength window with picosecond response times. Incorporating nanowires as active media could enable an independent control over the electrical cross-section of the device and the optical absorption cross-section. Furthermore, the three-dimensional carrier confinement in nanowire heterostructures opens new possibilities to tune the carrier relaxation time. However, the generation of structural defects and the surface sensitivity of GaAs nanowires have so far hindered the fabrication of nanowire intersubband devices. Here, we report the first demonstration of intersubband photodetection in a nanowire, using GaN nanowires containing a GaN/AlN superlattice absorbing at 1.55 μm. The combination of spectral photocurrent measurements with 8-band k·p calculations of the electronic structure supports the interpretation of the result as intersubband photodetection in these extremely short-period superlattices. We observe a linear dependence of the photocurrent with the incident illumination power, which confirms the insensitivity of the intersubband process to surface states and highlights how architectures featuring large surface-to-volume ratios are suitable as intersubband photodetectors. Our analysis of the photocurrent characteristics points out routes for an improvement of the device performance. This first nanowire based intersubband photodetector represents a technological breakthrough that paves the way to a powerful device platform with potential for ultrafast, ultrasensitive photodetectors and highly efficient quantum cascade emitters with improved thermal stability.

  4. Electrical and optical characteristics of heterojunction devices composed of silicon nanowires and mercury selenide nanoparticle films on flexible plastics.

    PubMed

    Yeo, Minje; Yun, Junggwon; Kim, Sangsig

    2013-09-01

    A pn heterojunction device based on p-type silicon (Si) nanowires (NWs) prepared by top-down method and n-type mercury selenide (HgSe) nanoparticles (NPs) synthesized by the colloidal method have been fabricated on a flexible plastic substrate. The synthesized HgSe NPs were analyzed through the effective mass approximation. The characteristics of the heterojunction device were examined and studied with the energy band diagram. The device showed typical diode characteristics with a turn-on voltage of 1.5 V and exhibited a high rectification ratio of 10(3) under relatively low forward bias. Under illumination of 633-nm-wavelength light, the device presented photocurrent efficiency of 117.5 and 20.1 nA/W under forward bias and reverse bias conditions, respectively. Moreover, the photocurrent characteristics of the device have been determined by bending of the plastic substrate upward and downward with strain of 0.8%. Even though the photocurrent efficiency has fluctuations during the bending cycles, the values are roughly maintained for 10(4) bending cycles. This result indicates that the fabricated heterojunction device has the potential to be applied as fundamental elements of flexible nanoelectronics.

  5. Measurement of steady-state minority-carrier transport parameters in heavily doped n-type silicon

    NASA Technical Reports Server (NTRS)

    Del Alamo, Jesus A.; Swanson, Richard M.

    1987-01-01

    The relevant hole transport and recombination parameters in heavily doped n-type silicon under steady state are the hole diffusion length and the product of the hole diffusion coefficient times the hole equilibrium concentration. These parameters have measured in phosphorus-doped silicon grown by epitaxy throughout nearly two orders of magnitude of doping level. Both parameters are found to be strong functions of donor concentration. The equilibrium hole concentration can be deduced from the measurement. A rigid shrinkage of the forbidden gap appears as the dominant heavy doping mechanism in phosphorus-doped silicon.

  6. Nonpolar p-GaN/n-Si heterojunction diode characteristics: a comparison between ensemble and single nanowire devices

    NASA Astrophysics Data System (ADS)

    Patsha, Avinash; Pandian, Ramanathaswamy; Dhara, Sandip; Tyagi, A. K.

    2015-10-01

    The electrical and photodiode characteristics of ensemble and single p-GaN nanowire and n-Si heterojunction devices were studied. Ideality factor of the single nanowire p-GaN/n-Si device was found to be about three times lower compared to that of the ensemble nanowire device. Apart from the deep-level traps in p-GaN nanowires, defect states due to inhomogeneity in Mg dopants in the ensemble nanowire device are attributed to the origin of the high ideality factor. Photovoltaic mode of the ensemble nanowire device showed an improvement in the fill-factors up to 60% over the single nanowire device with fill-factors up to 30%. Responsivity of the single nanowire device in the photoconducting mode was found to be enhanced by five orders, at 470 nm. The enhanced photoresponse of the single nanowire device also confirms the photoconduction due to defect states in p-GaN nanowires.

  7. Silicon Based Colloidal Quantum Dot and Nanotube Lasers

    DTIC Science & Technology

    2013-03-01

    carrier density is theoretically and experimentally derived to be inversely proportional to the diameter; (b) demonstration of InGaN/ GaN light emitting...diodes and GaN single nanowire photonic crystal laser on silicon characterized by a lasing transition at λ=371.3 nm with a linewidth of 0.55 nm. The...derived to be inversely proportional to the diameter; (b) demonstration of InGaN/ GaN light emitting diodes and GaN single nanowire photonic crystal

  8. Enhanced nickelidation rate in silicon nanowires with interfacial lattice disorder

    NASA Astrophysics Data System (ADS)

    Hashimoto, Shuichiro; Yokogawa, Ryo; Oba, Shunsuke; Asada, Shuhei; Xu, Taiyu; Tomita, Motohiro; Ogura, Atsushi; Matsukawa, Takashi; Masahara, Meishoku; Watanabe, Takanobu

    2017-10-01

    We demonstrate that the nickelidation (nickel silicidation) reaction rate of silicon nanowires (SiNWs) surrounded by a thermally grown silicon dioxide (SiO2) film is enhanced by post-oxidation annealing (POA). The SiNWs are fabricated by electron beam lithography, and some of the SiNWs are subjected to the POA process. The nickelidation reaction rate of the SiNWs is enhanced in the samples subjected to the POA treatment. Ultraviolet Raman spectroscopy measurements reveal that POA enhances compressive strain and lattice disorder in the SiNWs. By considering these experimental results in conjunction with our molecular dynamics simulation analysis, we conclude that the oxide-induced lattice disorder is the dominant origin of the increase in the nickelidation rate in smaller width SiNWs. This study sheds light on the pivotal role of lattice disorders in controlling metallic contact formation in SiNW devices.

  9. Vapor-liquid-solid growth of silicon and silicon germanium nanowires

    NASA Astrophysics Data System (ADS)

    Nimmatoori, Pramod

    2009-12-01

    Si and Si1-xGex nanowires are promising materials with potential applications in various disciplines of science and technology. Small diameter nanowires can act as model systems to study interesting phenomena such as tunneling that occur in the nanometer regime. Furthermore, technical challenges in fabricating nanoscale size devices from thin films have resulted in interest and research on nanowires. In this perspective, vertical integrated nanowire field effect transistors (VINFETs) fabricated from Si nanowires are promising devices that offer better control on device properties and push the transistor architecture into the third dimension potentially enabling ultra-high transistor density circuits. Transistors fabricated from Si/Si 1-xGex nanowires have also been proposed that can have high carrier mobility. In addition, the Si and Si1-xGe x nanowires have potential to be used in various applications such as sensing, thermoelectrics and solar cells. Despite having considerable potential, the understanding of the vapor-liquid-solid (VLS) mechanism utilized to fabricate these wires is still rudimentary. Hence, the objective of this thesis is to understand the effects of nanoscale size and the role of catalyst that mediates the wire growth on the growth rate of Si and Si1-xGe x nanowires and interfacial abruptness in Si/Si1-xGe x axial heterostructure nanowires. Initially, the growth and structural properties of Si nanowires with tight diameter distribution grown from 10, 20 and 50 nm Au particles dispersed on a polymer-modified substrate was studied. A nanoparticle application process was developed to disperse Au particles on the substrate surface with negligible agglomeration and sufficient density. The growth temperature and SiH4 partial pressure were varied to optimize the growth conditions amenable to VLS growth with smooth wire morphology and negligible Si thin film deposition on wire sidewalls. The Si nanowire growth rate was studied as a function of growth

  10. Lithography-free fabrication of silicon nanowire and nanohole arrays by metal-assisted chemical etching

    PubMed Central

    2013-01-01

    We demonstrated a novel, simple, and low-cost method to fabricate silicon nanowire (SiNW) arrays and silicon nanohole (SiNH) arrays based on thin silver (Ag) film dewetting process combined with metal-assisted chemical etching. Ag mesh with holes and semispherical Ag nanoparticles can be prepared by simple thermal annealing of Ag thin film on a silicon substrate. Both the diameter and the distribution of mesh holes as well as the nanoparticles can be manipulated by the film thickness and the annealing temperature. The silicon underneath Ag coverage was etched off with the catalysis of metal in an aqueous solution containing HF and an oxidant, which form silicon nanostructures (either SiNW or SiNH arrays). The morphologies of the corresponding etched SiNW and SiNH arrays matched well with that of Ag holes and nanoparticles. This novel method allows lithography-free fabrication of the SiNW and SiNH arrays with control of the size and distribution. PMID:23557325

  11. On Field-Effect Photovoltaics: Gate Enhancement of the Power Conversion Efficiency in a Nanotube/Silicon-Nanowire Solar Cell

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Petterson, Maureen K.; Lemaitre, Maxime G.; Shen, Yu

    Recent years have seen a resurgence of interest in crystalline silicon Schottky junction solar cells distinguished by the use of low density of electronic states (DOS) nanocarbons (nanotubes, graphene) as the metal contacting the Si. Recently, unprecedented modulation of the power conversion efficiency in a single material system has been demonstrated in such cells by the use of electronic gating. The gate field induced Fermi level shift in the low-DOS carbon serves to enhance the junction built-in potential, while a gate field induced inversion layer at the Si surface, in regions remote from the junction, keeps the photocarriers well separatedmore » there, avoiding recombination at surface traps and defects (a key loss mechanism). Here, we extend these results into the third dimension of a vertical Si nanowire array solar cell. A single wall carbon nanotube layer engineered to contact virtually each n-Si nanowire tip extracts the minority carriers, while an ionic liquid electrolytic gate drives the nanowire body into inversion. The enhanced light absorption of the vertical forest cell, at 100 mW/cm 2 AM1.5G illumination, results in a short-circuit current density of 35 mA/cm 2 and associated power conversion efficiency of 15%. These results highlight the use of local fields as opposed to surface passivation as a means of avoiding front surface recombination. Finally, a deleterious electrochemical reaction of the silicon due to the electrolyte gating is shown to be caused by oxygen/water entrained in the ionic liquid electrolyte. While encapsulation can avoid the issue, a nonencapsulation-based approach is also implemented.« less

  12. On Field-Effect Photovoltaics: Gate Enhancement of the Power Conversion Efficiency in a Nanotube/Silicon-Nanowire Solar Cell

    DOE PAGES

    Petterson, Maureen K.; Lemaitre, Maxime G.; Shen, Yu; ...

    2015-09-09

    Recent years have seen a resurgence of interest in crystalline silicon Schottky junction solar cells distinguished by the use of low density of electronic states (DOS) nanocarbons (nanotubes, graphene) as the metal contacting the Si. Recently, unprecedented modulation of the power conversion efficiency in a single material system has been demonstrated in such cells by the use of electronic gating. The gate field induced Fermi level shift in the low-DOS carbon serves to enhance the junction built-in potential, while a gate field induced inversion layer at the Si surface, in regions remote from the junction, keeps the photocarriers well separatedmore » there, avoiding recombination at surface traps and defects (a key loss mechanism). Here, we extend these results into the third dimension of a vertical Si nanowire array solar cell. A single wall carbon nanotube layer engineered to contact virtually each n-Si nanowire tip extracts the minority carriers, while an ionic liquid electrolytic gate drives the nanowire body into inversion. The enhanced light absorption of the vertical forest cell, at 100 mW/cm 2 AM1.5G illumination, results in a short-circuit current density of 35 mA/cm 2 and associated power conversion efficiency of 15%. These results highlight the use of local fields as opposed to surface passivation as a means of avoiding front surface recombination. Finally, a deleterious electrochemical reaction of the silicon due to the electrolyte gating is shown to be caused by oxygen/water entrained in the ionic liquid electrolyte. While encapsulation can avoid the issue, a nonencapsulation-based approach is also implemented.« less

  13. Rapid fibroblast activation in mammalian cells induced by silicon nanowire arrays

    NASA Astrophysics Data System (ADS)

    Ha, Qing; Yang, Gao; Ao, Zhuo; Han, Dong; Niu, Fenglan; Wang, Shutao

    2014-06-01

    Activated tumor-associated fibroblasts (TAFs) with abundant fibroblast activation protein (FAP) expression attract tremendous attention in tumor progression studies. In this work, we report a rapid 24 h FAP activation method for fibroblasts using silicon nanowires (SiNWs) as culture substrates instead of growth factors or chemokines. In contrast with cells cultured on flat silicon which rarely express FAP, SiNW cultivated cells exhibit FAP levels similar to those found in cancerous tissue. We demonstrated that activated cells grown on SiNWs maintain their viability and proliferation in a time-dependent manner. Moreover, environmental scanning electron microscopy (ESEM) and focused ion beam and scanning electron microscopy (FIB-SEM) analysis clearly revealed that activated cells on SiNWs adapt to the structure of their substrates by filling inter-wire cavities via filopodia in contrast to cells cultured on flat silicon which spread freely. We further illustrated that the expression of FAP was rarely detected in activated cells after being re-cultured in Petri dishes, suggesting that the unique structure of SiNWs may have a certain influence on FAP activation.Activated tumor-associated fibroblasts (TAFs) with abundant fibroblast activation protein (FAP) expression attract tremendous attention in tumor progression studies. In this work, we report a rapid 24 h FAP activation method for fibroblasts using silicon nanowires (SiNWs) as culture substrates instead of growth factors or chemokines. In contrast with cells cultured on flat silicon which rarely express FAP, SiNW cultivated cells exhibit FAP levels similar to those found in cancerous tissue. We demonstrated that activated cells grown on SiNWs maintain their viability and proliferation in a time-dependent manner. Moreover, environmental scanning electron microscopy (ESEM) and focused ion beam and scanning electron microscopy (FIB-SEM) analysis clearly revealed that activated cells on SiNWs adapt to the structure of

  14. Rapid fibroblast activation in mammalian cells induced by silicon nanowire arrays.

    PubMed

    Ha, Qing; Yang, Gao; Ao, Zhuo; Han, Dong; Niu, Fenglan; Wang, Shutao

    2014-07-21

    Activated tumor-associated fibroblasts (TAFs) with abundant fibroblast activation protein (FAP) expression attract tremendous attention in tumor progression studies. In this work, we report a rapid 24 h FAP activation method for fibroblasts using silicon nanowires (SiNWs) as culture substrates instead of growth factors or chemokines. In contrast with cells cultured on flat silicon which rarely express FAP, SiNW cultivated cells exhibit FAP levels similar to those found in cancerous tissue. We demonstrated that activated cells grown on SiNWs maintain their viability and proliferation in a time-dependent manner. Moreover, environmental scanning electron microscopy (ESEM) and focused ion beam and scanning electron microscopy (FIB-SEM) analysis clearly revealed that activated cells on SiNWs adapt to the structure of their substrates by filling inter-wire cavities via filopodia in contrast to cells cultured on flat silicon which spread freely. We further illustrated that the expression of FAP was rarely detected in activated cells after being re-cultured in Petri dishes, suggesting that the unique structure of SiNWs may have a certain influence on FAP activation.

  15. Morphology Controlled Fabrication of InN Nanowires on Brass Substrates

    PubMed Central

    Li, Huijie; Zhao, Guijuan; Wang, Lianshan; Chen, Zhen; Yang, Shaoyan

    2016-01-01

    Growth of semiconductor nanowires on cheap metal substrates could pave the way to the large-scale manufacture of low-cost nanowire-based devices. In this work, we demonstrated that high density InN nanowires can be directly grown on brass substrates by metal-organic chemical vapor deposition. It was found that Zn from the brass substrates is the key factor in the formation of nanowires by restricting the lateral growth of InN. The nanowire morphology is highly dependent on the growth temperature. While at a lower growth temperature, the nanowires and the In droplets have large diameters. At the elevated growth temperature, the lateral sizes of the nanowires and the In droplets are much smaller. Moreover, the nanowire diameter can be controlled in situ by varying the temperature in the growth process. This method is very instructive to the diameter-controlled growth of nanowires of other materials. PMID:28335323

  16. Remote p-type Doping in GaSb/InAs Core-shell Nanowires

    PubMed Central

    Ning, Feng; Tang, Li-Ming; Zhang, Yong; Chen, Ke-Qiu

    2015-01-01

    By performing first-principles calculation, we investigated the electronic properties of remotely p-type doping GaSb nanowire by a Zn-doped InAs shell. The results show that for bare zinc-blende (ZB) [111] GaSb/InAs core-shell nanowire the Zn p-type doped InAs shell donates free holes to the non-doped GaSb core nanowire without activation energy, significantly increasing the hole density and mobility of nanowire. For Zn doping in bare ZB [110] GaSb/InAs core-shell nanowire the hole states are compensated by surface states. We also studied the behaviors of remote p-type doing in two-dimensional (2D) GaSb/InAs heterogeneous slabs, and confirmed that the orientation of nanowire side facet is a key factor for achieving high efficient remote p-type doping. PMID:26028535

  17. Effect of rapid oxidation on optical and electrical properties of silicon nanowires obtained by chemical etching

    NASA Astrophysics Data System (ADS)

    Karyaoui, M.; Bardaoui, A.; Ben Rabha, M.; Harmand, J. C.; Amlouk, M.

    2012-05-01

    In the present work, we report the investigation of passivated silicon nanowires (SiNWs) having an average radius of 3.7 μm, obtained by chemical etching of p-type silicon (p-Si). The surface passivation of the SiNWs was performed through a rapid oxidation conducted under a controlled atmosphere at different temperatures and durations. The morphology of the SiNWs was examined using a scanning electron microscope (SEM) that revealed a wave-like structure of dense and vertically aligned one-dimensional silicon nanostructures. On the other hand, optical and electrical characterizations of the SiNWs were studied using a UV-Vis-NIR spectrometer, the Fourier transform infrared spectroscopy (FTIR) and I-V measurements. The reflectance of SiNWs has been dropped to approximately 2% in comparison to that of bare p-Si. This low reflectance slightly increased after carrying out the rapid thermal annealing. The observed behavior was attributed to the formation of a SiO2 layer, as confirmed by FTIR measurements. Finally, the electrical measurements have shown that the rapid oxidation, at certain conditions, contributes to the improvement of the electrical responses of the SiNWs, which can be of great interest for photovoltaic applications.

  18. Growth and characterization of Pt-Si droplets for silicon nanowires synthesis

    NASA Astrophysics Data System (ADS)

    Khumalo, Z. M.; Topić, M.; Mtshali, C. B.; Blumenthal, M.

    2018-02-01

    The formation of platinum silicide phases as a function of the annealing temperature was investigated using in-situ real-time Rutherford backscattering spectrometry. The in-situ real-time RBS revealed the reaction of platinum and silicon to start at about 220 °C to form platinum silicide phases, Pt2Si and PtSi in sequence. Scanning electron microscope revealed the morphological change in the platinum layer (formation of droplets) at 800 °C. The particle induced X-ray emission analysis showed the variation of platinum intensity, in the droplets areas, between 1600 and 2000 counts. The surrounding areas are left almost uncovered due to platinum film dewetting. In-plane as well as out-of-plane silicon nanowires were observed to form at 800 °C and 1000 °C using pulsed laser ablation and thermal annealing techniques, respectively.

  19. Self-aligned nanoforest in silicon nanowire for sensitive conductance modulation.

    PubMed

    Seol, Myeong-Lok; Ahn, Jae-Hyuk; Choi, Ji-Min; Choi, Sung-Jin; Choi, Yang-Kyu

    2012-11-14

    A self-aligned and localized nanoforest structure is constructed in a top-down fabricated silicon nanowire (SiNW). The surface-to-volume ratio (SVR) of the SiNW is enhanced due to the local nanoforest formation. The conductance modulation property of the SiNWs, which is an important characteristic in sensor and charge transfer based applications, can be largely enhanced. For the selective modification of the channel region, localized Joule-heating and subsequent metal-assisted chemical etching (mac-etch) are employed. The nanoforest is formed only in the channel region without misalignment due to the self-aligned process of Joule-heating. The modified SiNW is applied to a porphyrin-silicon hybrid device to verify the enhanced conductance modulation. The charge transfer efficiency between the porphyrin and the SiNW, which is caused by external optical excitation, is clearly increased compared to the initial SiNW. The effect of the local nanoforest formation is enhanced when longer etching times and larger widths are used.

  20. Vertical group III-V nanowires on si, heterostructures, flexible arrays and fabrication

    DOEpatents

    Wang, Deli; Soci, Cesare; Bao, Xinyu; Wei, Wei; Jing, Yi; Sun, Ke

    2015-01-13

    Embodiments of the invention provide a method for direct heteroepitaxial growth of vertical III-V semiconductor nanowires on a silicon substrate. The silicon substrate is etched to substantially completely remove native oxide. It is promptly placed in a reaction chamber. The substrate is heated and maintained at a growth temperature. Group III-V precursors are flowed for a growth time. Preferred embodiment vertical Group III-V nanowires on silicon have a core-shell structure, which provides a radial homojunction or heterojunction. A doped nanowire core is surrounded by a shell with complementary doping. Such can provide high optical absorption due to the long optical path in the axial direction of the vertical nanowires, while reducing considerably the distance over which carriers must diffuse before being collected in the radial direction. Alloy composition can also be varied. Radial and axial homojunctions and heterojunctions can be realized. Embodiments provide for flexible Group III-V nanowire structures. An array of Group III-V nanowire structures is embedded in polymer. A fabrication method forms the vertical nanowires on a substrate, e.g., a silicon substrate. Preferably, the nanowires are formed by the preferred methods for fabrication of Group III-V nanowires on silicon. Devices can be formed with core/shell and core/multi-shell nanowires and the devices are released from the substrate upon which the nanowires were formed to create a flexible structure that includes an array of vertical nanowires embedded in polymer.

  1. Comparison of Ti-Based Coatings on Silicon Nanowires for Phosphopeptide Enrichment and Their Laser Assisted Desorption/Ionization Mass Spectrometry Detection

    PubMed Central

    Kurylo, Ievgen; Hamdi, Abderrahmane; Addad, Ahmed; Coffinier, Yannick

    2017-01-01

    We created different TiO2-based coatings on silicon nanowires (SiNWs) by using either thermal metallization or atomic layer deposition (ALD). The fabricated surfaces were characterized by X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), and reflectivity measurements. Surfaces with different TiO2 based coating thicknesses were then used for phosphopeptide enrichment and subsequent detection by laser desorption/ionization mass spectrometry (LDI-MS). Results showed that the best enrichment and LDI-MS detection were obtained using the silicon nanowires covered with 10 nm of oxidized Ti deposited by means of thermal evaporation. This sample was also able to perform phosphopeptide enrichment and MS detection from serum. PMID:28914806

  2. Performance analysis of nanodisk and core/shell/shell-nanowire type III-Nitride heterojunction solar cell for efficient energy harvesting

    NASA Astrophysics Data System (ADS)

    Routray, S. R.; Lenka, T. R.

    2017-11-01

    Now-a-days III-Nitride nanowires with axial (nanodisk) and radial (core/shell/shell-nanowire) junctions are two unique and potential methods for solar energy harvesting adopted by worldwide researchers. In this paper, polarization behavior of GaN/InGaN/GaN junction and its effect on carrier dynamics of nanodisk and CSS-nanowire type solar cells are intensively studied and compared with its planar counterpart by numerical simulations using commercially available Victory TCAD. It is observed that CSS-NW with hexagonal geometrical shapes are robust to detrimental impact of polarization charges and could be good enough to accelerate carrier collection efficiency as compared to nanodisk and planar solar cells. This numerical study provides an innovative aspect of fundamental device physics with respect to polarization charges in CSS-NW and nanodisk type junction towards photovoltaic applications. The internal quantum efficiencies (IQE) are also discussed to evaluate carrier collection mechanisms and recombination losses in each type of junctions of solar cell. Finally, it is interesting to observe a maximum conversion efficiency of 6.46% with 91.6% fill factor from n-GaN/i-In0.1Ga0.9N/p-GaN CSS-nanowire solar cell with an optimized thickness of 180 nm InGaN layer under one Sun AM1.5 illumination.

  3. In(x)Ga(₁-x)As nanowires on silicon: one-dimensional heterogeneous epitaxy, bandgap engineering, and photovoltaics.

    PubMed

    Shin, Jae Cheol; Kim, Kyou Hyun; Yu, Ki Jun; Hu, Hefei; Yin, Leijun; Ning, Cun-Zheng; Rogers, John A; Zuo, Jian-Min; Li, Xiuling

    2011-11-09

    We report on the one-dimensional (1D) heteroepitaxial growth of In(x)Ga(1-x)As (x = 0.2-1) nanowires (NWs) on silicon (Si) substrates over almost the entire composition range using metalorganic chemical vapor deposition (MOCVD) without catalysts or masks. The epitaxial growth takes place spontaneously producing uniform, nontapered, high aspect ratio NW arrays with a density exceeding 1 × 10(8)/cm(2). NW diameter (∼30-250 nm) is inversely proportional to the lattice mismatch between In(x)Ga(1-x)As and Si (∼4-11%), and can be further tuned by MOCVD growth condition. Remarkably, no dislocations have been found in all composition In(x)Ga(1-x)As NWs, even though massive stacking faults and twin planes are present. Indium rich NWs show more zinc-blende and Ga-rich NWs exhibit dominantly wurtzite polytype, as confirmed by scanning transmission electron microscopy (STEM) and photoluminescence spectra. Solar cells fabricated using an n-type In(0.3)Ga(0.7)As NW array on a p-type Si(111) substrate with a ∼ 2.2% area coverage, operates at an open circuit voltage, V(oc), and a short circuit current density, J(sc), of 0.37 V and 12.9 mA/cm(2), respectively. This work represents the first systematic report on direct 1D heteroepitaxy of ternary In(x)Ga(1-x)As NWs on silicon substrate in a wide composition/bandgap range that can be used for wafer-scale monolithic heterogeneous integration for high performance photovoltaics.

  4. Nanowire array chips for molecular typing of rare trafficking leukocytes with application to neurodegenerative pathology

    NASA Astrophysics Data System (ADS)

    Kwak, Minsuk; Kim, Dong-Joo; Lee, Mi-Ri; Wu, Yu; Han, Lin; Lee, Sang-Kwon; Fan, Rong

    2014-05-01

    Despite the presence of the blood-brain barrier (BBB) that restricts the entry of immune cells and mediators into the central nervous system (CNS), a small number of peripheral leukocytes can traverse the BBB and infiltrate into the CNS. The cerebrospinal fluid (CSF) is one of the major routes through which trafficking leukocytes migrate into the CNS. Therefore, the number of leukocytes and their phenotypic compositions in the CSF may represent important sources to investigate immune-to-brain interactions or diagnose and monitor neurodegenerative diseases. Due to the paucity of trafficking leucocytes in the CSF, a technology capable of efficient isolation, enumeration, and molecular typing of these cells in the clinical settings has not been achieved. In this study, we report on a biofunctionalized silicon nanowire array chip for highly efficient capture and multiplexed phenotyping of rare trafficking leukocytes in small quantities (50 microliters) of clinical CSF specimens collected from neurodegenerative disease patients. The antibody coated 3D nanostructured materials exhibited vastly improved rare cell capture efficiency due to high-affinity binding and enhanced cell-substrate interactions. Moreover, our platform creates multiple cell capture interfaces, each of which can selectively isolate specific leukocyte phenotypes. A comparison with the traditional immunophenotyping using flow cytometry demonstrated that our novel silicon nanowire-based rare cell analysis platform can perform rapid detection and simultaneous molecular characterization of heterogeneous immune cells. Multiplexed molecular typing of rare leukocytes in CSF samples collected from Alzheimer's disease patients revealed the elevation of white blood cell counts and significant alterations in the distribution of major leukocyte phenotypes. Our technology represents a practical tool for potentially diagnosing and monitoring the pathogenesis of neurodegenerative diseases by allowing an effective

  5. Semiconductor nanowire devices: Novel morphologies and applications to electrogenic biological systems

    NASA Astrophysics Data System (ADS)

    Timko, Brian Paul

    The interface between nanoscale semiconductors and biological systems represents a powerful means for molecular-scale, two-way communication between these two diverse yet complementary systems. In this thesis, I present a general methodology for the synthesis of semiconductor nanowires with rationally-defined material composition and geometry. Specifically, I demonstrate that this technique can be used to fabricate silicon nanowires, hollow nanostructures (e.g. nanotubes, nanocones and branched tubular networks), and Ge/Si heterostructures that exhibit 1D hole gasses. Using bottom-up assembly techniques, nanostructures are subsequently built into arrays containing up to tens of nanowire field-effect transistors (NW-FETs) that exhibit exquisite sensitivity to local charges. Significantly, this robust assembly technique enables integration of disparate materials (e.g. n- and p-type silicon nanowires) on virtually any type of substrate. These arrays are particularly useful for integration with biological systems. I will demonstrate that at the single-cell level, silicon nanowire device arrays can be integrated with mammalian neurons. Discrete hybrid structures enable neuronal stimulation and recording at the axon, dendrite, or soma with high sensitivity and spatial resolution, while aligned arrays containing up to 50 devices can be used to measure the speed and temporal evolution of signals or to interact with a single cell as multiple inputs and outputs. I analyze the shape and magnitude of reported signals, and place within the context of previously reported results. Hybrid interfaces can also be extended to entire organs such as embryonic chicken hearts. NW-FET signals are synchronized with the beating heart, and the signal amplitude is directly related to the device sensitivity. Multiplexed measurements made from NW-FET arrays further show that signal propagation across the myocardium can be mapped, with a potential resolution significantly better than

  6. Effect of the nanowire diameter on the linearity of the response of GaN-based heterostructured nanowire photodetectors.

    PubMed

    Spies, Maria; Polaczyński, Jakub; Ajay, Akhil; Kalita, Dipankar; Luong, Minh Anh; Lähnemann, Jonas; Gayral, Bruno; den Hertog, Martien I; Monroy, Eva

    2018-06-22

    Nanowire photodetectors are investigated because of their compatibility with flexible electronics, or for the implementation of on-chip optical interconnects. Such devices are characterized by ultrahigh photocurrent gain, but their photoresponse scales sublinearly with the optical power. Here, we present a study of single-nanowire photodetectors displaying a linear response to ultraviolet illumination. Their structure consists of a GaN nanowire incorporating an AlN/GaN/AlN heterostructure, which generates an internal electric field. The activity of the heterostructure is confirmed by the rectifying behavior of the current-voltage characteristics in the dark, as well as by the asymmetry of the photoresponse in magnitude and linearity. Under reverse bias (negative bias on the GaN cap segment), the detectors behave linearly with the impinging optical power when the nanowire diameter is below a certain threshold (≈80 nm), which corresponds to the total depletion of the nanowire stem due to the Fermi level pinning at the sidewalls. In the case of nanowires that are only partially depleted, their nonlinearity is explained by a nonlinear variation of the diameter of their central conducting channel under illumination.

  7. Effect of the nanowire diameter on the linearity of the response of GaN-based heterostructured nanowire photodetectors

    NASA Astrophysics Data System (ADS)

    Spies, Maria; Polaczyński, Jakub; Ajay, Akhil; Kalita, Dipankar; Luong, Minh Anh; Lähnemann, Jonas; Gayral, Bruno; den Hertog, Martien I.; Monroy, Eva

    2018-06-01

    Nanowire photodetectors are investigated because of their compatibility with flexible electronics, or for the implementation of on-chip optical interconnects. Such devices are characterized by ultrahigh photocurrent gain, but their photoresponse scales sublinearly with the optical power. Here, we present a study of single-nanowire photodetectors displaying a linear response to ultraviolet illumination. Their structure consists of a GaN nanowire incorporating an AlN/GaN/AlN heterostructure, which generates an internal electric field. The activity of the heterostructure is confirmed by the rectifying behavior of the current–voltage characteristics in the dark, as well as by the asymmetry of the photoresponse in magnitude and linearity. Under reverse bias (negative bias on the GaN cap segment), the detectors behave linearly with the impinging optical power when the nanowire diameter is below a certain threshold (≈80 nm), which corresponds to the total depletion of the nanowire stem due to the Fermi level pinning at the sidewalls. In the case of nanowires that are only partially depleted, their nonlinearity is explained by a nonlinear variation of the diameter of their central conducting channel under illumination.

  8. Photoelectrochemical response of GaN, InGaN, and GaNP nanowire ensembles

    NASA Astrophysics Data System (ADS)

    Philipps, Jan M.; Hölzel, Sara; Hille, Pascal; Schörmann, Jörg; Chatterjee, Sangam; Buyanova, Irina A.; Eickhoff, Martin; Hofmann, Detlev M.

    2018-05-01

    The photoelectrochemical responses of GaN, GaNP, and InGaN nanowire ensembles are investigated by the electrical bias dependent photoluminescence, photocurrent, and spin trapping experiments. The results are explained in the frame of the surface band bending model. The model is sufficient for InGaN nanowires, but for GaN nanowires the electrochemical etching processes in the anodic regime have to be considered additionally. These processes lead to oxygen rich surface (GaxOy) conditions as evident from energy dispersive X-ray fluorescence. For the GaNP nanowires, a bias dependence of the carrier transfer to the electrolyte is not reflected in the photoluminescence response, which is tentatively ascribed to a different origin of radiative recombination in this material as compared to (In)GaN. The corresponding consequences for the applications of the materials for water splitting or pH-sensing will be discussed.

  9. Effective light absorption and its enhancement factor for silicon nanowire-based solar cell.

    PubMed

    Duan, Zhiqiang; Li, Meicheng; Mwenya, Trevor; Fu, Pengfei; Li, Yingfeng; Song, Dandan

    2016-01-01

    Although nanowire (NW) antireflection coating can enhance light trapping capability, which is generally used in crystal silicon (CS) based solar cells, whether it can improve light absorption in the CS body depends on the NW geometrical shape and their geometrical parameters. In order to conveniently compare with the bare silicon, two enhancement factors E(T) and E(A) are defined and introduced to quantitatively evaluate the efficient light trapping capability of NW antireflective layer and the effective light absorption capability of CS body. Five different shapes (cylindrical, truncated conical, convex conical, conical, and concave conical) of silicon NW arrays arranged in a square are studied, and the theoretical results indicate that excellent light trapping does not mean more light can be absorbed in the CS body. The convex conical NW has the best light trapping, but the concave conical NW has the best effective light absorption. Furthermore, if the cross section of silicon NW is changed into a square, both light trapping and effective light absorption are enhanced, and the Eiffel Tower shaped NW arrays have optimal effective light absorption.

  10. Enhanced light scattering of the forbidden longitudinal optical phonon mode studied by micro-Raman spectroscopy on single InN nanowires.

    PubMed

    Schäfer-Nolte, E O; Stoica, T; Gotschke, T; Limbach, F A; Sutter, E; Sutter, P; Grützmacher, D; Calarco, R

    2010-08-06

    In the literature, there are controversies on the interpretation of the appearance in InN Raman spectra of a strong scattering peak in the energy region of the unscreened longitudinal optical (LO) phonons, although a shift caused by the phonon-plasmon interaction is expected for the high conductance observed in this material. Most measurements on light scattering are performed on ensembles of InN nanowires (NWs). However, it is important to investigate the behavior of individual nanowires and here we report on micro-Raman measurements on single nanowires. When changing the polarization direction of the incident light from parallel to perpendicular to the wire, the expected reduction of the Raman scattering was observed for transversal optical (TO) and E(2) phonon scattering modes, while a strong symmetry-forbidden LO mode was observed independently on the laser polarization direction. Single Mg- and Si-doped crystalline InN nanowires were also investigated. Magnesium doping results in a sharpening of the Raman peaks, while silicon doping leads to an asymmetric broadening of the LO peak. The results can be explained based on the influence of the high electron concentration with a strong contribution of the surface accumulation layer and the associated internal electric field.

  11. Photoluminescence of etched SiC nanowires

    NASA Astrophysics Data System (ADS)

    Stewart, Polite D., Jr.; Rich, Ryan; Zerda, T. W.

    2010-10-01

    SiC nanowires were produced from carbon nanotubes and nanosize silicon powder in a tube furnace at temperatures between 1100^oC and 1350^oC. SiC nanowires had average diameter of 30 nm and very narrow size distribution. The compound possesses a high melting point, high thermal conductivity, and excellent wear resistance. The surface of the SiC nanowires after formation is covered by an amorphous layer. The composition of that layer is not fully understood, but it is believed that in addition to amorphous SiC it contains various carbon and silicon compounds, and SiO2. The objective of the research was to modify the surface structure of these SiC nanowires. Modification of the surface was done using the wet etching method. The etched nanowires were then analyzed using Fourier Transform Infrared spectroscopy (FTIR), transmission electron microscopy (TEM), and photoluminescence (PL). FTIR and TEM analysis provided valid proof that the SiC nanowires were successfully etched. Also, the PL results showed that the SiC nanowire core did possess a fluorescent signal.

  12. Engineering island-chain silicon nanowires via a droplet mediated Plateau-Rayleigh transformation

    PubMed Central

    Xue, Zhaoguo; Xu, Mingkun; Zhao, Yaolong; Wang, Jimmy; Jiang, Xiaofan; Yu, Linwei; Wang, Junzhuan; Xu, Jun; Shi, Yi; Chen, Kunji; Roca i Cabarrocas, Pere

    2016-01-01

    The ability to program highly modulated morphology upon silicon nanowires (SiNWs) has been fundamental to explore new phononic and electronic functionalities. We here exploit a nanoscale locomotion of metal droplets to demonstrate a large and readily controllable morphology engineering of crystalline SiNWs, from straight ones into continuous or discrete island-chains, at temperature <350 °C. This has been accomplished via a tin (Sn) droplet mediated in-plane growth where amorphous Si thin film is consumed as precursor to produce crystalline SiNWs. Thanks to a significant interface-stretching effect, a periodic Plateau-Rayleigh instability oscillation can be stimulated in the liquid Sn droplet, and the temporal oscillation of the Sn droplets is translated faithfully, via the deformable liquid/solid deposition interface, into regular spatial modulation upon the SiNWs. Combined with a unique self-alignment and positioning capability, this new strategy could enable a rational design and single-run fabrication of a wide variety of nanowire-based optoelectronic devices. PMID:27682161

  13. Transport properties of Sb doped Si nanowires

    NASA Astrophysics Data System (ADS)

    Nukala, Prathyusha; Sapkota, Gopal; Gali, Pradeep; Usha, Philipose

    2011-10-01

    n-type Si nanowires were synthesized at ambient pressure using SiCl4 as Si source and Sb source as the dopant. Sb doping of 3-4 wt % was achieved through a post growth diffusion technique. The nanowires were found to have an amorphous oxide shell that developed post-growth; the thickness of the shell is estimated to be about 3-4 nm. The composition of the amorphous shell covering the crystalline Si core was determined by Raman spectroscopy, with evidence that the shell was an amorphous oxide layer. Optical characterization of the as-grown nanowires showed green emission, attributed to the presence of the oxide shell covering the Si nanowire core. Etching of the oxide shell was found to decrease the intensity of this green emission. A single undoped Si nanowire contacted in an FET type configuration was found to be p-type with channel mobility of 20 cm^2V-1S-1. Sb doped Si nanowires exhibited n-type behavior, compensating for the holes in the undoped nanowire. The doped nanowires had carrier mobility and concentration of 160 cm^2V-1S-1 and 9.6 x 10^18cm-3 respectively.

  14. Horizontal silicon nanowires for surface-enhanced Raman spectroscopy

    NASA Astrophysics Data System (ADS)

    Gebavi, Hrvoje; Ristić, Davor; Baran, Nikola; Mikac, Lara; Mohaček-Grošev, Vlasta; Gotić, Marijan; Šikić, Mile; Ivanda, Mile

    2018-01-01

    The main purpose of this paper is to focus on details of the fabrication process of horizontally and vertically oriented silicon nanowires (SiNWs) substrates for the application of surface-enhanced Raman spectroscopy (SERS). The fabrication process is based on the vapor-liquid-solid method and electroless-assisted chemical etching, which, as the major benefit, resulting in the development of economical, easy-to-prepare SERS substrates. Furthermore, we examined the fabrication of Au coated Ag nanoparticles (NPs) on the SiNWs substrates in such a way as to diminish the influence of silver NPs corrosion, which, in turn, enhanced the SERS time stability, thus allowing for wider commercial applications. The substances on which high SERS sensitivity was proved are rhodamine (R6G) and 4-mercaptobenzoic acid (MBA), with the detection limits of 10-8 M and 10-6 M, respectively.

  15. Three-dimensional GaN/AlN nanowire heterostructures by separating nucleation and growth processes.

    PubMed

    Carnevale, Santino D; Yang, Jing; Phillips, Patrick J; Mills, Michael J; Myers, Roberto C

    2011-02-09

    Bottom-up nanostructure assembly has been a central theme of materials synthesis over the past few decades. Semiconductor quantum dots and nanowires provide additional degrees of freedom for charge confinement, strain engineering, and surface sensitivity-properties that are useful to a wide range of solid state optical and electronic technologies. A central challenge is to understand and manipulate nanostructure assembly to reproducibly generate emergent structures with the desired properties. However, progress is hampered due to the interdependence of nucleation and growth phenomena. Here we show that by dynamically adjusting the growth kinetics, it is possible to separate the nucleation and growth processes in spontaneously formed GaN nanowires using a two-step molecular beam epitaxy technique. First, a growth phase diagram for these nanowires is systematically developed, which allows for control of nanowire density over three orders of magnitude. Next, we show that by first nucleating nanowires at a low temperature and then growing them at a higher temperature, height and density can be independently selected while maintaining the target density over long growth times. GaN nanowires prepared using this two-step procedure are overgrown with three-dimensionally layered and topologically complex heterostructures of (GaN/AlN). By adjusting the growth temperature in the second growth step either vertical or coaxial nanowire superlattices can be formed. These results indicate that a two-step method allows access to a variety of kinetics at which nanowire nucleation and adatom mobility are adjustable.

  16. Controlled synthesis of AlN/GaN multiple quantum well nanowire structures and their optical properties.

    PubMed

    Qian, Fang; Brewster, Megan; Lim, Sung K; Ling, Yichuan; Greene, Christopher; Laboutin, Oleg; Johnson, Jerry W; Gradečak, Silvija; Cao, Yu; Li, Yat

    2012-06-13

    We report the controlled synthesis of AlN/GaN multi-quantum well (MQW) radial nanowire heterostructures by metal-organic chemical vapor deposition. The structure consists of a single-crystal GaN nanowire core and an epitaxially grown (AlN/GaN)(m) (m = 3, 13) MQW shell. Optical excitation of individual MQW nanowires yielded strong, blue-shifted photoluminescence in the range 340-360 nm, with respect to the GaN near band-edge emission at 368.8 nm. Cathodoluminescence analysis on the cross-sectional MQW nanowire samples showed that the blue-shifted ultraviolet luminescence originated from the GaN quantum wells, while the defect-associated yellow luminescence was emitted from the GaN core. Computational simulation provided a quantitative analysis of the mini-band energies in the AlN/GaN superlattices and suggested the observed blue-shifted emission corresponds to the interband transitions between the second subbands of GaN, as a result of quantum confinement and strain effect in these AlN/GaN MQW nanowire structures.

  17. Improved sensing characteristics of dual-gate transistor sensor using silicon nanowire arrays defined by nanoimprint lithography.

    PubMed

    Lim, Cheol-Min; Lee, In-Kyu; Lee, Ki Joong; Oh, Young Kyoung; Shin, Yong-Beom; Cho, Won-Ju

    2017-01-01

    This work describes the construction of a sensitive, stable, and label-free sensor based on a dual-gate field-effect transistor (DG FET), in which uniformly distributed and size-controlled silicon nanowire (SiNW) arrays by nanoimprint lithography act as conductor channels. Compared to previous DG FETs with a planar-type silicon channel layer, the constructed SiNW DG FETs exhibited superior electrical properties including a higher capacitive-coupling ratio of 18.0 and a lower off-state leakage current under high-temperature stress. In addition, while the conventional planar single-gate (SG) FET- and planar DG FET-based pH sensors showed the sensitivities of 56.7 mV/pH and 439.3 mV/pH, respectively, the SiNW DG FET-based pH sensors showed not only a higher sensitivity of 984.1 mV/pH, but also a lower drift rate of 0.8% for pH-sensitivity. This demonstrates that the SiNW DG FETs simultaneously achieve high sensitivity and stability, with significant potential for future biosensing applications.

  18. Improved sensing characteristics of dual-gate transistor sensor using silicon nanowire arrays defined by nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Lim, Cheol-Min; Lee, In-Kyu; Lee, Ki Joong; Oh, Young Kyoung; Shin, Yong-Beom; Cho, Won-Ju

    2017-12-01

    This work describes the construction of a sensitive, stable, and label-free sensor based on a dual-gate field-effect transistor (DG FET), in which uniformly distributed and size-controlled silicon nanowire (SiNW) arrays by nanoimprint lithography act as conductor channels. Compared to previous DG FETs with a planar-type silicon channel layer, the constructed SiNW DG FETs exhibited superior electrical properties including a higher capacitive-coupling ratio of 18.0 and a lower off-state leakage current under high-temperature stress. In addition, while the conventional planar single-gate (SG) FET- and planar DG FET-based pH sensors showed the sensitivities of 56.7 mV/pH and 439.3 mV/pH, respectively, the SiNW DG FET-based pH sensors showed not only a higher sensitivity of 984.1 mV/pH, but also a lower drift rate of 0.8% for pH-sensitivity. This demonstrates that the SiNW DG FETs simultaneously achieve high sensitivity and stability, with significant potential for future biosensing applications.

  19. Probing the low thermal conductivity of single-crystalline porous Si nanowires

    NASA Astrophysics Data System (ADS)

    Zhao, Yunshan; Lina Yang Collaboration; Lingyu Kong Collaboration; Baowen Li Collaboration; John T L Thong Collaboration; Kedar Hippalgaonkar Collaboration

    Pore-like structures provide a novel way to reduce the thermal conductivity of silicon nanowires, compared to both smooth-surface VLS nanowires and rough EE nanowires. Because of enhanced phonon scattering with interface and decrease in phonon transport path, the porous nanostructures show reduction in thermal conductance by few orders of magnitude. It proves to be extremely challenging to evaluate porosity accurately in an experimental manner and further understand its effect on thermal transport. In this study, we use the newly developed electron-beam based micro-electrothermal device technique to study the porosity dependent thermal conductivity of mesoporous silicon nanowires that have single-crystalline scaffolding. Based on the Casino simulation, the power absorbed by the nanowire, coming from the loss of travelling electron energy, has a linear relationship with it cross section. The relationship has been verified experimentally as well. Monte Carlo simulation is carried out to theoretically predict the thermal conductivity of silicon nanowires with a specific value of porosity. These single-crystalline porous silicon nanowires show extremely low thermal conductivity, even below the amorphous limit. These structures together with our experimental techniques provide a particularly intriguing platform to understand the phonon transport in nanoscale and aid the performance improvement in future nanowires-based devices.

  20. Dopant induced single electron tunneling within the sub-bands of single silicon NW tri-gate junctionless n-MOSFET

    NASA Astrophysics Data System (ADS)

    Uddin, Wasi; Georgiev, Yordan M.; Maity, Sarmistha; Das, Samaresh

    2017-09-01

    We report 1D electron transport of silicon junctionless tri-gate n-type transistor at 4.2 K. The step like curve observed in the current voltage characteristic suggests 1D transport. Besides the current steps for 1D transport, we found multiple spikes within individual steps, which we relate to inter-band single electron tunneling, mediated by the charged dopants available in the channel region. Clear Coulomb diamonds were observed in the stability diagram of the device. It is shown that a uniformly doped silicon nanowire can provide us the window for the single electron tunnelling. Back-gate versus front-gate color plot, where current is in a color scale, shows a crossover of the increased conduction region. This is a clear indication of the dopant-dopant interaction. It has been shown that back-gate biasing can be used to tune the coupling strength between the dopants.

  1. Optical absorption enhancement in silicon nanowire arrays with a large lattice constant for photovoltaic applications.

    PubMed

    Lin, Chenxi; Povinelli, Michelle L

    2009-10-26

    In this paper, we use the transfer matrix method to calculate the optical absorptance of vertically-aligned silicon nanowire (SiNW) arrays. For fixed filling ratio, significant optical absorption enhancement occurs when the lattice constant is increased from 100 nm to 600 nm. The enhancement arises from an increase in field concentration within the nanowire as well as excitation of guided resonance modes. We quantify the absorption enhancement in terms of ultimate efficiency. Results show that an optimized SiNW array with lattice constant of 600 nm and wire diameter of 540 nm has a 72.4% higher ultimate efficiency than a Si thin film of equal thickness. The enhancement effect can be maintained over a large range of incidence angles.

  2. Designing 3D Multihierarchical Heteronanostructures for High-Performance On-Chip Hybrid Supercapacitors: Poly(3,4-(ethylenedioxy)thiophene)-Coated Diamond/Silicon Nanowire Electrodes in an Aprotic Ionic Liquid.

    PubMed

    Aradilla, David; Gao, Fang; Lewes-Malandrakis, Georgia; Müller-Sebert, Wolfgang; Gentile, Pascal; Boniface, Maxime; Aldakov, Dmitry; Iliev, Boyan; Schubert, Thomas J S; Nebel, Christoph E; Bidan, Gérard

    2016-07-20

    A versatile and robust hierarchically multifunctionalized nanostructured material made of poly(3,4-(ethylenedioxy)thiophene) (PEDOT)-coated diamond@silicon nanowires has been demonstrated to be an excellent capacitive electrode for supercapacitor devices. Thus, the electrochemical deposition of nanometric PEDOT films on diamond-coated silicon nanowire (SiNW) electrodes using N-methyl-N-propylpyrrolidinium bis((trifluoromethyl)sulfonyl)imide ionic liquid displayed a specific capacitance value of 140 F g(-1) at a scan rate of 1 mV s(-1). The as-grown functionalized electrodes were evaluated in a symmetric planar microsupercapacitor using butyltrimethylammonium bis((trifluoromethyl)sulfonyl)imide aprotic ionic liquid as the electrolyte. The device exhibited extraordinary energy and power density values of 26 mJ cm(-2) and 1.3 mW cm(-2) within a large voltage cell of 2.5 V, respectively. In addition, the system was able to retain 80% of its initial capacitance after 15 000 galvanostatic charge-discharge cycles at a high current density of 1 mA cm(-2) while maintaining a Coulombic efficiency around 100%. Therefore, this multifunctionalized hybrid device represents one of the best electrochemical performances concerning coated SiNW electrodes for a high-energy advanced on-chip supercapacitor.

  3. Effect of temperature on the characteristics of silicon nanowire transistor.

    PubMed

    Hashim, Yasir; Sidek, Othman

    2012-10-01

    This paper presents the temperature characteristics of silicon nanowire transistors (SiNWTs) and examines the effect of temperature on transfer characteristics, threshold voltage, I(ON)/I(OFF) ratio, drain-induced barrier lowering (DIBL), and sub-threshold swing (SS). The (MuGFET) simulation tool was used to investigate the temperature characteristics of a transistor. The findings reveal the negative effect of higher working temperature on the use of SiNWTs in electronic circuits, such as digital circuits and amplifiers circuits, because of the lower I(ON)/I(OFF) ratio, higher DIBL, and higher SS at higher temperature. Moreover, the ON state is the optimum condition for using a transistor as a temperature nano-sensor.

  4. Catalytic Activity of Silicon Nanowires Decorated with Gold and Copper Nanoparticles Deposited by Pulsed Laser Ablation

    PubMed Central

    Casiello, Michele; Fusco, Caterina; Irrera, Alessia; Trusso, Sebastiano; Cotugno, Pietro

    2018-01-01

    Silicon nanowires (SiNWs) decorated by pulsed laser ablation with gold or copper nanoparticles (labeled as AuNPs@SiNWs and CuNPs@SiNWs) were investigated for their catalytic properties. Results demonstrated high catalytic performances in the Caryl–N couplings and subsequent carbonylations for gold and copper catalysts, respectively, that have no precedents in the literature. The excellent activity, attested by the very high turn over number (TON) values, was due both to the uniform coverage along the NW length and to the absence of the chemical shell surrounding the metal nanoparticles (MeNPs). A high recyclability was also observed and can be ascribed to the strong covalent interaction at the Me–Si interface by virtue of metal “silicides” formation. PMID:29385761

  5. Catalytic Activity of Silicon Nanowires Decorated with Gold and Copper Nanoparticles Deposited by Pulsed Laser Ablation.

    PubMed

    Casiello, Michele; Picca, Rosaria Anna; Fusco, Caterina; D'Accolti, Lucia; Leonardi, Antonio Alessio; Lo Faro, Maria Josè; Irrera, Alessia; Trusso, Sebastiano; Cotugno, Pietro; Sportelli, Maria Chiara; Cioffi, Nicola; Nacci, Angelo

    2018-01-30

    Silicon nanowires (SiNWs) decorated by pulsed laser ablation with gold or copper nanoparticles (labeled as AuNPs@SiNWs and CuNPs@SiNWs) were investigated for their catalytic properties. Results demonstrated high catalytic performances in the C aryl -N couplings and subsequent carbonylations for gold and copper catalysts, respectively, that have no precedents in the literature. The excellent activity, attested by the very high turn over number (TON) values, was due both to the uniform coverage along the NW length and to the absence of the chemical shell surrounding the metal nanoparticles (MeNPs). A high recyclability was also observed and can be ascribed to the strong covalent interaction at the Me-Si interface by virtue of metal "silicides" formation.

  6. Differences in optoelectronic properties between H-saturated and unsaturated GaN nanowires with DFT method

    NASA Astrophysics Data System (ADS)

    Diao, Yu; Liu, Lei; Xia, Sihao; Kong, Yike

    2017-05-01

    To investigate the influences of dangling bonds on GaN nanowires surface, the differences in optoelectronic properties between H-saturated and unsaturated GaN nanowires are researched through first-principles study. The GaN nanowires along the [0001] growth direction with diameters of 3.7, 7.5 and 9.5 Å are considered. According to the results, H-saturated GaN nanowires are more stable than the unsaturated ones. With increasing nanowire diameter, unsaturated GaN nanowires become more stable, while the stability of H-saturated GaN nanowires has little change. After geometry optimization, the atomic displacements of unsaturated and H-saturated models are almost reversed. In (0001) crystal plane, Ga atoms tend to move inwards and N atoms tend to move outwards slightly for the unsaturated nanowires, while Ga atoms tend to move outwards and N atoms tend to move inwards slightly for the H-saturated nanowires. Besides, with increasing nanowire diameter, the conduction band minimum of H-saturated nanowire moves to the lower energy side, while that of the unsaturated nanowire changes slightly. The bandgaps of H-saturated nanowires are approaching to bulk GaN as the diameter increases. Absorption curves and reflectivity curves of the unsaturated and H-saturated nanowires exhibit the same trend with the change of energy except the H-saturated models which show larger variations. Through all the calculated results above, we can better understand the effects of dangling bonds on the optoelectronic properties of GaN nanowires and select more proper calculation models and methods for other calculations.

  7. Proposal of a neutron transmutation doping facility for n-type spherical silicon solar cell at high-temperature engineering test reactor.

    PubMed

    Ho, Hai Quan; Honda, Yuki; Motoyama, Mizuki; Hamamoto, Shimpei; Ishii, Toshiaki; Ishitsuka, Etsuo

    2018-05-01

    The p-type spherical silicon solar cell is a candidate for future solar energy with low fabrication cost, however, its conversion efficiency is only about 10%. The conversion efficiency of a silicon solar cell can be increased by using n-type silicon semiconductor as a substrate. This study proposed a new method of neutron transmutation doping silicon (NTD-Si) for producing the n-type spherical solar cell, in which the Si-particles are irradiated directly instead of the cylinder Si-ingot as in the conventional NTD-Si. By using a 'screw', an identical resistivity could be achieved for the Si-particles without a complicated procedure as in the NTD with Si-ingot. Also, the reactivity and neutron flux swing could be kept to a minimum because of the continuous irradiation of the Si-particles. A high temperature engineering test reactor (HTTR), which is located in Japan, was used as a reference reactor in this study. Neutronic calculations showed that the HTTR has a capability to produce about 40t/EFPY of 10Ωcm resistivity Si-particles for fabrication of the n-type spherical solar cell. Copyright © 2018 Elsevier Ltd. All rights reserved.

  8. Epitaxial growth of aligned AlGalnN nanowires by metal-organic chemical vapor deposition

    DOEpatents

    Han, Jung; Su, Jie

    2008-08-05

    Highly ordered and aligned epitaxy of III-Nitride nanowires is demonstrated in this work. <1010> M-axis is identified as a preferential nanowire growth direction through a detailed study of GaN/AlN trunk/branch nanostructures by transmission electron microscopy. Crystallographic selectivity can be used to achieve spatial and orientational control of nanowire growth. Vertically aligned (Al)GaN nanowires are prepared on M-plane AlN substrates. Horizontally ordered nanowires, extending from the M-plane sidewalls of GaN hexagonal mesas or islands demonstrate new opportunities for self-aligned nanowire devices, interconnects, and networks.

  9. Surface effects on the thermal conductivity of silicon nanowires

    NASA Astrophysics Data System (ADS)

    Li, Hai-Peng; Zhang, Rui-Qin

    2018-03-01

    Thermal transport in silicon nanowires (SiNWs) has recently attracted considerable attention due to their potential applications in energy harvesting and generation and thermal management. The adjustment of the thermal conductivity of SiNWs through surface effects is a topic worthy of focus. In this paper, we briefly review the recent progress made in this field through theoretical calculations and experiments. We come to the conclusion that surface engineering methods are feasible and effective methods for adjusting nanoscale thermal transport and may foster further advancements in this field. Project supported by the National Natural Science Foundation ofChina (Grant No. 11504418), China Scholarship Council (Grant No. 201706425053), Basic Research Program in Shenzhen, China (Grant No. JCYJ20160229165210666), and the Fundamental Research Funds for the Central Universities of China (Grant No. 2015XKMS075).

  10. Transport properties of Sb-doped Si nanowires

    NASA Astrophysics Data System (ADS)

    Nukala, Prathyusha; Sapkota, Gopal; Gali, Pradeep; Philipose, U.

    2012-08-01

    We present a safe and cost-effective approach for synthesis of n-type Sb-doped Si nanowires. The nanowires were synthesized at ambient pressure using SiCl4 as Si source and pure Sb as the dopant source. Structural and compositional characterization using electron microscopy and X-ray spectroscopy show crystalline nanowires with lengths of 30-40 μm and diameters of 40-100 nm. A 3-4 nm thick amorphous oxide shell covers the surface of the nanowire, post-growth. The composition of this shell was confirmed by Raman spectroscopy. Growth of Si nanowires, followed by low temperature annealing in Sb vapor, was shown to be an effective technique for synthesizing Sb-doped Si nanowires. The doping concentration of Sb was found to be dependent on temperature, with Sb re-evaporating from the Si nanowire at higher doping temperatures. Field effect transistors (FETs) were fabricated to investigate the electrical transport properties of these nanowires. The as-grown Si nanowires were found to be p-type with a channel mobility of 40 cm2 V-1 s-1. After doping with Sb, these nanowires exhibited n-type behavior. The channel mobility and carrier concentration of the Sb-doped Si nanowires were estimated to be 288 cm2 V-1 s-1 and 5.3×1018 cm-3 respectively.

  11. Effect of etching time on morphological, optical, and electronic properties of silicon nanowires

    PubMed Central

    2012-01-01

    Owing to their interesting electronic, mechanical, optical, and transport properties, silicon nanowires (SiNWs) have attracted much attention, giving opportunities to several potential applications in nanoscale electronic, optoelectronic devices, and silicon solar cells. For photovoltaic application, a superficial film of SiNWs could be used as an efficient antireflection coating. In this work we investigate the morphological, optical, and electronic properties of SiNWs fabricated at different etching times. Characterizations of the formed SiNWs films were performed using a scanning electron microscope, ultraviolet–visible-near-infrared spectroscopy, and light-beam-induced-current technique. The latter technique was used to determine the effective diffusion length in SiNWs films. From these investigations, we deduce that the homogeneity of the SiNWs film plays a key role on the electronic properties. PMID:22799265

  12. Effect of etching time on morphological, optical, and electronic properties of silicon nanowires.

    PubMed

    Nafie, Nesma; Lachiheb, Manel Abouda; Bouaicha, Mongi

    2012-07-16

    Owing to their interesting electronic, mechanical, optical, and transport properties, silicon nanowires (SiNWs) have attracted much attention, giving opportunities to several potential applications in nanoscale electronic, optoelectronic devices, and silicon solar cells. For photovoltaic application, a superficial film of SiNWs could be used as an efficient antireflection coating. In this work we investigate the morphological, optical, and electronic properties of SiNWs fabricated at different etching times. Characterizations of the formed SiNWs films were performed using a scanning electron microscope, ultraviolet-visible-near-infrared spectroscopy, and light-beam-induced-current technique. The latter technique was used to determine the effective diffusion length in SiNWs films. From these investigations, we deduce that the homogeneity of the SiNWs film plays a key role on the electronic properties.

  13. Organically Modified Silicas on Metal Nanowires

    PubMed Central

    2010-01-01

    Organically modified silica coatings were prepared on metal nanowires using a variety of silicon alkoxides with different functional groups (i.e., carboxyl groups, polyethylene oxide, cyano, dihydroimidazole, and hexyl linkers). Organically modified silicas were deposited onto the surface of 6-μm-long, ∼300-nm-wide, cylindrical metal nanowires in suspension by the hydrolysis and polycondensation of silicon alkoxides. Syntheses were performed at several ratios of tetraethoxysilane to an organically modified silicon alkoxide to incorporate desired functional groups into thin organosilica shells on the nanowires. These coatings were characterized using transmission electron microscopy, X-ray photoelectron spectroscopy, and infrared spectroscopy. All of the organically modified silicas prepared here were sufficiently porous to allow the removal of the metal nanowire cores by acid etching to form organically modified silica nanotubes. Additional functionality provided to the modified silicas as compared to unmodified silica prepared using only tetraethoxysilane precursors was demonstrated by chromate adsorption on imidazole-containing silicas and resistance to protein adsorption on polyethyleneoxide-containing silicas. Organically modified silica coatings on nanowires and other nano- and microparticles have potential application in fields such as biosensing or nanoscale therapeutics due to the enhanced properties of the silica coatings, for example, the prevention of biofouling. PMID:20715881

  14. Fabrication of Heterojunction Diode Based on n-ZnO Nanowires/p-Si Substrate: Temperature Dependent Transport Characteristics.

    PubMed

    Badran, R I; Umar, Ahmad

    2017-01-01

    Herein, we report the growth and characterizations of well-crystalline n-ZnO nanowires assembled in micro flower-shaped morphologies. The nanowires are grown on p-Silicon substrate and characterized in terms of their structural, morphological and electrical properties. Temperature dependent transport characteristics of the fabricated n-ZnO/p-Si heterojunction diode were examined. The morphological studies revealed that the nanowires are grown in high-density and arrange in special micro flower shaped morphology. The structural characterizations confirmed that the nanowires are well-crystalline and possessing wurtzite hexagonal phase. The electrical properties were evaluated by examining the I–V characteristics of the fabricated n-ZnO/p-Si heterojunction diode. The I–V characteristics were studied at temperature <300 K and ≥300 K in the forward and reverse bias conditions. The detailed temperature dependent electrical properties revealed that the fabricated heterojunction assembly shows a diode-like behavior with a turn-on voltage of 5 V at almost all temperatures and the delivered current changes between ˜1 to ˜5 μA when temperature changes from 77 K to 425 K. The rectifying behavior of the fabricated heterojunction diode, at 5 V, was demonstrated by rectifying ratio of ˜4 at 77 K which decreases to ˜1.5 at 425 K. This analysis also showed that the mean potential barrier of the fabricated heterojunction (˜1.2 eV) is larger than the energy difference (0.72 eV) of the work functions between Si and ZnO.

  15. Epitaxial growth of InGaN nanowire arrays for light emitting diodes.

    PubMed

    Hahn, Christopher; Zhang, Zhaoyu; Fu, Anthony; Wu, Cheng Hao; Hwang, Yun Jeong; Gargas, Daniel J; Yang, Peidong

    2011-05-24

    Significant synthetic challenges remain for the epitaxial growth of high-quality InGaN across the entire compositional range. One strategy to address these challenges has been to use the nanowire geometry because of its strain relieving properties. Here, we demonstrate the heteroepitaxial growth of In(x)Ga(1-x)N nanowire arrays (0.06 ≤ x ≤ 0.43) on c-plane sapphire (Al(2)O(3)(001)) using a halide chemical vapor deposition (HCVD) technique. Scanning electron microscopy and X-ray diffraction characterization confirmed the long-range order and epitaxy of vertically oriented nanowires. Structural characterization by transmission electron microscopy showed that single crystalline nanowires were grown in the ⟨002⟩ direction. Optical properties of InGaN nanowire arrays were investigated by absorption and photoluminescence measurements. These measurements show the tunable direct band gap properties of InGaN nanowires into the yellow-orange region of the visible spectrum. To demonstrate the utility of our HCVD method for implementation into devices, LEDs were fabricated from In(x)Ga(1-x)N nanowires epitaxially grown on p-GaN(001). Devices showed blue (x = 0.06), green (x = 0.28), and orange (x = 0.43) electroluminescence, demonstrating electrically driven color tunable emission from this p-n junction.

  16. Effects of silicon nanowire morphology on optical properties and hybrid solar cell performance

    NASA Astrophysics Data System (ADS)

    Syu, Hong-Jhang; Shiu, Shu-Chia; Hung, Yung-Jr; Lee, San-Liang; Lin, Ching-Fuh

    2012-10-01

    Silicon nanowire (SiNW) arrays are widespread applied on hybrid photovoltaic devices because SiNW arrays can substitute the pyramid texture and anti-reflection coating due to its strong light trapping. Also, SiNWs can be prepared through a cost-efficient process of metal-assisted chemical etching. However, though longer SiNW arrays have lower reflectance, the top of long SiNWs aggregate together to make junction synthesis difficult for SiNW/organic hybrid solar cell. To control and analyze the effect of SiNW array morphology on hybrid solar cells, here we change the metal deposition condition for metal-assisted chemical etching to obtain different SiNW array morphologies. The experiment was separated to two groups, by depositing metal, say, Ag, before etching (BE) or during etching (DE). For group BE, Ag was deposited on n-type Si (n-Si) wafers by thermal evaporation; then etched by H2O2 and HF. For group DE, n-Si was etched by Ag+ and HF directly. Ag was deposited on n-Si during etching process. Afterwards, residual Ag and SiO2 were removed by HNO3 and buffered HF, successively; then Ti and Ag were evaporated on the bottom of Si to be a cathode. Finally, SiNWs were stuck on the poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) that was spincoated on the ITO coated glass to form SiNW/organic heterojunction. The results show that group BE has reflectance lower than that in group DE in solar spectrum. However, group BE has smaller power conversion efficiency (PCE) of 8.65% and short-circuit current density (Jsc) of 24.94 mA/cm2 than group DE of PCE of 9.47% and Jsc of 26.81 mA/cm2.

  17. Fabrication of porous silicon nanowires by MACE method in HF/H2O2/AgNO3 system at room temperature

    PubMed Central

    2014-01-01

    In this paper, the moderately and lightly doped porous silicon nanowires (PSiNWs) were fabricated by the ‘one-pot procedure’ metal-assisted chemical etching (MACE) method in the HF/H2O2/AgNO3 system at room temperature. The effects of H2O2 concentration on the nanostructure of silicon nanowires (SiNWs) were investigated. The experimental results indicate that porous structure can be introduced by the addition of H2O2 and the pore structure could be controlled by adjusting the concentration of H2O2. The H2O2 species replaces Ag+ as the oxidant and the Ag nanoparticles work as catalyst during the etching. And the concentration of H2O2 influences the nucleation and motility of Ag particles, which leads to formation of different porous structure within the nanowires. A mechanism based on the lateral etching which is catalyzed by Ag particles under the motivation by H2O2 reduction is proposed to explain the PSiNWs formation. PMID:24910568

  18. Advances in nanowire bioelectronics

    NASA Astrophysics Data System (ADS)

    Zhou, Wei; Dai, Xiaochuan; Lieber, Charles M.

    2017-01-01

    Semiconductor nanowires represent powerful building blocks for next generation bioelectronics given their attractive properties, including nanometer-scale footprint comparable to subcellular structures and bio-molecules, configurable in nonstandard device geometries readily interfaced with biological systems, high surface-to-volume ratios, fast signal responses, and minimum consumption of energy. In this review article, we summarize recent progress in the field of nanowire bioelectronics with a focus primarily on silicon nanowire field-effect transistor biosensors. First, the synthesis and assembly of semiconductor nanowires will be described, including the basics of nanowire FETs crucial to their configuration as biosensors. Second, we will introduce and review recent results in nanowire bioelectronics for biomedical applications ranging from label-free sensing of biomolecules, to extracellular and intracellular electrophysiological recording.

  19. Dopant radial inhomogeneity in Mg-doped GaN nanowires.

    PubMed

    Siladie, Alexandra-Madalina; Amichi, Lynda; Mollard, Nicolas; Mouton, Isabelle; Bonef, Bastien; Bougerol, Catherine; Grenier, Adeline; Robin, Eric; Jouneau, Pierre-Henri; Garro, Nuria; Cros, Ana; Daudin, Bruno

    2018-06-22

    Using atom probe tomography, it is demonstrated that Mg doping of GaN nanowires grown by Molecular Beam Epitaxy results in a marked radial inhomogeneity, namely a higher Mg content in the periphery of the nanowires. This spatial inhomogeneity is attributed to a preferential incorporation of Mg through the m-plane sidewalls of nanowires and is related to the formation of a Mg-rich surface which is stabilized by hydrogen. This is further supported by Raman spectroscopy experiments which give evidence of Mg-H complexes in the doped nanowires. A Mg doping mechanism such as this, specific to nanowires, may lead to higher levels of Mg doping than in layers, boosting the potential interest of nanowires for light emitting diode applications.

  20. Dopant radial inhomogeneity in Mg-doped GaN nanowires

    NASA Astrophysics Data System (ADS)

    Siladie, Alexandra-Madalina; Amichi, Lynda; Mollard, Nicolas; Mouton, Isabelle; Bonef, Bastien; Bougerol, Catherine; Grenier, Adeline; Robin, Eric; Jouneau, Pierre-Henri; Garro, Nuria; Cros, Ana; Daudin, Bruno

    2018-06-01

    Using atom probe tomography, it is demonstrated that Mg doping of GaN nanowires grown by Molecular Beam Epitaxy results in a marked radial inhomogeneity, namely a higher Mg content in the periphery of the nanowires. This spatial inhomogeneity is attributed to a preferential incorporation of Mg through the m-plane sidewalls of nanowires and is related to the formation of a Mg-rich surface which is stabilized by hydrogen. This is further supported by Raman spectroscopy experiments which give evidence of Mg-H complexes in the doped nanowires. A Mg doping mechanism such as this, specific to nanowires, may lead to higher levels of Mg doping than in layers, boosting the potential interest of nanowires for light emitting diode applications.

  1. Significant thermal conductivity reduction of silicon nanowire forests through discrete surface doping of germanium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pan, Ying; Hong, Guo; Raja, Shyamprasad N.

    2015-03-02

    Silicon nanowires (SiNWs) are promising materials for the realization of highly-efficient and cost effective thermoelectric devices. Reduction of the thermal conductivity of such materials is a necessary and viable pathway to achieve sufficiently high thermoelectric efficiencies, which are inversely proportional to the thermal conductivity. In this article, vertically aligned forests of SiNW and germanium (Ge)-doped SiNW with diameters around 100 nm have been fabricated, and their thermal conductivity has been measured. The results show that discrete surface doping of Ge on SiNW arrays can lead to 23% reduction in thermal conductivity at room temperature compared to uncoated SiNWs. Such reduction canmore » be further enhanced to 44% following a thermal annealing step. By analyzing the binding energy changes of Ge-3d and Si-2p using X-ray photoelectron spectroscopy, we demonstrate that surface doped Ge interacts strongly with Si, enhancing phonon scattering at the Si-Ge interface as has also been shown in non-equilibrium molecular dynamics studies of single nanowires. Overall, our results suggest a viable pathway to improve the energy conversion efficiency of nanowire-forest thermoelectric nanomaterials.« less

  2. In situ electron microscopy four-point electromechanical characterization of freestanding metallic and semiconducting nanowires.

    PubMed

    Bernal, Rodrigo A; Filleter, Tobin; Connell, Justin G; Sohn, Kwonnam; Huang, Jiaxing; Lauhon, Lincoln J; Espinosa, Horacio D

    2014-02-26

    Electromechanical coupling is a topic of current interest in nanostructures, such as metallic and semiconducting nanowires, for a variety of electronic and energy applications. As a result, the determination of structure-property relations that dictate the electromechanical coupling requires the development of experimental tools to perform accurate metrology. Here, a novel micro-electro-mechanical system (MEMS) that allows integrated four-point, uniaxial, electromechanical measurements of freestanding nanostructures in-situ electron microscopy, is reported. Coupled mechanical and electrical measurements are carried out for penta-twinned silver nanowires, their resistance is identified as a function of strain, and it is shown that resistance variations are the result of nanowire dimensional changes. Furthermore, in situ SEM piezoresistive measurements on n-type, [111]-oriented silicon nanowires up to unprecedented levels of ∼7% strain are demonstrated. The piezoresistance coefficients are found to be similar to bulk values. For both metallic and semiconducting nanowires, variations of the contact resistance as strain is applied are observed. These variations must be considered in the interpretation of future two-point electromechanical measurements. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Enhancement of Light Absorption in Silicon Nanowire Photovoltaic Devices with Dielectric and Metallic Grating Structures.

    PubMed

    Park, Jin-Sung; Kim, Kyoung-Ho; Hwang, Min-Soo; Zhang, Xing; Lee, Jung Min; Kim, Jungkil; Song, Kyung-Deok; No, You-Shin; Jeong, Kwang-Yong; Cahoon, James F; Kim, Sun-Kyung; Park, Hong-Gyu

    2017-12-13

    We report the enhancement of light absorption in Si nanowire photovoltaic devices with one-dimensional dielectric or metallic gratings that are fabricated by a damage-free, precisely aligning, polymer-assisted transfer method. Incorporation of a Si 3 N 4 grating with a Si nanowire effectively enhances the photocurrents for transverse-electric polarized light. The wavelength at which a maximum photocurrent is generated is readily tuned by adjusting the grating pitch. Moreover, the electrical properties of the nanowire devices are preserved before and after transferring the Si 3 N 4 gratings onto Si nanowires, ensuring that the quality of pristine nanowires is not degraded during the transfer. Furthermore, we demonstrate Si nanowire photovoltaic devices with Ag gratings using the same transfer method. Measurements on the fabricated devices reveal approximately 27.1% enhancement in light absorption compared to that of the same devices without the Ag gratings without any degradation of electrical properties. We believe that our polymer-assisted transfer method is not limited to the fabrication of grating-incorporated nanowire photovoltaic devices but can also be generically applied for the implementation of complex nanoscale structures toward the development of multifunctional optoelectronic devices.

  4. Growth and applicability of radiation-responsive silica nanowires

    NASA Astrophysics Data System (ADS)

    Bettge, Martin

    -phase line with 670-850 mJ-m-2. Our analysis further reveals the existence of an additional force at this line that behaves as a negative line tension (or line energy). Its contribution is relatively small, but important for stable and small nanowire growth. The value of the line tension lies in the range of -0.1 to -1.0 nJ-m-1. Spontaneous alignment of these stranded, free-standing wires toward a source of directional ion irradiation is proposed to be driven by local surface area minimization. An intuitive model for this is provided and experimentally verified through post-growth reorientation of nanowire patterns over a wide range of angles with standard focused ion beam instrumentation. Ion-induced orientation control and modification of nanowire arrays might prove to be a powerful method for nanoscale surface engineering, potentially leading to surfaces with well-organized anisotropic topographies. Another potential application of aligned silica nanowires as templates for highly textured electrodes in lithium-ion batteries is also discussed. As textured thin films are expected to provide better cycle life and enhanced charge transport, their electrochemical performance is compared to planar thin films of equal mass using two secondary materials (amorphous silicon and lithium manganese oxide). Both materials are applied directly onto the wire arrays by conventional deposition tools and galvanostatically cycled against metallic lithium. Textured silicon films, for use as negative materials, show improved capacity retention compared to planar thin films. Capacity fade is found to be relatively constant at about 0.8% per cycle over 30 cycles. Significant charge trapping occurred due to massive formation of a solid-electrolyteinterface. Electrochemical cycling and impedance spectroscopy further demonstrate that kinetic and electrochemical behavior of the electrode is qualitatively similar for planar and for highly textured silicon thin films. Textured films of lithium

  5. Reversible Strain-Induced Electron-Hole Recombination in Silicon Nanowires Observed with Femtosecond Pump-Probe Microscopy

    DTIC Science & Technology

    2014-01-01

    devices with indirect-bandgap materials such as silicon . KEYWORDS: Ultrafast imaging , strained nanomaterials, spectroscopy Lattice strain produced by...photogenerated charge cloud as a result of carrier diffusion . Normalized carrier profiles, generated by integrating the images along the direction normal to the...To test this idea, Figure 2. Charge carrier diffusion in a Si NW locally strained by a bending deformation (A) SEM image of a bent Si nanowire ∼100

  6. N-type nano-silicon powders with ultra-low electrical resistivity as anode materials in lithium ion batteries

    NASA Astrophysics Data System (ADS)

    Yue, Zhihao; Zhou, Lang; Jin, Chenxin; Xu, Guojun; Liu, Liekai; Tang, Hao; Li, Xiaomin; Sun, Fugen; Huang, Haibin; Yuan, Jiren

    2017-06-01

    N-type silicon wafers with electrical resistivity of 0.001 Ω cm were ball-milled to powders and part of them was further mechanically crushed by sand-milling to smaller particles of nano-size. Both the sand-milled and ball-milled silicon powders were, respectively, mixed with graphite powder (silicon:graphite = 5:95, weight ratio) as anode materials for lithium ion batteries. Electrochemical measurements, including cycle and rate tests, present that anode using sand-milled silicon powder performed much better. The first discharge capacity of sand-milled silicon anode is 549.7 mAh/g and it is still up to 420.4 mAh/g after 100 cycles. Besides, the D50 of sand-milled silicon powder shows ten times smaller in particle size than that of ball-milled silicon powder, and they are 276 nm and 2.6 μm, respectively. In addition, there exist some amorphous silicon components in the sand-milled silicon powder excepting the multi-crystalline silicon, which is very different from the ball-milled silicon powder made up of multi-crystalline silicon only.

  7. Extended vapor-liquid-solid growth of silicon carbide nanowires.

    PubMed

    Rajesh, John Anthuvan; Pandurangan, Arumugam

    2014-04-01

    We developed an alloy catalytic method to explain extended vapor-liquid-solid (VLS) growth of silicon carbide nanowires (SiC NWs) by a simple thermal evaporation of silicon and activated carbon mixture using lanthanum nickel (LaNi5) alloy as catalyst in a chemical vapor deposition process. The LaNi5 alloy binary phase diagram and the phase relationships in the La-Ni-Si ternary system were play a key role to determine the growth parameters in this VLS mechanism. Different reaction temperatures (1300, 1350 and 1400 degrees C) were applied to prove the established growth process by experimentally. Scanning electron microscopy and transmission electron microscopy studies show that the crystalline quality of the SiC NWs increases with the temperature at which they have been synthesized. La-Ni alloyed catalyst particles observed on the top of the SiC NWs confirms that the growth process follows this extended VLS mechanism. The X-ray diffraction and confocal Raman spectroscopy analyses demonstrate that the crystalline structure of the SiC NWs was zinc blende 3C-SiC. Optical property of the SiC NWs was investigated by photoluminescence technique at room temperature. Such a new alloy catalytic method may be extended to synthesis other one-dimensional nanostructures.

  8. The SERS and TERS effects obtained by gold droplets on top of Si nanowires.

    PubMed

    Becker, M; Sivakov, V; Andrä, G; Geiger, R; Schreiber, J; Hoffmann, S; Michler, J; Milenin, A P; Werner, P; Christiansen, S H

    2007-01-01

    We show that hemispherical gold droplets on top of silicon nanowires when grown by the vapor-liquid-solid (VLS) mechanism, can produce a significant enhancement of Raman scattered signals. Signal enhancement for a few or even just single gold droplets is demonstrated by analyzing the enhanced Raman signature of malachite green molecules. For this experiment, trenches (approximately 800 nm wide) were etched in a silicon-on-insulator (SOI) wafer along <110> crystallographic directions that constitute sidewalls ({110} surfaces) suitable for the growth of silicon nanowires in <111> directions with the intention that the gold droplets on the silicon nanowires can meet somewhere in the trench when growth time is carefully selected. Another way to realize gold nanostructures in close vicinity is to attach a silicon nanowire with a gold droplet onto an atomic force microscopy (AFM) tip and to bring this tip toward another gold-coated AFM tip where malachite green molecules were deposited prior to the measurements. In both experiments, signal enhancement of characteristic Raman bands of malachite green molecules was observed. This indicates that silicon nanowires with gold droplets atop can act as efficient probes for tip-enhanced Raman spectroscopy (TERS). In our article, we show that a nanowire TERS probe can be fabricated by welding nanowires with gold droplets to AFM tips in a scanning electron microscope (SEM). TERS tips made from nanowires could improve the spatial resolution of Raman spectroscopy so that measurements on the nanometer scale are possible.

  9. Electrical characterization of strained and unstrained silicon nanowires with nickel silicide contacts.

    PubMed

    Habicht, S; Zhao, Q T; Feste, S F; Knoll, L; Trellenkamp, S; Ghyselen, B; Mantl, S

    2010-03-12

    We present electrical characterization of nickel monosilicide (NiSi) contacts formed on strained and unstrained silicon nanowires (NWs), which were fabricated by top-down processing of initially As(+) implanted and activated strained and unstrained silicon-on-insulator (SOI) substrates. The resistivity of doped Si NWs and the contact resistivity of the NiSi to Si NW contacts are studied as functions of the As(+) ion implantation dose and the cross-sectional area of the wires. Strained silicon NWs show lower resistivity for all doping concentrations due to their enhanced electron mobility compared to the unstrained case. An increase in resistivity with decreasing cross section of the NWs was observed for all implantation doses. This is ascribed to the occurrence of dopant deactivation. Comparing the silicidation of uniaxially tensile strained and unstrained Si NWs shows no difference in silicidation speed and in contact resistivity between NiSi/Si NW. Contact resistivities as low as 1.2 x 10(-8) Omega cm(-2) were obtained for NiSi contacts to both strained and unstrained Si NWs. Compared to planar contacts, the NiSi/Si NW contact resistivity is two orders of magnitude lower.

  10. Shear-driven phase transformation in silicon nanowires

    NASA Astrophysics Data System (ADS)

    Vincent, L.; Djomani, D.; Fakfakh, M.; Renard, C.; Belier, B.; Bouchier, D.; Patriarche, G.

    2018-03-01

    We report on an unprecedented formation of allotrope heterostructured Si nanowires by plastic deformation based on applied radial compressive stresses inside a surrounding matrix. Si nanowires with a standard diamond structure (3C) undergo a phase transformation toward the hexagonal 2H-allotrope. The transformation is thermally activated above 500 °C and is clearly driven by a shear-stress relief occurring in parallel shear bands lying on {115} planes. We have studied the influence of temperature and axial orientation of nanowires. The observations are consistent with a martensitic phase transformation, but the finding leads to clear evidence of a different mechanism of deformation-induced phase transformation in Si nanowires with respect to their bulk counterpart. Our process provides a route to study shear-driven phase transformation at the nanoscale in Si.

  11. Shear-driven phase transformation in silicon nanowires.

    PubMed

    Vincent, L; Djomani, D; Fakfakh, M; Renard, C; Belier, B; Bouchier, D; Patriarche, G

    2018-03-23

    We report on an unprecedented formation of allotrope heterostructured Si nanowires by plastic deformation based on applied radial compressive stresses inside a surrounding matrix. Si nanowires with a standard diamond structure (3C) undergo a phase transformation toward the hexagonal 2H-allotrope. The transformation is thermally activated above 500 °C and is clearly driven by a shear-stress relief occurring in parallel shear bands lying on {115} planes. We have studied the influence of temperature and axial orientation of nanowires. The observations are consistent with a martensitic phase transformation, but the finding leads to clear evidence of a different mechanism of deformation-induced phase transformation in Si nanowires with respect to their bulk counterpart. Our process provides a route to study shear-driven phase transformation at the nanoscale in Si.

  12. Large-Scale Fabrication of Silicon Nanowires for Solar Energy Applications.

    PubMed

    Zhang, Bingchang; Jie, Jiansheng; Zhang, Xiujuan; Ou, Xuemei; Zhang, Xiaohong

    2017-10-11

    The development of silicon (Si) materials during past decades has boosted up the prosperity of the modern semiconductor industry. In comparison with the bulk-Si materials, Si nanowires (SiNWs) possess superior structural, optical, and electrical properties and have attracted increasing attention in solar energy applications. To achieve the practical applications of SiNWs, both large-scale synthesis of SiNWs at low cost and rational design of energy conversion devices with high efficiency are the prerequisite. This review focuses on the recent progresses in large-scale production of SiNWs, as well as the construction of high-efficiency SiNW-based solar energy conversion devices, including photovoltaic devices and photo-electrochemical cells. Finally, the outlook and challenges in this emerging field are presented.

  13. NbN superconducting nanonetwork fabricated using porous silicon templates and high-resolution electron beam lithography

    NASA Astrophysics Data System (ADS)

    Salvato, M.; Baghdadi, R.; Cirillo, C.; Prischepa, S. L.; Dolgiy, A. L.; Bondarenko, V. P.; Lombardi, F.; Attanasio, C.

    2017-11-01

    Superconducting NbN nanonetworks with a very small number of interconnected nanowires, with diameter of the order of 4 nm, are fabricated combining a bottom-up (use of porous silicon nanotemplates) with a top-down technique (high-resolution electron beam lithography). The method is easy to control and allows the fabrication of devices, on a robust support, with electrical properties close to a one-dimensional superconductor that can be used fruitfully for novel applications.

  14. Ultra-localized single cell electroporation using silicon nanowires.

    PubMed

    Jokilaakso, Nima; Salm, Eric; Chen, Aaron; Millet, Larry; Guevara, Carlos Duarte; Dorvel, Brian; Reddy, Bobby; Karlstrom, Amelie Eriksson; Chen, Yu; Ji, Hongmiao; Chen, Yu; Sooryakumar, Ratnasingham; Bashir, Rashid

    2013-02-07

    Analysis of cell-to-cell variation can further the understanding of intracellular processes and the role of individual cell function within a larger cell population. The ability to precisely lyse single cells can be used to release cellular components to resolve cellular heterogeneity that might be obscured when whole populations are examined. We report a method to position and lyse individual cells on silicon nanowire and nanoribbon biological field effect transistors. In this study, HT-29 cancer cells were positioned on top of transistors by manipulating magnetic beads using external magnetic fields. Ultra-rapid cell lysis was subsequently performed by applying 600-900 mV(pp) at 10 MHz for as little as 2 ms across the transistor channel and the bulk substrate. We show that the fringing electric field at the device surface disrupts the cell membrane, leading to lysis from irreversible electroporation. This methodology allows rapid and simple single cell lysis and analysis with potential applications in medical diagnostics, proteome analysis and developmental biology studies.

  15. Comprehensive analyses of core-shell InGaN/GaN single nanowire photodiodes

    NASA Astrophysics Data System (ADS)

    Zhang, H.; Guan, N.; Piazza, V.; Kapoor, A.; Bougerol, C.; Julien, F. H.; Babichev, A. V.; Cavassilas, N.; Bescond, M.; Michelini, F.; Foldyna, M.; Gautier, E.; Durand, C.; Eymery, J.; Tchernycheva, M.

    2017-12-01

    Single nitride nanowire core/shell n-p photodetectors are fabricated and analyzed. Nanowires consisting of an n-doped GaN stem, a radial InGaN/GaN multiple quantum well system and a p-doped GaN external shell were grown by catalyst-free metal-organic vapour phase epitaxy on sapphire substrates. Single nanowires were dispersed and the core and the shell regions were contacted with a metal and an ITO deposition, respectively, defined using electron beam lithography. The single wire photodiodes present a response in the visible to UV spectral range under zero external bias. The detector operation speed has been analyzed under different bias conditions. Under zero bias, the  -3 dB cut-off frequency is ~200 Hz for small light modulations. The current generation was modeled using non-equilibrium Green function formalism, which evidenced the importance of phonon scattering for carrier extraction from the quantum wells.

  16. Fabrication and electrical characterization of silicon nanowires based resistors

    NASA Astrophysics Data System (ADS)

    Ni, L.; Demami, F.; Rogel, R.; Salaün, A. C.; Pichon, L.

    2009-11-01

    Silicon nanowires (SiNWs) are synthesized via the Vapor-Liquid-Solid (VLS) mechanism using gold (Au) as metal catalyst and silane (SiH4) as precursor gas. Au nanoparticles are employed as liquid droplets catalysis during the SiNWs growth performed in a hot wall LPCVD reactor at 480°C and 40 Pa. SiNWs local synthesis at micron scale is demonstrated using classical optical photolithography process. SiNWs grow with high density anchored at the dedicated catalyst islands. This resulting network is used to interconnect two heavily doped polysilicon interdigitated electrodes leading to the formation of electrical resistors in a coplanar structure. Current-voltage (I-V) characteristics highlight a symmetric shape. The temperature dependence of the electrical resistance is activated, with activation energy of 0.47 eV at temperatures greater than 300K.

  17. Si/InGaN core/shell hierarchical nanowire arrays and their photoelectrochemical properties.

    PubMed

    Hwang, Yun Jeong; Wu, Cheng Hao; Hahn, Chris; Jeong, Hoon Eui; Yang, Peidong

    2012-03-14

    Three-dimensional hierarchical nanostructures were synthesized by the halide chemical vapor deposition of InGaN nanowires on Si wire arrays. Single phase InGaN nanowires grew vertically on the sidewalls of Si wires and acted as a high surface area photoanode for solar water splitting. Electrochemical measurements showed that the photocurrent density with hierarchical Si/InGaN nanowire arrays increased by 5 times compared to the photocurrent density with InGaN nanowire arrays grown on planar Si (1.23 V vs RHE). High-resolution transmission electron microscopy showed that InGaN nanowires are stable after 15 h of illumination. These measurements show that Si/InGaN hierarchical nanostructures are a viable high surface area electrode geometry for solar water splitting. © 2012 American Chemical Society

  18. Processing-Induced Electrically Active Defects in Black Silicon Nanowire Devices.

    PubMed

    Carapezzi, Stefania; Castaldini, Antonio; Mancarella, Fulvio; Poggi, Antonella; Cavallini, Anna

    2016-04-27

    Silicon nanowires (Si NWs) are widely investigated nowadays for implementation in advanced energy conversion and storage devices, as well as many other possible applications. Black silicon (BSi)-NWs are dry etched NWs that merge the advantages related to low-dimensionality with the special industrial appeal connected to deep reactive ion etching (RIE). In fact, RIE is a well established technique in microelectronics manufacturing. However, RIE processing could affect the electrical properties of BSi-NWs by introducing deep states into their forbidden gap. This work applies deep level transient spectroscopy (DLTS) to identify electrically active deep levels and the associated defects in dry etched Si NW arrays. Besides, the successful fitting of DLTS spectra of BSi-NWs-based Schottky barrier diodes is an experimental confirmation that the same theoretical framework of dynamic electronic behavior of deep levels applies in bulk as well as in low dimensional structures like NWs, when quantum confinement conditions do not occur. This has been validated for deep levels associated with simple pointlike defects as well as for deep levels associated with defects with richer structures, whose dynamic electronic behavior implies a more complex picture.

  19. An AlGaN Core-Shell Tunnel Junction Nanowire Light-Emitting Diode Operating in the Ultraviolet-C Band.

    PubMed

    Sadaf, S M; Zhao, S; Wu, Y; Ra, Y-H; Liu, X; Vanka, S; Mi, Z

    2017-02-08

    To date, semiconductor light emitting diodes (LEDs) operating in the deep ultraviolet (UV) spectral range exhibit very low efficiency due to the presence of large densities of defects and extremely inefficient p-type conduction of conventional AlGaN quantum well heterostructures. We have demonstrated that such critical issues can be potentially addressed by using nearly defect-free AlGaN tunnel junction core-shell nanowire heterostructures. The core-shell nanowire arrays exhibit high photoluminescence efficiency (∼80%) in the UV-C band at room temperature. With the incorporation of an epitaxial Al tunnel junction, the p-(Al)GaN contact-free nanowire deep UV LEDs showed nearly one order of magnitude reduction in the device resistance, compared to the conventional nanowire p-i-n device. The unpackaged Al tunnel junction deep UV LEDs exhibit an output power >8 mW and a peak external quantum efficiency ∼0.4%, which are nearly one to two orders of magnitude higher than previously reported AlGaN nanowire devices. Detailed studies further suggest that the maximum achievable efficiency is limited by electron overflow and poor light extraction efficiency due to the TM polarized emission.

  20. InN/InGaN dot-in-a-wire nanostructures emitting at 1.55 µm

    NASA Astrophysics Data System (ADS)

    Chen, Qiming; Yan, Changling; Qu, Yi

    2017-03-01

    The room temperature photoluminescence emission at 1.55 µm from InN/In0.7Ga0.3N dot-in-nanowire heterostructures, which was grown on self-assembled GaN nanowires on Si (1 1 1) under N-rich condition by plasma assisted molecular beam epitaxy, has been clarified in this paper. The morphology of the nanowires was uniform along the c-axis as proved by scanning electron microscope, each of the nanowires was grown individually and homogeneously without any coalescence phenomenon respectively. The nanowires dispersed on a silicon substrate showed very clear InN dot-in-nanowire structure by high resolution transmission electron microscopy. The structural properties of the individual InGaN nanocolumn were further investigated by high-angle annular dark field image analysis and energy dispersive x-ray spectrum, which confirmed the successful growth of InN quantum dot embedded in InGaN nanowire.

  1. Low temperature solution synthesis of silicon, germanium and Si-Ge axial heterostructures in nanorod and nanowire form.

    PubMed

    Flynn, G; Stokes, K; Ryan, K M

    2018-05-31

    Herein, we report the formation of silicon, germanium and more complex Si-SixGe1-x and Si-Ge axial 1D heterostructures, at low temperatures in solution. These nanorods/nanowires are grown using phenylated compounds of silicon and germanium as reagents, with precursor decomposition achieved at substantially reduced temperatures (200 °C for single crystal nanostructures and 300 °C for heterostructures), through the addition of a reducing agent. This low energy route for the production of these functional nanostructures as a wet chemical in high yield is attractive to meet the processing needs for next generation photovoltaics, batteries and electronics.

  2. Fluorinion transfer in silver-assisted chemical etching for silicon nanowires arrays

    NASA Astrophysics Data System (ADS)

    Feng, Tianyu; Xu, Youlong; Zhang, Zhengwei; Mao, Shengchun

    2015-08-01

    Uniform silicon nanowires arrays (SiNWAs) were fabricated on unpolished rough silicon wafers through KOH pretreatment followed by silver-assisted chemical etching (SACE). Density functional theory (DFT) calculations were used to investigate the function of silver (Ag) at atomic scale in the etching process. Among three adsorption sites of Ag atom on Si(1 0 0) surface, Ag(T4) above the fourth-layer surface Si atoms could transfer fluorinion (F-) to adjacent Si successfully due to its stronger electrostatic attraction force between Ag(T4) and F-, smaller azimuth angle of Fsbnd Ag(T4)sbnd Si, shorter bond length of Fsbnd Si compared with Fsbnd Ag. As F- was transferred to adjacent Si by Ag(T4) one by one, the Si got away from the wafer in the form of SiF4 when it bonded with enough F- while Ag(T4) was still attached onto the Si wafer ready for next transfer. Cyclic voltammetry tests confirmed that Ag can improve the etching rate by transferring F- to Si.

  3. Continuous-flow mass production of silicon nanowires via substrate-enhanced metal-catalyzed electroless etching of silicon with dissolved oxygen as an oxidant.

    PubMed

    Hu, Ya; Peng, Kui-Qing; Liu, Lin; Qiao, Zhen; Huang, Xing; Wu, Xiao-Ling; Meng, Xiang-Min; Lee, Shuit-Tong

    2014-01-13

    Silicon nanowires (SiNWs) are attracting growing interest due to their unique properties and promising applications in photovoltaic devices, thermoelectric devices, lithium-ion batteries, and biotechnology. Low-cost mass production of SiNWs is essential for SiNWs-based nanotechnology commercialization. However, economic, controlled large-scale production of SiNWs remains challenging and rarely attainable. Here, we demonstrate a facile strategy capable of low-cost, continuous-flow mass production of SiNWs on an industrial scale. The strategy relies on substrate-enhanced metal-catalyzed electroless etching (MCEE) of silicon using dissolved oxygen in aqueous hydrofluoric acid (HF) solution as an oxidant. The distinct advantages of this novel MCEE approach, such as simplicity, scalability and flexibility, make it an attractive alternative to conventional MCEE methods.

  4. Generation of Reactive Oxygen Species from Silicon Nanowires

    PubMed Central

    Leonard, Stephen S; Cohen, Guy M; Kenyon, Allison J; Schwegler-Berry, Diane; Fix, Natalie R; Bangsaruntip, Sarunya; Roberts, Jenny R

    2014-01-01

    Processing and synthesis of purified nanomaterials of diverse composition, size, and properties is an evolving process. Studies have demonstrated that some nanomaterials have potential toxic effects and have led to toxicity research focusing on nanotoxicology. About two million workers will be employed in the field of nanotechnology over the next 10 years. The unknown effects of nanomaterials create a need for research and development of techniques to identify possible toxicity. Through a cooperative effort between National Institute for Occupational Safety and Health and IBM to address possible occupational exposures, silicon-based nanowires (SiNWs) were obtained for our study. These SiNWs are anisotropic filamentary crystals of silicon, synthesized by the vapor–liquid–solid method and used in bio-sensors, gas sensors, and field effect transistors. Reactive oxygen species (ROS) can be generated when organisms are exposed to a material causing cellular responses, such as lipid peroxidation, H2O2 production, and DNA damage. SiNWs were assessed using three different in vitro environments (H2O2, RAW 264.7 cells, and rat alveolar macrophages) for ROS generation and possible toxicity identification. We used electron spin resonance, analysis of lipid peroxidation, measurement of H2O2 production, and the comet assay to assess generation of ROS from SiNW and define possible mechanisms. Our results demonstrate that SiNWs do not appear to be significant generators of free radicals. PMID:25452695

  5. Generation of reactive oxygen species from silicon nanowires.

    PubMed

    Leonard, Stephen S; Cohen, Guy M; Kenyon, Allison J; Schwegler-Berry, Diane; Fix, Natalie R; Bangsaruntip, Sarunya; Roberts, Jenny R

    2014-01-01

    Processing and synthesis of purified nanomaterials of diverse composition, size, and properties is an evolving process. Studies have demonstrated that some nanomaterials have potential toxic effects and have led to toxicity research focusing on nanotoxicology. About two million workers will be employed in the field of nanotechnology over the next 10 years. The unknown effects of nanomaterials create a need for research and development of techniques to identify possible toxicity. Through a cooperative effort between National Institute for Occupational Safety and Health and IBM to address possible occupational exposures, silicon-based nanowires (SiNWs) were obtained for our study. These SiNWs are anisotropic filamentary crystals of silicon, synthesized by the vapor-liquid-solid method and used in bio-sensors, gas sensors, and field effect transistors. Reactive oxygen species (ROS) can be generated when organisms are exposed to a material causing cellular responses, such as lipid peroxidation, H2O2 production, and DNA damage. SiNWs were assessed using three different in vitro environments (H2O2, RAW 264.7 cells, and rat alveolar macrophages) for ROS generation and possible toxicity identification. We used electron spin resonance, analysis of lipid peroxidation, measurement of H2O2 production, and the comet assay to assess generation of ROS from SiNW and define possible mechanisms. Our results demonstrate that SiNWs do not appear to be significant generators of free radicals.

  6. Luminescence and efficiency optimization of InGaN/GaN core-shell nanowire LEDs by numerical modelling

    NASA Astrophysics Data System (ADS)

    Römer, Friedhard; Deppner, Marcus; Andreev, Zhelio; Kölper, Christopher; Sabathil, Matthias; Strassburg, Martin; Ledig, Johannes; Li, Shunfeng; Waag, Andreas; Witzigmann, Bernd

    2012-02-01

    We present a computational study on the anisotropic luminescence and the efficiency of a core-shell type nanowire LED based on GaN with InGaN active quantum wells. The physical simulator used for analyzing this device integrates a multidimensional drift-diffusion transport solver and a k . p Schrödinger problem solver for quantization effects and luminescence. The solution of both problems is coupled to achieve self-consistency. Using this solver we investigate the effect of dimensions, design of quantum wells, and current injection on the efficiency and luminescence of the core-shell nanowire LED. The anisotropy of the luminescence and re-absorption is analyzed with respect to the external efficiency of the LED. From the results we derive strategies for design optimization.

  7. Method of enhancing the electronic properties of an undoped and/or N-type hydrogenated amorphous silicon film

    DOEpatents

    Carlson, David E.

    1980-01-01

    The dark conductivity and photoconductivity of an N-type and/or undoped hydrogenated amorphous silicon layer fabricated by an AC or DC proximity glow discharge in silane can be increased through the incorporation of argon in an amount from 10 to about 90 percent by volume of the glow discharge atmosphere which contains a silicon-hydrogen containing compound in an amount of from about 90 to about 10 volume percent.

  8. Impact of quantum confinement on transport and the electrostatic driven performance of silicon nanowire transistors at the scaling limit

    NASA Astrophysics Data System (ADS)

    Al-Ameri, Talib; Georgiev, Vihar P.; Sadi, Toufik; Wang, Yijiao; Adamu-Lema, Fikru; Wang, Xingsheng; Amoroso, Salvatore M.; Towie, Ewan; Brown, Andrew; Asenov, Asen

    2017-03-01

    In this work we investigate the impact of quantum mechanical effects on the device performance of n-type silicon nanowire transistors (NWT) for possible future CMOS applications at the scaling limit. For the purpose of this paper, we created Si NWTs with two channel crystallographic orientations <1 1 0> and <1 0 0> and six different cross-section profiles. In the first part, we study the impact of quantum corrections on the gate capacitance and mobile charge in the channel. The mobile charge to gate capacitance ratio, which is an indicator of the intrinsic performance of the NWTs, is also investigated. The influence of the rotating of the NWTs cross-sectional geometry by 90° on charge distribution in the channel is also studied. We compare the correlation between the charge profile in the channel and cross-sectional dimension for circular transistor with four different cross-sections diameters: 5 nm, 6 nm, 7 nm and 8 nm. In the second part of this paper, we expand the computational study by including different gate lengths for some of the Si NWTs. As a result, we establish a correlation between the mobile charge distribution in the channel and the gate capacitance, drain-induced barrier lowering (DIBL) and the subthreshold slope (SS). All calculations are based on a quantum mechanical description of the mobile charge distribution in the channel. This description is based on the solution of the Schrödinger equation in NWT cross sections along the current path, which is mandatory for nanowires with such ultra-scale dimensions.

  9. Demonstration of highly efficient forward stimulated Brillouin scattering in partly suspended silicon nanowire racetrack resonators

    NASA Astrophysics Data System (ADS)

    Zhang, Ruiwen; Sun, Junqiang; Chen, Guodong; Cheng, Ming; Jiang, Jialin

    2017-07-01

    We demonstrate the forward stimulated Brillouin scattering (FSBS) in a partly suspended silicon nanowire racetrack resonator. To realize the tight confinement of the transverse acoustic modes in the nanoscale silicon core, the racetrack resonator is supported by the tiny pillar. The Brillouin amplification of 2.25 dB is achieved with the resonator radius of 100 μm under a low-power pump laser of 8 mW. The influences of the waveguide width and the top width of the tiny pillar on the Brillouin frequency shift and Brillouin gain are presented and analyzed. The Brillouin frequency shift is conveniently manipulated by the changes in waveguide widths. Our proposed approach furnishes an alternative towards harnessing FSBS in integrated photonic circuits.

  10. Synthesis and characterization of group IV semiconductor nanowires by vapor-liquid-solid growth

    NASA Astrophysics Data System (ADS)

    Lew, Kok-Keong

    There is currently intense interest in one-dimensional nanostructures, such as nanotubes and nanowires, due to their potential to test fundamental concepts of dimensionality and to serve as building blocks for nanoscale devices. Vapor-liquid-solid (VLS) growth, which is one of the most common fabrication methods, has been used to produce single crystal semiconductor nanowires such as silicon (Si), germanium (Ge), and gallium arsenide (GaAs). In the VLS growth of Group IV semiconductor nanowires, a metal, such as gold (Au) is used as a catalyst agent to nucleate whisker growth from a Si-containing (silane (SIH4)) or Ge-containing vapor (germane (GeH 4)). Au and Si/Ge form a liquid alloy that has a eutectic temperature of around 360°C, which, upon supersaturation, nucleates the growth of a Si or Ge wire. The goal of this work is to develop a more fundamental understanding of VLS growth kinetics and intentional doping of Group IV semiconductor nanowires in order to better control the properties of the nanowires. The fabrication of p-type and n-type Si nanowires will be studied via the addition of dopant gases such as diborane (B2H 6), trimethylboron (TMB), and phosphine (PH3) during growth. The use of gaseous dopant sources provides more flexibility in growth, particularly for the fabrication of p-n junctions and structures with axial dopant variations (e.g. p+-p- p+). The study is then extended to fabricate SiGe alloy nanowires by mixing SiH4 and GeH4. Bandgap engineering in Si/SiGe heterostructures can lead to novel devices with improved performance compared to those made entirely of Si. The scientific findings will lead to a better understanding of the fabrication of Si/SiGe axial and radial heterostructure nanowires for functional nanowire device structures, such as heterojunction bipolar transistors (HBTs) and high electron mobility transistors (HEMTs). Eventually, the central theme of this research is to provide a scientific knowledge base and foundation for

  11. Lateral heat flow distribution and defect-dependent thermal resistance in an individual silicon nanowire.

    PubMed

    Lee, Seung-Yong; Lee, Won-Yong; Thong, John T L; Kim, Gil-Sung; Lee, Sang-Kwon

    2016-03-18

    Studies aiming to significantly improve thermal properties, such as figure-of-merit, of silicon nanowires (SiNW) have focused on diameter reduction and surface or interface roughness control. However, the mechanism underlying thermal conductivity enhancement of roughness controlled NWs remains unclear. Here, we report a significant influence of stacking faults (SFs) on the lateral thermal conductivity of a single SiNW, using a combination of newly developed in situ spatially-resolved thermal resistance experiments and high-resolution transmission electron microscopy measurements. We used as-grown SiNWs tapered along the growth direction with progressively lower roughness and SFs density. The results clearly confirmed that both surface roughness and twins or SFs densities suppress the thermal conductivity of an individual SiNW. The results and measurement techniques presented here hold great potential for inspecting minute changes in thermal resistance along an individual SiNW, caused by induced SFs on the nanostructure, and for improving one-dimensional nanowire-based thermoelectric device performance.

  12. Intrinsic polarization control in rectangular GaN nanowire lasers

    DOE PAGES

    Li, Changyi; Liu, Sheng; Luk, Ting S.; ...

    2016-02-01

    In this study, we demonstrate intrinsic, linearly polarized lasing from single GaN nanowires using cross-sectional shape control. A two-step top-down fabrication approach was employed to create straight nanowires with controllable rectangular cross-sections. A clear lasing threshold of 444kW/cm 2 and a narrow spectral line width of 0.16 nm were observed under optical pumping at room temperature, indicating the onset of lasing. The polarization was along the short dimension (y-direction) of the nanowire due to the higher transverse confinement factors for y-polarized transverse modes resulting from the rectangular nanowire cross-section. The results show that cross-sectioned shape control can enable inherent controlmore » over the polarization of nanowire lasers without additional environment requirements, such as placement onto lossy substrates.« less

  13. Exact comprehensive equations for the photon management properties of silicon nanowire

    PubMed Central

    Li, Yingfeng; Li, Meicheng; Li, Ruike; Fu, Pengfei; Wang, Tai; Luo, Younan; Mbengue, Joseph Michel; Trevor, Mwenya

    2016-01-01

    Unique photon management (PM) properties of silicon nanowire (SiNW) make it an attractive building block for a host of nanowire photonic devices including photodetectors, chemical and gas sensors, waveguides, optical switches, solar cells, and lasers. However, the lack of efficient equations for the quantitative estimation of the SiNW’s PM properties limits the rational design of such devices. Herein, we establish comprehensive equations to evaluate several important performance features for the PM properties of SiNW, based on theoretical simulations. Firstly, the relationships between the resonant wavelengths (RW), where SiNW can harvest light most effectively, and the size of SiNW are formulized. Then, equations for the light-harvesting efficiency at RW, which determines the single-frequency performance limit of SiNW-based photonic devices, are established. Finally, equations for the light-harvesting efficiency of SiNW in full-spectrum, which are of great significance in photovoltaics, are established. Furthermore, using these equations, we have derived four extra formulas to estimate the optimal size of SiNW in light-harvesting. These equations can reproduce majority of the reported experimental and theoretical results with only ~5% error deviations. Our study fills up a gap in quantitatively predicting the SiNW’s PM properties, which will contribute significantly to its practical applications. PMID:27103087

  14. Low-Temperature Molten-Salt Production of Silicon Nanowires by the Electrochemical Reduction of CaSiO3.

    PubMed

    Dong, Yifan; Slade, Tyler; Stolt, Matthew J; Li, Linsen; Girard, Steven N; Mai, Liqiang; Jin, Song

    2017-11-13

    Silicon is an extremely important technological material, but its current industrial production by the carbothermic reduction of SiO 2 is energy intensive and generates CO 2 emissions. Herein, we developed a more sustainable method to produce silicon nanowires (Si NWs) in bulk quantities through the direct electrochemical reduction of CaSiO 3 , an abundant and inexpensive Si source soluble in molten salts, at a low temperature of 650 °C by using low-melting-point ternary molten salts CaCl 2 -MgCl 2 -NaCl, which still retains high CaSiO 3 solubility, and a supporting electrolyte of CaO, which facilitates the transport of O 2- anions, drastically improves the reaction kinetics, and enables the electrolysis at low temperatures. The Si nanowire product can be used as high-capacity Li-ion battery anode materials with excellent cycling performance. This environmentally friendly strategy for the practical production of Si at lower temperatures can be applied to other molten salt systems and is also promising for waste glass and coal ash recycling. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Fullerene C60 coated silicon nanowires as anode materials for lithium secondary batteries.

    PubMed

    Arie, Arenst Andreas; Lee, Joong Kee

    2012-04-01

    A Fullerene C60 film was introduced as a coating layer for silicon nanowires (Si NWs) by a plasma assisted thermal evaporation technique. The morphology and structural characteristics of the materials were studied by scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS). SEM observations showed that the shape of the nanowire structure was maintained after the C60 coating and the XPS analysis confirmed the presence of the carbon coating layer. The electrochemical characteristics of C60 coated Si NWs as anode materials were examined by charge-discharge tests and electrochemical impedance measurements. With the C60 film coating, Si NW electrodes exhibited a higher initial coulombic efficiency of 77% and a higher specific capacity of 2020 mA h g(-1) after the 30th cycle at a current density of 100 microA cm(-2) with cut-off voltage between 0-1.5 V. These improved electrochemical characteristics are attributed to the presence of the C60 coating layer which suppresses side reaction with the electrolyte and maintains the structural integrity of the Si NW electrodes during cycle tests.

  16. Intermediate Bandgap Solar Cells From Nanostructured Silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Black, Marcie

    2014-10-30

    This project aimed to demonstrate increased electronic coupling in silicon nanostructures relative to bulk silicon for the purpose of making high efficiency intermediate bandgap solar cells using silicon. To this end, we formed nanowires with controlled crystallographic orientation, small diameter, <111> sidewall faceting, and passivated surfaces to modify the electronic band structure in silicon by breaking down the symmetry of the crystal lattice. We grew and tested these silicon nanowires with <110>-growth axes, which is an orientation that should produce the coupling enhancement.

  17. Directed assembly of gold nanowires on silicon via reorganization and simultaneous fusion of randomly distributed gold nanoparticles.

    PubMed

    Reinhardt, Hendrik M; Bücker, Kerstin; Hampp, Norbert A

    2015-05-04

    Laser-induced reorganization and simultaneous fusion of nanoparticles is introduced as a versatile concept for pattern formation on surfaces. The process takes advantage of a phenomenon called laser-induced periodic surface structures (LIPSS) which originates from periodically alternating photonic fringe patterns in the near-field of solids. Associated photonic fringe patterns are shown to reorganize randomly distributed gold nanoparticles on a silicon wafer into periodic gold nanostructures. Concomitant melting due to optical heating facilitates the formation of continuous structures such as periodic gold nanowire arrays. Generated patterns can be converted into secondary structures using directed assembly or self-organization. This includes for example the rotation of gold nanowire arrays by arbitrary angles or their fragmentation into arrays of aligned gold nanoparticles.

  18. Substrate-Free InGaN/GaN Nanowire Light-Emitting Diodes.

    PubMed

    Neplokh, Vladimir; Messanvi, Agnes; Zhang, Hezhi; Julien, Francois H; Babichev, Andrey; Eymery, Joel; Durand, Christophe; Tchernycheva, Maria

    2015-12-01

    We report on the demonstration of substrate-free nanowire/polydimethylsiloxane (PDMS) membrane light-emitting diodes (LEDs). Metal-organic vapour-phase epitaxy (MOVPE)-grown InGaN/GaN core-shell nanowires were encapsulated into PDMS layer. After metal deposition to p-GaN, a thick PDMS cap layer was spin-coated and the membrane was manually peeled from the sapphire substrate, flipped upside down onto a steel holder, and transparent indium tin oxide (ITO) contact to n-GaN was deposited. The fabricated LEDs demonstrate rectifying diode characteristics. For the electroluminescence (EL) measurements, the samples were manually bonded using silver paint. The EL spectra measured at different applied voltages demonstrate a blue shift with the current increase. This shift is explained by the current injection into the InGaN areas of the active region with different average indium content.

  19. Synthesis and characterization of silver nanowires with zigzag morphology in N, N-dimethylformamide

    NASA Astrophysics Data System (ADS)

    He, Xin; Zhao, Xiujian; Chen, Yunxia; Feng, Jinyang; Sun, Zhenya

    2007-08-01

    Zigzag silver nanowires with a uniform diameter of 20±5 nm were prepared by reducing silver nitrate (AgNO 3) with N, N-dimethylformamide (DMF) in the presence of tetrabutyl titanate (TBT) and acetylacetone (AcAc) at 373 K for 18 h. X-ray and selected area electron diffraction (XRD and SAED) patterns reveal that the prepared product is made of pure silver with face centered cubic structure. Transmission electron microscopy (TEM) investigations suggest that the amount of silver nanowires is enhanced with increase in reaction time, and the end-to-end assemblies of silver nanorods are observed during the reaction process. After 18 h reaction, silver nanowires with zigzag morphology are obtained. In this paper, a possible growth process of silver nanowires with this interesting shape is described. Silver nanoparticles with small sizes were obtained by reducing Ag + ions with DMF, providing seeds for homogeneous growth of silver nanorods. With the extending reaction time, the synthesized silver nanorods were connected in an end-to-end manner, and the interface between the connections of two nanorods gradually disappeared. The final product shows zigzag morphology with various angles. The angles between two connecting straight parts of zigzag nanowires exhibit an alterable range of 74-151°. These silver nanowires show tremendous potential applications in future nanoscale electronic circuits.

  20. Statistical variability study of random dopant fluctuation on gate-all-around inversion-mode silicon nanowire field-effect transistors

    NASA Astrophysics Data System (ADS)

    Yoon, Jun-Sik; Rim, Taiuk; Kim, Jungsik; Kim, Kihyun; Baek, Chang-Ki; Jeong, Yoon-Ha

    2015-03-01

    Random dopant fluctuation effects of gate-all-around inversion-mode silicon nanowire field-effect transistors (FETs) with different diameters and extension lengths are investigated. The nanowire FETs with smaller diameter and longer extension length reduce average values and variations of subthreshold swing and drain-induced barrier lowering, thus improving short channel immunity. Relative variations of the drain currents increase as the diameter decreases because of decreased current drivability from narrower channel cross-sections. Absolute variations of the drain currents decrease critically as the extension length increases due to decreasing the number of arsenic dopants penetrating into the channel region. To understand variability origins of the drain currents, variations of source/drain series resistance and low-field mobility are investigated. All these two parameters affect the variations of the drain currents concurrently. The nanowire FETs having extension lengths sufficient to prevent dopant penetration into the channel regions and maintaining relatively large cross-sections are suggested to achieve suitable short channel immunity and small variations of the drain currents.

  1. Growth Mechanisms of Inductively-Coupled Plasma Torch Synthesized Silicon Nanowires and their associated photoluminescence properties

    PubMed Central

    Agati, M.; Amiard, G.; Le Borgne, V.; Castrucci, P.; Dolbec, R.; De Crescenzi, M.; El Khakani, M. A.; Boninelli, S.

    2016-01-01

    Ultra-thin Silicon Nanowires (SiNWs) were produced by means of an industrial inductively-coupled plasma (ICP) based process. Two families of SiNWs have been identified, namely long SiNWs (up to 2–3 micron in length) and shorter ones (~100 nm). SiNWs were found to consist of a Si core (with diameter as thin as 2 nm) and a silica shell, of which the thickness varies from 5 to 20 nm. By combining advanced transmission electron microscopy (TEM) techniques, we demonstrate that the growth of the long SiNWs occurred via the Oxide Assisted Growth (OAG) mechanism, while the Vapor Liquid Solid (VLS) mechanism is responsible for the growth of shorter ones. Energy filtered TEM analyses revealed, in some cases, the existence of chapelet-like Si nanocrystals embedded in an otherwise silica nanowire. Such nanostructures are believed to result from the exposure of some OAG SiNWs to high temperatures prevailing inside the reactor. Finally, the intense photoluminescence (PL) of these ICP-grown SiNWs in the 620–950 nm spectral range is a clear indication of the occurrence of quantum confinement. Such a PL emission is in accordance with the TEM results which revealed that the size of nanostructures are indeed below the exciton Bohr radius of silicon. PMID:27874057

  2. Growth Mechanisms of Inductively-Coupled Plasma Torch Synthesized Silicon Nanowires and their associated photoluminescence properties

    NASA Astrophysics Data System (ADS)

    Agati, M.; Amiard, G.; Le Borgne, V.; Castrucci, P.; Dolbec, R.; de Crescenzi, M.; El Khakani, M. A.; Boninelli, S.

    2016-11-01

    Ultra-thin Silicon Nanowires (SiNWs) were produced by means of an industrial inductively-coupled plasma (ICP) based process. Two families of SiNWs have been identified, namely long SiNWs (up to 2-3 micron in length) and shorter ones (~100 nm). SiNWs were found to consist of a Si core (with diameter as thin as 2 nm) and a silica shell, of which the thickness varies from 5 to 20 nm. By combining advanced transmission electron microscopy (TEM) techniques, we demonstrate that the growth of the long SiNWs occurred via the Oxide Assisted Growth (OAG) mechanism, while the Vapor Liquid Solid (VLS) mechanism is responsible for the growth of shorter ones. Energy filtered TEM analyses revealed, in some cases, the existence of chapelet-like Si nanocrystals embedded in an otherwise silica nanowire. Such nanostructures are believed to result from the exposure of some OAG SiNWs to high temperatures prevailing inside the reactor. Finally, the intense photoluminescence (PL) of these ICP-grown SiNWs in the 620-950 nm spectral range is a clear indication of the occurrence of quantum confinement. Such a PL emission is in accordance with the TEM results which revealed that the size of nanostructures are indeed below the exciton Bohr radius of silicon.

  3. A high performance three-phase enzyme electrode based on superhydrophobic mesoporous silicon nanowire arrays for glucose detection.

    PubMed

    Xu, Chenlong; Song, Zhiqian; Xiang, Qun; Jin, Jian; Feng, Xinjian

    2016-04-14

    We describe here a high performance oxygen-rich three-phase enzyme electrode based on superhydrophobic mesoporous silicon nanowire arrays for glucose detection. We demonstrate that its linear detection upper limit is 30 mM, more than 15 times higher than that can be obtained on the normal enzyme-electrode. Notably, the three-phase enzyme electrode output is insensitive to the significant oxygen level fluctuation in analyte solution.

  4. Diameter and location control of ZnO nanowires using electrodeposition and sodium citrate

    NASA Astrophysics Data System (ADS)

    Lifson, Max L.; Levey, Christopher G.; Gibson, Ursula J.

    2013-10-01

    We report single-step growth of spatially localized ZnO nanowires of controlled diameter to enable improved performance of piezoelectric devices such as nanogenerators. This study is the first to demonstrate the combination of electrodeposition with zinc nitrate and sodium citrate in the growth solution. Electrodeposition through a thermally-grown silicon oxide mask results in localization, while the growth voltage and solution chemistry are tuned to control the nanowire geometry. We observe a competition between lateral (relative to the (0001) axis) citrate-related morphology and voltage-driven vertical growth which enables this control. High aspect ratios result with either pure nitrate or nitrate-citrate mixtures if large voltages are used, but low growth voltages permit the growth of large diameter nanowires in solution with citrate. Measurements of the current density suggest a two-step growth process. An oxide mask blocks the electrodeposition, and suppresses nucleation of thermally driven growth, permitting single-step lithography on low cost p-type silicon substrates.

  5. Surface passivation and self-regulated shell growth in selective area-grown GaN-(Al,Ga)N core-shell nanowires.

    PubMed

    Hetzl, Martin; Winnerl, Julia; Francaviglia, Luca; Kraut, Max; Döblinger, Markus; Matich, Sonja; Fontcuberta I Morral, Anna; Stutzmann, Martin

    2017-06-01

    The large surface-to-volume ratio of GaN nanowires implicates sensitivity of the optical and electrical properties of the nanowires to their surroundings. The implementation of an (Al,Ga)N shell with a larger band gap around the GaN nanowire core is a promising geometry to seal the GaN surface. We investigate the luminescence and structural properties of selective area-grown GaN-(Al,Ga)N core-shell nanowires grown on Si and diamond substrates. While the (Al,Ga)N shell allows a suppression of yellow defect luminescence from the GaN core, an overall intensity loss due to Si-related defects at the GaN/(Al,Ga)N interface has been observed in the case of Si substrates. Scanning transmission electron microscopy measurements indicate a superior crystal quality of the (Al,Ga)N shell along the nanowire side facets compared to the (Al,Ga)N cap at the top facet. A nucleation study of the (Al,Ga)N shell reveals a pronounced bowing of the nanowires along the c-direction after a short deposition time which disappears for longer growth times. This is assigned to an initially inhomogeneous shell nucleation. A detailed study of the proceeding shell growth allows the formulation of a strain-driven self-regulating (Al,Ga)N shell nucleation model.

  6. Electrical characteristics of silicon nanowire CMOS inverters under illumination.

    PubMed

    Yoo, Jeuk; Kim, Yoonjoong; Lim, Doohyeok; Kim, Sangsig

    2018-02-05

    In this study, we examine the electrical characteristics of complementary metal-oxide-semiconductor (CMOS) inverters with silicon nanowire (SiNW) channels on transparent substrates under illumination. The electrical characteristics vary with the wavelength and power of light due to the variation in the generation rates of the electric-hole pairs. Compared to conventional optoelectronic devices that sense the on/off states by the variation in the current, our device achieves the sensing of the on/off states with more precision by using the voltage variation induced by the wavelength or intensity of light. The device was fabricated on transparent substrates to maximize the light absorption using conventional CMOS technologies. The key difference between our SiNW CMOS inverters and conventional optoelectronic devices is the ability to control the flow of charge carriers more effectively. The improved sensitivity accomplished with the use of SiNW CMOS inverters allows better control of the on/off states.

  7. Label-Free Direct Detection of miRNAs with Poly-Silicon Nanowire Biosensors

    PubMed Central

    Gong, Changguo; Qi, Jiming; Xiao, Han; Jiang, Bin; Zhao, Yulan

    2015-01-01

    Background The diagnostic and prognostic value of microRNAs (miRNAs) in a variety of diseases is promising. The novel silicon nanowire (SiNW) biosensors have advantages in molecular detection because of their high sensitivity and fast response. In this study, poly-crystalline silicon nanowire field-effect transistor (poly-SiNW FET) device was developed to achieve specific and ultrasensitive detection of miRNAs without labeling and amplification. Methods The poly-SiNW FET was fabricated by a top–down Complementary Metal Oxide Semiconductor (CMOS) wafer fabrication based technique. Single strand DNA (ssDNA) probe was bind to the surface of the poly-SiNW device which was silanated and aldehyde-modified. By comparing the difference of resistance value before and after ssDNA and miRNA hybridization, poly-SiNW device can be used to detect standard and real miRNA samples. Results Poly-SiNW device with different structures (different line width and different pitch) was applied to detect standard Let-7b sample with a detection limitation of 1 fM. One-base mismatched sequence could be distinguished meanwhile. Furthermore, these poly-SiNW arrays can detect snRNA U6 in total RNA samples extracted from HepG2 cells with a detection limitation of 0.2 μg/mL. In general, structures with pitch showed better results than those without pitch in detection of both Let-7b and snRNA U6. Moreover, structures with smaller pitch showed better detection efficacy. Conclusion Our findings suggest that poly-SiNW arrays could detect standard and real miRNA sample without labeling or amplification. Poly-SiNW biosensor device is promising for miRNA detection. PMID:26709827

  8. Tailoring the morphology and luminescence of GaN/InGaN core-shell nanowires using bottom-up selective-area epitaxy

    NASA Astrophysics Data System (ADS)

    Nami, Mohsen; Eller, Rhett F.; Okur, Serdal; Rishinaramangalam, Ashwin K.; Liu, Sheng; Brener, Igal; Feezell, Daniel F.

    2017-01-01

    Controlled bottom-up selective-area epitaxy (SAE) is used to tailor the morphology and photoluminescence properties of GaN/InGaN core-shell nanowire arrays. The nanowires are grown on c-plane sapphire substrates using pulsed-mode metal organic chemical vapor deposition. By varying the dielectric mask configuration and growth conditions, we achieve GaN nanowire cores with diameters ranging from 80 to 700 nm that exhibit various degrees of polar, semipolar, and nonpolar faceting. A single InGaN quantum well (QW) and GaN barrier shell is also grown on the GaN nanowire cores and micro-photoluminescence is obtained and analyzed for a variety of nanowire dimensions, array pitch spacings, and aperture diameters. By increasing the nanowire pitch spacing on the same growth wafer, the emission wavelength redshifts from 440 to 520 nm, while increasing the aperture diameter results in a ˜35 nm blueshift. The thickness of one QW/barrier period as a function of pitch and aperture diameter is inferred using scanning electron microscopy, with larger pitches showing significantly thicker QWs. Significant increases in indium composition were predicted for larger pitches and smaller aperture diameters. The results are interpreted in terms of local growth conditions and adatom capture radius around the nanowires. This work provides significant insight into the effects of mask configuration and growth conditions on the nanowire properties and is applicable to the engineering of monolithic multi-color nanowire LEDs on a single chip.

  9. Titanium-Catalyzed Silicon Nanostructures Grown by APCVD

    NASA Astrophysics Data System (ADS)

    Usman, Mohammad A. U.; Smith, Brady J.; Jackson, Justin B.; De Long, Matthew C.; Miller, Mark S.

    2015-01-01

    We report on growth of Ti-catalyzed silicon nanostructures (SNCs) through atmospheric-pressure chemical vapor deposition. An extensive growth study relating the growth condition parameters, including the partial pressure of SiCl4 gas, reaction temperature, and reaction time, was carried out to obtain insight into the growth regimes for the observed SNCs. Based on phase diagram analysis of Ti-Si alloy and growth rate analysis of the silicon nanowires (SNWs) and silicon nanoplatelets, we believe the growth mechanism to be strongly dependent on the thermodynamics of the system, exhibiting a delicate balance that can easily tip between the growth and etching regimes of the system. Three types of SNCs were observed frequently throughout the study: nanowires, nanoplatelets, and balls. Regimes for highly etched growth were also noted through growth conditions plots. Ti-catalyzed SNWs grown using SiCl4 gas strongly suggest growth occurring through a type of vapor-solid-solid (VSS) mechanism that is limited by diffusion through the solid-catalyst interface. On the other hand, the two-dimensional SNP morphologies suggest growth occurring through the twin-plane mechanism at the edges, at 10 nm to 100 nm scales, also through a similar, VSS mechanism.

  10. Telecom-Wavelength Bottom-up Nanobeam Lasers on Silicon-on-Insulator.

    PubMed

    Kim, Hyunseok; Lee, Wook-Jae; Farrell, Alan C; Balgarkashi, Akshay; Huffaker, Diana L

    2017-09-13

    Semiconductor nanowire lasers are considered promising ultracompact and energy-efficient light sources in the field of nanophotonics. Although the integration of nanowire lasers onto silicon photonic platforms is an innovative path toward chip-scale optical communications and photonic integrated circuits, operating nanowire lasers at telecom-wavelengths remains challenging. Here, we report on InGaAs nanowire array lasers on a silicon-on-insulator platform operating up to 1440 nm at room temperature. Bottom-up photonic crystal nanobeam cavities are formed by growing nanowires as ordered arrays using selective-area epitaxy, and single-mode lasing by optical pumping is demonstrated. We also show that arrays of nanobeam lasers with individually tunable wavelengths can be integrated on a single chip by the simple adjustment of the lithographically defined growth pattern. These results exemplify a practical approach toward nanowire lasers for silicon photonics.

  11. Ultralow-power non-volatile memory cells based on P(VDF-TrFE) ferroelectric-gate CMOS silicon nanowire channel field-effect transistors.

    PubMed

    Van, Ngoc Huynh; Lee, Jae-Hyun; Whang, Dongmok; Kang, Dae Joon

    2015-07-21

    Nanowire-based ferroelectric-complementary metal-oxide-semiconductor (NW FeCMOS) nonvolatile memory devices were successfully fabricated by utilizing single n- and p-type Si nanowire ferroelectric-gate field effect transistors (NW FeFETs) as individual memory cells. In addition to having the advantages of single channel n- and p-type Si NW FeFET memory, Si NW FeCMOS memory devices exhibit a direct readout voltage and ultralow power consumption. The reading state power consumption of this device is less than 0.1 pW, which is more than 10(5) times lower than the ON-state power consumption of single-channel ferroelectric memory. This result implies that Si NW FeCMOS memory devices are well suited for use in non-volatile memory chips in modern portable electronic devices, especially where low power consumption is critical for energy conservation and long-term use.

  12. Laser desorption/ionization from nanostructured surfaces: nanowires, nanoparticle films and silicon microcolumn arrays

    NASA Astrophysics Data System (ADS)

    Chen, Yong; Luo, Guanghong; Diao, Jiajie; Chornoguz, Olesya; Reeves, Mark; Vertes, Akos

    2007-04-01

    Due to their optical properties and morphology, thin films formed of nanoparticles are potentially new platforms for soft laser desorption/ionization (SLDI) mass spectrometry. Thin films of gold nanoparticles (with 12±1 nm particle size) were prepared by evaporation-driven vertical colloidal deposition and used to analyze a series of directly deposited polypeptide samples. In this new SLDI method, the required laser fluence for ion detection was equal or less than what was needed for matrix-assisted laser desorption/ionization (MALDI) but the resulting spectra were free of matrix interferences. A silicon microcolumn array-based substrate (a.k.a. black silicon) was developed as a new matrix-free laser desorption ionization surface. When low-resistivity silicon wafers were processed with a 22 ps pulse length 3×ω Nd:YAG laser in air, SF6 or water environment, regularly arranged conical spikes emerged. The radii of the spike tips varied with the processing environment, ranging from approximately 500 nm in water, to ~2 µm in SF6 gas and to ~5 µm in air. Peptide mass spectra directly induced by a nitrogen laser showed the formation of protonated ions of angiotensin I and II, substance P, bradykinin fragment 1-7, synthetic peptide, pro14-arg, and insulin from the processed silicon surfaces but not from the unprocessed areas. Threshold fluences for desorption/ionization were similar to those used in MALDI. Although compared to silicon nanowires the threshold laser pulse energy for ionization is significantly (~10×) higher, the ease of production and robustness of microcolumn arrays offer complementary benefits.

  13. GaN Nanowire MOSFET with Near-Ideal Subthreshold Slope.

    PubMed

    Li, Wenjun; Brubaker, Matt D; Spann, Bryan T; Bertness, Kris A; Fay, Patrick

    2018-02-01

    Wrap-around gate GaN nanowire MOSFETs using Al 2 O 3 as gate oxide have been experimentally demonstrated. The fabricated devices exhibit a minimum subthreshold slope of 60 mV/dec, an average subthreshold slope of 68 mV/dec over three decades of drain current, drain-induced barrier lowering of 27 mV/V, an on-current of 42 μA/μm (normalized by nanowire circumference), on/off ratio over 10 8 , an intrinsic transconductance of 27.8 μS/μm, for a switching efficiency figure of merit, Q=g m /SS of 0.41 μS/μm-dec/mV. These performance metrics make GaN nanowire MOSFETs a promising candidate for emerging low-power applications such as sensors and RF for the internet of things.

  14. Tailoring Thermal Radiative Properties with Doped-Silicon Nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Zhuomin

    Aligned doped-silicon nanowire (D-SiNW) arrays form a hyperbolic metamaterial in the mid-infrared and have unique thermal radiative properties, such as broadband omnidirectional absorption, low-loss negative refraction, etc. A combined theoretical and experimental investigation will be performed to characterize D-SiNW arrays and other metamaterials for tailoring thermal radiative properties. Near-field thermal radiation between anisotropic materials with hyperbolic dispersions will also be predicted for potential application in energy harvesting. A new kind of anisotropic metamaterial with a hyperbolic dispersion in a broad infrared region has been proposed and demonstrated based on aligned doped-silicon nanowire (D-SiNW) arrays. D-SiNW-based metamaterials have unique thermal radiativemore » properties, such as broadband omnidirectional absorption whose width and location can be tuned by varying the filling ratio and/or doping level. Furthermore, high figure of merit (FOM) can be achieved in a wide spectral region, suggesting that D-SiNW arrays may be used as a negative refraction material with much less loss than other structured materials, such as layered semiconductor materials. We have also shown that D-SiNWs and other nanostructures can significantly enhance near-field thermal radiation. The study of near-field radiative heat transfer between closely spaced objects and the electromagnetic wave interactions with micro/nanostructured materials has become an emerging multidisciplinary field due to its importance in advanced energy systems, manufacturing, local thermal management, and high spatial resolution thermal sensing and mapping. We have performed extensive study on the energy streamlines involving anisotropic metamaterials and the applicability of the effective medium theory for near-field thermal radiation. Graphene as a 2D material has attracted great attention in nanoelectronics, plasmonics, and energy harvesting. We have shown that graphene can be

  15. Atomistics of vapour–liquid–solid nanowire growth

    PubMed Central

    Wang, Hailong; Zepeda-Ruiz, Luis A.; Gilmer, George H.; Upmanyu, Moneesh

    2013-01-01

    Vapour–liquid–solid route and its variants are routinely used for scalable synthesis of semiconducting nanowires, yet the fundamental growth processes remain unknown. Here we employ atomic-scale computations based on model potentials to study the stability and growth of gold-catalysed silicon nanowires. Equilibrium studies uncover segregation at the solid-like surface of the catalyst particle, a liquid AuSi droplet, and a silicon-rich droplet–nanowire interface enveloped by heterogeneous truncating facets. Supersaturation of the droplets leads to rapid one-dimensional growth on the truncating facets and much slower nucleation-controlled two-dimensional growth on the main facet. Surface diffusion is suppressed and the excess Si flux occurs through the droplet bulk which, together with the Si-rich interface and contact line, lowers the nucleation barrier on the main facet. The ensuing step flow is modified by Au diffusion away from the step edges. Our study highlights key interfacial characteristics for morphological and compositional control of semiconducting nanowire arrays. PMID:23752586

  16. The electrical losses induced by silver paste in n-type silicon solar cells

    NASA Astrophysics Data System (ADS)

    Aoyama, Takayuki; Aoki, Mari; Sumita, Isao; Yoshino, Yasushi; Ohshita, Yoshio; Ogura, Atsushi

    2017-10-01

    Aluminum-added silver paste (Ag/Al paste) has been used for p+ emitter of n-type solar cells. The electrical losses due to shunting and recombination caused by the paste in the cells have been reported to originate from huge metallic spikes due to the aluminum. However, whether the aluminum actually induces the losses has not been clarified yet. In this study, the “floating contact method” is applied to aluminum-free silver (Al-free Ag) paste to investigate the effects of aluminum extraction from the Ag/Al paste and to understand how the aluminum principally induces the losses for the p+ emitter. Furthermore, the interfacial morphology between the Al-free Ag paste and p-type silicon is investigated. The Ag paste itself creates tiny crystallites for the p+ emitter, resulting in shunting and recombination. The result indicates that the aluminum addition to Ag paste is not the main reason for the electrical losses in the n-type solar cells.

  17. Plasma Enabled Fabrication of Silicon Carbide Nanostructures

    NASA Astrophysics Data System (ADS)

    Fang, Jinghua; Levchenko, Igor; Aramesh, Morteza; Rider, Amanda E.; Prawer, Steven; Ostrikov, Kostya (Ken)

    Silicon carbide is one of the promising materials for the fabrication of various one- and two-dimensional nanostructures. In this chapter, we discuss experimental and theoretical studies of the plasma-enabled fabrication of silicon carbide quantum dots, nanowires, and nanorods. The discussed fabrication methods include plasma-assisted growth with and without anodic aluminium oxide membranes and with or without silane as a source of silicon. In the silane-free experiments, quartz was used as a source of silicon to synthesize the silicon carbide nanostructures in an environmentally friendly process. The mechanism of the formation of nanowires and nanorods is also discussed.

  18. Vertical architecture for enhancement mode power transistors based on GaN nanowires

    NASA Astrophysics Data System (ADS)

    Yu, F.; Rümmler, D.; Hartmann, J.; Caccamo, L.; Schimpke, T.; Strassburg, M.; Gad, A. E.; Bakin, A.; Wehmann, H.-H.; Witzigmann, B.; Wasisto, H. S.; Waag, A.

    2016-05-01

    The demonstration of vertical GaN wrap-around gated field-effect transistors using GaN nanowires is reported. The nanowires with smooth a-plane sidewalls have hexagonal geometry made by top-down etching. A 7-nanowire transistor exhibits enhancement mode operation with threshold voltage of 1.2 V, on/off current ratio as high as 108, and subthreshold slope as small as 68 mV/dec. Although there is space charge limited current behavior at small source-drain voltages (Vds), the drain current (Id) and transconductance (gm) reach up to 314 mA/mm and 125 mS/mm, respectively, when normalized with hexagonal nanowire circumference. The measured breakdown voltage is around 140 V. This vertical approach provides a way to next-generation GaN-based power devices.

  19. Emerging methanol-tolerant AlN nanowire oxygen reduction electrocatalyst for alkaline direct methanol fuel cell.

    PubMed

    Lei, M; Wang, J; Li, J R; Wang, Y G; Tang, H L; Wang, W J

    2014-08-11

    Replacing precious and nondurable Pt catalysts with cheap materials is a key issue for commercialization of fuel cells. In the case of oxygen reduction reaction (ORR) catalysts for direct methanol fuel cell (DMFC), the methanol tolerance is also an important concern. Here, we develop AlN nanowires with diameters of about 100-150 nm and the length up to 1 mm through crystal growth method. We find it is electrochemically stable in methanol-contained alkaline electrolyte. This novel material exhibits pronounced electrocatalytic activity with exchange current density of about 6.52 × 10(-8) A/cm(2). The single cell assembled with AlN nanowire cathodic electrode achieves a power density of 18.9 mW cm(-2). After being maintained at 100 mA cm(-2) for 48 h, the AlN nanowire-based single cell keeps 92.1% of the initial performance, which is in comparison with 54.5% for that assembled with Pt/C cathode. This discovery reveals a new type of metal nitride ORR catalyst that can be cheaply produced from crystal growth method.

  20. Nanowires from dirty multi-crystalline Si for hydrogen generation

    NASA Astrophysics Data System (ADS)

    Li, Xiaopeng; Schweizer, Stefan L.; Sprafke, Alexander; Wehrspohn, Ralf B.

    2013-09-01

    Silicon nanowires are considered as a promising architecture for solar energy conversion systems. By metal assisted chemical etching of multi-crystalline upgraded metallurgical silicon (UMG-Si), large areas of silicon nanowires (SiNWs) with high quality can be produced on the mother substrates. These areas show a low reflectance comparable to black silicon. More interestingly, we find that various metal impurities inside UMG-Si are removed due to the etching through element analysis. A prototype cell was built to test the photoelectrochemical (PEC) properties of UMG-SiNWs for water splitting. The on-set potential for hydrogen evolution was much reduced, and the photocurrent density showed an increment of 35% in comparison with a `dirty' UMG-Si wafer.

  1. A pH sensor with a double-gate silicon nanowire field-effect transistor

    NASA Astrophysics Data System (ADS)

    Ahn, Jae-Hyuk; Kim, Jee-Yeon; Seol, Myeong-Lok; Baek, David J.; Guo, Zheng; Kim, Chang-Hoon; Choi, Sung-Jin; Choi, Yang-Kyu

    2013-02-01

    A pH sensor composed of a double-gate silicon nanowire field-effect transistor (DG Si-NW FET) is demonstrated. The proposed DG Si-NW FET allows the independent addressing of the gate voltage and hence improves the sensing capability through an application of asymmetric gate voltage between the two gates. One gate is a driving gate which controls the current flow, and the other is a supporting gate which amplifies the shift of the threshold voltage, which is a sensing metric, and which arises from changes in the pH. The pH signal is also amplified through modulation of the gate oxide thickness.

  2. Si nanowires/Cu nanowires bilayer fabric as a lithium ion capacitor anode with excellent performance

    NASA Astrophysics Data System (ADS)

    Lai, Chien-Ming; Kao, Tzu-Lun; Tuan, Hsing-Yu

    2018-03-01

    A light and binder-free bilayer fabric electrode composed of silicon nanowires and copper nanowires for lithium-ion capacitors (LICs) is reported. A lithium ion capacitor is proposed employing pre-lithiated silicon/copper nanowire fabric and activated carbon as the anode and the cathode, respectively. These LICs show remarkable performance with a specific capacitance of 156 F g-1 at 0.1 A g-1, which is approximately twice of that of activated carbon in electric double-layer capacitors (EDLCs), and still exhibit a fine specific capacitance of 68 F g-1 even at a high current density of 20 A g-1. At a low power density of 193 W kg-1, the Si/Cu fabric//AC LIC can achieve high energy density of 210 W h kg-1. As the power density is increased to 99 kW kg-1, the energy density still remains at 43 W h kg-1, showing the prominent rate performance.

  3. Spatial distribution of defect luminescence in GaN nanowires.

    PubMed

    Li, Qiming; Wang, George T

    2010-05-12

    The spatial distribution of defect-related and band-edge luminescence from GaN nanowires grown by metal-organic chemical vapor deposition was studied by spatially resolved cathodoluminescence imaging and spectroscopy. A surface layer exhibiting strong yellow luminescence (YL) near 566 nm in the nanowires was revealed, compared to weak YL in the bulk. In contrast, other defect-related luminescence near 428 nm (blue luminescence) and 734 nm (red luminescence), in addition to band-edge luminescence (BEL) at 366 nm, were observed in the bulk of the nanowires but were largely absent at the surface. As the nanowire width approaches a critical dimension, the surface YL layer completely quenches the BEL. The surface YL is attributed to the diffusion and piling up of mobile point defects, likely isolated gallium vacancies, at the surface during growth.

  4. Patterned growth of carbon nanotubes over vertically aligned silicon nanowire bundles for achieving uniform field emission.

    PubMed

    Hung, Yung-Jr; Huang, Yung-Jui; Chang, Hsuan-Chen; Lee, Kuei-Yi; Lee, San-Liang

    2014-01-01

    A fabrication strategy is proposed to enable precise coverage of as-grown carbon nanotube (CNT) mats atop vertically aligned silicon nanowire (VA-SiNW) bundles in order to realize a uniform bundle array of CNT-SiNW heterojunctions over a large sample area. No obvious electrical degradation of as-fabricated SiNWs is observed according to the measured current-voltage characteristic of a two-terminal single-nanowire device. Bundle arrangement of CNT-SiNW heterojunctions is optimized to relax the electrostatic screening effect and to maximize the field enhancement factor. As a result, superior field emission performance and relatively stable emission current over 12 h is obtained. A bright and uniform fluorescent radiation is observed from CNT-SiNW-based field emitters regardless of its bundle periodicity, verifying the existence of high-density and efficient field emitters on the proposed CNT-SiNW bundle arrays.

  5. A room temperature ethanol sensor made from p-type Sb-doped SnO2 nanowires.

    PubMed

    Wu, Jyh Ming

    2010-06-11

    A p-type ethanol sensor with a response time of approximately 8.3 s at room temperature was produced by SnO(2):Sb nanowires. The electrical properties of p-type SnO(2) nanowires are stable with a hole concentration of 1.544 x 10(17) cm(-3) and a field-effect mobility of 22 cm(2) V(-2) S(-1). X-ray photoelectron spectroscopy (XPS) and Hall measurement revealed that as-synthesized nanowires exhibit p-type behavior. A comprehensive investigation of the p-type sensing mechanism is reported.

  6. Functionalization of silicon nanowires by conductive and non-conductive polymers

    NASA Astrophysics Data System (ADS)

    Belhousse, S.; Tighilt, F.-Z.; Sam, S.; Lasmi, K.; Hamdani, K.; Tahanout, L.; Megherbi, F.; Gabouze, N.

    2017-11-01

    The work reports on the development of hybrid devices based on silicon nanowires (SiNW) with polymers and the difference obtained when using conductive and non-conductive polymers. SiNW have attracted much attention due to their importance in understanding the fundamental properties at low dimensionality as well as their potential application in nanoscale devices as in field effect transistors, chemical or biological sensors, battery electrodes and photovoltaics. SiNW arrays were formed using metal assisted chemical etching method. This process is simple, fast and allows obtaining a wide range of silicon nanostructures. Hydrogen-passivated SiNW surfaces show relatively poor stability. Surface modification with organic species confers the desired stability and enhances the surface properties. For this reason, this work proposes a covalent grafting of organic material onto SiNW surface. We have chosen a non-conductive polymer polyvinylpyrrolidone (PVP) and conductive polymers polythiophene (PTh) and polypyrrole (PPy), in order to evaluate the electric effect of the polymers on the obtained materials. The hybrid structures were elaborated by the polymerization of the corresponding conjugated monomers by electrochemical route; this electropolymerization offers several advantages such as simplicity and rapidity. SiNW functionalization by conductive polymers has shown to have a huge effect on the electrical mobility. Hybrid surface morphologies were characterized by scanning electron microscopy (SEM), infrared spectroscopy (FTIR-ATR) and contact angle measurements.

  7. Hydrogen Generation using non-polar coaxial InGaN/GaN Multiple Quantum Well Structure Formed on Hollow n-GaN Nanowires

    PubMed Central

    Park, Ji-Hyeon; Mandal, Arjun; Kang, San; Chatterjee, Uddipta; Kim, Jin Soo; Park, Byung-Guon; Kim, Moon-Deock; Jeong, Kwang-Un; Lee, Cheul-Ro

    2016-01-01

    This article demonstrates for the first time to the best of our knowledge, the merits of InGaN/GaN multiple quantum wells (MQWs) grown on hollow n-GaN nanowires (NWs) as a plausible alternative for stable photoelectrochemical water splitting and efficient hydrogen generation. These hollow nanowires are achieved by a growth method rather not by conventional etching process. Therefore this approach becomes simplistic yet most effective. We believe relatively low Ga flux during the selective area growth (SAG) aids the hollow nanowire to grow. To compare the optoelectronic properties, simultaneously solid nanowires are also studied. In this present communication, we exhibit that lower thermal conductivity of hollow n-GaN NWs affects the material quality of InGaN/GaN MQWs by limiting In diffusion. As a result of this improvement in material quality and structural properties, photocurrent and photosensitivity are enhanced compared to the structures grown on solid n-GaN NWs. An incident photon-to-current efficiency (IPCE) of around ~33.3% is recorded at 365 nm wavelength for hollow NWs. We believe that multiple reflections of incident light inside the hollow n-GaN NWs assists in producing a larger amount of electron hole pairs in the active region. As a result the rate of hydrogen generation is also increased. PMID:27556534

  8. Hydrogen Generation using non-polar coaxial InGaN/GaN Multiple Quantum Well Structure Formed on Hollow n-GaN Nanowires.

    PubMed

    Park, Ji-Hyeon; Mandal, Arjun; Kang, San; Chatterjee, Uddipta; Kim, Jin Soo; Park, Byung-Guon; Kim, Moon-Deock; Jeong, Kwang-Un; Lee, Cheul-Ro

    2016-08-24

    This article demonstrates for the first time to the best of our knowledge, the merits of InGaN/GaN multiple quantum wells (MQWs) grown on hollow n-GaN nanowires (NWs) as a plausible alternative for stable photoelectrochemical water splitting and efficient hydrogen generation. These hollow nanowires are achieved by a growth method rather not by conventional etching process. Therefore this approach becomes simplistic yet most effective. We believe relatively low Ga flux during the selective area growth (SAG) aids the hollow nanowire to grow. To compare the optoelectronic properties, simultaneously solid nanowires are also studied. In this present communication, we exhibit that lower thermal conductivity of hollow n-GaN NWs affects the material quality of InGaN/GaN MQWs by limiting In diffusion. As a result of this improvement in material quality and structural properties, photocurrent and photosensitivity are enhanced compared to the structures grown on solid n-GaN NWs. An incident photon-to-current efficiency (IPCE) of around ~33.3% is recorded at 365 nm wavelength for hollow NWs. We believe that multiple reflections of incident light inside the hollow n-GaN NWs assists in producing a larger amount of electron hole pairs in the active region. As a result the rate of hydrogen generation is also increased.

  9. Correlation between oxidant concentrations, morphological aspects and etching kinetics of silicon nanowires during silver-assist electroless etching

    NASA Astrophysics Data System (ADS)

    Moumni, Besma; Jaballah, Abdelkader Ben

    2017-12-01

    Silicon porosification by silver assisted chemical etching (Ag-ACE) for a short range of H2O2 concentration is reported. We experimentally show that porous silicon (PSi) is obtained for 1% H2O2, whereas silicon nanowires (SiNWs) appeared by simply tuning the concentration of H2O2 to relatively high concentrations up to 8%. The morphological aspects are claimed by scanning electron microscopy proving that the kinetics of SiNWs formation display nonlinear relationships versus H2O2 concentration and etching time. A semi-qualitative electrochemical etching model based on local anodic, Ic, and cathodic, Ia, currents is proposed to explain the different morphological changes, and to unveil the formation pathways of both PS and SiNWs. More importantly, an efficient antireflective character for silicon solar cell (reflectance close to 2%) is realized at 8% H2O2. In addition, the luminescence of the prepared Si-nanostructures is claimed by photoluminescence which exhibit a large enhancement of the intensity and a blue shift for narrow and deep SiNWs.

  10. Visible electroluminescence from a ZnO nanowires/p-GaN heterojunction light emitting diode.

    PubMed

    Baratto, C; Kumar, R; Comini, E; Faglia, G; Sberveglieri, G

    2015-07-27

    In the current paper we apply catalyst assisted vapour phase growth technique to grow ZnO nanowires (ZnO nws) on p-GaN thin film obtaining EL emission in reverse bias regime. ZnO based LED represents a promising alternative to III-nitride LEDs, as in free devices: the potential is in near-UV emission and visible emission. For ZnO, the use of nanowires ensures good crystallinity of the ZnO, and improved light extraction from the interface when the nanowires are vertically aligned. We prepared ZnO nanowires in a tubular furnace on GaN templates and characterized the p-n ZnO nws/GaN heterojunction for LED applications. SEM microscopy was used to study the growth of nanowires and device preparation. Photoluminescence (PL) and Electroluminescence (EL) spectroscopies were used to characterize the heterojunction, showing that good quality of PL emission is observed from nanowires and visible emission from the junction can be obtained from the region near ZnO contact, starting from onset bias of 6V.

  11. The growth of ultralong and highly blue luminescent gallium oxide nanowires and nanobelts, and direct horizontal nanowire growth on substrates.

    PubMed

    Kuo, Chi-Liang; Huang, Michael H

    2008-04-16

    We report the growth of ultralong β-Ga(2)O(3) nanowires and nanobelts on silicon substrates using a vapor phase transport method. The growth was carried out in a tube furnace, with gallium metal serving as the gallium source. The nanowires and nanobelts can grow to lengths of hundreds of nanometers and even millimeters. Their full lengths have been captured by both scanning electron microscope (SEM) and optical images. X-ray diffraction (XRD) patterns and transmission electron microscope (TEM) images have been used to study the crystal structures of these nanowires and nanobelts. Strong blue emission from these ultralong nanostructures can be readily observed by irradiation with an ultraviolet (UV) lamp. Diffuse reflectance spectroscopy measurements gave a band gap of 4.56 eV for these nanostructures. The blue emission shows a band maximum at 470 nm. Interestingly, by annealing the silicon substrates in an oxygen atmosphere to form a thick SiO(2) film, and growing Ga(2)O(3) nanowires over the sputtered gold patterned regions, horizontal Ga(2)O(3) nanowire growth in the non-gold-coated regions can be observed. These horizontal nanowires can grow to as long as over 10 µm in length. Their composition has been confirmed by TEM characterization. This represents one of the first examples of direct horizontal growth of oxide nanowires on substrates.

  12. Nanotubes, nanobelts, nanowires, and nanorods of silicon carbide from the wheat husks

    NASA Astrophysics Data System (ADS)

    Qadri, S. B.; Rath, B. B.; Gorzkowski, E. P.; Feng, J.; Qadri, S. N.; Caldwell, J. D.

    2015-09-01

    Nanotubes, nanowires, nanobelts, and nanorods of SiC were synthesized from the thermal treatment of wheat husks at temperatures in excess of 1450 °C. From the analysis based on x-ray diffraction, Raman spectroscopy, scanning electron microscopy, and transmission electron microscopy, it has been found that the processed samples of wheat husk consisted of 2H and 3C polytypes of SiC exhibiting the nanostructure shapes. These nanostructures of silicon carbide formed from wheat husks are of technological importance for designing advance composites, applications in biotechnology, and electro-optics. The thermodynamics of the formation of SiC is discussed in terms of the rapid solid state reaction between hydrocarbons and silica on the molecular scale, which is inherently present in the wheat husks.

  13. Surface passivation of n-type doped black silicon by atomic-layer-deposited SiO2/Al2O3 stacks

    NASA Astrophysics Data System (ADS)

    van de Loo, B. W. H.; Ingenito, A.; Verheijen, M. A.; Isabella, O.; Zeman, M.; Kessels, W. M. M.

    2017-06-01

    Black silicon (b-Si) nanotextures can significantly enhance the light absorption of crystalline silicon solar cells. Nevertheless, for a successful application of b-Si textures in industrially relevant solar cell architectures, it is imperative that charge-carrier recombination at particularly highly n-type doped black Si surfaces is further suppressed. In this work, this issue is addressed through systematically studying lowly and highly doped b-Si surfaces, which are passivated by atomic-layer-deposited Al2O3 films or SiO2/Al2O3 stacks. In lowly doped b-Si textures, a very low surface recombination prefactor of 16 fA/cm2 was found after surface passivation by Al2O3. The excellent passivation was achieved after a dedicated wet-chemical treatment prior to surface passivation, which removed structural defects which resided below the b-Si surface. On highly n-type doped b-Si, the SiO2/Al2O3 stacks result in a considerable improvement in surface passivation compared to the Al2O3 single layers. The atomic-layer-deposited SiO2/Al2O3 stacks therefore provide a low-temperature, industrially viable passivation method, enabling the application of highly n- type doped b-Si nanotextures in industrial silicon solar cells.

  14. Photoluminescence Probing of Complex H2O Adsorption on InGaN/GaN Nanowires.

    PubMed

    Maier, Konrad; Helwig, Andreas; Müller, Gerhard; Hille, Pascal; Teubert, Jörg; Eickhoff, Martin

    2017-02-08

    We demonstrate that the complex adsorption behavior of H 2 O on InGaN/GaN nanowire arrays is directly revealed by their ambient-dependent photoluminescence properties. Under low-humidity, ambient-temperature, and low-excitation-light conditions, H 2 O adsorbates cause a quenching of the photoluminescence. In contrast, for high humidity levels, elevated temperature, and high excitation intensity, H 2 O adsorbates act as efficient photoluminescence enhancers. We show that this behavior, which can only be detected due to the low operation temperature of the InGaN/GaN nanowires, can be explained on the basis of single H 2 O adsorbates forming surface recombination centers and multiple H 2 O adsorbates forming surface passivation layers. Reversible creation of such passivation layers is induced by the photoelectrochemical splitting of adsorbed water molecules and by the interaction of reactive H 3 O + and OH - ions with photoactivated InGaN surfaces. Due to electronic coupling of adsorbing molecules with photoactivated surfaces, InGaN/GaN nanowires act as sensitive nanooptical probes for the analysis of photoelectrochemical surface processes.

  15. Flexible Photodiodes Based on Nitride Core/Shell p–n Junction Nanowires

    PubMed Central

    2016-01-01

    A flexible nitride p-n photodiode is demonstrated. The device consists of a composite nanowire/polymer membrane transferred onto a flexible substrate. The active element for light sensing is a vertical array of core/shell p–n junction nanowires containing InGaN/GaN quantum wells grown by MOVPE. Electron/hole generation and transport in core/shell nanowires are modeled within nonequilibrium Green function formalism showing a good agreement with experimental results. Fully flexible transparent contacts based on a silver nanowire network are used for device fabrication, which allows bending the detector to a few millimeter curvature radius without damage. The detector shows a photoresponse at wavelengths shorter than 430 nm with a peak responsivity of 0.096 A/W at 370 nm under zero bias. The operation speed for a 0.3 × 0.3 cm2 detector patch was tested between 4 Hz and 2 kHz. The −3 dB cutoff was found to be ∼35 Hz, which is faster than the operation speed for typical photoconductive detectors and which is compatible with UV monitoring applications. PMID:27615556

  16. Detection of protein kinases P38 based on reflectance spectroscopy with n-type porous silicon microcavities for diagnosing hydatidosis hydatid disease

    NASA Astrophysics Data System (ADS)

    Lv, Xiaoyi; Lv, Guodong; Jia, Zhenhong; Wang, Jiajia; Mo, Jiaqing

    2014-11-01

    Detection of protein kinases P38 of Echinococcus granulosus and its homologous antibody have great value for early diagnosis and treatment of hydatidosis hydatid disease. In this experiment, n-type mesoporous silicon microcavities have been successfully fabricated without KOH etching or oxidants treatment that reported in other literature. We observed the changes of the reflectivity spectrum before and after the antigen-antibody reaction by n-type mesoporous silicon microcavities. The binding of protein kinases P38 and its homologous antibody causes red shifts in the reflection spectrum of the sensor, and the red shift was proportional to the protein kinases P38 concentration with linear relationship.

  17. Metal-Insulator-Semiconductor Nanowire Network Solar Cells.

    PubMed

    Oener, Sebastian Z; van de Groep, Jorik; Macco, Bart; Bronsveld, Paula C P; Kessels, W M M; Polman, Albert; Garnett, Erik C

    2016-06-08

    Metal-insulator-semiconductor (MIS) junctions provide the charge separating properties of Schottky junctions while circumventing the direct and detrimental contact of the metal with the semiconductor. A passivating and tunnel dielectric is used as a separation layer to reduce carrier recombination and remove Fermi level pinning. When applied to solar cells, these junctions result in two main advantages over traditional p-n-junction solar cells: a highly simplified fabrication process and excellent passivation properties and hence high open-circuit voltages. However, one major drawback of metal-insulator-semiconductor solar cells is that a continuous metal layer is needed to form a junction at the surface of the silicon, which decreases the optical transmittance and hence short-circuit current density. The decrease of transmittance with increasing metal coverage, however, can be overcome by nanoscale structures. Nanowire networks exhibit precisely the properties that are required for MIS solar cells: closely spaced and conductive metal wires to induce an inversion layer for homogeneous charge carrier extraction and simultaneously a high optical transparency. We experimentally demonstrate the nanowire MIS concept by using it to make silicon solar cells with a measured energy conversion efficiency of 7% (∼11% after correction), an effective open-circuit voltage (Voc) of 560 mV and estimated short-circuit current density (Jsc) of 33 mA/cm(2). Furthermore, we show that the metal nanowire network can serve additionally as an etch mask to pattern inverted nanopyramids, decreasing the reflectivity substantially from 36% to ∼4%. Our extensive analysis points out a path toward nanowire based MIS solar cells that exhibit both high Voc and Jsc values.

  18. Impact of dopant concentrations on emitter formation with spin on dopant source in n-type crystalline silicon solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Singha, Bandana; Solanki, Chetan Singh

    Use of a suitable dopant source for emitter formation is an essential requirement in n-type crystalline silicon solar cells. Boron spin on dopant source, used as alternative to mostly used BBr{sub 3} liquid source, can yield an emitter with less diffusion induced defects under controlled conditions. Different concentrations of commercially available spin on dopant source is used and optimized in this work for sheet resistance values of the emitter ranging from 30 Ω/□ to 70 Ω/□ with emitter doping concentrations suitable for ohmic contacts. The dopant concentrations diluted with different ratios improves the carrier lifetime and thus improves the emittermore » performance. Hence use of suitable dopant source is essential in forming emitters in n-type crystalline silicon solar cells.« less

  19. Ultrafast carrier capture and Auger recombination in single GaN/InGaN multiple quantum well nanowires

    DOE PAGES

    Boubanga-Tombet, Stephane; Wright, Jeremy B.; Lu, Ping; ...

    2016-11-04

    Ultrafast optical microscopy is an important tool for examining fundamental phenomena in semiconductor nanowires with high temporal and spatial resolution. In this paper, we used this technique to study carrier dynamics in single GaN/InGaN core–shell nonpolar multiple quantum well nanowires. We find that intraband carrier–carrier scattering is the main channel governing carrier capture, while subsequent carrier relaxation is dominated by three-carrier Auger recombination at higher densities and bimolecular recombination at lower densities. Finally, the Auger constants in these nanowires are approximately 2 orders of magnitude lower than in planar InGaN multiple quantum wells, highlighting their potential for future light-emitting devices.

  20. Synthesis and excellent field emission properties of three-dimensional branched GaN nanowire homostructures

    NASA Astrophysics Data System (ADS)

    Li, Enling; Sun, Lihe; Cui, Zhen; Ma, Deming; Shi, Wei; Wang, Xiaolin

    2016-10-01

    Three-dimensional branched GaN nanowire homostructures have been synthesized on the Si substrate via a two-step approach by chemical vapor deposition. Structural characterization reveals that the single crystal GaN nanowire trunks have hexagonal wurtzite characteristics and grow along the [0001] direction, while the homoepitaxial single crystal branches grow in a radial direction from the six-sided surfaces of the trunks. The field emission measurements demonstrate that the branched GaN nanowire homostructures have excellent field emission properties, with low turn-on field at 2.35 V/μm, a high field enhancement factor of 2938, and long emission current stability. This indicates that the present branched GaN nanowire homostructures will become valuable for practical field emission applications.

  1. High-Yield Growth and Characterization of ⟨100⟩ InP p-n Diode Nanowires.

    PubMed

    Cavalli, Alessandro; Wang, Jia; Esmaeil Zadeh, Iman; Reimer, Michael E; Verheijen, Marcel A; Soini, Martin; Plissard, Sebastien R; Zwiller, Val; Haverkort, Jos E M; Bakkers, Erik P A M

    2016-05-11

    Semiconductor nanowires are nanoscale structures holding promise in many fields such as optoelectronics, quantum computing, and thermoelectrics. Nanowires are usually grown vertically on (111)-oriented substrates, while (100) is the standard in semiconductor technology. The ability to grow and to control impurity doping of ⟨100⟩ nanowires is crucial for integration. Here, we discuss doping of single-crystalline ⟨100⟩ nanowires, and the structural and optoelectronic properties of p-n junctions based on ⟨100⟩ InP nanowires. We describe a novel approach to achieve low resistance electrical contacts to nanowires via a gradual interface based on p-doped InAsP. As a first demonstration in optoelectronic devices, we realize a single nanowire light emitting diode in a ⟨100⟩-oriented InP nanowire p-n junction. To obtain high vertical yield, which is necessary for future applications, we investigate the effect of the introduction of dopants on the nanowire growth.

  2. Application of neutron transmutation doping method to initially p-type silicon material.

    PubMed

    Kim, Myong-Seop; Kang, Ki-Doo; Park, Sang-Jun

    2009-01-01

    The neutron transmutation doping (NTD) method was applied to the initially p-type silicon in order to extend the NTD applications at HANARO. The relationship between the irradiation neutron fluence and the final resistivity of the initially p-type silicon material was investigated. The proportional constant between the neutron fluence and the resistivity was determined to be 2.3473x10(19)nOmegacm(-1). The deviation of the final resistivity from the target for almost all the irradiation results of the initially p-type silicon ingots was at a range from -5% to 2%. In addition, the burn-up effect of the boron impurities, the residual (32)P activity and the effect of the compensation characteristics for the initially p-type silicon were studied. Conclusively, the practical methodology to perform the neutron transmutation doping of the initially p-type silicon ingot was established.

  3. GaAs nanowire array solar cells with axial p-i-n junctions.

    PubMed

    Yao, Maoqing; Huang, Ningfeng; Cong, Sen; Chi, Chun-Yung; Seyedi, M Ashkan; Lin, Yen-Ting; Cao, Yu; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu

    2014-06-11

    Because of unique structural, optical, and electrical properties, solar cells based on semiconductor nanowires are a rapidly evolving scientific enterprise. Various approaches employing III-V nanowires have emerged, among which GaAs, especially, is under intense research and development. Most reported GaAs nanowire solar cells form p-n junctions in the radial direction; however, nanowires using axial junction may enable the attainment of high open circuit voltage (Voc) and integration into multijunction solar cells. Here, we report GaAs nanowire solar cells with axial p-i-n junctions that achieve 7.58% efficiency. Simulations show that axial junctions are more tolerant to doping variation than radial junctions and lead to higher Voc under certain conditions. We further study the effect of wire diameter and junction depth using electrical characterization and cathodoluminescence. The results show that large diameter and shallow junctions are essential for a high extraction efficiency. Our approach opens up great opportunity for future low-cost, high-efficiency photovoltaics.

  4. Morphology- and orientation-controlled gallium arsenide nanowires on silicon substrates.

    PubMed

    Ihn, Soo-Ghang; Song, Jong-In; Kim, Tae-Wook; Leem, Dong-Seok; Lee, Takhee; Lee, Sang-Geul; Koh, Eui Kwan; Song, Kyung

    2007-01-01

    GaAs nanowires were epitaxially grown on Si(001) and Si(111) substrates by using Au-catalyzed vapor-liquid-solid (VLS) growth in a solid source molecular beam epitaxy system. Scanning electron microscopy analysis revealed that almost all the GaAs nanowires were grown along <111> directions on both Si substrates for growth conditions investigated. The GaAs nanowires had a very uniform diameter along the growth direction. X-ray diffraction data and transmission electron microscopy analysis revealed that the GaAs<111> nanowires had a mixed crystal structure of the hexagonal wurtzite and the cubic zinc-blende. Current-voltage characteristics of junctions formed by the epitaxially grown GaAs nanowires and the Si substrate were investigated by using a current-sensing atomic force microscopy.

  5. Coaxial metal-oxide-semiconductor (MOS) Au/Ga2O3/GaN nanowires.

    PubMed

    Hsieh, Chin-Hua; Chang, Mu-Tung; Chien, Yu-Jen; Chou, Li-Jen; Chen, Lih-Juann; Chen, Chii-Dong

    2008-10-01

    Coaxial metal-oxide-semiconductor (MOS) Au-Ga2O3-GaN heterostructure nanowires were successfully fabricated by an in situ two-step process. The Au-Ga2O3 core-shell nanowires were first synthesized by the reaction of Ga powder, a mediated Au thin layer, and a SiO2 substrate at 800 degrees C. Subsequently, these core-shell nanowires were nitridized in ambient ammonia to form a GaN coating layer at 600 degrees C. The GaN shell is a single crystal, an atomic flat interface between the oxide and semiconductor that ensures that the high quality of the MOS device is achieved. These novel 1D nitride-based MOS nanowires may have promise as building blocks to the future nitride-based vertical nanodevices.

  6. Stretchable Conductive Composites from Cu-Ag Nanowire Felt.

    PubMed

    Catenacci, Matthew J; Reyes, Christopher; Cruz, Mutya A; Wiley, Benjamin J

    2018-04-24

    Materials that retain a high conductivity under strain are essential for wearable electronics. This article describes a conductive, stretchable composite consisting of a Cu-Ag core-shell nanowire felt infiltrated with a silicone elastomer. This composite exhibits a retention of conductivity under strain that is superior to any composite with a conductivity greater than 1000 S cm -1 . This work also shows how the mechanical properties, conductivity, and deformation mechanism of the composite changes as a function of the stiffness of the silicone matrix. The retention of conductivity under strain was found to decrease as the Young's modulus of the matrix increased. This was attributed to void formation as a result of debonding between the nanowire felt and the elastomer. The nanowire composite was also patterned to create serpentine circuits with a stretchability of 300%.

  7. An in-plane solid-liquid-solid growth mode for self-avoiding lateral silicon nanowires.

    PubMed

    Yu, Linwei; Alet, Pierre-Jean; Picardi, Gennaro; Roca i Cabarrocas, Pere

    2009-03-27

    We report an in-plane solid-liquid-solid (IPSLS) mode for obtaining self-avoiding lateral silicon nanowires (SiNW) in a reacting-gas-free annealing process, where the growth of SiNWs is guided by liquid indium drops that transform the surrounding a-SiratioH matrix into crystalline SiNWs. The SiNWs can be approximately mm long, with the smallest diameter down to approximately 22 nm. A high growth rate of >10(2) nm/s and rich evolution dynamics are revealed in a real-time in situ scanning electron microscopy observation. A qualitative growth model is proposed to account for the major features of this IPSLS SiNW growth mode.

  8. Influence of the transition region between p- and n-type polycrystalline silicon passivating contacts on the performance of interdigitated back contact silicon solar cells

    NASA Astrophysics Data System (ADS)

    Reichel, Christian; Müller, Ralph; Feldmann, Frank; Richter, Armin; Hermle, Martin; Glunz, Stefan W.

    2017-11-01

    Passivating contacts based on thin tunneling oxides (SiOx) and n- and p-type semi-crystalline or polycrystalline silicon (poly-Si) enable high passivation quality and low contact resistivity, but the integration of these p+/n emitter and n+/n back surface field junctions into interdigitated back contact silicon solar cells poses a challenge due to high recombination at the transition region from p-type to n-type poly-Si. Here, the transition region was created in different configurations—(a) p+ and n+ poly-Si regions are in direct contact with each other ("pn-junction"), using a local overcompensation (counterdoping) as a self-aligning process, (b) undoped (intrinsic) poly-Si remains between the p+ and n+ poly-Si regions ("pin-junction"), and (c) etched trenches separate the p+ and n+ poly-Si regions ("trench")—in order to investigate the recombination characteristics and the reverse breakdown behavior of these solar cells. Illumination- and injection-dependent quasi-steady state photoluminescence (suns-PL) and open-circuit voltage (suns-Voc) measurements revealed that non-ideal recombination in the space charge regions with high local ideality factors as well as recombination in shunted regions strongly limited the performance of solar cells without a trench. In contrast, solar cells with a trench allowed for open-circuit voltage (Voc) of 720 mV, fill factor of 79.6%, short-circuit current (Jsc) of 41.3 mA/cm2, and a conversion efficiencies (η) of 23.7%, showing that a lowly conducting and highly passivating intermediate layer between the p+ and n+ poly-Si regions is mandatory. Independent of the configuration, no hysteresis was observed upon multiple stresses in reverse direction, indicating a controlled and homogeneously distributed breakdown, but with different breakdown characteristics.

  9. New electron trap in p-type Czochralski silicon

    NASA Technical Reports Server (NTRS)

    Mao, B.-Y.; Lagowski, J.; Gatos, H. C.

    1984-01-01

    A new electron trap (acceptor level) was discovered in p-type Czochralski (CZ) silicon by current transient spectroscopy. The behavior of this trap was found to be similar to that of the oxygen thermal donors; thus, 450 C annealing increases the trap concentration while high-temperature annealing (1100-1200 C) leads to the virtual elimination of the trap. The new trap is not observed in either float-zone or n-type CZ silicon. Its energy level depends on the group III doping element in the sample. These findings suggest that the trap is related to oxygen, and probably to the acceptor impurity as well.

  10. Development of high efficient visible light-driven N, S-codoped TiO2 nanowires photocatalysts

    NASA Astrophysics Data System (ADS)

    Zhang, Yanlin; Liu, Peihong; Wu, Honghai

    2015-02-01

    One-dimensional (1D) nanowire material (especially nonmetal doped 1D nanowires) synthesized by a facile way is of great significance and greatly desired as it has higher charge carrier mobility and lower carrier recombination rate. N, S-codoped TiO2 nanowires were synthesized using titanium sulfate as a precursor and isopropanol as a protective capping agent by a hydrothermal route. The obtained doped nanowires were characterized by XRD, SEM, HRTEM, SAED, XPS, BET and UV-vis absorption spectrum. The incorporation of N and S into TiO2 NWs can lead to the expansion of its lattice and remarkably lower its electron-transfer resistance. Photocatalytic activity measurement showed that the N, S-codoped TiO2 nanowires with high quantum efficiency revealed the best photocatalytic performance for atrazine degradation under visible light irradiation compared to N, S-codoped TiO2 nanoparticles and S-doped TiO2 nanowires, which was attributed to (i) the synergistic effect of N and S doping in narrowing the band gap, separating electron-hole pairs and increasing the photoinduced electrons, and (ii) extending the anatase-to-rutile transformation temperature above 600 °C.

  11. Great Thermal Conductivity Enhancement of Silicone Composite with Ultra-Long Copper Nanowires.

    PubMed

    Zhang, Liye; Yin, Junshan; Yu, Wei; Wang, Mingzhu; Xie, Huaqing

    2017-12-01

    In this paper, ultra-long copper nanowires (CuNWs) were successfully synthesized at a large scale by hydrothermal reduction of divalent copper ion using oleylamine and oleic acid as dual ligands. The characteristic of CuNWs is hard and linear, which is clearly different from graphene nanoplatelets (GNPs) and multi-wall carbon nanotubes (MWCNTs). The thermal properties and models of silicone composites with three nanomaterials have been mainly researched. The maximum of thermal conductivity enhancement is up to 215% with only 1.0 vol.% CuNW loading, which is much higher than GNPs and MWCNTs. It is due to the ultra-long CuNWs with a length of more than 100 μm, which facilitates the formation of effective thermal-conductive networks, resulting in great enhancement of thermal conductivity.

  12. Great Thermal Conductivity Enhancement of Silicone Composite with Ultra-Long Copper Nanowires

    NASA Astrophysics Data System (ADS)

    Zhang, Liye; Yin, Junshan; Yu, Wei; Wang, Mingzhu; Xie, Huaqing

    2017-07-01

    In this paper, ultra-long copper nanowires (CuNWs) were successfully synthesized at a large scale by hydrothermal reduction of divalent copper ion using oleylamine and oleic acid as dual ligands. The characteristic of CuNWs is hard and linear, which is clearly different from graphene nanoplatelets (GNPs) and multi-wall carbon nanotubes (MWCNTs). The thermal properties and models of silicone composites with three nanomaterials have been mainly researched. The maximum of thermal conductivity enhancement is up to 215% with only 1.0 vol.% CuNW loading, which is much higher than GNPs and MWCNTs. It is due to the ultra-long CuNWs with a length of more than 100 μm, which facilitates the formation of effective thermal-conductive networks, resulting in great enhancement of thermal conductivity.

  13. Nanotubes, nanobelts, nanowires, and nanorods of silicon carbide from the wheat husks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qadri, S. B.; Rath, B. B.; Gorzkowski, E. P.

    2015-09-14

    Nanotubes, nanowires, nanobelts, and nanorods of SiC were synthesized from the thermal treatment of wheat husks at temperatures in excess of 1450 °C. From the analysis based on x-ray diffraction, Raman spectroscopy, scanning electron microscopy, and transmission electron microscopy, it has been found that the processed samples of wheat husk consisted of 2H and 3C polytypes of SiC exhibiting the nanostructure shapes. These nanostructures of silicon carbide formed from wheat husks are of technological importance for designing advance composites, applications in biotechnology, and electro-optics. The thermodynamics of the formation of SiC is discussed in terms of the rapid solid state reactionmore » between hydrocarbons and silica on the molecular scale, which is inherently present in the wheat husks.« less

  14. GaAs core--shell nanowires for photovoltaic applications.

    PubMed

    Czaban, Josef A; Thompson, David A; LaPierre, Ray R

    2009-01-01

    We report the use of Te as an n-type dopant in GaAs core-shell p-n junction nanowires for use in photovoltaic devices. Te produced significant change in the morphology of GaAs nanowires grown by the vapor-liquid-solid process in a molecular beam epitaxy system. The increase in radial growth of nanowires due to the surfactant effect of Te had a significant impact on the operating characteristics of photovoltaic devices. A decrease in solar cell efficiency occurred when the Te-doped GaAs growth duration was increased.

  15. Tuning Light Emission of a Pressure-Sensitive Silicon/ZnO Nanowires Heterostructure Matrix through Piezo-phototronic Effects.

    PubMed

    Chen, Mengxiao; Pan, Caofeng; Zhang, Taiping; Li, Xiaoyi; Liang, Renrong; Wang, Zhong Lin

    2016-06-28

    Based on white light emission at silicon (Si)/ZnO hetrerojunction, a pressure-sensitive Si/ZnO nanowires heterostructure matrix light emitting diode (LED) array is developed. The light emission intensity of a single heterostructure LED is tuned by external strain: when the applied stress keeps increasing, the emission intensity first increases and then decreases with a maximum value at a compressive strain of 0.15-0.2%. This result is attributed to the piezo-phototronic effect, which can efficiently modulate the LED emission intensity by utilizing the strain-induced piezo-polarization charges. It could tune the energy band diagrams at the junction area and regulate the optoelectronic processes such as charge carriers generation, separation, recombination, and transport. This study achieves tuning silicon based devices through piezo-phototronic effect.

  16. Direct evidence of recombination between electrons in InGaN quantum discs and holes in p-type GaN.

    PubMed

    Sun, Xiaoxiao; Wang, Xinqiang; Wang, Ping; Wang, Tao; Sheng, Bowen; Zheng, Xiantong; Li, Mo; Zhang, Jian; Yang, Xuelin; Xu, Fujun; Ge, Weikun; Shen, Bo

    2017-11-27

    Intense emission from an InGaN quantum disc (QDisc) embedded in a GaN nanowire p-n junction is directly resolved by performing cathodoluminescence spectroscopy. The luminescence observed from the p-type GaN region is exclusively dominated by the emission at 380 nm, which has been usually reported as the emission from Mg induced impurity bands. Here, we confirm that the robust emission from 380 nm is actually not due to the Mg induced impurity bands, but rather due to being the recombination between electrons in the QDisc and holes in the p-type GaN. This identification helps to get a better understanding of the confused luminescence from nanowires with thin QDiscs embedded for fabricating electrically driven single photon emitters.

  17. Influence of oxygen-vacancy complex /A center/ on piezoresistance of n-type silicon.

    NASA Technical Reports Server (NTRS)

    Littlejohn, M. A.; Loggins, C. D., Jr.

    1972-01-01

    Changes in both magnitude and temperature dependence of the piezoresistance of electron-irradiated n-type silicon, induced by the latter's oxygen-vacancy complex (A center), are shown to be due to the fact that the presence of the A center causes the total conduction-band electron concentration to change with an applied stress. This change in electron concentration leads to an additional piezoresistance contribution that is expected to be important in certain many-valley semiconductors. This offers the possibility of tailoring the thermal variations of semiconductor mechanical sensors to more desirable values over limited temperature ranges.

  18. III-nitride nanowire LEDs and diode lasers: monolithic light sources on (001) Si emitting in the 600-1300nm range

    NASA Astrophysics Data System (ADS)

    Bhattacharya, P.; Hazari, A.; Jahangir, S.

    2018-02-01

    GaN-based nanowire heterostructure arrays epitaxially grown on (001)Si substrates have unique properties and present the potential to realize useful devices. The active light-emitting region in the nanowire heterostructures are usually InGaN disks, whose composition can be varied to tune the emission wavelength. We have demonstrated light emitting diodes and edgeemitting diode lasers with power outputs 10mW with emission in the 600-1300nm wavelength range. These light sources are therefore useful for a variety of applications, including silicon photonics. Molecular beam epitaxial growth of the nanowire heterostructure arrays on (001)Si substrates and the characteristics of 1.3μm nanowire array edge emitting lasers, guided wave photodiodes and a monolithic photonic integrated circuit designed for 1.3μm operation are described.

  19. Bulk nucleation and growth of inorganic nanowires and nanotubes

    NASA Astrophysics Data System (ADS)

    Sharma, Shashank

    The nanometer scale materials such as nanowires and nanotubes will be of particular interest as building blocks for designing novel sensors, catalysts, electronic, optical, and optoelectronic devices. However, in order to realize these applications, bulk amounts of nanowires and nanotubes need to be synthesized with precise control over the nanostructure characteristics. In addition, the structure-property relationships for one-dimensional structures are expected to be different than their bulk when their diameters are less than a characteristic Bohr exciton radius. This fundamental curiosity also necessitates bulk synthesis of nanostructures. The current bulk nanowire synthesis methods utilize either nanometer scale porous molds or nanometer scale transition metal clusters to template one-dimensional growth. All these techniques have inherent limitations in terms of control over the nanowire diameter distribution, composition, the growth direction, and the ability to generate abrupt interfaces within individual nanowires. In this dissertation, a new concept for bulk nucleation and growth of one-dimensional nanostructures is proposed and demonstrated for a variety of inorganic material systems. In this technique, multiple nanowires nucleate and grow from pools of low-melting metal melts when exposed to an activated gas phase containing the necessary precursors. This concept, hereby termed Low Melting Metals and Activated Gas phase (LMAG) mediated method, is specifically demonstrated for the synthesis of, (a) silicon nanowires grown using molten gallium and silane precursors; (b) silicon compound nanowires using solution of molten gallium and appropriate gas phase precursors, and (c) metal-oxide nanostructures grown using direct reaction of the respective metal melts and oxygen precursors. Nanowires resulted from the same molten gallium pool at high densities (>1011/cm2) and with narrow diameter distribution. The silicon nanowires synthesized using the LMAG

  20. Magnetoresistance effect in permalloy nanowires with various types of notches

    NASA Astrophysics Data System (ADS)

    Gao, Y.; You, B.; Wang, J.; Yuan, Y.; Wei, L. J.; Tu, H. Q.; Zhang, W.; Du, J.

    2018-05-01

    Suppressing the stochastic domain wall (DW) motion in magnetic nanowires is of great importance for designing DW-related spintronic devices. In this work, we have investigated the pinning/depinning processes of DWs in permalloy nanowires with three different types of notches by using longitudinal magnetoresistance (MR) measurement. The averaged MR curves demonstrate that the stochastic DW depinning is suppressed partly or even completely by a transversely asymmetric notch. The single-shot MR curves show that how the resistance changes with the applied field also depends strongly on the notch type while the DW is pinned around the notch. In the case of two depinning fields, larger (smaller) change of resistance always corresponds to larger (smaller) depinning field, regardless of the notch type. These phenomena can be understood by that the spin structure around the notch changes differently with the notch type when the DW is traveling through the notch.

  1. Optimization of pH sensing using silicon nanowire field effect transistors with HfO2 as the sensing surface.

    PubMed

    Zafar, Sufi; D'Emic, Christopher; Afzali, Ali; Fletcher, Benjamin; Zhu, Y; Ning, Tak

    2011-10-07

    Silicon nanowire field effect transistor sensors with SiO(2)/HfO(2) as the gate dielectric sensing surface are fabricated using a top down approach. These sensors are optimized for pH sensing with two key characteristics. First, the pH sensitivity is shown to be independent of buffer concentration. Second, the observed pH sensitivity is enhanced and is equal to the Nernst maximum sensitivity limit of 59 mV/pH with a corresponding subthreshold drain current change of ∼ 650%/pH. These two enhanced pH sensing characteristics are attributed to the use of HfO(2) as the sensing surface and an optimized fabrication process compatible with silicon processing technology.

  2. Modeling and estimation of process-induced stress in the nanowire field-effect-transistors (NW-FETs) on Insulator-on-Silicon substrates with high-k gate-dielectrics

    NASA Astrophysics Data System (ADS)

    Chatterjee, Sulagna; Chattopadhyay, Sanatan

    2016-10-01

    An analytical model including the simultaneous impact of lattice and thermo-elastic constant mismatch-induced stress in nanowires on Insulator-on-Silicon substrate is developed. It is used to calibrate the finite-element based software, ANSYS, which is subsequently employed to estimate process-induced stress in the sequential steps of NW-FET fabrication. The model considers crystal structures and orientations for both the nanowires and substrates. In-plane stress components along nanowire-axis are estimated for different radii and fractions of insertion. Nature of longitudinal stress is observed to change when inserted fraction of nanowires is changed. Effect of various high-k gate-dielectrics is also investigated. A longitudinal tensile stress of 2.4 GPa and compressive stress of 1.89 GPa have been obtained for NW-FETs with 1/4th and 3/4th insertions with La2O3 and TiO2 as the gate-dielectrics, respectively. Therefore, it is possible to achieve comparable values of electron and hole mobility in NW-FETs by judiciously choosing gate-dielectrics and fractional insertion of the nanowires.

  3. Improvement of efficiency in graphene/gallium nitride nanowire on Silicon photoelectrode for overall water splitting

    NASA Astrophysics Data System (ADS)

    Bae, Hyojung; Rho, Hokyun; Min, Jung-Wook; Lee, Yong-Tak; Lee, Sang Hyun; Fujii, Katsushi; Lee, Hyo-Jong; Ha, Jun-Seok

    2017-11-01

    Gallium nitride (GaN) nanowires are one of the most promising photoelectrode materials due to their high stability in acidic and basic electrolytes, and tunable band edge potentials. In this study, GaN nanowire arrays (GaN NWs) were prepared by molecular beam epitaxy (MBE); their large surface area enhanced the solar to hydrogen conversion efficiency. More significantly, graphene was grown by chemical vapor deposition (CVD), which enhanced the electron transfer between NWs for water splitting and protected the GaN NW surface. Structural characterizations of the prepared composite were performed using scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The photocurrent density of Gr/GaN NWs exhibited a two-fold increase over pristine GaN NWs and sustained water splitting up to 70 min. These improvements may accelerate possible applications for hydrogen generation with high solar to hydrogen conversion efficiency.

  4. Rhodium Nanoparticle-mesoporous Silicon Nanowire Nanohybrids for Hydrogen Peroxide Detection with High Selectivity

    PubMed Central

    Song, Zhiqian; Chang, Hucheng; Zhu, Weiqin; Xu, Chenlong; Feng, Xinjian

    2015-01-01

    Developing nanostructured electrocatalysts, with low overpotential, high selectivity and activity has fundamental and technical importance in many fields. We report here rhodium nanoparticle and mesoporous silicon nanowire (RhNP@mSiNW) hybrids for hydrogen peroxide (H2O2) detection with high electrocatalytic activity and selectivity. By employing electrodes that loaded with RhNP@mSiNW nanohybrids, interference caused from both many electroactive substances and dissolved oxygen were eliminated by electrochemical assaying at an optimal potential of +75 mV. Furthermore, the electrodes exhibited a high detection sensitivity of 0.53 μA/mM and fast response (< 5 s). This high-performance nanohybrid electrocatalyst has great potential for future practical application in various oxidase-base biosensors. PMID:25588953

  5. Spatiotemporal Imaging of the Acoustic Field Emitted by a Single Copper Nanowire

    NASA Astrophysics Data System (ADS)

    Jean, Cyril; Belliard, Laurent; Cornelius, Thomas W.; Thomas, Olivier; Pennec, Yan; Cassinelli, Marco; Toimil-Molares, Maria Eugenia; Perrin, Bernard

    2016-10-01

    The monochromatic and geometrically anisotropic acoustic field generated by 400 nm and 120 nm diameter copper nanowires simply dropped on a 10 $\\mu$m silicon membrane is investigated in transmission using three-dimensional time-resolved femtosecond pump-probe experiments. Two pump-probe time-resolved experiments are carried out at the same time on both side of the silicon substrate. In reflection, the first radial breathing mode of the nanowire is excited and detected. In transmission, the longitudinal and shear waves are observed. The longitudinal signal is followed by a monochromatic component associated with the relaxation of the nanowire's first radial breathing mode. Finite Difference Time Domain (FDTD) simulations are performed and accurately reproduce the diffracted field. A shape anisotropy resulting from the large aspect ratio of the nanowire is detected in the acoustic field. The orientation of the underlying nanowires is thus acoustically deduced.

  6. Scalable fabrication of nanowire photonic and electronic circuits using spin-on glass.

    PubMed

    Zimmler, Mariano A; Stichtenoth, Daniel; Ronning, Carsten; Yi, Wei; Narayanamurti, Venkatesh; Voss, Tobias; Capasso, Federico

    2008-06-01

    We present a method which can be used for the mass-fabrication of nanowire photonic and electronic devices based on spin-on glass technology and on the photolithographic definition of independent electrical contacts to the top and the bottom of a nanowire. This method allows for the fabrication of nanowire devices in a reliable, fast, and low cost way, and it can be applied to nanowires with arbitrary cross section and doping type (p and n). We demonstrate this technique by fabricating single-nanowire p-Si(substrate)-n-ZnO(nanowire) heterojunction diodes, which show good rectification properties and, furthermore, which function as ultraviolet light-emitting diodes.

  7. Improved method of preparing p-i-n junctions in amorphous silicon semiconductors

    DOEpatents

    Madan, A.

    1984-12-10

    A method of preparing p/sup +/-i-n/sup +/ junctions for amorphous silicon semiconductors includes depositing amorphous silicon on a thin layer of trivalent material, such as aluminum, indium, or gallium at a temperature in the range of 200/sup 0/C to 250/sup 0/C. At this temperature, the layer of trivalent material diffuses into the amorphous silicon to form a graded p/sup +/-i junction. A layer of n-type doped material is then deposited onto the intrinsic amorphous silicon layer in a conventional manner to finish forming the p/sup +/-i-n/sup +/ junction.

  8. Optical properties of photodetectors based on single GaN nanowires with a transparent graphene contact

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Babichev, A. V., E-mail: A.Babichev@mail.ioffe.ru; Zhang, H.; Guan, N.

    2016-08-15

    We report the fabrication and optical and electrical characterization of photodetectors for the UV spectral range based on single p–n junction nanowires with a transparent contact of a new type. The contact is based on CVD-grown (chemical-vapor deposition) graphene. The active region of the nitride nanowires contains a set of 30 radial In{sub 0.18}Ga{sub 0.82}N/GaN quantum wells. The structure is grown by metal-organic vaporphase epitaxy. The photodetectors are fabricated using electron-beam lithography. The current–voltage characteristics exhibit a rectifying behavior. The spectral sensitivity of the photodetector is recorded starting from 3 eV and extending far in the UV range. The maximalmore » photoresponse is observed at a wavelength of 367 nm (sensitivity 1.9 mA/W). The response switching time of the photodetector is less than 0.1 s.« less

  9. A silicon carbide nanowire field effect transistor for DNA detection

    NASA Astrophysics Data System (ADS)

    Fradetal, L.; Bano, E.; Attolini, G.; Rossi, F.; Stambouli, V.

    2016-06-01

    This work reports on the label-free electrical detection of DNA molecules for the first time, using silicon carbide (SiC) as a novel material for the realization of nanowire field effect transistors (NWFETs). SiC is a promising semiconductor for this application due to its specific characteristics such as chemical inertness and biocompatibility. Non-intentionally n-doped SiC NWs are first grown using a bottom-up vapor-liquid-solid (VLS) mechanism, leading to the NWs exhibiting needle-shaped morphology, with a length of approximately 2 μm and a diameter ranging from 25 to 60 nm. Then, the SiC NWFETs are fabricated and functionalized with DNA molecule probes via covalent coupling using an amino-terminated organosilane. The drain current versus drain voltage (I d-V d) characteristics obtained after the DNA grafting and hybridization are reported from the comparative and simultaneous measurements carried out on the SiC NWFETs, used either as sensors or references. As a representative result, the current of the sensor is lowered by 22% after probe DNA grafting and by 7% after target DNA hybridization, while the current of the reference does not vary by more than ±0.6%. The current decrease confirms the field effect induced by the negative charges of the DNA molecules. Moreover, the selectivity, reproducibility, reversibility and stability of the studied devices are emphasized by de-hybridization, non-complementary hybridization and re-hybridization experiments. This first proof of concept opens the way for future developments using SiC-NW-based sensors.

  10. Structural evolution and electronic properties of n-type doped hydrogenated amorphous silicon thin films

    NASA Astrophysics Data System (ADS)

    He, Jian; Li, Wei; Xu, Rui; Qi, Kang-Cheng; Jiang, Ya-Dong

    2011-12-01

    The relationship between structure and electronic properties of n-type doped hydrogenated amorphous silicon (a-Si:H) thin films was investigated. Samples with different features were prepared by plasma enhanced chemical vapor deposition (PECVD) at various substrate temperatures. Raman spectroscopy and Fourier transform infrared (FTIR) spectroscopy were used to evaluate the structural evolution, meanwhile, electronic-spin resonance (ESR) and optical measurement were applied to explore the electronic properties of P-doped a-Si:H thin films. Results reveal that the changes in materials structure affect directly the electronic properties and the doping efficiency of dopant.

  11. Synthesis, Properties and Applications of Gallium Nitride Nanowires

    NASA Astrophysics Data System (ADS)

    Ma, Zheng

    This main focus of the work is on controlling the growth morphology in GaN and related nanowires. Two key results are presented: (1) demonstration of GaN nanowire growth in a newly discovered `serrated' morphology and (2) demonstration of Mn-doped, GaMnN nanowires by a new method. In (1) it is shown that simply by controlling the type of catalyst, size of the catalyst and the initial ratio of the precursor materials, GaN nanowire growth in a highly periodic serrated morphology can be obtained. Unlike regular non-serrated wires which grow in the non-polar [1010] direction, growth of the serrated wires is in the polar [0001] direction. The serrated faces are oriented in the semi-polar directions. Wires with serrated faces in both [1011] and [1122] semi-polar directions have been obtained. In (2) it has been shown that by using Au-Mn alloy catalyst method, GaMnN wire growth can be obtained. This is a significant result since this may be the first demonstration wherein Mn doping is achieved by introducing Mn as a catalyst rather than as a source material. The growth direction of these GaMnN wires is in the non-polar direction as in the case of non-serrated wires. Interestingly, unlike the non-serrated GaN wires, in this case the growth direction is [1120]. A second focus of the work is on the investigation of transport properties of serrated GaN nanowires and comparison with the non-serrated GaN nanowires. For the serrated nanowires our results indicate significant influence of surface effects on the electronic transport resulting in much higher electrical resistivity. A third focus of the work is on the investigation of magnetic properties of the GaMnN nanowires which indicates potential weak ferromagnetic behavior. This is consistent with low hole concentration and low Mn doping concentration (~0.5%) in these nanowires.

  12. The kinetic friction of ZnO nanowires on amorphous SiO2 and SiN substrates

    NASA Astrophysics Data System (ADS)

    Roy, Aditi; Xie, Hongtao; Wang, Shiliang; Huang, Han

    2016-12-01

    ZnO nanowires were bent on amorphous SiO2 and SiN substrates in an ambient atmosphere using optical nanomanipulation. The kinetic friction between the nanowires and substrate was determined from the bent shape of the nanowires. The kinetic friction force per unit area, i.e. frictional shear stress, for the ZnO/SiO2 and ZnO/SiN nanowire/substrate systems being measured were 1.05 ± 0.28 and 2.08 ± 0.33 MPa, respectively. The surface roughness and the Hamaker constant of SiO2 and SiN substrates had significant effect on the frictional stresses.

  13. A sensitive and selective magnetic graphene composite-modified polycrystalline-silicon nanowire field-effect transistor for bladder cancer diagnosis.

    PubMed

    Chen, Hsiao-Chien; Chen, Yi-Ting; Tsai, Rung-Ywan; Chen, Min-Cheng; Chen, Shi-Liang; Xiao, Min-Cong; Chen, Chien-Lun; Hua, Mu-Yi

    2015-04-15

    In this study, we describe the urinary quantification of apolipoprotein A II protein (APOA2 protein), a biomarker for the diagnosis of bladder cancer, using an n-type polycrystalline silicon nanowire field-effect transistor (poly-SiNW-FET). The modification of poly-SiNW-FET by magnetic graphene with long-chain acid groups (MGLA) synthesized via Friedel-Crafts acylation was compared with that obtained using short-chain acid groups (MGSA). Compared with MGSA, the MGLA showed a higher immobilization degree and bioactivity to the anti-APOA2 antibody (Ab) due to its lower steric hindrance. In addition, the magnetic properties enabled rapid separation and purification during Ab immobilization, ultimately preserving its bioactivity. The Ab-MGLA/poly-SiNW-FET exhibited a linear dependence of relative response to the logarithmical concentration in a range between 19.5pgmL(-1) and 1.95µgmL(-1), with a limit of detection (LOD) of 6.7pgmL(-1). An additional washing step before measurement aimed at excluding the interfering biocomponents ensured the reliability of the assay. We conclude that our biosensor efficiently distinguishes mean values of urinary APOA2 protein concentrations between patients with bladder cancer (29-344ngmL(-1)) and those with hernia (0.425-9.47ngmL(-1)). Copyright © 2014 Elsevier B.V. All rights reserved.

  14. Efficient and scalable ionization of neutral atoms by an orderly array of gold-doped silicon nanowires

    NASA Astrophysics Data System (ADS)

    Bucay, Igal; Helal, Ahmed; Dunsky, David; Leviyev, Alex; Mallavarapu, Akhila; Sreenivasan, S. V.; Raizen, Mark

    2017-04-01

    Ionization of atoms and molecules is an important process in many applications and processes such as mass spectrometry. Ionization is typically accomplished by electron bombardment, and while it is scalable to large volumes, is also very inefficient due to the small cross section of electron-atom collisions. Photoionization methods can be highly efficient, but are not scalable due to the small ionization volume. Electric field ionization is accomplished using ultra-sharp conducting tips biased to a few kilovolts, but suffers from a low ionization volume and tip fabrication limitations. We report on our progress towards an efficient, robust, and scalable method of atomic and molecular ionization using orderly arrays of sharp, gold-doped silicon nanowires. As demonstrated in earlier work, the presence of the gold greatly enhances the ionization probability, which was attributed to an increase in available acceptor surface states. We present here a novel process used to fabricate the nanowire array, results of simulations aimed at optimizing the configuration of the array, and our progress towards demonstrating efficient and scalable ionization.

  15. Silicide Nanowires for Low-Resistance CMOS Transistor Contacts.

    NASA Astrophysics Data System (ADS)

    Zollner, Stefan

    2007-03-01

    Transition metal (TM) silicide nanowires are used as contacts for modern CMOS transistors. (Our smallest wires are ˜20 nm thick and ˜50 nm wide.) While much research on thick TM silicides was conducted long ago, materials perform differently at the nanoscale. For example, the usual phase transformation sequences (e.g., Ni, Ni2Si, NiSi, NiSi2) for the reaction of thick metal films on Si no longer apply to nanostructures, because the surface and interface energies compete with the bulk energy of a given crystal structure. Therefore, a NiSi film will agglomerate into hemispherical droplets of NiSi by annealing before it reaches the lowest-energy (NiSi2) crystalline structure. These dynamics can be tuned by addition of impurities (such as Pt in Ni). The Si surface preparation is also a more important factor for nanowires than for silicidation of thick TM films. Ni nanowires formed on Si surfaces that were cleaned and amorphized by sputtering with Ar ions have a tendency to form NiSi2 pyramids (``spikes'') even at moderate temperatures (˜400^oC), while similar Ni films formed on atomically clean or hydrogen-terminated Si form uniform NiSi nanowires. Another issue affecting TM silicides is the barrier height between the silicide contact and the silicon transistor. For most TM silicides, the Fermi level of the silicide is aligned with the center of the Si band gap. Therefore, silicide contacts experience Schottky barrier heights of around 0.5 eV for both n-type and p-type Si. The resulting contact resistance becomes a significant term for the overall resistance of modern CMOS transistors. Lowering this contact resistance is an important goal in CMOS research. New materials are under investigation (for example PtSi, which has a barrier height of only 0.3 eV to p-type Si). This talk will describe recent results, with special emphasis on characterization techniques and electrical testing useful for the development of silicide nanowires for CMOS contacts. In collaboration

  16. Nanowire decorated, ultra-thin, single crystalline silicon for photovoltaic devices.

    PubMed

    Aurang, Pantea; Turan, Rasit; Unalan, Husnu Emrah

    2017-10-06

    Reducing silicon (Si) wafer thickness in the photovoltaic industry has always been demanded for lowering the overall cost. Further benefits such as short collection lengths and improved open circuit voltages can also be achieved by Si thickness reduction. However, the problem with thin films is poor light absorption. One way to decrease optical losses in photovoltaic devices is to minimize the front side reflection. This approach can be applied to front contacted ultra-thin crystalline Si solar cells to increase the light absorption. In this work, homojunction solar cells were fabricated using ultra-thin and flexible single crystal Si wafers. A metal assisted chemical etching method was used for the nanowire (NW) texturization of ultra-thin Si wafers to compensate weak light absorption. A relative improvement of 56% in the reflectivity was observed for ultra-thin Si wafers with the thickness of 20 ± 0.2 μm upon NW texturization. NW length and top contact optimization resulted in a relative enhancement of 23% ± 5% in photovoltaic conversion efficiency.

  17. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Qi, Yangyang; Wang, Zhen; Zhang, Mingliang; Wang, Xiaodong; Ji, An; Yang, Fuhua

    2014-03-01

    The electron transport characteristics of silicon nanowires (SiNWs) fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V) characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  18. Edge facet dynamics during the growth of heavily doped n-type silicon by the Czochralski-method

    NASA Astrophysics Data System (ADS)

    Stockmeier, L.; Kranert, C.; Raming, G.; Miller, A.; Reimann, C.; Rudolph, P.; Friedrich, J.

    2018-06-01

    During the growth of [0 0 1]-oriented, heavily n-type doped silicon crystals by the Czochralski (CZ) method dislocation formation occurs frequently which leads to a reduction of the crystal yield. In this publication the evolution of the solid-liquid interface and the formation of the {1 1 1} edge facets are analyzed on a microscopic scale as possible reason for dislocation formation in heavily n-type doped [0 0 1]-oriented CZ crystals. A correlation between the length of the {1 1 1} edge facets and the curvature of the interface is found. They ultimately promote supercooled areas and interrupted growth kinetics, which increase the probability for dislocation formation at the boundary between the {1 1 1} edge facets and the atomically rough interface.

  19. Selective-area catalyst-free MBE growth of GaN nanowires using a patterned oxide layer.

    PubMed

    Schumann, T; Gotschke, T; Limbach, F; Stoica, T; Calarco, R

    2011-03-04

    GaN nanowires (NWs) were grown selectively in holes of a patterned silicon oxide mask, by rf-plasma-assisted molecular beam epitaxy (PAMBE), without any metal catalyst. The oxide was deposited on a thin AlN buffer layer previously grown on a Si(111) substrate. Regular arrays of holes in the oxide layer were obtained using standard e-beam lithography. The selectivity of growth has been studied varying the substrate temperature, gallium beam equivalent pressure and patterning layout. Adjusting the growth parameters, GaN NWs can be selectively grown in the holes of the patterned oxide with complete suppression of the parasitic growth in between the holes. The occupation probability of a hole with a single or multiple NWs depends strongly on its diameter. The selectively grown GaN NWs have one common crystallographic orientation with respect to the Si(111) substrate via the AlN buffer layer, as proven by x-ray diffraction (XRD) measurements. Based on the experimental data, we present a schematic model of the GaN NW formation in which a GaN pedestal is initially grown in the hole.

  20. Arrays of suspended silicon nanowires defined by ion beam implantation: mechanical coupling and combination with CMOS technology.

    PubMed

    Llobet, J; Rius, G; Chuquitarqui, A; Borrisé, X; Koops, R; van Veghel, M; Perez-Murano, F

    2018-04-02

    We present the fabrication, operation, and CMOS integration of arrays of suspended silicon nanowires (SiNWs). The functional structures are obtained by a top-down fabrication approach consisting in a resistless process based on focused ion beam irradiation, causing local gallium implantation and silicon amorphization, plus selective silicon etching by tetramethylammonium hydroxide, and a thermal annealing process in a boron rich atmosphere. The last step enables the electrical functionality of the irradiated material. Doubly clamped silicon beams are fabricated by this method. The electrical readout of their mechanical response can be addressed by a frequency down-mixing detection technique thanks to an enhanced piezoresistive transduction mechanism. Three specific aspects are discussed: (i) the engineering of mechanically coupled SiNWs, by making use of the nanometer scale overhang that it is inherently-generated with this fabrication process, (ii) the statistical distribution of patterned lateral dimensions when fabricating large arrays of identical devices, and (iii) the compatibility of the patterning methodology with CMOS circuits. Our results suggest that the application of this method to the integration of large arrays of suspended SiNWs with CMOS circuitry is interesting in view of applications such as advanced radio frequency band pass filters and ultra-high-sensitivity mass sensors.

  1. Arrays of suspended silicon nanowires defined by ion beam implantation: mechanical coupling and combination with CMOS technology

    NASA Astrophysics Data System (ADS)

    Llobet, J.; Rius, G.; Chuquitarqui, A.; Borrisé, X.; Koops, R.; van Veghel, M.; Perez-Murano, F.

    2018-04-01

    We present the fabrication, operation, and CMOS integration of arrays of suspended silicon nanowires (SiNWs). The functional structures are obtained by a top-down fabrication approach consisting in a resistless process based on focused ion beam irradiation, causing local gallium implantation and silicon amorphization, plus selective silicon etching by tetramethylammonium hydroxide, and a thermal annealing process in a boron rich atmosphere. The last step enables the electrical functionality of the irradiated material. Doubly clamped silicon beams are fabricated by this method. The electrical readout of their mechanical response can be addressed by a frequency down-mixing detection technique thanks to an enhanced piezoresistive transduction mechanism. Three specific aspects are discussed: (i) the engineering of mechanically coupled SiNWs, by making use of the nanometer scale overhang that it is inherently-generated with this fabrication process, (ii) the statistical distribution of patterned lateral dimensions when fabricating large arrays of identical devices, and (iii) the compatibility of the patterning methodology with CMOS circuits. Our results suggest that the application of this method to the integration of large arrays of suspended SiNWs with CMOS circuitry is interesting in view of applications such as advanced radio frequency band pass filters and ultra-high-sensitivity mass sensors.

  2. Tunnel junction enhanced nanowire ultraviolet light emitting diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sarwar, A. T. M. Golam; May, Brelon J.; Deitz, Julia I.

    Polarization engineered interband tunnel junctions (TJs) are integrated in nanowire ultraviolet (UV) light emitting diodes (LEDs). A ∼6 V reduction in turn-on voltage is achieved by the integration of tunnel junction at the base of polarization doped nanowire UV LEDs. Moreover, efficient hole injection into the nanowire LEDs leads to suppressed efficiency droop in TJ integrated nanowire LEDs. The combination of both reduced bias voltage and increased hole injection increases the wall plug efficiency in these devices. More than 100 μW of UV emission at ∼310 nm is measured with external quantum efficiency in the range of 4–6 m%. The realization of tunnel junctionmore » within the nanowire LEDs opens a pathway towards the monolithic integration of cascaded multi-junction nanowire LEDs on silicon.« less

  3. Approach to high quality GaN lateral nanowires and planar cavities fabricated by focused ion beam and metal-organic vapor phase epitaxy.

    PubMed

    Pozina, Galia; Gubaydullin, Azat R; Mitrofanov, Maxim I; Kaliteevski, Mikhail A; Levitskii, Iaroslav V; Voznyuk, Gleb V; Tatarinov, Evgeniy E; Evtikhiev, Vadim P; Rodin, Sergey N; Kaliteevskiy, Vasily N; Chechurin, Leonid S

    2018-05-08

    We have developed a method to fabricate GaN planar nanowires and cavities by combination of Focused Ion Beam (FIB) patterning of the substrate followed by Metal Organic Vapor Phase Epitaxy (MOVPE). The method includes depositing a silicon nitride mask on a sapphire substrate, etching of the trenches in the mask by FIB with a diameter of 40 nm with subsequent MOVPE growth of GaN within trenches. It was observed that the growth rate of GaN is substantially increased due to enhanced bulk diffusion of the growth precursor therefore the model for analysis of the growth rate was developed. The GaN strips fabricated by this method demonstrate effective luminescence properties. The structures demonstrate enhancement of spontaneous emission via formation of Fabry-Perot modes.

  4. Study of Charge Transport in Vertically Aligned Nitride Nanowire Based Core Shell P-I-N Junctions

    DTIC Science & Technology

    2016-07-01

    Vertically- Aligned Nitride Nanowire Based Core Shell P-I-N Junctions Distribution Statement A. Approved for public release; distribution is...Study of Charge Transport in Vertically- Aligned Nitride Nanowire Based Core Shell P-I-N Junctions Grant Number: HDTRA1-14-1-0003 Principal...Investigator: Abhishek Motayed University of Maryland DISTRIBUTION A: Public Release Study of Charge Transport in Vertically-Aligned Nitride Nanowire

  5. Facile fabrication of a silicon nanowire sensor by two size reduction steps for detection of alpha-fetoprotein biomarker of liver cancer

    NASA Astrophysics Data System (ADS)

    Binh Pham, Van; ThanhTung Pham, Xuan; Nhat Khoa Phan, Thanh; Thanh Tuyen Le, Thi; Chien Dang, Mau

    2015-12-01

    We present a facile technique that only uses conventional micro-techniques and two size-reduction steps to fabricate wafer-scale silicon nanowire (SiNW) with widths of 200 nm. Initially, conventional lithography was used to pattern SiNW with 2 μm width. Then the nanowire width was decreased to 200 nm by two size-reduction steps with isotropic wet etching. The fabricated SiNW was further investigated when used with nanowire field-effect sensors. The electrical characteristics of the fabricated SiNW devices were characterized and pH sensitivity was investigated. Then a simple and effective surface modification process was carried out to modify SiNW for subsequent binding of a desired receptor. The complete SiNW-based biosensor was then used to detect alpha-fetoprotein (AFP), one of the medically approved biomarkers for liver cancer diagnosis. Electrical measurements showed that the developed SiNW biosensor could detect AFP with concentrations of about 100 ng mL-1. This concentration is lower than the necessary AFP concentration for liver cancer diagnosis.

  6. Electronic transport with dielectric confinement in degenerate InN nanowires.

    PubMed

    Blömers, Ch; Lu, J G; Huang, L; Witte, C; Grützmacher, D; Lüth, H; Schäpers, Th

    2012-06-13

    In this Letter, we present the size effects on charge conduction in InN nanowires by comprehensive transport studies supported by theoretical analysis. A consistent model for highly degenerate narrow gap semiconductor nanowires is developed. In contrast to common knowledge of InN, there is no evidence of an enhanced surface conduction, however, high intrinsic doping exists. Furthermore, the room-temperature resistivity exhibits a strong increase when the lateral size becomes smaller than 80 nm and the temperature dependence changes from metallic to semiconductor-like. This effect is modeled by donor deactivation due to dielectric confinement, yielding a shift of the donor band to higher ionization energies as the size shrinks.

  7. Electrical characteristics of silicon percolating nanonet-based field effect transistors in the presence of dispersion

    NASA Astrophysics Data System (ADS)

    Cazimajou, T.; Legallais, M.; Mouis, M.; Ternon, C.; Salem, B.; Ghibaudo, G.

    2018-05-01

    We studied the current-voltage characteristics of percolating networks of silicon nanowires (nanonets), operated in back-gated transistor mode, for future use as gas or biosensors. These devices featured P-type field-effect characteristics. It was found that a Lambert W function-based compact model could be used for parameter extraction of electrical parameters such as apparent low field mobility, threshold voltage and subthreshold slope ideality factor. Their variation with channel length and nanowire density was related to the change of conduction regime from direct source/drain connection by parallel nanowires to percolating channels. Experimental results could be related in part to an influence of the threshold voltage dispersion of individual nanowires.

  8. Doping of germanium nanowires grown in presence of PH3

    NASA Astrophysics Data System (ADS)

    Tutuc, E.; Chu, J. O.; Ott, J. A.; Guha, S.

    2006-12-01

    The authors study the Au-catalyzed chemical vapor growth of germanium (Ge) nanowires in the presence of phosphine (PH3), used as a dopant precursor. The device characteristics of the ensuing nanowire field effect transistors (FETs) indicate n-type, highly doped nanowires. Using a combination of different nanowire growth sequences and their FET characteristics, the authors determine that phosphorus incorporates predominately via the conformal growth, which accompanies the acicular, nanowire growth. As such, the Ge nanowires grown in the presence of PH3 contain a phosphorus doped shell and an undoped core. The authors determine the doping level in the shell to be ≃(1-4)×1019cm-3.

  9. Silicon Nanowire/Polymer Hybrid Solar Cell-Supercapacitor: A Self-Charging Power Unit with a Total Efficiency of 10.5.

    PubMed

    Liu, Ruiyuan; Wang, Jie; Sun, Teng; Wang, Mingjun; Wu, Changsheng; Zou, Haiyang; Song, Tao; Zhang, Xiaohong; Lee, Shuit-Tong; Wang, Zhong Lin; Sun, Baoquan

    2017-07-12

    An integrated self-charging power unit, combining a hybrid silicon nanowire/polymer heterojunction solar cell with a polypyrrole-based supercapacitor, has been demonstrated to simultaneously harvest solar energy and store it. By efficiency enhancement of the hybrid nanowire solar cells and a dual-functional titanium film serving as conjunct electrode of the solar cell and supercapacitor, the integrated system is able to yield a total photoelectric conversion to storage efficiency of 10.5%, which is the record value in all the integrated solar energy conversion and storage system. This system may not only serve as a buffer that diminishes the solar power fluctuations from light intensity, but also pave its way toward cost-effective high efficiency self-charging power unit. Finally, an integrated device based on ultrathin Si substrate is demonstrated to expand its feasibility and potential application in flexible energy conversion and storage devices.

  10. P-stop isolation study of irradiated n-in-p type silicon strip sensors for harsh radiation environments

    NASA Astrophysics Data System (ADS)

    Printz, Martin; CMS Tracker Collaboration

    2016-09-01

    In order to determine the most radiation hard silicon sensors for the CMS Experiment after the Phase II Upgrade in 2023 a comprehensive study of silicon sensors after a fluence of up to 1.5 ×1015neq /cm2 corresponding to 3000fb-1 after the HL-LHC era has been carried out. The results led to the decision that the future Outer Tracker (20 cm < R < 110 cm) of CMS will consist of n-in-p type sensors. This technology is more radiation hard but also the manufacturing is more challenging compared to p-in-n type sensors due to additional process steps in order to suppress the accumulation of electrons between the readout strips. One possible isolation technique of adjacent strips is the p-stop structure which is a p-type material implantation with a certain pattern for each individual strip. However, electrical breakdown and charge collection studies indicate that the process parameters of the p-stop structure have to be carefully calibrated in order to achieve a sufficient strip isolation but simultaneously high breakdown voltages. Therefore a study of the isolation characteristics with four different silicon sensor manufacturers has been executed in order to determine the most suitable p-stop parameters for the harsh radiation environment during HL-LHC. Several p-stop doping concentrations, doping depths and different p-stop pattern have been realized and experiments before and after irradiation with protons and neutrons have been performed and compared to T-CAD simulation studies with Synopsys Sentaurus. The measurements combine the electrical characteristics measured with a semi-automatic probestation with Sr90 signal measurements and analogue readout. Furthermore, some samples have been investigated with the help of a cosmic telescope with high resolution allowing charge collection studies of MIPs penetrating the sensor between two strips.

  11. A model for the high-temperature transport properties of heavily doped n-type silicon-germanium alloys

    NASA Technical Reports Server (NTRS)

    Vining, Cronin B.

    1991-01-01

    A model is presented for the high-temperature transport properties of large-grain-size, heavily doped n-type silicon-germanium alloys. Electron and phonon transport coefficients are calculated using standard Boltzmann equation expressions in the relaxation time approximation. Good agreement with experiment is found by considering acoustic phonon and ionized impurity scattering for electrons, and phonon-phonon, point defect, and electron-phonon scattering for phonons. The parameters describing electron transport in heavily doped and lightly doped materials are significantly different and suggest that most carriers in heavily doped materials are in a band formed largely from impurity states. The maximum dimensionless thermoelectric figure of merit for single-crystal, n-type Si(0.8)Ge(0.2) at 1300 K is estimated at ZT about 1.13 with an optimum carrier concentration of n about 2.9 x 10 to the 20th/cu cm.

  12. GaN Nanowire Arrays for Efficient Optical Read-Out and Optoelectronic Control of NV Centers in Diamond.

    PubMed

    Hetzl, Martin; Wierzbowski, Jakob; Hoffmann, Theresa; Kraut, Max; Zuerbig, Verena; Nebel, Christoph E; Müller, Kai; Finley, Jonathan J; Stutzmann, Martin

    2018-06-13

    Solid-state quantum emitters embedded in a semiconductor crystal environment are potentially scalable platforms for quantum optical networks operated at room temperature. Prominent representatives are nitrogen-vacancy (NV) centers in diamond showing coherent entanglement and interference with each other. However, these emitters suffer from inefficient optical outcoupling from the diamond and from fluctuations of their charge state. Here, we demonstrate the implementation of regular n-type gallium nitride nanowire arrays on diamond as photonic waveguides to tailor the emission direction of surface-near NV centers and to electrically control their charge state in a p-i-n nanodiode. We show that the electrical excitation of single NV centers in such a diode can efficiently replace optical pumping. By the engineering of the array parameters, we find an optical read-out efficiency enhanced by a factor of 10 and predict a lateral NV-NV coupling 3 orders of magnitude stronger through evanescently coupled nanowire antennas compared to planar diamond not covered by nanowires, which opens up new possibilities for large-scale on-chip quantum-computing applications.

  13. Gunn-Hilsum Effect in Mechanically Strained Silicon Nanowires: Tunable Negative Differential Resistance.

    PubMed

    Shiri, Daryoush; Verma, Amit; Nekovei, Reza; Isacsson, Andreas; Selvakumar, C R; Anantram, M P

    2018-04-19

    Gunn (or Gunn-Hilsum) Effect and its associated negative differential resistivity (NDR) emanates from transfer of electrons between two different energy subbands. This effect was observed in semiconductors like GaAs which has a direct bandgap of very low effective mass and an indirect subband of high effective mass which lies ~300 meV above the former. In contrast to GaAs, bulk silicon has a very high energy spacing (~1 eV) which renders the initiation of transfer-induced NDR unobservable. Using Density Functional Theory (DFT), semi-empirical 10 orbital (sp 3 d 5 s * ) Tight Binding and Ensemble Monte Carlo (EMC) methods we show for the first time that (a) Gunn Effect can be induced in silicon nanowires (SiNW) with diameters of 3.1 nm under +3% strain and an electric field of 5000 V/cm, (b) the onset of NDR in the I-V characteristics is reversibly adjustable by strain and (c) strain modulates the resistivity by a factor 2.3 for SiNWs of normal I-V characteristics i.e. those without NDR. These observations are promising for applications of SiNWs in electromechanical sensors and adjustable microwave oscillators. It is noteworthy that the observed NDC is different in principle from Esaki-Diode and Resonant Tunneling Diodes (RTD) in which NDR originates from tunneling effect.

  14. Structural and photoluminescence properties of silicon nanowires extracted by means of a centrifugation process from plasma torch synthesized silicon nanopowder.

    PubMed

    Le Borgne, Vincent; Agati, Marta; Boninelli, Simona; Castrucci, Paola; De Crescenzi, Maurizio; Dolbec, Richard; El Khakani, My Ali

    2017-07-14

    We report on a method for the extraction of silicon nanowires (SiNWs) from the by-product of a plasma torch based spheroidization process of silicon. This by-product is a nanopowder which consists of a mixture of SiNWs and silicon particles. By optimizing a centrifugation based process, we were able to extract substantial amounts of highly pure Si nanomaterials (mainly SiNWs and Si nanospheres (SiNSs)). While the purified SiNWs were found to have typical outer diameters in the 10-15 nm range and lengths of up to several μm, the SiNSs have external diameters in the 10-100 nm range. Interestingly, the SiNWs are found to have a thinner Si core (2-5 nm diam.) and an outer silicon oxide shell (with a typical thickness of ∼5-10 nm). High resolution transmission electron microscopy (HRTEM) observations revealed that many SiNWs have a continuous cylindrical core, whereas others feature a discontinuous core consisting of a chain of Si nanocrystals forming a sort of 'chaplet-like' structures. These plasma-torch-produced SiNWs are highly pure with no trace of any metal catalyst, suggesting that they mostly form through SiO-catalyzed growth scheme rather than from metal-catalyzed path. The extracted Si nanostructures are shown to exhibit a strong photoluminescence (PL) which is found to blue-shift from 950 to 680 nm as the core size of the Si nanostructures decreases from ∼5 to ∼3 nm. This near IR-visible PL is shown to originate from quantum confinement (QC) in Si nanostructures. Consistently, the sizes of the Si nanocrystals directly determined from HRTEM images corroborate well with those expected by QC theory.

  15. Structural and photoluminescence properties of silicon nanowires extracted by means of a centrifugation process from plasma torch synthesized silicon nanopowder

    NASA Astrophysics Data System (ADS)

    Le Borgne, Vincent; Agati, Marta; Boninelli, Simona; Castrucci, Paola; De Crescenzi, Maurizio; Dolbec, Richard; El Khakani, My Ali

    2017-07-01

    We report on a method for the extraction of silicon nanowires (SiNWs) from the by-product of a plasma torch based spheroidization process of silicon. This by-product is a nanopowder which consists of a mixture of SiNWs and silicon particles. By optimizing a centrifugation based process, we were able to extract substantial amounts of highly pure Si nanomaterials (mainly SiNWs and Si nanospheres (SiNSs)). While the purified SiNWs were found to have typical outer diameters in the 10-15 nm range and lengths of up to several μm, the SiNSs have external diameters in the 10-100 nm range. Interestingly, the SiNWs are found to have a thinner Si core (2-5 nm diam.) and an outer silicon oxide shell (with a typical thickness of ˜5-10 nm). High resolution transmission electron microscopy (HRTEM) observations revealed that many SiNWs have a continuous cylindrical core, whereas others feature a discontinuous core consisting of a chain of Si nanocrystals forming a sort of ‘chaplet-like’ structures. These plasma-torch-produced SiNWs are highly pure with no trace of any metal catalyst, suggesting that they mostly form through SiO-catalyzed growth scheme rather than from metal-catalyzed path. The extracted Si nanostructures are shown to exhibit a strong photoluminescence (PL) which is found to blue-shift from 950 to 680 nm as the core size of the Si nanostructures decreases from ˜5 to ˜3 nm. This near IR-visible PL is shown to originate from quantum confinement (QC) in Si nanostructures. Consistently, the sizes of the Si nanocrystals directly determined from HRTEM images corroborate well with those expected by QC theory.

  16. Fabrication and characterization of GaN nanowire doubly clamped resonators

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maliakkal, Carina B., E-mail: carina@tifr.res.in; Mathew, John P.; Hatui, Nirupam

    2015-09-21

    Gallium nitride (GaN) nanowires (NWs) have been intensely researched as building blocks for nanoscale electronic and photonic device applications; however, the mechanical properties of GaN nanostructures have not been explored in detail. The rigidity, thermal stability, and piezoelectric properties of GaN make it an interesting candidate for nano-electromechanical systems. We have fabricated doubly clamped GaN NW electromechanical resonators on sapphire using electron beam lithography and estimated the Young's modulus of GaN from resonance frequency measurements. For wires of triangular cross section with side ∼90 nm, we obtained values for the Young's modulus to be about 218 and 691 GPa, which are ofmore » the same order of magnitude as the values reported for bulk GaN. We also discuss the role of residual strain in the nanowire on the resonant frequency and the orientation dependence of the Young's modulus in wurtzite crystals.« less

  17. Molecular beam epitaxy of InN nanowires on Si

    NASA Astrophysics Data System (ADS)

    Golam Sarwar, A. T. M.; Carnevale, Santino D.; Kent, Thomas F.; Laskar, Masihhur R.; May, Brelon J.; Myers, Roberto C.

    2015-10-01

    We report on a systematic growth study of the nucleation process of InN nanowires on Si(1 1 1) substrates using plasma assisted molecular beam epitaxy (PAMBE). Samples are grown with various substrate temperatures and III/V ratios. Scanning electron microscopy, X-ray diffraction spectroscopy, energy dispersive X-ray spectroscopy, and photoluminescence are carried out to map out the variation in structural and optical properties versus growth conditions. Statistical averages of areal density, height, and radius are mapped as a function of substrate temperature and III/V ratio. Three different morphological phases are identified on the growth surface: InN, α-In and β-In. Based on SEM image analysis of samples grown at different conditions, the formation mechanism of these phases is proposed. Finally, the growth phase diagram of PAMBE grown InN on Si under N-rich condition is presented, and tapered versus non-tapered growth conditions are identified. It is found that high growth temperature and low III/V ratio plays a critical role in the growth of non-tapered InN nanowires.

  18. Nanoscale size dependence parameters on lattice thermal conductivity of Wurtzite GaN nanowires

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mamand, S.M., E-mail: soran.mamand@univsul.net; Omar, M.S.; Muhammad, A.J.

    2012-05-15

    Graphical abstract: Temperature dependence of calculated lattice thermal conductivity of Wurtzite GaN nanowires. Highlights: Black-Right-Pointing-Pointer A modified Callaway model is used to calculate lattice thermal conductivity of Wurtzite GaN nanowires. Black-Right-Pointing-Pointer A direct method is used to calculate phonon group velocity for these nanowires. Black-Right-Pointing-Pointer 3-Gruneisen parameter, surface roughness, and dislocations are successfully investigated. Black-Right-Pointing-Pointer Dislocation densities are decreases with the decrease of wires diameter. -- Abstract: A detailed calculation of lattice thermal conductivity of freestanding Wurtzite GaN nanowires with diameter ranging from 97 to 160 nm in the temperature range 2-300 K, was performed using a modified Callaway model.more » Both longitudinal and transverse modes are taken into account explicitly in the model. A method is used to calculate the Debye and phonon group velocities for different nanowire diameters from their related melting points. Effect of Gruneisen parameter, surface roughness, and dislocations as structure dependent parameters are successfully used to correlate the calculated values of lattice thermal conductivity to that of the experimentally measured curves. It was observed that Gruneisen parameter will decrease with decreasing nanowire diameters. Scattering of phonons is assumed to be by nanowire boundaries, imperfections, dislocations, electrons, and other phonons via both normal and Umklapp processes. Phonon confinement and size effects as well as the role of dislocation in limiting thermal conductivity are investigated. At high temperatures and for dislocation densities greater than 10{sup 14} m{sup -2} the lattice thermal conductivity would be limited by dislocation density, but for dislocation densities less than 10{sup 14} m{sup -2}, lattice thermal conductivity would be independent of that.« less

  19. Atomic Layer Deposition Alumina-Passivated Silicon Nanowires: Probing the Transition from Electrochemical Double-Layer Capacitor to Electrolytic Capacitor.

    PubMed

    Gaboriau, Dorian; Boniface, Maxime; Valero, Anthony; Aldakov, Dmitry; Brousse, Thierry; Gentile, Pascal; Sadki, Said

    2017-04-19

    Silicon nanowires were coated by a 1-5 nm thin alumina layer by atomic layer deposition (ALD) in order to replace poorly reproducible and unstable native silicon oxide by a highly conformal passivating alumina layer. The surface coating enabled probing the behavior of symmetric devices using such electrodes in the EMI-TFSI electrolyte, allowing us to attain a large cell voltage up to 6 V in ionic liquid, together with very high cyclability with less than 4% capacitance fade after 10 6 charge/discharge cycles. These results yielded fruitful insights into the transition between an electrochemical double-layer capacitor behavior and an electrolytic capacitor behavior. Ultimately, thin ALD dielectric coatings can be used to obtain hybrid devices exhibiting large cell voltage and excellent cycle life of dielectric capacitors, while retaining energy and power densities close to the ones displayed by supercapacitors.

  20. Hierarchical silicon nanowires-carbon textiles matrix as a binder-free anode for high-performance advanced lithium-ion batteries

    PubMed Central

    Liu, Bin; Wang, Xianfu; Chen, Haitian; Wang, Zhuoran; Chen, Di; Cheng, Yi-Bing; Zhou, Chongwu; Shen, Guozhen

    2013-01-01

    Toward the increasing demands of portable energy storage and electric vehicle applications, the widely used graphite anodes with significant drawbacks become more and more unsuitable. Herein, we report a novel scaffold of hierarchical silicon nanowires-carbon textiles anodes fabricated via a facile method. Further, complete lithium-ion batteries based on Si and commercial LiCoO2 materials were assembled to investigate their corresponding across-the-aboard performances, demonstrating their enhanced specific capacity (2950 mAh g−1 at 0.2 C), good repeatability/rate capability (even >900 mAh g−1 at high rate of 5 C), long cycling life, and excellent stability in various external conditions (curvature, temperature, and humidity). Above results light the way to principally replacing graphite anodes with silicon-based electrodes which was confirmed to have better comprehensive performances. PMID:23572030

  1. GaN nanowires with pentagon shape cross-section by ammonia-source molecular beam epitaxy

    DOE PAGES

    Lin, Yong; Leung, Benjamin; Li, Qiming; ...

    2015-07-14

    In this study, ammonia-based molecular beam epitaxy (NH 3-MBE) was used to grow catalyst-assisted GaN nanowires on (11¯02) r-plane sapphire substrates. Dislocation free [112¯0] oriented nanowires are formed with pentagon shape cross-section, instead of the usual triangular shape facet configuration. Specifically, the cross-section is the result of the additional two nonpolar {101¯0} side facets, which appear due to a decrease in relative growth rate of the {101¯0} facets to the {101¯1} and {101¯1} facets under the growth regime in NH 3-MBE. Compared to GaN nanowires grown by Ni-catalyzed metal–organic chemical vapor deposition, the NH 3-MBE grown GaN nanowires show moremore » than an order of magnitude increase in band-edge to yellow luminescence intensity ratio, as measured by cathodoluminescence, indicating improved microstructural and optical properties.« less

  2. Anisotropic Swelling and Fracture of Silicon Nanowires during Lithiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Xiao Hua; Zheng, He; Zhong, Li

    2011-08-10

    We report direct observation of an unexpected anisotropic swelling of Si nanowires during lithiation against either a solid electrolyte with a lithium counter-electrode or a liquid electrolyte with a LiCoO2 counter-electrode. Such anisotropic expansion is attributed to the interfacial processes of accommodating large volumetric strains at the lithiation reaction front that depend sensitively on the crystallographic orientation. This anisotropic swelling results in lithiated Si nanowires with a remarkable dumbbell-shaped cross section, which develops due to plastic flow and an ensuing necking instability that is induced by the tensile hoop stress buildup in the lithiated shell. The plasticity-driven morphological instabilities oftenmore » lead to fracture in lithiated nanowires, now captured in video. These results provide important insight into the battery degradation mechanisms.« less

  3. Comparison of GaP nanowires grown from Au and Sn vapor-liquid-solid catalysts as photoelectrode materials

    NASA Astrophysics Data System (ADS)

    Lee, Sudarat; Wen, Wen; Cheek, Quintin; Maldonado, Stephen

    2018-01-01

    Gallium phosphide (GaP) nanowire film electrodes have been prepared via solid sublimation of GaP powder using both gold (Au) and tin (Sn) nanoparticles as the vapor-liquid-solid (VLS) catalysts on Si(1 1 1) and GaP(1 1 1)B substrates. The resultant GaP nanowires are compared and contrasted in terms of structures and photoactivity in photoelectrochemical half cells. Raman spectra implicated a difference in the surface condition of the two types of nanowires. Complete wet etching removal of metallic VLS catalysts from the as-prepared GaP nanowires was possible with Sn catalysts but not with Au catalysts. The photoresponses of both Sn- and Au-seeded GaP nanowire films were collected and examined under 100 mW cm-2 white light illumination. Au-seeded nanowire films exhibited strong n-type characteristics when measured in nonaqueous electrolyte with ferrocene/ferricenium as the redox species while Sn-seeded nanowires showed behavior consistent with degenerate n-type doping.

  4. Facile electrosynthesis of silicon carbide nanowires from silica/carbon precursors in molten salt.

    PubMed

    Zou, Xingli; Ji, Li; Lu, Xionggang; Zhou, Zhongfu

    2017-08-30

    Silicon carbide nanowires (SiC NWs) have attracted intensive attention in recent years due to their outstanding performances in many applications. A large-scale and facile production of SiC NWs is critical to its successful application. Here, we report a simple method for the production of SiC NWs from inexpensive and abundantly available silica/carbon (SiO 2 /C) precursors in molten calcium chloride. The solid-to-solid electroreduction and dissolution-electrodeposition mechanisms can easily lead to the formation of homogenous SiC NWs. This template/catalyst-free approach greatly simplifies the synthesis procedure compared to conventional methods. This general strategy opens a direct electrochemical route for the conversion of SiO 2 /C into SiC NWs, and may also have implications for the electrosynthesis of other micro/nanostructured metal carbides/composites from metal oxides/carbon precursors.

  5. Identification and topographical characterisation of microbial nanowires in Nostoc punctiforme.

    PubMed

    Sure, Sandeep; Torriero, Angel A J; Gaur, Aditya; Li, Lu Hua; Chen, Ying; Tripathi, Chandrakant; Adholeya, Alok; Ackland, M Leigh; Kochar, Mandira

    2016-03-01

    Extracellular pili-like structures (PLS) produced by cyanobacteria have been poorly explored. We have done detailed topographical and electrical characterisation of PLS in Nostoc punctiforme PCC 73120 using transmission electron microscopy (TEM) and conductive atomic force microscopy (CAFM). TEM analysis showed that N. punctiforme produces two separate types of PLS differing in their length and diameter. The first type of PLS are 6-7.5 nm in diameter and 0.5-2 µm in length (short/thin PLS) while the second type of PLS are ~20-40 nm in diameter and more than 10 µm long (long/thick PLS). This is the first study to report long/thick PLS in N. punctiforme. Electrical characterisation of these two different PLS by CAFM showed that both are electrically conductive and can act as microbial nanowires. This is the first report to show two distinct PLS and also identifies microbial nanowires in N. punctiforme. This study paves the way for more detailed investigation of N. punctiforme nanowires and their potential role in cell physiology and symbiosis with plants.

  6. Optical properties of nanowire metamaterials with gain

    NASA Astrophysics Data System (ADS)

    Lima, Joaquim; Adam, Jost; Rego, Davi; Esquerre, Vitaly; Bordo, Vladimir

    2016-11-01

    The transmittance, reflectance and absorption of a nanowire metamaterial with optical gain are numerically simulated and investigated. It is assumed that the metamaterial is represented by aligned silver nanowires embedded into a semiconductor matrix, made of either silicon or gallium phosphide. The gain in the matrix is modeled by adding a negative imaginary part to the dielectric function of the semiconductor. It is found that the optical coefficients of the metamaterial depend on the gain magnitude in a non-trivial way: they can both increase and decrease with gain depending on the lattice constant of the metamaterial. This peculiar behavior is explained by the field redistribution between the lossy metal nanowires and the amplifying matrix material. These findings are significant for a proper design of nanowire metamaterials with low optical losses for diverse applications.

  7. Interface studies of N2 plasma-treated ZnSnO nanowire transistors using low-frequency noise measurements.

    PubMed

    Kim, Seongmin; Kim, Hwansoo; Janes, David B; Ju, Sanghyun

    2013-08-02

    Due to the large surface-to-volume ratio of nanowires, the quality of nanowire-insulator interfaces as well as the nanowire surface characteristics significantly influence the electrical characteristics of nanowire transistors (NWTs). To improve the electrical characteristics by doping or post-processing, it is important to evaluate the interface characteristics and stability of NWTs. In this study, we have synthesized ZnSnO (ZTO) nanowires using the chemical vapor deposition method, characterized the composition of ZTO nanowires using x-ray photoelectron spectroscopy, and fabricated ZTO NWTs. We have characterized the current-voltage characteristics and low-frequency noise of ZTO NWTs in order to investigate the effects of interface states on subthreshold slope (SS) and the noise before and after N2 plasma treatments. The as-fabricated device exhibited a SS of 0.29 V/dec and Hooge parameter of ~1.20 × 10(-2). Upon N2 plasma treatment with N2 gas flow rate of 40 sccm (20 sccm), the SS improved to 0.12 V/dec (0.21 V/dec) and the Hooge parameter decreased to ~4.99 × 10(-3) (8.14 × 10(-3)). The interface trap densities inferred from both SS and low-frequency noise decrease upon plasma treatment, with the highest flow rate yielding the smallest trap density. These results demonstrate that the N2 plasma treatment decreases the interface trap states and defects on ZTO nanowires, thereby enabling the fabrication of high-quality nanowire interfaces.

  8. Ultraviolet Electroluminescence from ZnS@ZnO Core-Shell Nanowires/p-GaN Introduced by Exciton Localization.

    PubMed

    Fang, Xuan; Wei, Zhipeng; Yang, Yahui; Chen, Rui; Li, Yongfeng; Tang, Jilong; Fang, Dan; Jia, Huimin; Wang, Dengkui; Fan, Jie; Ma, Xiaohui; Yao, Bin; Wang, Xiaohua

    2016-01-27

    We investigate the electroluminescence (EL) from light emitting diodes (LEDs) of ZnO nanowires/p-GaN structure and ZnS@ZnO core-shell nanowires/p-GaN structure. With the increase of forward bias, the emission peak of ZnO nanowires/p-GaN structure heterojunction shows a blue-shift, while the ZnS@ZnO core-shell nanowires/p-GaN structure demonstrates a changing EL emission; the ultraviolet (UV) emission at 378 nm can be observed. This discrepancy is related to the localized states introduced by ZnS particles, which results in a different carrier recombination process near the interfaces of the heterojunction. The localized states capture the carriers in ZnO nanowires and convert them to localized excitons under high forward bias. A strong UV emission due to localized excitons can be observed. Our results indicated that utilizing localized excitons should be a new route toward ZnO-based ultraviolet LEDs with high efficiency.

  9. Probing Stress States in Silicon Nanowires During Electrochemical Lithiation Using In Situ Synchrotron X-Ray Microdiffraction

    DOE PAGES

    Ali, Imran; Tippabhotla, Sasi Kumar; Radchenko, Ihor; ...

    2018-04-04

    Silicon is considered as a promising anode material for the next-generation lithium-ion battery (LIB) due to its high capacity at nanoscale. However, silicon expands up to 300% during lithiation, which induces high stresses and leads to fractures. To design silicon nanostructures that could minimize fracture, it is important to understand and characterize stress states in the silicon nanostructures during lithiation. Synchrotron X-ray microdiffraction has proven to be effective in revealing insights of mechanical stress and other mechanics considerations in small-scale crystalline structures used in many important technological applications, such as microelectronics, nanotechnology, and energy systems. In the present study, anmore » in situ synchrotron X-ray microdiffraction experiment was conducted to elucidate the mechanical stress states during the first electrochemical cycle of lithiation in single-crystalline silicon nanowires (SiNWs) in an LIB test cell. Morphological changes in the SiNWs at different levels of lithiation were also studied using scanning electron microscope (SEM). It was found from SEM observation that lithiation commenced predominantly at the top surface of SiNWs followed by further progression toward the bottom of the SiNWs gradually. The hydrostatic stress of the crystalline core of the SiNWs at different levels of electrochemical lithiation was determined using the in situ synchrotron X-ray microdiffraction technique. We found that the crystalline core of the SiNWs became highly compressive (up to -325.5 MPa) once lithiation started. In conclusion, this finding helps unravel insights about mechanical stress states in the SiNWs during the electrochemical lithiation, which could potentially pave the path toward the fracture-free design of silicon nanostructure anode materials in the next-generation LIB.« less

  10. Probing Stress States in Silicon Nanowires During Electrochemical Lithiation Using In Situ Synchrotron X-Ray Microdiffraction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ali, Imran; Tippabhotla, Sasi Kumar; Radchenko, Ihor

    Silicon is considered as a promising anode material for the next-generation lithium-ion battery (LIB) due to its high capacity at nanoscale. However, silicon expands up to 300% during lithiation, which induces high stresses and leads to fractures. To design silicon nanostructures that could minimize fracture, it is important to understand and characterize stress states in the silicon nanostructures during lithiation. Synchrotron X-ray microdiffraction has proven to be effective in revealing insights of mechanical stress and other mechanics considerations in small-scale crystalline structures used in many important technological applications, such as microelectronics, nanotechnology, and energy systems. In the present study, anmore » in situ synchrotron X-ray microdiffraction experiment was conducted to elucidate the mechanical stress states during the first electrochemical cycle of lithiation in single-crystalline silicon nanowires (SiNWs) in an LIB test cell. Morphological changes in the SiNWs at different levels of lithiation were also studied using scanning electron microscope (SEM). It was found from SEM observation that lithiation commenced predominantly at the top surface of SiNWs followed by further progression toward the bottom of the SiNWs gradually. The hydrostatic stress of the crystalline core of the SiNWs at different levels of electrochemical lithiation was determined using the in situ synchrotron X-ray microdiffraction technique. We found that the crystalline core of the SiNWs became highly compressive (up to -325.5 MPa) once lithiation started. In conclusion, this finding helps unravel insights about mechanical stress states in the SiNWs during the electrochemical lithiation, which could potentially pave the path toward the fracture-free design of silicon nanostructure anode materials in the next-generation LIB.« less

  11. Controlled morphology and optical properties of n-type porous silicon: effect of magnetic field and electrode-assisted LEF.

    PubMed

    Antunez, Edgar E; Campos, Jose; Basurto, Miguel A; Agarwal, Vivechana

    2014-01-01

    Fabrication of photoluminescent n-type porous silicon (nPS), using electrode-assisted lateral electric field accompanied with a perpendicular magnetic field, is reported. The results have been compared with the porous structures fabricated by means of conventional anodization and electrode-assisted lateral electric field without magnetic field. The lateral electric field (LEF) applied across the silicon substrate leads to the formation of structural gradient in terms of density, dimension, and depth of the etched pores. Apart from the pore shape tunability, the simultaneous application of LEF and magnetic field (MF) contributes to a reduction of the dimension of the pores and promotes relatively more defined pore tips as well as a decreased side-branching in the pore walls of the macroporous structure. Additionally, when using magnetic field-assisted etching, within a certain range of LEF, an enhancement of the photoluminescence (PL) response was obtained.

  12. Controlled morphology and optical properties of n-type porous silicon: effect of magnetic field and electrode-assisted LEF

    PubMed Central

    2014-01-01

    Fabrication of photoluminescent n-type porous silicon (nPS), using electrode-assisted lateral electric field accompanied with a perpendicular magnetic field, is reported. The results have been compared with the porous structures fabricated by means of conventional anodization and electrode-assisted lateral electric field without magnetic field. The lateral electric field (LEF) applied across the silicon substrate leads to the formation of structural gradient in terms of density, dimension, and depth of the etched pores. Apart from the pore shape tunability, the simultaneous application of LEF and magnetic field (MF) contributes to a reduction of the dimension of the pores and promotes relatively more defined pore tips as well as a decreased side-branching in the pore walls of the macroporous structure. Additionally, when using magnetic field-assisted etching, within a certain range of LEF, an enhancement of the photoluminescence (PL) response was obtained. PMID:25313298

  13. Summary of theoretical and experimental investigation of grating type, silicon photovoltaic cells. [using p-n junctions on light receiving surface of base crystal

    NASA Technical Reports Server (NTRS)

    Chen, L. Y.; Loferski, J. J.

    1975-01-01

    Theoretical and experimental aspects are summarized for single crystal, silicon photovoltaic devices made by forming a grating pattern of p/n junctions on the light receiving surface of the base crystal. Based on the general semiconductor equations, a mathematical description is presented for the photovoltaic properties of such grating-like structures in a two dimensional form. The resulting second order elliptical equation is solved by computer modeling to give solutions for various, reasonable, initial values of bulk resistivity, excess carrier concentration, and surface recombination velocity. The validity of the computer model is established by comparison with p/n devices produced by alloying an aluminum grating pattern into the surface of n-type silicon wafers. Current voltage characteristics and spectral response curves are presented for cells of this type constructed on wafers of different resistivities and orientations.

  14. Effects of lithium insertion on thermal conductivity of silicon nanowires

    NASA Astrophysics Data System (ADS)

    Xu, Wen; Zhang, Gang; Li, Baowen

    2015-04-01

    Recently, silicon nanowires (SiNWs) have been applied as high-performance Li battery anodes, since they can overcome the pulverization and mechanical fracture during lithiation. Although thermal stability is one of the most important parameters that determine safety of Li batteries, thermal conductivity of SiNWs with Li insertion remains unclear. In this letter, using molecular dynamics simulations, we study room temperature thermal conductivity of SiNWs with Li insertion. It is found that compared with the pristine SiNW, there is as much as 60% reduction in thermal conductivity with 10% concentration of inserted Li atoms, while under the same impurity concentration the reduction in thermal conductivity of the mass-disordered SiNW is only 30%. With lattice dynamics calculations and normal mode decomposition, it is revealed that the phonon lifetimes in SiNWs decrease greatly due to strong scattering of phonons by vibrational modes of Li atoms, especially for those high frequency phonons. The observed strong phonon scattering phenomenon in Li-inserted SiNWs is similar to the phonon rattling effect. Our study serves as an exploration of thermal properties of SiNWs as Li battery anodes or weakly coupled with impurity atoms.

  15. Probing photo-carrier collection efficiencies of individual silicon nanowire diodes on a wafer substrate.

    PubMed

    Schmitt, S W; Brönstrup, G; Shalev, G; Srivastava, S K; Bashouti, M Y; Döhler, G H; Christiansen, S H

    2014-07-21

    Vertically aligned silicon nanowire (SiNW) diodes are promising candidates for the integration into various opto-electronic device concepts for e.g. sensing or solar energy conversion. Individual SiNW p-n diodes have intensively been studied, but to date an assessment of their device performance once integrated on a silicon substrate has not been made. We show that using a scanning electron microscope (SEM) equipped with a nano-manipulator and an optical fiber feed-through for tunable (wavelength, power using a tunable laser source) sample illumination, the dark and illuminated current-voltage (I-V) curve of individual SiNW diodes on the substrate wafer can be measured. Surprisingly, the I-V-curve of the serially coupled system composed of SiNW/wafers is accurately described by an equivalent circuit model of a single diode and diode parameters like series and shunting resistivity, diode ideality factor and photocurrent can be retrieved from a fit. We show that the photo-carrier collection efficiency (PCE) of the integrated diode illuminated with variable wavelength and intensity light directly gives insight into the quality of the device design at the nanoscale. We find that the PCE decreases for high light intensities and photocurrent densities, due to the fact that considerable amounts of photo-excited carriers generated within the substrate lead to a decrease in shunting resistivity of the SiNW diode and deteriorate its rectification. The PCE decreases systematically for smaller wavelengths of visible light, showing the possibility of monitoring the effectiveness of the SiNW device surface passivation using the shown measurement technique. The integrated device was pre-characterized using secondary ion mass spectrometry (SIMS), TCAD simulations and electron beam induced current (EBIC) measurements to validate the properties of the characterized material at the single SiNW diode level.

  16. Ultrafast and sensitive photodetector based on a PtSe2/silicon nanowire array heterojunction with a multiband spectral response from 200 to 1550 nm

    NASA Astrophysics Data System (ADS)

    Zeng, Longhui; Lin, Shenghuang; Lou, Zhenhua; Yuan, Huiyu; Long, Hui; Li, Yanyong; Lu, Wei; Lau, Shu Ping; Wu, Di; Tsang, Yuen Hong

    2018-04-01

    The newly discovered Group-10 transition metal dichalcogenides (TMDs) like PtSe2 have promising applications in high-performance microelectronic and optoelectronic devices due to their high carrier mobilities, widely tunable bandages and ultrastabilities. However, the optoelectronic performance of broadband PtSe2 photodetectors integrated with silicon remains undiscovered. Here, we report the successful preparation of large-scale, uniform and vertically grown PtSe2 films by simple selenization method for the design of a PtSe2/Si nanowire array heterostructure, which exhibited a very good photoresponsivity of 12.65 A/W, a high specific detectivity of 2.5 × 1013 Jones at -5 V and fast rise/fall times of 10.1/19.5 μs at 10 kHz without degradation while being capable of responding to high frequencies of up to 120 kHz. Our work has demonstrated the compatibility of PtSe2 with the existing silicon technology and ultrabroad band detection ranging from deep ultraviolet to optical telecommunication wavelengths, which can largely cover the limitations of silicon detectors. Further investigation of the device revealed pronounced photovoltaic behavior at 0 V, making it capable of operating as a self-powered photodetector. Overall, this representative PtSe2/Si nanowire array-based photodetector offers great potential for applications in next-generation optoelectronic and electronic devices.

  17. Growth and characterization of dilute nitride GaN{sub x}P{sub 1−x} nanowires and GaN{sub x}P{sub 1−x}/GaN{sub y}P{sub 1−y} core/shell nanowires on Si (111) by gas source molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sukrittanon, S.; Kuang, Y. J.; Dobrovolsky, A.

    2014-08-18

    We have demonstrated self-catalyzed GaN{sub x}P{sub 1−x} and GaN{sub x}P{sub 1−x}/GaN{sub y}P{sub 1−y} core/shell nanowire growth by gas-source molecular beam epitaxy. The growth window for GaN{sub x}P{sub 1−x} nanowires was observed to be comparable to that of GaP nanowires (∼585 °C to ∼615 °C). Transmission electron microscopy showed a mixture of cubic zincblende phase and hexagonal wurtzite phase along the [111] growth direction in GaN{sub x}P{sub 1−x} nanowires. A temperature-dependent photoluminescence (PL) study performed on GaN{sub x}P{sub 1−x}/GaN{sub y}P{sub 1−y} core/shell nanowires exhibited an S-shape dependence of the PL peaks. This suggests that at low temperature, the emission stems from N-related localizedmore » states below the conduction band edge in the shell, while at high temperature, the emission stems from band-to-band transition in the shell as well as recombination in the GaN{sub x}P{sub 1−x} core.« less

  18. Self-assembly of silicon nanowires studied by advanced transmission electron microscopy

    PubMed Central

    Agati, Marta; Amiard, Guillaume; Borgne, Vincent Le; Castrucci, Paola; Dolbec, Richard; De Crescenzi, Maurizio; El Khakani, My Alì

    2017-01-01

    Scanning transmission electron microscopy (STEM) was successfully applied to the analysis of silicon nanowires (SiNWs) that were self-assembled during an inductively coupled plasma (ICP) process. The ICP-synthesized SiNWs were found to present a Si–SiO2 core–shell structure and length varying from ≈100 nm to 2–3 μm. The shorter SiNWs (maximum length ≈300 nm) were generally found to possess a nanoparticle at their tip. STEM energy dispersive X-ray (EDX) spectroscopy combined with electron tomography performed on these nanostructures revealed that they contain iron, clearly demonstrating that the short ICP-synthesized SiNWs grew via an iron-catalyzed vapor–liquid–solid (VLS) mechanism within the plasma reactor. Both the STEM tomography and STEM-EDX analysis contributed to gain further insight into the self-assembly process. In the long-term, this approach might be used to optimize the synthesis of VLS-grown SiNWs via ICP as a competitive technique to the well-established bottom-up approaches used for the production of thin SiNWs. PMID:28326234

  19. Theoretical research on bandgap of H-saturated Ga1-xAlxN nanowires

    NASA Astrophysics Data System (ADS)

    Xia, Sihao; Liu, Lei; Kong, Yike; Wang, Honggang; Wang, Meishan

    2017-01-01

    Based on first-principles plane-wave ultra-soft pseudopotential method, bandgaps of Ga1-xAlxN nanowires with different diameters and different Al constituents are calculated. After the optimization of the model, the bandgaps are achieved. According to the results, the bandgap of Ga1-xAlxN decreases with increasing diameter and finally, closed to that of the bulk. In addition, with increasing Al constituent, the bandgaps of Ga1-xAlxN nanowires increase. However, the amount of the increase is lower than that of the bulk Ga1-xAlxN with the increase of Al constituent.

  20. Random access actuation of nanowire grid metamaterial

    NASA Astrophysics Data System (ADS)

    Cencillo-Abad, Pablo; Ou, Jun-Yu; Plum, Eric; Valente, João; Zheludev, Nikolay I.

    2016-12-01

    While metamaterials offer engineered static optical properties, future artificial media with dynamic random-access control over shape and position of meta-molecules will provide arbitrary control of light propagation. The simplest example of such a reconfigurable metamaterial is a nanowire grid metasurface with subwavelength wire spacing. Recently we demonstrated computationally that such a metadevice with individually controlled wire positions could be used as dynamic diffraction grating, beam steering module and tunable focusing element. Here we report on the nanomembrane realization of such a nanowire grid metasurface constructed from individually addressable plasmonic chevron nanowires with a 230 nm × 100 nm cross-section, which consist of gold and silicon nitride. The active structure of the metadevice consists of 15 nanowires each 18 μm long and is fabricated by a combination of electron beam lithography and ion beam milling. It is packaged as a microchip device where the nanowires can be individually actuated by control currents via differential thermal expansion.