Sample records for n-type silicon substrates

  1. Advancements in n-Type Base Crystalline Silicon Solar Cells and Their Emergence in the Photovoltaic Industry

    PubMed Central

    ur Rehman, Atteq; Lee, Soo Hong

    2013-01-01

    The p-type crystalline silicon wafers have occupied most of the solar cell market today. However, modules made with n-type crystalline silicon wafers are actually the most efficient modules up to date. This is because the material properties offered by n-type crystalline silicon substrates are suitable for higher efficiencies. Properties such as the absence of boron-oxygen related defects and a greater tolerance to key metal impurities by n-type crystalline silicon substrates are major factors that underline the efficiency of n-type crystalline silicon wafer modules. The bi-facial design of n-type cells with good rear-side electronic and optical properties on an industrial scale can be shaped as well. Furthermore, the development in the industrialization of solar cell designs based on n-type crystalline silicon substrates also highlights its boost in the contributions to the photovoltaic industry. In this paper, a review of various solar cell structures that can be realized on n-type crystalline silicon substrates will be given. Moreover, the current standing of solar cell technology based on n-type substrates and its contribution in photovoltaic industry will also be discussed. PMID:24459433

  2. Advancements in n-type base crystalline silicon solar cells and their emergence in the photovoltaic industry.

    PubMed

    ur Rehman, Atteq; Lee, Soo Hong

    2013-01-01

    The p-type crystalline silicon wafers have occupied most of the solar cell market today. However, modules made with n-type crystalline silicon wafers are actually the most efficient modules up to date. This is because the material properties offered by n-type crystalline silicon substrates are suitable for higher efficiencies. Properties such as the absence of boron-oxygen related defects and a greater tolerance to key metal impurities by n-type crystalline silicon substrates are major factors that underline the efficiency of n-type crystalline silicon wafer modules. The bi-facial design of n-type cells with good rear-side electronic and optical properties on an industrial scale can be shaped as well. Furthermore, the development in the industrialization of solar cell designs based on n-type crystalline silicon substrates also highlights its boost in the contributions to the photovoltaic industry. In this paper, a review of various solar cell structures that can be realized on n-type crystalline silicon substrates will be given. Moreover, the current standing of solar cell technology based on n-type substrates and its contribution in photovoltaic industry will also be discussed.

  3. Synthesis and characterization of silicon nanorod on n-type porous silicon.

    PubMed

    Behzad, Kasra; Mat Yunus, Wan Mahmood; Bahrami, Afarin; Kharazmi, Alireza; Soltani, Nayereh

    2016-03-20

    This work reports a new method for growing semiconductor nanorods on a porous silicon substrate. After preparation of n-type porous silicon samples, a thin layer of gold was deposited on them. Gold deposited samples were annealed at different temperatures. The structural, thermal, and optical properties of the samples were studied using a field emission scanning electron microscope (FESEM), photoacoustic spectroscopy, and photoluminescence spectroscopy, respectively. FESEM analysis revealed that silicon nanorods of different sizes grew on the annealed samples. Thermal behavior of the samples was studied using photoacoustic spectroscopy. Photoluminescence spectroscopy showed that the emission peaks were degraded by gold deposition and attenuated for all samples by annealing.

  4. Enhanced optical output power of InGaN/GaN light-emitting diodes grown on a silicon (111) substrate with a nanoporous GaN layer.

    PubMed

    Lee, Kwang Jae; Chun, Jaeyi; Kim, Sang-Jo; Oh, Semi; Ha, Chang-Soo; Park, Jung-Won; Lee, Seung-Jae; Song, Jae-Chul; Baek, Jong Hyeob; Park, Seong-Ju

    2016-03-07

    We report the growth of InGaN/GaN multiple quantum wells blue light-emitting diodes (LEDs) on a silicon (111) substrate with an embedded nanoporous (NP) GaN layer. The NP GaN layer is fabricated by electrochemical etching of n-type GaN on the silicon substrate. The crystalline quality of crack-free GaN grown on the NP GaN layer is remarkably improved and the residual tensile stress is also decreased. The optical output power is increased by 120% at an injection current of 20 mA compared with that of conventional LEDs without a NP GaN layer. The large enhancement of optical output power is attributed to the reduction of threading dislocation, effective scattering of light in the LED, and the suppression of light propagation into the silicon substrate by the NP GaN layer.

  5. N-type compensated silicon: resistivity, crystal growth, carrier lifetime, and relevant application for HIT solar cells

    NASA Astrophysics Data System (ADS)

    Li, Shuai; Gao, Wenxiu; Li, Zhen; Cheng, Haoran; Lin, Jinxia; Cheng, Qijin

    2017-05-01

    N-type compensated silicon shows unusual distribution of resistivity as crystal grows compared to the n-type uncompensated silicon. In this paper, evolutions of resistivities with varied concentrations of boron and varied starting resistivities of the n-type silicon are intensively calculated. Moreover, reduction of carrier mobility is taken into account by Schindler’s modified model of carrier mobility for the calculation of resistivity of the compensated silicon. As for substrates of solar cells, optimized starting resistivity and corresponding concentration of boron are suggested for better uniformity of resistivity and higher yield (fraction with ρ >0.5 ~ Ω \\centerdot \\text{cm} ) of the n-type compensated Cz crystal rod. A two-step growth method is investigated to obtain better uniformity of resistivity of crystal rod, and this method is very practical especially for the n-type compensated silicon. Regarding the carrier lifetime, the recombination by shallow energy-level dopants is taken into account for the compensated silicon, and evolution of carrier lifetime is simulated by considering all main recombination centers which agrees well with our measured carrier lifetimes as crystal grows. The n-type compensated silicon shows a larger reduction of carrier lifetime compared to the uncompensated silicon at the beginning of crystal growth, and recombination with a oxygen-related deep defect is sufficient to describe the reduction of degraded lifetime. Finally, standard heterojunction with intrinsic thin-layer (HIT) solar cells are made with substrates from the n-type compensated silicon rod, and a high efficiency of 22.1% is obtained with a high concentration (0.8× {{10}16}~\\text{c}{{\\text{m}}-3} ) of boron in the n-type compensated silicon feedstock. However, experimental efficiencies of HIT solar cells based on the n-type compensated silicon show an average reduction of 4% along with the crystal length compared to the uncompensated silicon. The

  6. Deep level transient spectroscopic analysis of p/n junction implanted with boron in n-type silicon substrate

    NASA Astrophysics Data System (ADS)

    Wakimoto, Hiroki; Nakazawa, Haruo; Matsumoto, Takashi; Nabetani, Yoichi

    2018-04-01

    For P-i-N diodes implanted and activated with boron ions into a highly-resistive n-type Si substrate, it is found that there is a large difference in the leakage current between relatively low temperature furnace annealing (FA) and high temperature laser annealing (LA) for activation of the p-layer. Since electron trap levels in the n-type Si substrate is supposed to be affected, we report on Deep Level Transient Spectroscopy (DLTS) measurement results investigating what kinds of trap levels are formed. As a result, three kinds of electron trap levels are confirmed in the region of 1-4 μm from the p-n junction. Each DLTS peak intensity of the LA sample is smaller than that of the FA sample. In particular, with respect to the trap level which is the closest to the silicon band gap center most affecting the reverse leakage current, it was not detected in LA. It is considered that the electron trap levels are decreased due to the thermal energy of LA. On the other hand, four kinds of trap levels are confirmed in the region of 38-44 μm from the p-n junction and the DLTS peak intensities of FA and LA are almost the same, considering that the thermal energy of LA has not reached this area. The large difference between the reverse leakage current of FA and LA is considered to be affected by the deep trap level estimated to be the interstitial boron.

  7. Predictable quantum efficient detector based on n-type silicon photodiodes

    NASA Astrophysics Data System (ADS)

    Dönsberg, Timo; Manoocheri, Farshid; Sildoja, Meelis; Juntunen, Mikko; Savin, Hele; Tuovinen, Esa; Ronkainen, Hannu; Prunnila, Mika; Merimaa, Mikko; Tang, Chi Kwong; Gran, Jarle; Müller, Ingmar; Werner, Lutz; Rougié, Bernard; Pons, Alicia; Smîd, Marek; Gál, Péter; Lolli, Lapo; Brida, Giorgio; Rastello, Maria Luisa; Ikonen, Erkki

    2017-12-01

    The predictable quantum efficient detector (PQED) consists of two custom-made induced junction photodiodes that are mounted in a wedged trap configuration for the reduction of reflectance losses. Until now, all manufactured PQED photodiodes have been based on a structure where a SiO2 layer is thermally grown on top of p-type silicon substrate. In this paper, we present the design, manufacturing, modelling and characterization of a new type of PQED, where the photodiodes have an Al2O3 layer on top of n-type silicon substrate. Atomic layer deposition is used to deposit the layer to the desired thickness. Two sets of photodiodes with varying oxide thicknesses and substrate doping concentrations were fabricated. In order to predict recombination losses of charge carriers, a 3D model of the photodiode was built into Cogenda Genius semiconductor simulation software. It is important to note that a novel experimental method was developed to obtain values for the 3D model parameters. This makes the prediction of the PQED responsivity a completely autonomous process. Detectors were characterized for temperature dependence of dark current, spatial uniformity of responsivity, reflectance, linearity and absolute responsivity at the wavelengths of 488 nm and 532 nm. For both sets of photodiodes, the modelled and measured responsivities were generally in agreement within the measurement and modelling uncertainties of around 100 parts per million (ppm). There is, however, an indication that the modelled internal quantum deficiency may be underestimated by a similar amount. Moreover, the responsivities of the detectors were spatially uniform within 30 ppm peak-to-peak variation. The results obtained in this research indicate that the n-type induced junction photodiode is a very promising alternative to the existing p-type detectors, and thus give additional credibility to the concept of modelled quantum detector serving as a primary standard. Furthermore, the manufacturing of

  8. N-Type delta Doping of High-Purity Silicon Imaging Arrays

    NASA Technical Reports Server (NTRS)

    Blacksberg, Jordana; Hoenk, Michael; Nikzad, Shouleh

    2005-01-01

    metallization. The success of the process depends on accurate temperature control, surface treatment, growth of high-quality crystalline silicon, and precise control of thicknesses of layers. MBE affords the necessary nanometer- scale control of the placement of atoms for delta doping. More specifically, the process consists of MBE deposition of a thin silicon buffer layer, the n-type delta doping layer, and a thin silicon cap layer. The n dopant selected for initial experiments was antimony, but other n dopants as (phosphorus or arsenic) could be used. All n-type dopants in silicon tend to surface-segregate during growth, leading to a broadened dopant-concentration- versus-depth profile. In order to keep the profile as narrow as possible, the substrate temperature is held below 300 C during deposition of the silicon cap layer onto the antimony delta layer. The deposition of silicon includes a silicon- surface-preparation step, involving H-termination, that enables the growth of high-quality crystalline silicon at the relatively low temperature with close to full electrical activation of donors in the surface layer.

  9. Visible-blind ultraviolet photodetectors on porous silicon carbide substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Naderi, N.; Hashim, M.R., E-mail: roslan@usm.my

    2013-06-01

    Highlights: • Highly reliable UV detectors are fabricated on porous silicon carbide substrates. • The optical properties of samples are enhanced by increasing the current density. • The optimized sample exhibits enhanced sensitivity to the incident UV radiation. - Abstract: Highly reliable visible-blind ultraviolet (UV) photodetectors were successfully fabricated on porous silicon carbide (PSC) substrates. High responsivity and high photoconductive gain were observed in a metal–semiconductor–metal ultraviolet photodetector that was fabricated on an optimized PSC substrate. The PSC samples were prepared via the UV-assisted photo-electrochemical etching of an n-type hexagonal silicon carbide (6H-SiC) substrate using different etching current densities. Themore » optical results showed that the current density is an outstanding etching parameter that controls the porosity and uniformity of PSC substrates. A highly porous substrate was synthesized using a suitable etching current density to enhance its light absorption, thereby improving the sensitivity of UV detector with this substrate. The electrical characteristics of fabricated devices on optimized PSC substrates exhibited enhanced sensitivity and responsivity to the incident radiation.« less

  10. Vertically aligned silicon microwire arrays of various lengths by repeated selective vapor-liquid-solid growth of n-type silicon/n-type silicon

    NASA Astrophysics Data System (ADS)

    Ikedo, Akihito; Kawashima, Takahiro; Kawano, Takeshi; Ishida, Makoto

    2009-07-01

    Repeated vapor-liquid-solid (VLS) growth with Au and PH3-Si2H6 mixture gas as the growth catalyst and silicon source, respectively, was used to construct n-type silicon/n-type silicon wire arrays of various lengths. Silicon wires of various lengths within an array could be grown by employing second growth over the first VLS grown wire. Additionally, the junction at the interface between the first and the second wires were examined. Current-voltage measurements of the wires exhibited linear behavior with a resistance of 850 Ω, confirming nonelectrical barriers at the junction, while bending tests indicated that the mechanical properties of the wire did not change.

  11. Improvement in crystal quality and optical properties of n-type GaN employing nano-scale SiO2 patterned n-type GaN substrate.

    PubMed

    Jo, Min Sung; Sadasivam, Karthikeyan Giri; Tawfik, Wael Z; Yang, Seung Bea; Lee, Jung Ju; Ha, Jun Seok; Moon, Young Boo; Ryu, Sang Wan; Lee, June Key

    2013-01-01

    n-type GaN epitaxial layers were regrown on the patterned n-type GaN substrate (PNS) with different size of silicon dioxide (SiO2) nano dots to improve the crystal quality and optical properties. PNS with SiO2 nano dots promotes epitaxial lateral overgrowth (ELOG) for defect reduction and also acts as a light scattering point. Transmission electron microscopy (TEM) analysis suggested that PNS with SiO2 nano dots have superior crystalline properties. Hall measurements indicated that incrementing values in electron mobility were clear indication of reduction in threading dislocation and it was confirmed by TEM analysis. Photoluminescence (PL) intensity was enhanced by 2.0 times and 3.1 times for 1-step and 2-step PNS, respectively.

  12. Silicon heterojunction solar cells with novel fluorinated n-type nanocrystalline silicon oxide emitters on p-type crystalline silicon

    NASA Astrophysics Data System (ADS)

    Dhar, Sukanta; Mandal, Sourav; Das, Gourab; Mukhopadhyay, Sumita; Pratim Ray, Partha; Banerjee, Chandan; Barua, Asok Kumar

    2015-08-01

    A novel fluorinated phosphorus doped silicon oxide based nanocrystalline material have been used to prepare heterojunction solar cells on flat p-type crystalline silicon (c-Si) Czochralski (CZ) wafers. The n-type nc-SiO:F:H material were deposited by radio frequency plasma enhanced chemical vapor deposition. Deposited films were characterized in detail by using atomic force microscopy (AFM), high resolution transmission electron microscopy (HRTEM), Raman, fourier transform infrared spectroscopy (FTIR) and optoelectronics properties have been studied using temperature dependent conductivity measurement, Ellipsometry, UV-vis spectrum analysis etc. It is observed that the cell fabricated with fluorinated silicon oxide emitter showing higher initial efficiency (η = 15.64%, Jsc = 32.10 mA/cm2, Voc = 0.630 V, FF = 0.77) for 1 cm2 cell area compare to conventional n-a-Si:H emitter (14.73%) on flat c-Si wafer. These results indicate that n type nc-SiO:F:H material is a promising candidate for heterojunction solar cell on p-type crystalline wafers. The high Jsc value is associated with excellent quantum efficiencies at short wavelengths (<500 nm).

  13. Metallic coatings on silicon substrates, and methods of forming metallic coatings on silicon substrates

    DOEpatents

    Branagan, Daniel J [Idaho Falls, ID; Hyde, Timothy A [Idaho Falls, ID; Fincke, James R [Los Alamos, NM

    2008-03-11

    The invention includes methods of forming a metallic coating on a substrate which contains silicon. A metallic glass layer is formed over a silicon surface of the substrate. The invention includes methods of protecting a silicon substrate. The substrate is provided within a deposition chamber along with a deposition target. Material from the deposition target is deposited over at least a portion of the silicon substrate to form a protective layer or structure which contains metallic glass. The metallic glass comprises iron and one or more of B, Si, P and C. The invention includes structures which have a substrate containing silicon and a metallic layer over the substrate. The metallic layer contains less than or equal to about 2 weight % carbon and has a hardness of at least 9.2 GPa. The metallic layer can have an amorphous microstructure or can be devitrified to have a nanocrystalline microstructure.

  14. Processing of n+/p-/p+ strip detectors with atomic layer deposition (ALD) grown Al2O3 field insulator on magnetic Czochralski silicon (MCz-si) substrates

    NASA Astrophysics Data System (ADS)

    Härkönen, J.; Tuovinen, E.; Luukka, P.; Gädda, A.; Mäenpää, T.; Tuominen, E.; Arsenovich, T.; Junkes, A.; Wu, X.; Li, Z.

    2016-08-01

    Detectors manufactured on p-type silicon material are known to have significant advantages in very harsh radiation environment over n-type detectors, traditionally used in High Energy Physics experiments for particle tracking. In p-type (n+ segmentation on p substrate) position-sensitive strip detectors, however, the fixed oxide charge in the silicon dioxide is positive and, thus, causes electron accumulation at the Si/SiO2 interface. As a result, unless appropriate interstrip isolation is applied, the n-type strips are short-circuited. Widely adopted methods to terminate surface electron accumulation are segmented p-stop or p-spray field implantations. A different approach to overcome the near-surface electron accumulation at the interface of silicon dioxide and p-type silicon is to deposit a thin film field insulator with negative oxide charge. We have processed silicon strip detectors on p-type Magnetic Czochralski silicon (MCz-Si) substrates with aluminum oxide (Al2O3) thin film insulator, grown with Atomic Layer Deposition (ALD) method. The electrical characterization by current-voltage and capacitance-voltage measurement shows reliable performance of the aluminum oxide. The final proof of concept was obtained at the test beam with 200 GeV/c muons. For the non-irradiated detector the charge collection efficiency (CCE) was nearly 100% with a signal-to-noise ratio (S/N) of about 40, whereas for the 2×1015 neq/cm2 proton irradiated detector the CCE was 35%, when the sensor was biased at 500 V. These results are comparable with the results from p-type detectors with the p-spray and p-stop interstrip isolation techniques. In addition, interestingly, when the aluminum oxide was irradiated with Co-60 gamma-rays, an accumulation of negative fixed oxide charge in the oxide was observed.

  15. Experimental study of optical and electrical properties of ZnO nano composites electrodeposited on n-porous silicon substrate for photovoltaic applications

    NASA Astrophysics Data System (ADS)

    Selmane, Naceur; Cheknane, Ali; Gabouze, Nourddine; Maloufi, Nabila; Aillerie, Michel

    2017-11-01

    ZnO films deposited on silicon porous substrates (PS) were prepared by electro-deposition anodization on n type (100) silicon wafer. This ZnO/PS structure combines substrates having specific structural and optical properties (IR emission), with nano-composites of ZnO potentially interesting due to their functional properties (UV emission) to be integrated as constitutive elements of devices in various optoelectronic applications mainly in blue light emitters. With this combined structure, the blue shift in the PL peak is possible and easy to obtain (467nm). The vibration modes of PS and ZnO films on PS substrates (ZnO /PS) were investigated by infrared (FTIR) measurements and their behaviors were analyzed and discussed by considering the structural properties characterized by X-ray diffraction (DRX) and scanning electronic microscopy (MEB).

  16. Fabrication of p-type porous silicon nanowire with oxidized silicon substrate through one-step MACE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Shaoyuan; Faculty of Metallurgical and Energy Engineering, Kunming University of Science and Technology, Kunming 650093; Ma, Wenhui, E-mail: mwhsilicon@163.com

    2014-05-01

    In this paper, the simple pre-oxidization process is firstly used to treat the starting silicon wafer, and then MPSiNWs are successfully fabricated from the moderately doped wafer by one-step MACE technology in HF/AgNO{sub 3} system. The PL spectrum of MPSiNWs obtained from the oxidized silicon wafers show a large blue-shift, which can be attributed to the deep Q. C. effect induced by numerous mesoporous structures. The effects of HF and AgNO{sub 3} concentration on formation of SiNWs were carefully investigated. The results indicate that the higher HF concentration is favorable to the growth of SiNWs, and the density of SiNWsmore » is significantly reduced when Ag{sup +} ions concentrations are too high. The deposition behaviors of Ag{sup +} ions on oxidized and unoxidized silicon surface were studied. According to the experimental results, a model was proposed to explain the formation mechanism of porous SiNWs by etching the oxidized starting silicon. - Graphical abstract: Schematic cross-sectional views of PSiNWs array formation by etching oxidized silicon wafer in HF/AgNO{sub 3} solution. (A) At the starting point; (B) during the etching process; and (C) after Ag dendrites remove. - Highlights: • Prior to etching, a simple pre-oxidation is firstly used to treat silicon substrate. • The medially doped p-type MPSiNWs are prepared by one-step MACE. • Deposition behaviors of Ag{sup +} ions on oxidized and unoxidized silicon are studied. • A model is finally proposed to explain the formation mechanism of PSiNWs.« less

  17. Impact of the silicon substrate resistivity and growth condition on the deep levels in Ni-Au/AlN/Si MIS Capacitors

    NASA Astrophysics Data System (ADS)

    Wang, Chong; Simoen, Eddy; Zhao, Ming; Li, Wei

    2017-10-01

    Deep levels formed under different growth conditions of a 200 nm AlN buffer layer on B-doped Czochralski Si(111) substrates with different resistivity were investigated by deep-level transient spectroscopy (DLTS) on metal-insulator-semiconductor capacitors. Growth-temperature-dependent Al diffusion in the Si substrate was derived from the free carrier density obtained by capacitance-voltage measurement on samples grown on p- substrates. The DLTS spectra revealed a high concentration of point and extended defects in the p- and p+ silicon substrates, respectively. This indicated a difference in the electrically active defects in the silicon substrate close to the AlN/Si interface, depending on the B doping concentration.

  18. Reassessment of the recombination parameters of chromium in n- and p-type crystalline silicon and chromium-boron pairs in p-type crystalline silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sun, Chang, E-mail: chang.sun@anu.edu.au; Rougieux, Fiacre E.; Macdonald, Daniel

    2014-06-07

    Injection-dependent lifetime spectroscopy of both n- and p-type, Cr-doped silicon wafers with different doping levels is used to determine the defect parameters of Cr{sub i} and CrB pairs, by simultaneously fitting the measured lifetimes with the Shockley-Read-Hall model. A combined analysis of the two defects with the lifetime data measured on both n- and p-type samples enables a significant tightening of the uncertainty ranges of the parameters. The capture cross section ratios k = σ{sub n}/σ{sub p} of Cr{sub i} and CrB are determined as 3.2 (−0.6, +0) and 5.8 (−3.4, +0.6), respectively. Courtesy of a direct experimental comparison of the recombinationmore » activity of chromium in n- and p-type silicon, and as also suggested by modelling results, we conclude that chromium has a greater negative impact on carrier lifetimes in p-type silicon than n-type silicon with similar doping levels.« less

  19. Proposal of a neutron transmutation doping facility for n-type spherical silicon solar cell at high-temperature engineering test reactor.

    PubMed

    Ho, Hai Quan; Honda, Yuki; Motoyama, Mizuki; Hamamoto, Shimpei; Ishii, Toshiaki; Ishitsuka, Etsuo

    2018-05-01

    The p-type spherical silicon solar cell is a candidate for future solar energy with low fabrication cost, however, its conversion efficiency is only about 10%. The conversion efficiency of a silicon solar cell can be increased by using n-type silicon semiconductor as a substrate. This study proposed a new method of neutron transmutation doping silicon (NTD-Si) for producing the n-type spherical solar cell, in which the Si-particles are irradiated directly instead of the cylinder Si-ingot as in the conventional NTD-Si. By using a 'screw', an identical resistivity could be achieved for the Si-particles without a complicated procedure as in the NTD with Si-ingot. Also, the reactivity and neutron flux swing could be kept to a minimum because of the continuous irradiation of the Si-particles. A high temperature engineering test reactor (HTTR), which is located in Japan, was used as a reference reactor in this study. Neutronic calculations showed that the HTTR has a capability to produce about 40t/EFPY of 10Ωcm resistivity Si-particles for fabrication of the n-type spherical solar cell. Copyright © 2018 Elsevier Ltd. All rights reserved.

  20. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    NASA Astrophysics Data System (ADS)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  1. Characterization and modelling of the boron-oxygen defect activation in compensated n-type silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schön, J.; Niewelt, T.; Broisch, J.

    2015-12-28

    A study of the activation of the light-induced degradation in compensated n-type Czochralski grown silicon is presented. A kinetic model is established that verifies the existence of both the fast and the slow components known from p-type and proves the quadratic dependence of the defect generation rates of both defects on the hole concentration. The model allows for the description of lifetime degradation kinetics in compensated n-type silicon under various intensities and is in accordance with the findings for p-type silicon. We found that the final concentrations of the slow defect component in compensated n-type silicon only depend on themore » interstitial oxygen concentration and on neither the boron concentration nor the equilibrium electron concentration n{sub 0}. The final concentrations of the fast defect component slightly increase with increasing boron concentration. The results on n-type silicon give new insight to the origin of the BO defect and question the existing models for the defect composition.« less

  2. Structural evolution and electronic properties of n-type doped hydrogenated amorphous silicon thin films

    NASA Astrophysics Data System (ADS)

    He, Jian; Li, Wei; Xu, Rui; Qi, Kang-Cheng; Jiang, Ya-Dong

    2011-12-01

    The relationship between structure and electronic properties of n-type doped hydrogenated amorphous silicon (a-Si:H) thin films was investigated. Samples with different features were prepared by plasma enhanced chemical vapor deposition (PECVD) at various substrate temperatures. Raman spectroscopy and Fourier transform infrared (FTIR) spectroscopy were used to evaluate the structural evolution, meanwhile, electronic-spin resonance (ESR) and optical measurement were applied to explore the electronic properties of P-doped a-Si:H thin films. Results reveal that the changes in materials structure affect directly the electronic properties and the doping efficiency of dopant.

  3. Silica substrate or portion formed from oxidation of monocrystalline silicon

    DOEpatents

    Matzke, Carolyn M.; Rieger, Dennis J.; Ellis, Robert V.

    2003-07-15

    A method is disclosed for forming an inclusion-free silica substrate using a monocrystalline silicon substrate as the starting material and oxidizing the silicon substrate to convert it entirely to silica. The oxidation process is performed from both major surfaces of the silicon substrate using a conventional high-pressure oxidation system. The resulting product is an amorphous silica substrate which is expected to have superior etching characteristics for microfabrication than conventional fused silica substrates. The present invention can also be used to convert only a portion of a monocrystalline silicon substrate to silica by masking the silicon substrate and locally thinning a portion the silicon substrate prior to converting the silicon portion entirely to silica. In this case, the silica formed by oxidizing the thinned portion of the silicon substrate can be used, for example, as a window to provide optical access through the silicon substrate.

  4. Investigation of ZnSe-coated silicon substrates for GaAs solar cells

    NASA Technical Reports Server (NTRS)

    Huber, Daniel A.; Olsen, Larry C.; Dunham, Glen; Addis, F. William

    1993-01-01

    Studies are being carried out to determine the feasibility of using ZnSe as a buffer layer for GaAs solar cells grown on silicon. This study was motivated by reports in the literature indicating ZnSe films had been grown by metallorganic chemical vapor deposition (MOCVD) onto silicon with EPD values of 2 x 10(exp 5) cm(sup -2), even though the lattice mismatch between silicon and ZnSe is 4.16 percent. These results combined with the fact that ZnSe and GaAs are lattice matched to within 0.24 percent suggest that the prospects for growing high efficiency GaAs solar cells onto ZnSe-coated silicon are very good. Work to date has emphasized development of procedures for MOCVD growth of (100) ZnSe onto (100) silicon wafers, and subsequent growth of GaAs films on ZnSe/Si substrates. In order to grow high quality single crystal GaAs with a (100) orientation, which is desirable for solar cells, one must grow single crystal (100) ZnSe onto silicon substrates. A process for growth of (100) ZnSe was developed involving a two-step growth procedure at 450 C. Single crystal, (100) GaAs films were grown onto the (100) ZnSe/Si substrates at 610 C that are adherent and specular. Minority carrier diffusion lengths for the GaAs films grown on ZnSe/Si substrates were determined from photoresponse properties of Al/GaAs Schottky barriers. Diffusion lengths for n-type GaAs films are currently on the order of 0.3 microns compared to 2.0 microns for films grown simultaneously by homoepitaxy.

  5. Optoelectrical Properties of a Heterojunction with Amorphous InGaZnO Film on n-Silicon Substrate

    NASA Astrophysics Data System (ADS)

    Jiang, D. L.; Ma, X. Z.; Li, L.; Xu, Z. K.

    2017-10-01

    An a-IGZO/ n-Si heterojunction device has been fabricated at room temperature by depositing amorphous InGaZnO (a-IGZO) film on n-type silicon substrate by plasma-assisted pulsed laser deposition and its optoelectrical properties studied in detail. The heterojunction showed distinct rectifying characteristic with rectification ratio of 1.93 × 103 at ±2 V bias and reverse leakage current density of 1.6 × 10-6 A cm-2 at -2 V bias. More interestingly, the heterojunction not only showed the characteristic of unbiased photoresponse, but could also detect either ultraviolet or ultraviolet-visible light by simply changing the polarity of the bias applied to the heterojunction. The variable photoresponse phenomenon and the charge transport mechanisms in the heterojunction are explained based on the energy band diagram of the heterojunction.

  6. Silicon-on-insulator (SOI) active pixel sensors with the photosite implemented in the substrate

    NASA Technical Reports Server (NTRS)

    Pain, Bedabrata (Inventor); Zheng, Xinyu (Inventor)

    2002-01-01

    Active pixel sensors for a high quality imager are fabricated using a silicon-on-insulator (SOI) process by integrating the photodetectors on the SOI substrate and forming pixel readout transistors on the SOI thin-film. The technique can include forming silicon islands on a buried insulator layer disposed on a silicon substrate and selectively etching away the buried insulator layer over a region of the substrate to define a photodetector area. Dopants of a first conductivity type are implanted to form a signal node in the photodetector area and to form simultaneously drain/source regions for a first transistor in at least a first one of the silicon islands. Dopants of a second conductivity type are implanted to form drain/source regions for a second transistor in at least a second one of the silicon islands. Isolation rings around the photodetector also can be formed when dopants of the second conductivity type are implanted. Interconnections among the transistors and the photodetector are provided to allow signals sensed by the photodetector to be read out via the transistors formed on the silicon islands.

  7. Silicon-on-insulator (SOI) active pixel sensors with the photosite implemented in the substrate

    NASA Technical Reports Server (NTRS)

    Zheng, Xinyu (Inventor); Pain, Bedabrata (Inventor)

    2005-01-01

    Active pixel sensors for a high quality imager are fabricated using a silicon-on-insulator (SOI) process by integrating the photodetectors on the SOI substrate and forming pixel readout transistors on the SOI thin-film. The technique can include forming silicon islands on a buried insulator layer disposed on a silicon substrate and selectively etching away the buried insulator layer over a region of the substrate to define a photodetector area. Dopants of a first conductivity type are implanted to form a signal node in the photodetector area and to form simultaneously drain/source regions for a first transistor in at least a first one of the silicon islands. Dopants of a second conductivity type are implanted to form drain/source regions for a second transistor in at least a second one of the silicon islands. Isolation rings around the photodetector also can be formed when dopants of the second conductivity type are implanted. Interconnections among the transistors and the photodetector are provided to allow signals sensed by the photodetector to be read out via the transistors formed on the silicon islands.

  8. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    NASA Astrophysics Data System (ADS)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  9. Polycrystalline silicon on tungsten substrates

    NASA Technical Reports Server (NTRS)

    Bevolo, A. J.; Schmidt, F. A.; Shanks, H. R.; Campisi, G. J.

    1979-01-01

    Thin films of electron-beam-vaporized silicon were deposited on fine-grained tungsten substrates under a pressure of about 1 x 10 to the -10th torr. Mass spectra from a quadrupole residual-gas analyzer were used to determine the partial pressure of 13 residual gases during each processing step. During separate silicon depositions, the atomically clean substrates were maintained at various temperatures between 400 and 780 C, and deposition rates were between 20 and 630 A min. Surface contamination and interdiffusion were monitored by in situ Auger electron spectrometry before and after cleaning, deposition, and annealing. Auger depth profiling, X-ray analysis, and SEM in the topographic and channeling modes were utilized to characterize the samples with respect to silicon-metal interface, interdiffusion, silicide formation, and grain size of silicon. The onset of silicide formation was found to occur at approximately 625 C. Above this temperature tungsten silicides were formed at a rate faster than the silicon deposition. Fine-grain silicon films were obtained at lower temperatures.

  10. Lifetime degradation of n-type Czochralski silicon after hydrogenation

    NASA Astrophysics Data System (ADS)

    Vaqueiro-Contreras, M.; Markevich, V. P.; Mullins, J.; Halsall, M. P.; Murin, L. I.; Falster, R.; Binns, J.; Coutinho, J.; Peaker, A. R.

    2018-04-01

    Hydrogen plays an important role in the passivation of interface states in silicon-based metal-oxide semiconductor technologies and passivation of surface and interface states in solar silicon. We have shown recently [Vaqueiro-Contreras et al., Phys. Status Solidi RRL 11, 1700133 (2017)] that hydrogenation of n-type silicon slices containing relatively large concentrations of carbon and oxygen impurity atoms {[Cs] ≥ 1 × 1016 cm-3 and [Oi] ≥ 1017 cm-3} can produce a family of C-O-H defects, which act as powerful recombination centres reducing the minority carrier lifetime. In this work, evidence of the silicon's lifetime deterioration after hydrogen injection from SiNx coating, which is widely used in solar cell manufacturing, has been obtained from microwave photoconductance decay measurements. We have characterised the hydrogenation induced deep level defects in n-type Czochralski-grown Si samples through a series of deep level transient spectroscopy (DLTS), minority carrier transient spectroscopy (MCTS), and high-resolution Laplace DLTS/MCTS measurements. It has been found that along with the hydrogen-related hole traps, H1 and H2, in the lower half of the gap reported by us previously, hydrogenation gives rise to two electron traps, E1 and E2, in the upper half of the gap. The activation energies for electron emission from the E1 and E2 trap levels have been determined as 0.12, and 0.14 eV, respectively. We argue that the E1/H1 and E2/H2 pairs of electron/hole traps are related to two energy levels of two complexes, each incorporating carbon, oxygen, and hydrogen atoms. Our results show that the detrimental effect of the C-O-H defects on the minority carrier lifetime in n-type Si:O + C materials can be very significant, and the carbon concentration in Czochralski-grown silicon is a key parameter in the formation of the recombination centers.

  11. Biofunctionalization on alkylated silicon substrate surfaces via "click" chemistry.

    PubMed

    Qin, Guoting; Santos, Catherine; Zhang, Wen; Li, Yan; Kumar, Amit; Erasquin, Uriel J; Liu, Kai; Muradov, Pavel; Trautner, Barbara Wells; Cai, Chengzhi

    2010-11-24

    Biofunctionalization of silicon substrates is important to the development of silicon-based biosensors and devices. Compared to conventional organosiloxane films on silicon oxide intermediate layers, organic monolayers directly bound to the nonoxidized silicon substrates via Si-C bonds enhance the sensitivity of detection and the stability against hydrolytic cleavage. Such monolayers presenting a high density of terminal alkynyl groups for bioconjugation via copper-catalyzed azide-alkyne 1,3-dipolar cycloaddition (CuAAC, a "click" reaction) were reported. However, yields of the CuAAC reactions on these monolayer platforms were low. Also, the nonspecific adsorption of proteins on the resultant surfaces remained a major obstacle for many potential biological applications. Herein, we report a new type of "clickable" monolayers grown by selective, photoactivated surface hydrosilylation of α,ω-alkenynes, where the alkynyl terminal is protected with a trimethylgermanyl (TMG) group, on hydrogen-terminated silicon substrates. The TMG groups on the film are readily removed in aqueous solutions in the presence of Cu(I). Significantly, the degermanylation and the subsequent CuAAC reaction with various azides could be combined into a single step in good yields. Thus, oligo(ethylene glycol) (OEG) with an azido tag was attached to the TMG-alkyne surfaces, leading to OEG-terminated surfaces that reduced the nonspecific adsorption of protein (fibrinogen) by >98%. The CuAAC reaction could be performed in microarray format to generate arrays of mannose and biotin with varied densities on the protein-resistant OEG background. We also demonstrated that the monolayer platform could be functionalized with mannose for highly specific capturing of living targets (Escherichia coli expressing fimbriae) onto the silicon substrates.

  12. Electrical leakage phenomenon in heteroepitaxial cubic silicon carbide on silicon

    NASA Astrophysics Data System (ADS)

    Pradeepkumar, Aiswarya; Zielinski, Marcin; Bosi, Matteo; Verzellesi, Giovanni; Gaskill, D. Kurt; Iacopi, Francesca

    2018-06-01

    Heteroepitaxial 3C-SiC films on silicon substrates are of technological interest as enablers to integrate the excellent electrical, electronic, mechanical, thermal, and epitaxial properties of bulk silicon carbide into well-established silicon technologies. One critical bottleneck of this integration is the establishment of a stable and reliable electronic junction at the heteroepitaxial interface of the n-type SiC with the silicon substrate. We have thus investigated in detail the electrical and transport properties of heteroepitaxial cubic silicon carbide films grown via different methods on low-doped and high-resistivity silicon substrates by using van der Pauw Hall and transfer length measurements as test vehicles. We have found that Si and C intermixing upon or after growth, particularly by the diffusion of carbon into the silicon matrix, creates extensive interstitial carbon traps and hampers the formation of a stable rectifying or insulating junction at the SiC/Si interface. Although a reliable p-n junction may not be realistic in the SiC/Si system, we can achieve, from a point of view of the electrical isolation of in-plane SiC structures, leakage suppression through the substrate by using a high-resistivity silicon substrate coupled with deep recess etching in between the SiC structures.

  13. Low temperature deposition of polycrystalline silicon thin films on a flexible polymer substrate by hot wire chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, Sang-hoon; Jung, Jae-soo; Lee, Sung-soo; Lee, Sung-bo; Hwang, Nong-moon

    2016-11-01

    For the applications such as flexible displays and solar cells, the direct deposition of crystalline silicon films on a flexible polymer substrate has been a great issue. Here, we investigated the direct deposition of polycrystalline silicon films on a polyimide film at the substrate temperature of 200 °C. The low temperature deposition of crystalline silicon on a flexible substrate has been successfully made based on two ideas. One is that the Si-Cl-H system has a retrograde solubility of silicon in the gas phase near the substrate temperature. The other is the new concept of non-classical crystallization, where films grow by the building block of nanoparticles formed in the gas phase during hot-wire chemical vapor deposition (HWCVD). The total amount of precipitation of silicon nanoparticles decreased with increasing HCl concentration. By adding HCl, the amount and the size of silicon nanoparticles were reduced remarkably, which is related with the low temperature deposition of silicon films of highly crystalline fraction with a very thin amorphous incubation layer. The dark conductivity of the intrinsic film prepared at the flow rate ratio of RHCl=[HCl]/[SiH4]=3.61 was 1.84×10-6 Scm-1 at room temperature. The Hall mobility of the n-type silicon film prepared at RHCl=3.61 was 5.72 cm2 V-1s-1. These electrical properties of silicon films are high enough and could be used in flexible electric devices.

  14. Superhydrophobic SERS substrates based on silicon hierarchical nanostructures

    NASA Astrophysics Data System (ADS)

    Chen, Xuexian; Wen, Jinxiu; Zhou, Jianhua; Zheng, Zebo; An, Di; Wang, Hao; Xie, Weiguang; Zhan, Runze; Xu, Ningsheng; Chen, Jun; She, Juncong; Chen, Huanjun; Deng, Shaozhi

    2018-02-01

    Silicon nanostructures have been cultivated as promising surface enhanced Raman scattering (SERS) substrates in terms of their low-loss optical resonance modes, facile functionalization, and compatibility with today’s state-of-the-art CMOS techniques. However, unlike their plasmonic counterparts, the electromagnetic field enhancements induced by silicon nanostructures are relatively small, which restrict their SERS sensing limit to around 10-7 M. To tackle this problem, we propose here a strategy for improving the SERS performance of silicon nanostructures by constructing silicon hierarchical nanostructures with a superhydrophobic surface. The hierarchical nanostructures are binary structures consisted of silicon nanowires (NWs) grown on micropyramids (MPs). After being modified with perfluorooctyltriethoxysilane (PFOT), the nanostructure surface shows a stable superhydrophobicity with a high contact angle of ˜160°. The substrate can allow for concentrating diluted analyte solutions into a specific area during the evaporation of the liquid droplet, whereby the analytes are aggregated into a small volume and can be easily detected by the silicon nanostructure SERS substrate. The analyte molecules (methylene blue: MB) enriched from an aqueous solution lower than 10-8 M can be readily detected. Such a detection limit is ˜100-fold lower than the conventional SERS substrates made of silicon nanostructures. Additionally, the detection limit can be further improved by functionalizing gold nanoparticles onto silicon hierarchical nanostructures, whereby the superhydrophobic characteristics and plasmonic field enhancements can be combined synergistically to give a detection limit down to ˜10-11 M. A gold nanoparticle-functionalized superhydrophobic substrate was employed to detect the spiked melamine in liquid milk. The results showed that the detection limit can be as low as 10-5 M, highlighting the potential of the proposed superhydrophobic SERS substrate in

  15. Dual ohmic contact to N- and P-type silicon carbide

    NASA Technical Reports Server (NTRS)

    Okojie, Robert S. (Inventor)

    2013-01-01

    Simultaneous formation of electrical ohmic contacts to silicon carbide (SiC) semiconductor having donor and acceptor impurities (n- and p-type doping, respectively) is disclosed. The innovation provides for ohmic contacts formed on SiC layers having n- and p-doping at one process step during the fabrication of the semiconductor device. Further, the innovation provides a non-discriminatory, universal ohmic contact to both n- and p-type SiC, enhancing reliability of the specific contact resistivity when operated at temperatures in excess of 600.degree. C.

  16. Light Trapping in Thin Film Silicon Solar Cells on Plastic Substrates

    NASA Astrophysics Data System (ADS)

    de Jong, M. M.

    2013-01-01

    In the search for sustainable energy sources, solar energy can fulfil a large part of the growing demand. The biggest threshold for large-scale solar energy harvesting is the solar panel price. For drastic cost reductions, roll-to-roll fabrication of thin film silicon solar cells using plastic substrates can be a solution. In this thesis, we investigate the possibilities of depositing thin film solar cells directly onto cheap plastic substrates. Micro-textured glass and sheets, which have a wide range of applications, such as in green house, lighting etc, are applied in these solar cells for light trapping. Thin silicon films can be produced by decomposing silane gas, using a plasma process. In these types of processes, the temperature of the growing surface has a large influence on the quality of the grown films. Because plastic substrates limit the maximum tolerable substrate temperature, new methods have to be developed to produce device-grade silicon layers. At low temperature, polysilanes can form in the plasma, eventually forming dust particles, which can deteriorate device performance. By studying the spatially resolved optical emission from the plasma between the electrodes, we can identify whether we have a dusty plasma. Furthermore, we found an explanation for the temperature dependence of dust formation; Monitoring the formation of polysilanes as a function of temperature using a mass-spectrometer, we observed that the polymerization rate is indeed influenced by the substrate temperature. For solar cell substrate material, our choice was polycarbonate (PC), because of its low cost, its excellent transparency and its relatively high glass transition temperature of 130-140°C. At 130°C we searched for deposition recipes for device quality silicon, using a very high frequency plasma enhanced chemical deposition process. By diluting the feedstock silane with hydrogen gas, the silicon quality can be improved for amorphous silicon (a-Si), until we reach the

  17. Controlled morphology and optical properties of n-type porous silicon: effect of magnetic field and electrode-assisted LEF.

    PubMed

    Antunez, Edgar E; Campos, Jose; Basurto, Miguel A; Agarwal, Vivechana

    2014-01-01

    Fabrication of photoluminescent n-type porous silicon (nPS), using electrode-assisted lateral electric field accompanied with a perpendicular magnetic field, is reported. The results have been compared with the porous structures fabricated by means of conventional anodization and electrode-assisted lateral electric field without magnetic field. The lateral electric field (LEF) applied across the silicon substrate leads to the formation of structural gradient in terms of density, dimension, and depth of the etched pores. Apart from the pore shape tunability, the simultaneous application of LEF and magnetic field (MF) contributes to a reduction of the dimension of the pores and promotes relatively more defined pore tips as well as a decreased side-branching in the pore walls of the macroporous structure. Additionally, when using magnetic field-assisted etching, within a certain range of LEF, an enhancement of the photoluminescence (PL) response was obtained.

  18. Controlled morphology and optical properties of n-type porous silicon: effect of magnetic field and electrode-assisted LEF

    PubMed Central

    2014-01-01

    Fabrication of photoluminescent n-type porous silicon (nPS), using electrode-assisted lateral electric field accompanied with a perpendicular magnetic field, is reported. The results have been compared with the porous structures fabricated by means of conventional anodization and electrode-assisted lateral electric field without magnetic field. The lateral electric field (LEF) applied across the silicon substrate leads to the formation of structural gradient in terms of density, dimension, and depth of the etched pores. Apart from the pore shape tunability, the simultaneous application of LEF and magnetic field (MF) contributes to a reduction of the dimension of the pores and promotes relatively more defined pore tips as well as a decreased side-branching in the pore walls of the macroporous structure. Additionally, when using magnetic field-assisted etching, within a certain range of LEF, an enhancement of the photoluminescence (PL) response was obtained. PMID:25313298

  19. Electrical response of electron selective atomic layer deposited TiO2‑x heterocontacts on crystalline silicon substrates

    NASA Astrophysics Data System (ADS)

    Ahiboz, Doğuşcan; Nasser, Hisham; Aygün, Ezgi; Bek, Alpan; Turan, Raşit

    2018-04-01

    Integration of oxygen deficient sub-stoichiometric titanium dioxide (TiO2‑x) thin films as the electron transporting-hole blocking layer in solar cell designs are expected to reduce fabrication costs by eliminating high temperature processes while maintaining high conversion efficiencies. In this paper, we conducted a study to reveal the electrical properties of TiO2‑x thin films grown on crystalline silicon (c-Si) substrates by atomic layer deposition (ALD) technique. Effect of ALD substrate temperature, post deposition annealing, and doping type of the c-Si substrate on the interface states and TiO2‑x bulk properties were extracted by performing admittance (C-V, G-V) and current-voltage (J-V) measurements. Moreover, the asymmetry in C-V and J-V measurements between the p-n type and n-n TiO2‑x-c-Si heterojunction types were examined and the electron transport selectivity of TiO2‑x was revealed.

  20. Field-effect modulation of the thermoelectric characteristics of silicon nanowires on plastic substrates.

    PubMed

    Choi, Jinyong; Jeon, Youngin; Cho, Kyoungah; Kim, Sangsig

    2016-12-02

    In this study, we demonstrate the substantial enhancement of the thermoelectric power factors of silicon nanowires (SiNWs) on plastic substrates achievable by field-effect modulation. The Seebeck coefficient and electrical conductivity are adjusted by varying the charge carrier concentration via electrical modulation with a gate voltage in the 0 to ±5 range, thus enhancing the power factors from 2.08 to 935 μW K -2 m -1 ) for n-type SiNWs, and from 453 to 944 μW K -2 m -1 ) for p-type SiNWs. The electrically modulated thermoelectric characteristics of SiNWs are analyzed and discussed.

  1. High-Temperature Annealing as a Method for the Silicon Nanoclusters Growth in Stoichiometric Silicon Dioxide

    NASA Astrophysics Data System (ADS)

    Ivanova, E. V.; Dementev, P. A.; Sitnikova, A. A.; Aleksandrov, O. V.; Zamoryanskaya, M. V.

    2018-07-01

    A method for the growth of nanocomposite layers in stoichiometric amorphous silicon dioxide is proposed. It is shown that, after annealing at a temperature of 1150°C in nitrogen atmosphere, a layer containing silicon nanoclusters is formed. Silicon nanoclusters have a crystal structure and a size of 3-6 nm. In a film grown on a n-type substrate, a layer of silicon nanoclusters with a thickness of about 10 nm is observed. In the case of a film grown on a p-type substrate, a nanocomposite layer with a thickness of about 100 nm is observed. The difference in the formation of a nanocomposite layer in films on various substrates is associated with the doping of silicon dioxide with impurities from the substrate during the growth of the film. The formation of the nanocomposite layer was confirmed by transmission electron microscopy, XPS and local cathodoluminescence studies.

  2. Fabrication and characterization of physically defined quantum dots on a boron-doped silicon-on-insulator substrate

    NASA Astrophysics Data System (ADS)

    Mizoguchi, Seiya; Shimatani, Naoki; Kobayashi, Mizuki; Makino, Takaomi; Yamaoka, Yu; Kodera, Tetsuo

    2018-04-01

    We study hole transport properties in physically defined p-type silicon quantum dots (QDs) on a heavily doped silicon-on-insulator (SOI) substrate. We observe Coulomb diamonds using single QDs and estimate the charging energy as ∼1.6 meV. We obtain the charge stability diagram of double QDs using single QDs as a charge sensor. This is the first demonstration of charge sensing in p-type heavily doped silicon QDs. For future time-resolved measurements, we apply radio-frequency reflectometry using impedance matching of LC circuits to the device. We observe the resonance and estimate the capacitance as ∼0.12 pF from the resonant frequency. This value is smaller than that of the devices with top gates on nondoped SOI substrate. This indicates that high-frequency signals can be applied efficiently to p-type silicon QDs without top gates.

  3. Oxidation resistant high temperature thermal cycling resistant coatings on silicon-based substrates and process for the production thereof

    DOEpatents

    Sarin, V.K.

    1990-08-21

    An oxidation resistant, high temperature thermal cycling resistant coated ceramic article for ceramic heat engine applications is disclosed. The substrate is a silicon-based material, i.e. a silicon nitride- or silicon carbide-based monolithic or composite material. The coating is a graded coating of at least two layers: an intermediate AlN or Al[sub x]N[sub y]O[sub z] layer and an aluminum oxide or zirconium oxide outer layer. The composition of the coating changes gradually from that of the substrate to that of the AlN or Al[sub x]N[sub y]O[sub z] layer and further to the composition of the aluminum oxide or zirconium oxide outer layer. Other layers may be deposited over the aluminum oxide layer. A CVD process for depositing the graded coating on the substrate is also disclosed.

  4. Oxidation resistant high temperature thermal cycling resistant coatings on silicon-based substrates and process for the production thereof

    DOEpatents

    Sarin, Vinod K.

    1990-01-01

    An oxidation resistant, high temperature thermal cycling resistant coated ceramic article for ceramic heat engine applications. The substrate is a silicon-based material, i.e. a silicon nitride- or silicon carbide-based monolithic or composite material. The coating is a graded coating of at least two layers: an intermediate AlN or Al.sub.x N.sub.y O.sub.z layer and an aluminum oxide or zirconium oxide outer layer. The composition of the coating changes gradually from that of the substrate to that of the AlN or Al.sub.x N.sub.y O.sub.z layer and further to the composition of the aluminum oxide or zirconium oxide outer layer. Other layers may be deposited over the aluminum oxide layer. A CVD process for depositing the graded coating on the substrate is also disclosed.

  5. Oxygen-aided synthesis of polycrystalline graphene on silicon dioxide substrates.

    PubMed

    Chen, Jianyi; Wen, Yugeng; Guo, Yunlong; Wu, Bin; Huang, Liping; Xue, Yunzhou; Geng, Dechao; Wang, Dong; Yu, Gui; Liu, Yunqi

    2011-11-09

    We report the metal-catalyst-free synthesis of high-quality polycrystalline graphene on dielectric substrates [silicon dioxide (SiO(2)) or quartz] using an oxygen-aided chemical vapor deposition (CVD) process. The growth was carried out using a CVD system at atmospheric pressure. After high-temperature activation of the growth substrates in air, high-quality polycrystalline graphene is subsequently grown on SiO(2) by utilizing the oxygen-based nucleation sites. The growth mechanism is analogous to that of growth for single-walled carbon nanotubes. Graphene-modified SiO(2) substrates can be directly used in transparent conducting films and field-effect devices. The carrier mobilities are about 531 cm(2) V(-1) s(-1) in air and 472 cm(2) V(-1) s(-1) in N(2), which are close to that of metal-catalyzed polycrystalline graphene. The method avoids the need for either a metal catalyst or a complicated and skilled postgrowth transfer process and is compatible with current silicon processing techniques.

  6. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    DOEpatents

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  7. RF Transmission Lines on Silicon Substrates

    NASA Technical Reports Server (NTRS)

    Ponchak, George E.

    1999-01-01

    A review of RF transmission lines on silicon substrates is presented. Through measurements and calculated results, it is shown that attenuation is dominated by conductor loss if silicon substrates with a resistivity greater than 2500 Ohm-cm are used. Si passivation layers affect the transmission line attenuation; however, measured results demonstrate that passivation layers do not necessarily increase attenuation. If standard, low resistivity Si wafers must be used, alternative transmission lines such as thin film microstrip and Co-Planar Waveguide (CPW) on thick polyimide layers must be used. Measured results presented here show that low loss per unit length is achievable with these transmission lines.

  8. Multifunctional epitaxial systems on silicon substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Singamaneni, Srinivasa Rao, E-mail: ssingam@ncsu.edu; Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709; Department of Physics, The University of Texas at El Paso, El Paso, Texas 79968

    2016-09-15

    Multifunctional heterostructures can exhibit a wide range of functional properties, including colossal magneto-resistance, magnetocaloric, and multiferroic behavior, and can display interesting physical phenomena including spin and charge ordering and strong spin-orbit coupling. However, putting this functionality to work remains a challenge. To date, most of the work reported in the literature has dealt with heterostructures deposited onto closely lattice matched insulating substrates such as DyScO{sub 3}, SrTiO{sub 3} (STO), or STO buffered Si(100) using concepts of lattice matching epitaxy (LME). However, strain in heterostructures grown by LME is typically not fully relaxed and the layers contain detrimental defects such asmore » threading dislocations that can significantly degrade the physical properties of the films and adversely affect the device characteristics. In addition, most of the substrates are incompatible with existing CMOS-based technology, where Si (100) substrates dominate. This review discusses recent advances in the integration of multifunctional oxide and non-oxide materials onto silicon substrates. An alternative thin film growth approach, called “domain matching epitaxy,” is presented which identifies approaches for minimizing lattice strain and unwanted defects in large misfit systems (7%–25% and higher). This approach broadly allows for the integration of multifunctional materials onto silicon substrates, such that sensing, computation, and response functions can be combined to produce next generation “smart” devices. In general, pulsed laser deposition has been used to epitaxially grow these materials, although the concepts developed here can be extended to other deposition techniques, as well. It will be shown that TiN and yttria-stabilized zirconia template layers provide promising platforms for the integration of new functionality into silicon-based computer chips. This review paper reports on a number of thin

  9. Ultra-Thin Monocrystalline Silicon Solar Cell with 12.2% Efficiency Using Silicon-On-Insulator Substrate.

    PubMed

    Bian, Jian-Tao; Yu, Jian; Duan, Wei-Yuan; Qiu, Yu

    2015-04-01

    Single side heterojunction silicon solar cells were designed and fabricated using Silicon-On-Insulator (SOI) substrate. The TCAD software was used to simulate the effect of silicon layer thickness, doping concentration and the series resistance. A 10.5 µm thick monocrystalline silicon layer was epitaxially grown on the SOI with boron doping concentration of 2 x 10(16) cm(-3) by thermal CVD. Very high Voc of 678 mV was achieved by applying amorphous silicon heterojunction emitter on the front surface. The single cell efficiency of 12.2% was achieved without any light trapping structures. The rear surface recombination and the series resistance are the main limiting factors for the cell efficiency in addition to the c-Si thickness. By integrating an efficient light trapping scheme and further optimizing fabrication process, higher efficiency of 14.0% is expected for this type of cells. It can be applied to integrated circuits on a monolithic chip to meet the requirements of energy autonomous systems.

  10. Investigations of different doping concentration of phosphorus and boron into silicon substrate on the variable temperature Raman characteristics

    NASA Astrophysics Data System (ADS)

    Li, Xiaoli; Ding, Kai; Liu, Jian; Gao, Junxuan; Zhang, Weifeng

    2018-01-01

    Different doped silicon substrates have different device applications and have been used to fabricate solar panels and large scale integrated circuits. The thermal transport in silicon substrates are dominated by lattice vibrations, doping type, and doping concentration. In this paper, a variable-temperature Raman spectroscopic system is applied to record the frequency and linewidth changes of the silicon peak at 520 cm-1 in five chips of silicon substrate with different doping concentration of phosphorus and boron at the 83K to 1473K temperature range. The doping has better heat sensitive to temperature on the frequency shift over the low temperature range from 83K to 300K but on FWHM in high temperature range from 300K to 1473K. The results will be helpful for fundamental study and practical applications of silicon substrates.

  11. Method for forming silicon on a glass substrate

    DOEpatents

    McCarthy, Anthony M.

    1995-01-01

    A method by which single-crystal silicon microelectronics may be fabricated on glass substrates at unconventionally low temperatures. This is achieved by fabricating a thin film of silicon on glass and subsequently forming the doped components by a short wavelength (excimer) laser doping procedure and conventional patterning techniques. This method may include introducing a heavily boron doped etch stop layer on a silicon wafer using an excimer laser, which permits good control of the etch stop layer removal process. This method additionally includes dramatically reducing the remaining surface roughness of the silicon thin films after etching in the fabrication of silicon on insulator wafers by scanning an excimer laser across the surface of the silicon thin film causing surface melting, whereby the surface tension of the melt causes smoothing of the surface during recrystallization. Applications for this method include those requiring a transparent or insulating substrate, such as display manufacturing. Other applications include sensors, actuators, optoelectronics, radiation hard and high temperature electronics.

  12. Method for forming silicon on a glass substrate

    DOEpatents

    McCarthy, A.M.

    1995-03-07

    A method by which single-crystal silicon microelectronics may be fabricated on glass substrates at unconventionally low temperatures. This is achieved by fabricating a thin film of silicon on glass and subsequently forming the doped components by a short wavelength (excimer) laser doping procedure and conventional patterning techniques. This method may include introducing a heavily boron doped etch stop layer on a silicon wafer using an excimer laser, which permits good control of the etch stop layer removal process. This method additionally includes dramatically reducing the remaining surface roughness of the silicon thin films after etching in the fabrication of silicon on insulator wafers by scanning an excimer laser across the surface of the silicon thin film causing surface melting, whereby the surface tension of the melt causes smoothing of the surface during recrystallization. Applications for this method include those requiring a transparent or insulating substrate, such as display manufacturing. Other applications include sensors, actuators, optoelectronics, radiation hard and high temperature electronics. 15 figs.

  13. Rapid fabrication of a silicon modification layer on silicon carbide substrate.

    PubMed

    Bai, Yang; Li, Longxiang; Xue, Donglin; Zhang, Xuejun

    2016-08-01

    We develop a kind of magnetorheological (MR) polishing fluid for the fabrication of a silicon modification layer on a silicon carbide substrate based on chemical theory and actual polishing requirements. The effect of abrasive concentration in MR polishing fluid on material removal rate and removal function shape is investigated. We conclude that material removal rate will increase and tends to peak value as the abrasive concentration increases to 0.3 vol. %, and the removal function profile will become steep, which is a disadvantage to surface frequency error removal at the same time. The removal function stability is also studied and the results show that the prepared MR polishing fluid can satisfy actual fabrication requirements. An aspheric reflective mirror of silicon carbide modified by silicon is well polished by combining magnetorheological finishing (MRF) using two types of MR polishing fluid and computer controlled optical surfacing (CCOS) processes. The surface accuracy root mean square (RMS) is improved from 0.087λ(λ=632.8  nm) initially to 0.020λ(λ=632.8  nm) in 5.5 h total and the tool marks resulting from MRF are negligible. The PSD analysis results also shows that the final surface is uniformly polished.

  14. Silicon based substrate with environmental/thermal barrier layer

    NASA Technical Reports Server (NTRS)

    Eaton, Jr., Harry Edwin (Inventor); Allen, William Patrick (Inventor); Jacobson, Nathan S. (Inventor); Bansal, Narottam P. (Inventor); Opila, Elizabeth J. (Inventor); Smialek, James L. (Inventor); Lee, Kang N. (Inventor); Spitsberg, Irene T. (Inventor); Wang, Hongyu (Inventor); Meschter, Peter Joel (Inventor)

    2002-01-01

    A barrier layer for a silicon containing substrate which inhibits the formation of gaseous species of silicon when exposed to a high temperature aqueous environment comprises a barium-strontium alumino silicate.

  15. Silicon based substrate with environmental/ thermal barrier layer

    NASA Technical Reports Server (NTRS)

    Eaton, Jr., Harry Edwin (Inventor); Allen, William Patrick (Inventor); Jacobson, Nathan S. (Inventor); Bansal, Nanottam P. (Inventor); Opila, Elizabeth J. (Inventor); Smialek, James L. (Inventor); Lee, Kang N. (Inventor); Spitsberg, Irene T. (Inventor); Wang, Hongyu (Inventor); Meschter, Peter Joel (Inventor)

    2002-01-01

    A barrier layer for a silicon containing substrate which inhibits the formation of gaseous species of silicon when exposed to a high temperature aqueous environment comprises a barium-strontium alumino silicate.

  16. Silicon Carbide membranes as substrate for Synchrotron measurements

    NASA Astrophysics Data System (ADS)

    Altissimo, M.; Iacopi, A.; Hold, L.; Matruglio, A.; Zucchiatti, P.; Vaccari, L.; Bedolla, D. E.; Ulloa Severino, L.; Parisse, P.; Gianoncelli, A.

    2018-05-01

    Silicon Nitride (SiN) membranes have long been the substrate of choice for many different synchrotron techniques at very different wavelengths (from IR to hard X-rays), due to their ease of production, relative robustness even in films <200 nm in thickness, and compatibility with standard microfabrication techniques. Here we present a set of data referring to custom-made Silicon Carbide (SiC) windows. We measured SiC surface roughness, mechanical robustness and membrane transmission both at IR and soft X-rays wavelengths, and compared the data with standard Si3N4, acquired in the same conditions. Further, we grew HEK293T cells both on Si3N4 and SiC membranes, and analysed them with IR and soft X-ray microscopy. Our data demonstrates how SiC is an excellent choice as membrane material for synchrotron measurements, since it shows higher transmission and higher robustness as compared to Si3N4 of the same thickness, and an improved compatibility for cell culturing, allowing to postulate their use also for bio-oriented research.

  17. GaN-on-silicon high-electron-mobility transistor technology with ultra-low leakage up to 3000 V using local substrate removal and AlN ultra-wide bandgap

    NASA Astrophysics Data System (ADS)

    Dogmus, Ezgi; Zegaoui, Malek; Medjdoub, Farid

    2018-03-01

    We report on extremely low off-state leakage current in AlGaN/GaN-on-silicon metal–insulator–semiconductor high-electron-mobility transistors (MISHEMTs) up to a high blocking voltage. Remarkably low off-state gate and drain leakage currents below 1 µA/mm up to 3 kV have been achieved owing to the use of a thick in situ SiN gate dielectric under the gate, and a local Si substrate removal technique combined with a cost effective 15-µm-thick AlN dielectric layer followed by a Cu deposition. This result establishes a manufacturable state-of-the-art high-voltage GaN-on-silicon power transistors while maintaining a low specific on-resistance of approximately 10 mΩ·cm2.

  18. Simple method for the growth of 4H silicon carbide on silicon substrate

    NASA Astrophysics Data System (ADS)

    Asghar, M.; Shahid, M. Y.; Iqbal, F.; Fatima, K.; Nawaz, Muhammad Asif; Arbi, H. M.; Tsu, R.

    2016-03-01

    In this study we report thermal evaporation technique as a simple method for the growth of 4H silicon carbide on p-type silicon substrate. A mixture of Si and C60 powder of high purity (99.99%) was evaporated from molybdenum boat. The as grown film was characterized by XRD, FTIR, UV-Vis Spectrophotometer and Hall Measurements. The XRD pattern displayed four peaks at 2Θ angles 28.550, 32.700, 36.100 and 58.900 related to Si (1 1 1), 4H-SiC (1 0 0), 4H-SiC (1 1 1) and 4H-SiC (2 2 2), respectively. FTIR, UV-Vis spectrophotometer and electrical properties further strengthened the 4H-SiC growth.

  19. p-type zinc-blende GaN on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Lin, M. E.; Xue, G.; Zhou, G. L.; Greene, J. E.; Morkoç, H.

    1993-08-01

    We report p-type cubic GaN. The Mg-doped layers were grown on vicinal (100) GaAs substrates by plasma-enhanced molecular beam epitaxy. Thermally sublimed Mg was, with N2 carrier gas, fed into an electron-cyclotron resonance source. p-type zinc-blende-structure GaN films were achieved with hole mobilities as high as 39 cm2/V s at room temperature. The cubic nature of the films were confirmed by x-ray diffractometry. The depth profile of Mg was investigated by secondary ions mass spectroscopy.

  20. Substrate for thin silicon solar cells

    DOEpatents

    Ciszek, Theodore F.

    1995-01-01

    A photovoltaic device for converting solar energy into electrical signals comprises a substrate, a layer of photoconductive semiconductor material grown on said substrate, wherein the substrate comprises an alloy of boron and silicon, the boron being present in a range of from 0.1 to 1.3 atomic percent, the alloy having a lattice constant substantially matched to that of the photoconductive semiconductor material and a resistivity of less than 1.times.10.sup.-3 ohm-cm.

  1. Electronic properties and morphology of copper oxide/n-type silicon heterostructures

    NASA Astrophysics Data System (ADS)

    Lindberg, P. F.; Gorantla, S. M.; Gunnæs, A. E.; Svensson, B. G.; Monakhov, E. V.

    2017-08-01

    Silicon-based tandem heterojunction solar cells utilizing cuprous oxide (Cu2O) as the top absorber layer show promise for high-efficiency conversion and low production cost. In the present study, single phase Cu2O films have been realized on n-type Si substrates by reactive magnetron sputtering at 400 °C. The obtained Cu2O/Si heterostructures have subsequently been heat treated at temperatures in the 400-700 °C range in Ar flow and extensively characterized by x-ray diffraction (XRD) measurements, transmission electron microscopy (TEM) imaging and electrical techniques. The Cu2O/Si heterojunction exhibits a current rectification of ~5 orders of magnitude between forward and reverse bias voltages. High resolution cross-sectional TEM-images show the presence of a ~2 nm thick interfacial SiO2 layer between Cu2O and the Si substrate. Heat treatments below 550 °C result in gradual improvement of crystallinity, indicated by XRD. At and above 550 °C, partial phase transition to cupric oxide (CuO) occurs followed by a complete transition at 700 °C. No increase or decrease of the SiO2 layer is observed after the heat treatment at 550 °C. Finally, a thin Cu-silicide layer (Cu3Si) emerges below the SiO2 layer upon annealing at 550 °C. This silicide layer influences the lateral current and voltage distributions, as evidenced by an increasing effective area of the heterojunction diodes.

  2. Back-junction back-contact n-type silicon solar cell with diffused boron emitter locally blocked by implanted phosphorus

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Müller, Ralph, E-mail: ralph.mueller@ise.fraunhofer.de; Schrof, Julian; Reichel, Christian

    2014-09-08

    The highest energy conversion efficiencies in the field of silicon-based photovoltaics have been achieved with back-junction back-contact (BJBC) silicon solar cells by several companies and research groups. One of the most complex parts of this cell structure is the fabrication of the locally doped p- and n-type regions, both on the back side of the solar cell. In this work, we introduce a process sequence based on a synergistic use of ion implantation and furnace diffusion. This sequence enables the formation of all doped regions for a BJBC silicon solar cell in only three processing steps. We observed that implantedmore » phosphorus can block the diffusion of boron atoms into the silicon substrate by nearly three orders of magnitude. Thus, locally implanted phosphorus can be used as an in-situ mask for a subsequent boron diffusion which simultaneously anneals the implanted phosphorus and forms the boron emitter. BJBC silicon solar cells produced with such an easy-to-fabricate process achieved conversion efficiencies of up to 21.7%. An open-circuit voltage of 674 mV and a fill factor of 80.6% prove that there is no significant recombination at the sharp transition between the highly doped emitter and the highly doped back surface field at the device level.« less

  3. The Impact of GaN/Substrate Thermal Boundary Resistance on a HEMT Device

    DTIC Science & Technology

    2011-11-01

    stack between the GaN and Substrate layers. The University of Bristol recently reported that this TBR in commercial devices on Silicon Carbide ( SiC ...Circuit RF Radio Frequency PA Power Amplifier SiC Silicon Carbide FEA Finite Element Analysis heff Effective Heat transfer Coefficient (W/m 2 K...substrate material switched from sapphire to silicon , and by another factor of two from silicon to SiC . TABLE 1: SAMPLE RESULTS FROM DOUGLAS ET AL. FOR

  4. High quality silicon-based substrates for microwave and millimeter wave passive circuits

    NASA Astrophysics Data System (ADS)

    Belaroussi, Y.; Rack, M.; Saadi, A. A.; Scheen, G.; Belaroussi, M. T.; Trabelsi, M.; Raskin, J.-P.

    2017-09-01

    Porous silicon substrate is very promising for next generation wireless communication requiring the avoidance of high-frequency losses originating from the bulk silicon. In this work, new variants of porous silicon (PSi) substrates have been introduced. Through an experimental RF performance, the proposed PSi substrates have been compared with different silicon-based substrates, namely, standard silicon (Std), trap-rich (TR) and high resistivity (HR). All of the mentioned substrates have been fabricated where identical samples of CPW lines have been integrated on. The new PSi substrates have shown successful reduction in the substrate's effective relative permittivity to values as low as 3.7 and great increase in the substrate's effective resistivity to values higher than 7 kΩ cm. As a concept proof, a mm-wave bandpass filter (MBPF) centred at 27 GHz has been integrated on the investigated substrates. Compared with the conventional MBPF implemented on standard silicon-based substrates, the measured S-parameters of the PSi-based MBPF have shown high filtering performance, such as a reduction in insertion loss and an enhancement of the filter selectivity, with the joy of having the same filter performance by varying the temperature. Therefore, the efficiency of the proposed PSi substrates has been well highlighted. From 1994 to 1995, she was assistant of physics at (USTHB), Algiers . From 1998 to 2011, she was a Researcher at characterization laboratory in ionized media and laser division at the Advanced Technologies Development Center. She has integrated the Analog Radio Frequency Integrated Circuits team as Researcher since 2011 until now in Microelectronic and Nanotechnology Division at Advanced Technologies Development Center (CDTA), Algiers. She has been working towards her Ph.D. degree jointly at CDTA and Ecole Nationale Polytechnique, Algiers, since 2012. Her research interest includes fabrication and characterization of microwave passive devices on porous

  5. Optimization and characterization of biomolecule immobilization on silicon substrates using (3-aminopropyl)triethoxysilane (APTES) and glutaraldehyde linker

    NASA Astrophysics Data System (ADS)

    Gunda, Naga Siva Kumar; Singh, Minashree; Norman, Lana; Kaur, Kamaljit; Mitra, Sushanta K.

    2014-06-01

    In the present work, we developed and optimized a technique to produce a thin, stable silane layer on silicon substrate in a controlled environment using (3-aminopropyl)triethoxysilane (APTES). The effect of APTES concentration and silanization time on the formation of silane layer is studied using spectroscopic ellipsometry and Fourier transform infrared spectroscopy (FTIR). Biomolecules of interest are immobilized on optimized silane layer formed silicon substrates using glutaraldehyde linker. Surface analytical techniques such as ellipsometry, FTIR, contact angle measurement system, and atomic force microscopy are employed to characterize the bio-chemically modified silicon surfaces at each step of the biomolecule immobilization process. It is observed that a uniform, homogenous and highly dense layer of biomolecules are immobilized with optimized silane layer on the silicon substrate. The developed immobilization method is successfully implemented on different silicon substrates (flat and pillar). Also, different types of biomolecules such as anti-human IgG (rabbit monoclonal to human IgG), Listeria monocytogenes, myoglobin and dengue capture antibodies were successfully immobilized. Further, standard sandwich immunoassay (antibody-antigen-antibody) is employed on respective capture antibody coated silicon substrates. Fluorescence microscopy is used to detect the respective FITC tagged detection antibodies bound to the surface after immunoassay.

  6. Substrate for thin silicon solar cells

    DOEpatents

    Ciszek, T.F.

    1995-03-28

    A photovoltaic device for converting solar energy into electrical signals comprises a substrate, a layer of photoconductive semiconductor material grown on said substrate, wherein the substrate comprises an alloy of boron and silicon, the boron being present in a range of from 0.1 to 1.3 atomic percent, the alloy having a lattice constant substantially matched to that of the photoconductive semiconductor material and a resistivity of less than 1{times}10{sup {minus}3} ohm-cm. 4 figures.

  7. Collapsed adhesion of carbon nanotubes on silicon substrates: continuum mechanics and atomistic simulations

    NASA Astrophysics Data System (ADS)

    Yuan, Xuebo; Wang, Youshan

    2018-02-01

    Carbon nanotubes (CNTs) can undergo collapse from the ordinary cylindrical configurations to bilayer ribbons when adhered on substrates. In this study, the collapsed adhesion of CNTs on the silicon substrates is investigated using both classical molecular dynamics (MD) simulations and continuum analysis. The governing equations and transversality conditions are derived based on the minimum potential energy principle and the energy-variational method, considering both the van der Waals interactions between CNTs and substrates and those inside CNTs. Closed-form solutions for the collapsed configuration are obtained which show good agreement with the results of MD simulations. The stability of adhesive configurations is investigated by analyzing the energy states. It is found that the adhesive states of single-walled CNTs (SWCNTs) (n, n) on the silicon substrates can be categorized by two critical radii, 0.716 and 0.892 nm. For SWCNTs with radius larger than 0.892 nm, they would fully collapse on the silicon substrates. For SWCNTs with radius less than 0.716 nm, the initial cylindrical configuration is energetically favorable. For SWCNTs with radius between two critical radii, the radially deformed state is metastable. The non-contact ends of all collapsed SWCNTs are identical with the same arc length of 2.38 nm. Finally, the role of number of walls on the adhesive configuration is investigated quantitatively. For multi-walled CNTs with the number of walls exceeding a certain value, the cylindrical configuration is stable due to the increasing bending stiffness. The present study can be useful for the design of CNT-based nanodevices.

  8. Simple method for the growth of 4H silicon carbide on silicon substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Asghar, M.; Shahid, M. Y.; Iqbal, F.

    In this study we report thermal evaporation technique as a simple method for the growth of 4H silicon carbide on p-type silicon substrate. A mixture of Si and C{sub 60} powder of high purity (99.99%) was evaporated from molybdenum boat. The as grown film was characterized by XRD, FTIR, UV-Vis Spectrophotometer and Hall Measurements. The XRD pattern displayed four peaks at 2Θ angles 28.55{sup 0}, 32.70{sup 0}, 36.10{sup 0} and 58.90{sup 0} related to Si (1 1 1), 4H-SiC (1 0 0), 4H-SiC (1 1 1) and 4H-SiC (2 2 2), respectively. FTIR, UV-Vis spectrophotometer and electrical properties further strengthenedmore » the 4H-SiC growth.« less

  9. Crystallization and growth of Ni-Si alloy thin films on inert and on silicon substrates

    NASA Astrophysics Data System (ADS)

    Grimberg, I.; Weiss, B. Z.

    1995-04-01

    The crystallization kinetics and thermal stability of NiSi2±0.2 alloy thin films coevaporated on two different substrates were studied. The substrates were: silicon single crystal [Si(100)] and thermally oxidized silicon single crystal. In situ resistance measurements, transmission electron microscopy, x-ray diffraction, Auger electron spectroscopy, and Rutherford backscattering spectroscopy were used. The postdeposition microstructure consisted of a mixture of amorphous and crystalline phases. The amorphous phase, independent of the composition, crystallizes homogeneously to NiSi2 at temperatures lower than 200 °C. The activation energy, determined in the range of 1.4-2.54 eV, depends on the type of the substrate and on the composition of the alloyed films. The activation energy for the alloys deposited on the inert substrate was found to be lower than for the alloys deposited on silicon single crystal. The lowest activation energy was obtained for nonstoichiometric NiSi2.2, the highest for NiSi2—on both substrates. The crystallization mode depends on the structure of the as-deposited films, especially the density of the existing crystalline nuclei. Substantial differences were observed in the thermal stability of the NiSi2 compound on both substrates. With the alloy films deposited on the Si substrate, only the NiSi2 phase was identified after annealing to temperatures up to 800 °C. In the films deposited on the inert substrate, NiSi and NiSi2 phases were identified when the Ni content in the alloy exceeded 33 at. %. The effects of composition and the type of substrate on the crystallization kinetics and thermal stability are discussed.

  10. Wet-chemical systems and methods for producing black silicon substrates

    DOEpatents

    Yost, Vernon; Yuan, Hao-Chih; Page, Matthew

    2015-05-19

    A wet-chemical method of producing a black silicon substrate. The method comprising soaking single crystalline silicon wafers in a predetermined volume of a diluted inorganic compound solution. The substrate is combined with an etchant solution that forms a uniform noble metal nanoparticle induced Black Etch of the silicon wafer, resulting in a nanoparticle that is kinetically stabilized. The method comprising combining with an etchant solution having equal volumes acetonitrile/acetic acid:hydrofluoric acid:hydrogen peroxide.

  11. Silicon based substrate with calcium aluminosilicate/thermal barrier layer

    NASA Technical Reports Server (NTRS)

    Eaton, Jr., Harry Edwin (Inventor); Allen, William Patrick (Inventor); Miller, Robert Alden (Inventor); Jacobson, Nathan S. (Inventor); Smialek, James L. (Inventor); Opila, Elizabeth J. (Inventor); Lee, Kang N. (Inventor); Nagaraj, Bangalore A. (Inventor); Wang, Hongyu (Inventor); Meschter, Peter Joel (Inventor)

    2001-01-01

    A barrier layer for a silicon containing substrate which inhibits the formation of gaseous species of silicon when exposed to a high temperature aqueous environment comprises a calcium alumino silicate.

  12. GaN-on-Silicon - Present capabilities and future directions

    NASA Astrophysics Data System (ADS)

    Boles, Timothy

    2018-02-01

    Gallium Nitride, in the form of epitaxial HEMT transistors on various substrate materials, is the newest and most promising semiconductor technology for high performance devices in the RF, microwave, and mmW arenas. This is particularly true for GaN-on-Silicon based devices and MMIC's which enable both state-of-the-art high frequency functionality and the ability to scale production into large wafer diameter CMOS foundries. The design and development of GaN-on-Silicon structures and devices will be presented beginning with the basic material parameters, growth of the required epitaxial construction, and leading to the fundamental operational theory of high frequency, high power HEMTs. In this discussion comparisons will be made with alternative substrate materials with emphasis on contrasting the inherent advantages of a silicon based system. Theory of operation of microwave and mmW high power HEMT devices will be presented with special emphasis on fundamental limitations of device performance including inherent frequency limiting transit time analysis, required impedance transformations, internal and external parasitic reactance, thermal impedance optimization, and challenges improved by full integration into monolithic MMICs. Lastly, future directions for implementing GaN-on-Silicon into mainstream CMOS silicon semiconductor technologies will be discussed.

  13. Silicon nanowire-based tunneling field-effect transistors on flexible plastic substrates.

    PubMed

    Lee, Myeongwon; Koo, Jamin; Chung, Eun-Ae; Jeong, Dong-Young; Koo, Yong-Seo; Kim, Sangsig

    2009-11-11

    A technique to implement silicon nanowire (SiNW)-based tunneling field-effect transistors (TFETs) on flexible plastic substrates is developed for the first time. The p-i-n configured Si NWs are obtained from an Si wafer using a conventional top-down CMOS-compatible technology, and they are then transferred onto the plastic substrate. Based on gate-controlled band-to-band tunneling (BTBT) as their working principle, the SiNW-based TFETs show normal p-channel switching behavior with a threshold voltage of -1.86 V and a subthreshold swing of 827 mV/dec. In addition, ambipolar conduction is observed due to the presence of the BTBT between the heavily doped p+ drain and n+ channel regions, indicating that our TFETs can operate in the n-channel mode as well. Furthermore, the BTBT generation rates for both the p-channel and n-channel operating modes are nearly independent of the bending state (strain = 0.8%) of the plastic substrate.

  14. Silicon-on-insulator with hybrid orientations for heterogeneous integration of GaN on Si (100) substrate

    NASA Astrophysics Data System (ADS)

    Zhang, Runchun; Zhao, Beiji; Huang, Kai; You, Tiangui; Jia, Qi; Lin, Jiajie; Zhang, Shibin; Yan, Youquan; Yi, Ailun; Zhou, Min; Ou, Xin

    2018-05-01

    Heterogeneous integration of materials pave a new way for the development of the microsystem with miniaturization and complex functionalities. Two types of hybrid silicon on insulator (SOI) structures, i.e., Si (100)-on-Si (111) and Si (111)-on-Si (100), were prepared by the smart-cut technique, which is consist of ion-slicing and wafer bonding. The precise calculation of the lattice strain of the transferred films without the epitaxial matching relationship to the substrate was demonstrated based on X-ray diffraction (XRD) measurements. The XRD and Raman measurement results suggest that the transferred films possess single crystalline quality. With a chemical mechanical polishing (CMP) process, the surface roughness of the transferred thin films can be reduced from 5.57 nm to 0.30 nm. The 4-inch GaN thin film epitaxially grown on the as-prepared hybrid SOI of Si (111)-on-Si (100) by metalorganic chemical vapor deposition (MOCVD) is of improved quality with a full width at half maximum (FWHM) of 672.54 arcsec extracted from the XRD rocking curve and small surface roughness of 0.40 nm. The wafer-scale GaN on Si (111)-on-Si (100) can serve as a potential platform for the one chip integration of GaN-based high electron mobility transistors (HEMT) or photonics with the Si (100)-based complementary metal oxide semiconductor (CMOS).

  15. Effects of ambient conditions on the adhesion of cubic boron nitride films on silicon substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cardinale, G.F.; Howitt, D.G.; Mirkarimi, P.B.

    1994-08-01

    Effect of environmental conditions on cubic boron nitride (cBN) film adhesion to silicon substrates was studied. cBN films were deposited onto (100)-oriented silicon substrates by ion-assisted pulsed laser deposition. Irradiating ions were mixtures of nitrogen with argon, krypton, and xenon. Under room-ambient conditions, the films delaminated in the following time order: N/Xe, N/Kr, and N/Ar. cBN films deposited using N/Xe ion-assisted deposition were exposed to four environmental conditions for several weeks: a 1-mTorr vacuum, high humidity, dry oxygen, and dry nitrogen. Films exposed to the humid environment delaminated whereas those stored under vacuum or in dry gases did not. Filmsmore » stored in dry nitrogen were removed after nearly two weeks and placed in the high-humidity chamber; these films subsequently delaminated within 14 hours.« less

  16. Improved process for epitaxial deposition of silicon on prediffused substrates

    NASA Technical Reports Server (NTRS)

    Clarke, M. G.; Halsor, J. L.; Word, J. C.

    1968-01-01

    Process for fabricating integrated circuits uniformly deposits silicon epitaxially on prediffused substrates without affecting the sublayer diffusion pattern. Two silicon deposits from different sources, and deposited at different temperatures, protect the sublayer pattern from the silicon tetrachloride reaction.

  17. Droop-free AlxGa1-xN/AlyGa1-yN quantum-disks-in-nanowires ultraviolet LED emitting at 337 nm on metal/silicon substrates.

    PubMed

    Janjua, Bilal; Sun, Haiding; Zhao, Chao; Anjum, Dalaver H; Priante, Davide; Alhamoud, Abdullah A; Wu, Feng; Li, Xiaohang; Albadri, Abdulrahman M; Alyamani, Ahmed Y; El-Desouki, Munir M; Ng, Tien Khee; Ooi, Boon S

    2017-01-23

    Currently the AlGaN-based ultraviolet (UV) solid-state lighting research suffers from numerous challenges. In particular, low internal quantum efficiency, low extraction efficiency, inefficient doping, large polarization fields, and high dislocation density epitaxy constitute bottlenecks in realizing high power devices. Despite the clear advantage of quantum-confinement nanostructure, it has not been widely utilized in AlGaN-based nanowires. Here we utilize the self-assembled nanowires (NWs) with embedding quantum-disks (Qdisks) to mitigate these issues, and achieve UV emission of 337 nm at 32 A/cm2 (80 mA in 0.5 × 0.5 mm2 device), a turn-on voltage of ~5.5 V and droop-free behavior up to 120 A/cm2 of injection current. The device was grown on a titanium-coated n-type silicon substrate, to improve current injection and heat dissipation. A narrow linewidth of 11.7 nm in the electroluminescence spectrum and a strong wavefunctions overlap factor of 42% confirm strong quantum confinement within uniformly formed AlGaN/AlGaN Qdisks, verified using transmission electron microscopy (TEM). The nitride-based UV nanowires light-emitting diodes (NWs-LEDs) grown on low cost and scalable metal/silicon template substrate, offers a scalable, environment friendly and low cost solution for numerous applications, such as solid-state lighting, spectroscopy, medical science and security.

  18. Measurement of steady-state minority-carrier transport parameters in heavily doped n-type silicon

    NASA Technical Reports Server (NTRS)

    Del Alamo, Jesus A.; Swanson, Richard M.

    1987-01-01

    The relevant hole transport and recombination parameters in heavily doped n-type silicon under steady state are the hole diffusion length and the product of the hole diffusion coefficient times the hole equilibrium concentration. These parameters have measured in phosphorus-doped silicon grown by epitaxy throughout nearly two orders of magnitude of doping level. Both parameters are found to be strong functions of donor concentration. The equilibrium hole concentration can be deduced from the measurement. A rigid shrinkage of the forbidden gap appears as the dominant heavy doping mechanism in phosphorus-doped silicon.

  19. SiN sub x passivation of silicon surfaces

    NASA Technical Reports Server (NTRS)

    Olsen, L. C.

    1986-01-01

    The objectives were to perform surface characterization of high efficiency n+/p and p+/n silicon cells, to relate surface density to substrate dopant concentration, and to identify dominant current loss mechanisms in high efficiency cells. The approach was to measure density of states on homogeneously doped substrates with high frequency C-V and Al/SiN sub x/Si structures; to investigate density of states and photoresponse of high efficiency N+/P and P+/N cells; and to conduct I-V-T studies to identify current loss nechanisms in high efficiency cells. Results are given in tables and graphs.

  20. AlGaN/GaN HEMT grown on large size silicon substrates by MOVPE capped with in-situ deposited Si 3N 4

    NASA Astrophysics Data System (ADS)

    Cheng, Kai; Leys, M.; Derluyn, J.; Degroote, S.; Xiao, D. P.; Lorenz, A.; Boeykens, S.; Germain, M.; Borghs, G.

    2007-01-01

    AlGaN/GaN high electron mobility transistors (HEMTs) have been grown on 4 and 6 in Si(1 1 1) substrates by metal organic vapor phase epitaxy (MOVPE). A record sheet resistance of 256 Ω/□ has been measured by contactless eddy current mapping on 4 in silicon substrates. The wafer also shows an excellent uniformity and the standard variation is 3.6 Ω/□ over the whole wafer. These values were confirmed by Hall-Van der Pauw measurements. In the 2DEG at the AlGaN/GaN interface, the electron mobility is in the range of 1500-1800 cm 2/Vs and the electron density is between 1.3×10 13 and 1.7×10 13 cm -2. The key step in obtaining these results is an in-situ deposited Si 3N 4 passivation layer. This in-situ Si 3N 4, deposited directly after AlGaN top layer growth in the MOVPE reactor chamber, not only prevents the stress relaxation in AlGaN/GaN hetero-structures but also passivates the surface states of the AlGaN cap layer. HEMT transistors have been processed on the epitaxial structures and the maximum source-drain current density is 1.1 A/mm for a gate-source voltage of 2 V. The current collapse is minimized thanks to in-situ Si 3N 4. First results on AlGaN/GaN structures grown on 6 in Si(1 1 1) are also presented.

  1. Effects of varying oxygen partial pressure on molten silicon-ceramic substrate interactions

    NASA Technical Reports Server (NTRS)

    Ownby, D. P.; Barsoum, M. W.

    1980-01-01

    The silicon sessile drop contact angle was measured on hot pressed silicon nitride, silicon nitride coated on hot pressed silicon nitride, silicon carbon coated on graphite, and on Sialon to determine the degree to which silicon wets these substances. The post-sessile drop experiment samples were sectioned and photomicrographs were taken of the silicon-substrate interface to observe the degree of surface dissolution and degradation. Of these materials, silicon did not form a true sessile drop on the SiC on graphite due to infiltration of the silicon through the SiC coating, nor on the Sialon due to the formation of a more-or-less rigid coating on the liquid silicon. The most wetting was obtained on the coated Si3N4 with a value of 42 deg. The oxygen concentrations in a silicon ribbon furnace and in a sessile drop furnace were measured using the protable thoria-yttria solid solution electrolyte oxygen sensor. Oxygen partial pressures of 10 to the minus 7 power atm and 10 to the minus 8 power atm were obtained at the two facilities. These measurements are believed to represent nonequilibrium conditions.

  2. Characterization of nanostructured CuO-porous silicon matrix formed on copper-coated silicon substrate via electrochemical etching

    NASA Astrophysics Data System (ADS)

    Naddaf, M.; Mrad, O.; Al-zier, A.

    2014-06-01

    A pulsed anodic etching method has been utilized for nanostructuring of a copper-coated p-type (100) silicon substrate, using HF-based solution as electrolyte. Scanning electron microscopy reveals the formation of a nanostructured matrix that consists of island-like textures with nanosize grains grown onto fiber-like columnar structures separated with etch pits of grooved porous structures. Spatial micro-Raman scattering analysis indicates that the island-like texture is composed of single-phase cupric oxide (CuO) nanocrystals, while the grooved porous structure is barely related to formation of porous silicon (PS). X-ray diffraction shows that both the grown CuO nanostructures and the etched silicon layer have the same preferred (220) orientation. Chemical composition obtained by means of X-ray photoelectron spectroscopic (XPS) analysis confirms the presence of the single-phase CuO on the surface of the patterned CuO-PS matrix. As compared to PS formed on the bare silicon substrate, the room-temperature photoluminescence (PL) from the CuO-PS matrix exhibits an additional weak `blue' PL band as well as a blue shift in the PL band of PS (S-band). This has been revealed from XPS analysis to be associated with the enhancement in the SiO2 content as well as formation of the carbonyl group on the surface in the case of the CuO-PS matrix.

  3. Polyelectrolyte multilayer-assisted fabrication of non-periodic silicon nanocolumn substrates for cellular interface applications

    NASA Astrophysics Data System (ADS)

    Lee, Seyeong; Kim, Dongyoon; Kim, Seong-Min; Kim, Jeong-Ah; Kim, Taesoo; Kim, Dong-Yu; Yoon, Myung-Han

    2015-08-01

    Recent advances in nanostructure-based biotechnology have resulted in a growing demand for vertical nanostructure substrates with elaborate control over the nanoscale geometry and a high-throughput preparation. In this work, we report the fabrication of non-periodic vertical silicon nanocolumn substrates via polyelectrolyte multilayer-enabled randomized nanosphere lithography. Owing to layer-by-layer deposited polyelectrolyte adhesives, uniformly-separated polystyrene nanospheres were securely attached on large silicon substrates and utilized as masks for the subsequent metal-assisted silicon etching in solution. Consequently, non-periodic vertical silicon nanocolumn arrays were successfully fabricated on a wafer scale, while each nanocolumn geometric factor, such as the diameter, height, density, and spatial patterning, could be fully controlled in an independent manner. Finally, we demonstrate that our vertical silicon nanocolumn substrates support viable cell culture with minimal cell penetration and unhindered cell motility due to the blunt nanocolumn morphology. These results suggest that vertical silicon nanocolumn substrates may serve as a useful cellular interface platform for performing a statistically meaningful number of cellular experiments in the fields of biomolecular delivery, stem cell research, etc.Recent advances in nanostructure-based biotechnology have resulted in a growing demand for vertical nanostructure substrates with elaborate control over the nanoscale geometry and a high-throughput preparation. In this work, we report the fabrication of non-periodic vertical silicon nanocolumn substrates via polyelectrolyte multilayer-enabled randomized nanosphere lithography. Owing to layer-by-layer deposited polyelectrolyte adhesives, uniformly-separated polystyrene nanospheres were securely attached on large silicon substrates and utilized as masks for the subsequent metal-assisted silicon etching in solution. Consequently, non-periodic vertical

  4. Effects of RF plasma treatment on spray-pyrolyzed copper oxide films on silicon substrates

    NASA Astrophysics Data System (ADS)

    Madera, Rozen Grace B.; Martinez, Melanie M.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    The effects of radio-frequency (RF) argon (Ar) plasma treatment on the structural, morphological, electrical and compositional properties of the spray-pyrolyzed p-type copper oxide films on n-type (100) silicon (Si) substrates were investigated. The films were successfully synthesized using 0.3 M copper acetate monohydrate sprayed on precut Si substrates maintained at 350 °C. X-ray diffraction revealed cupric oxide (CuO) with a monoclinic structure. An apparent improvement in crystallinity was realized after Ar plasma treatment, attributed to the removal of residues contaminating the surface. Scanning electron microscope images showed agglomerated monoclinic grains and revealed a reduction in size upon plasma exposure induced by the sputtering effect. The current-voltage characteristics of CuO/Si showed a rectifying behavior after Ar plasma exposure with an increase in turn-on voltage. Four-point probe measurements revealed a decrease in sheet resistance after plasma irradiation. Fourier transform infrared spectral analyses also showed O-H and C-O bands on the films. This work was able to produce CuO thin films via spray pyrolysis on Si substrates and enhancement in their properties by applying postdeposition Ar plasma treatment.

  5. Porous Silicon Covered with Silver Nanoparticles as Surface-Enhanced Raman Scattering (SERS) Substrate for Ultra-Low Concentration Detection.

    PubMed

    Kosović, Marin; Balarin, Maja; Ivanda, Mile; Đerek, Vedran; Marciuš, Marijan; Ristić, Mira; Gamulin, Ozren

    2015-12-01

    Microporous and macro-mesoporous silicon templates for surface-enhanced Raman scattering (SERS) substrates were produced by anodization of low doped p-type silicon wafers. By immersion plating in AgNO3, the templates were covered with silver metallic film consisting of different silver nanostructures. Scanning electron microscopy (SEM) micrographs of these SERS substrates showed diverse morphology with significant difference in an average size and size distribution of silver nanoparticles. Ultraviolet-visible-near-infrared (UV-Vis-NIR) reflection spectroscopy showed plasmonic absorption at 398 and 469 nm, which is in accordance with the SEM findings. The activity of the SERS substrates was tested using rhodamine 6G (R6G) dye molecules and 514.5 nm laser excitation. Contrary to the microporous silicon template, the SERS substrate prepared from macro-mesoporous silicon template showed significantly broader size distribution of irregular silver nanoparticles as well as localized surface plasmon resonance closer to excitation laser wavelength. Such silver morphology has high SERS sensitivity that enables ultralow concentration detection of R6G dye molecules up to 10(-15) M. To our knowledge, this is the lowest concentration detected of R6G dye molecules on porous silicon-based SERS substrates, which might even indicate possible single molecule detection.

  6. Fabricating amorphous silicon solar cells by varying the temperature _of the substrate during deposition of the amorphous silicon layer

    DOEpatents

    Carlson, David E.

    1982-01-01

    An improved process for fabricating amorphous silicon solar cells in which the temperature of the substrate is varied during the deposition of the amorphous silicon layer is described. Solar cells manufactured in accordance with this process are shown to have increased efficiencies and fill factors when compared to solar cells manufactured with a constant substrate temperature during deposition of the amorphous silicon layer.

  7. Silicon based substrate with calcium aluminosilicate environmental/thermal barrier layer

    NASA Technical Reports Server (NTRS)

    Eaton, Jr., Harry Edwin (Inventor); Allen, William Patrick (Inventor); Miller, Robert Alden (Inventor); Jacobson, Nathan S. (Inventor); Smialek, James L. (Inventor); Opila, Elizabeth J. (Inventor); Lee, Kang N. (Inventor); Nagaraj, Bangalore A. (Inventor); Wang, Hongyu (Inventor); Meschter, Peter Joel (Inventor)

    2001-01-01

    A barrier layer for a silicon containing substrate which inhibits the formation of gaseous species of silicon when exposed to a high temperature aqueous environment comprises a calcium alumino silicate.

  8. Towards substrate engineering of graphene-silicon Schottky diode photodetectors.

    PubMed

    Selvi, Hakan; Unsuree, Nawapong; Whittaker, Eric; Halsall, Matthew P; Hill, Ernie W; Thomas, Andrew; Parkinson, Patrick; Echtermeyer, Tim J

    2018-02-15

    Graphene-silicon Schottky diode photodetectors possess beneficial properties such as high responsivities and detectivities, broad spectral wavelength operation and high operating speeds. Various routes and architectures have been employed in the past to fabricate devices. Devices are commonly based on the removal of the silicon-oxide layer on the surface of silicon by wet-etching before deposition of graphene on top of silicon to form the graphene-silicon Schottky junction. In this work, we systematically investigate the influence of the interfacial oxide layer, the fabrication technique employed and the silicon substrate on the light detection capabilities of graphene-silicon Schottky diode photodetectors. The properties of devices are investigated over a broad wavelength range from near-UV to short-/mid-infrared radiation, radiation intensities covering over five orders of magnitude as well as the suitability of devices for high speed operation. Results show that the interfacial layer, depending on the required application, is in fact beneficial to enhance the photodetection properties of such devices. Further, we demonstrate the influence of the silicon substrate on the spectral response and operating speed. Fabricated devices operate over a broad spectral wavelength range from the near-UV to the short-/mid-infrared (thermal) wavelength regime, exhibit high photovoltage responses approaching 10 6 V W -1 and short rise- and fall-times of tens of nanoseconds.

  9. Method for forming metallic silicide films on silicon substrates by ion beam deposition

    DOEpatents

    Zuhr, Raymond A.; Holland, Orin W.

    1990-01-01

    Metallic silicide films are formed on silicon substrates by contacting the substrates with a low-energy ion beam of metal ions while moderately heating the substrate. The heating of the substrate provides for the diffusion of silicon atoms through the film as it is being formed to the surface of the film for interaction with the metal ions as they contact the diffused silicon. The metallic silicide films provided by the present invention are contaminant free, of uniform stoichiometry, large grain size, and exhibit low resistivity values which are of particular usefulness for integrated circuit production.

  10. Silicon carbide thyristor

    NASA Technical Reports Server (NTRS)

    Edmond, John A. (Inventor); Palmour, John W. (Inventor)

    1996-01-01

    The SiC thyristor has a substrate, an anode, a drift region, a gate, and a cathode. The substrate, the anode, the drift region, the gate, and the cathode are each preferably formed of silicon carbide. The substrate is formed of silicon carbide having one conductivity type and the anode or the cathode, depending on the embodiment, is formed adjacent the substrate and has the same conductivity type as the substrate. A drift region of silicon carbide is formed adjacent the anode or cathode and has an opposite conductivity type as the anode or cathode. A gate is formed adjacent the drift region or the cathode, also depending on the embodiment, and has an opposite conductivity type as the drift region or the cathode. An anode or cathode, again depending on the embodiment, is formed adjacent the gate or drift region and has an opposite conductivity type than the gate.

  11. Formation of porous silicon oxide from substrate-bound silicon rich silicon oxide layers by continuous-wave laser irradiation

    NASA Astrophysics Data System (ADS)

    Wang, Nan; Fricke-Begemann, Th.; Peretzki, P.; Ihlemann, J.; Seibt, M.

    2018-03-01

    Silicon nanocrystals embedded in silicon oxide that show room temperature photoluminescence (PL) have great potential in silicon light emission applications. Nanocrystalline silicon particle formation by laser irradiation has the unique advantage of spatially controlled heating, which is compatible with modern silicon micro-fabrication technology. In this paper, we employ continuous wave laser irradiation to decompose substrate-bound silicon-rich silicon oxide films into crystalline silicon particles and silicon dioxide. The resulting microstructure is studied using transmission electron microscopy techniques with considerable emphasis on the formation and properties of laser damaged regions which typically quench room temperature PL from the nanoparticles. It is shown that such regions consist of an amorphous matrix with a composition similar to silicon dioxide which contains some nanometric silicon particles in addition to pores. A mechanism referred to as "selective silicon ablation" is proposed which consistently explains the experimental observations. Implications for the damage-free laser decomposition of silicon-rich silicon oxides and also for controlled production of porous silicon dioxide films are discussed.

  12. Method of deposition of silicon carbide layers on substrates

    DOEpatents

    Angelini, P.; DeVore, C.E.; Lackey, W.J.; Blanco, R.E.; Stinton, D.P.

    1982-03-19

    A method for direct chemical vapor deposition of silicon carbide to substrates, especially nuclear waste particles, is provided by the thermal decomposition of methylsilane at 800 to 1050/sup 0/C when the substrates have been confined within a suitable coating environment.

  13. Method for enhancing the solubility of dopants in silicon

    DOEpatents

    Sadigh, Babak; Lenosky, Thomas J.; De La Rubia, Tomas Diaz

    2003-09-30

    A method for enhancing the equilibrium solid solubility of dopants in silicon, germanium and silicon-germanium alloys. The method involves subjecting silicon-based substrate to biaxial or compression strain. It has been determined that boron solubility was largely enhanced (more than 100%) by a compressive bi-axial strain, based on a size-mismatch theory since the boron atoms are smaller than the silicon atoms. It has been found that the large enhancement or mixing properties of dopants in silicon and germanium substrates is primarily governed by their, and to second order by their size-mismatch with the substrate. Further, it has been determined that the dopant solubility enhancement with strain is most effective when the charge and the size-mismatch of the impurity favor the same type of strain. Thus, the solid solubility of small p-type (e.g., boron) as well as large n-type (e.g., arsenic) dopants can be raised most dramatically by appropriate bi-axial (compressive) strain, and that solubility of a large p-type dopant (e.g, indium) in silicon will be raised due to size-mismatch with silicon, which favors tensile strain, while its negative charge prefers compressive strain, and thus the two effects counteract each other.

  14. Modification of surface properties of cellulosic substrates by quaternized silicone emulsions.

    PubMed

    Purohit, Parag S; Somasundaran, P

    2014-07-15

    The present work describes the effect of quaternization of silicones as well as the relevant treatment parameter pH on the frictional, morphological and relaxation properties of fabric substrates. Due to their unique surface properties, silicone polymers are extensively used to modify surface properties of various materials, although the effects of functionalization of silicones and relevant process conditions on modification of substrates are not well understood. Specifically we show a considerable reduction in fabric friction, roughness and waviness upon treatment with quaternized silicones. The treatment at acidic pH results in better deposition of silicone polymers onto the fabric as confirmed through streaming potential measurements which show charge reversal of the fabric. Interestingly, Raman spectroscopy studies show the band of C-O ring stretching mode at ∼1095 cm(-1) shift towards higher wavenumber indicating lowering of stress in fibers upon appropriate silicone treatment. Thus along with the morphological and frictional properties being altered, silicone treatment can lead to a reduction in fabric strain. It is concluded that the electrostatic interactions play an initial role in modification of the fiber substrate followed by multilayer deposition of polymer. This multi-technique approach to study fiber properties upon treatment by combining macro to molecular level methods has helped in understanding of new functional coating materials. Copyright © 2014 Elsevier Inc. All rights reserved.

  15. Indium-bump-free antimonide superlattice membrane detectors on silicon substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zamiri, M., E-mail: mzamiri@chtm.unm.edu, E-mail: skrishna@chtm.unm.edu; Klein, B.; Schuler-Sandy, T.

    2016-02-29

    We present an approach to realize antimonide superlattices on silicon substrates without using conventional Indium-bump hybridization. In this approach, PIN superlattices are grown on top of a 60 nm Al{sub 0.6}Ga{sub 0.4}Sb sacrificial layer on a GaSb host substrate. Following the growth, the individual pixels are transferred using our epitaxial-lift off technique, which consists of a wet-etch to undercut the pixels followed by a dry-stamp process to transfer the pixels to a silicon substrate prepared with a gold layer. Structural and optical characterization of the transferred pixels was done using an optical microscope, scanning electron microscopy, and photoluminescence. The interface betweenmore » the transferred pixels and the new substrate was abrupt, and no significant degradation in the optical quality was observed. An Indium-bump-free membrane detector was then fabricated using this approach. Spectral response measurements provided a 100% cut-off wavelength of 4.3 μm at 77 K. The performance of the membrane detector was compared to a control detector on the as-grown substrate. The membrane detector was limited by surface leakage current. The proposed approach could pave the way for wafer-level integration of photonic detectors on silicon substrates, which could dramatically reduce the cost of these detectors.« less

  16. Synthesis and characterization of silicon nanowire arrays for photovoltaic applications

    NASA Astrophysics Data System (ADS)

    Eichfeld, Sarah M.

    The overall objective of this thesis was the development of processes for the fabrication of radial p-n silicon nanowires (SiNWs) using bottom-up nanowire growth techniques on silicon and glass substrates. Vapor-liquid-solid (VLS) growth was carried out on Si(111) substrates using SiCl4 as the silicon precursor. Growth conditions including temperature, PSiCl4, PH2, and position were investigated to determine the optimum growth conditions for epitaxially oriented silicon nanowire arrays. The experiments revealed that the growth rate of the silicon nanowires exhibits a maximum as a function of PSiCl4 and P H2. Gas phase equilibrium calculations were used in conjunction with a mass transport model to explain the experimental data. The modeling results demonstrate a similar maximum in the mass of solid silicon predicted to form as a function of PSiCl4 and PH2, which results from a change in the gas phase concentration of SiHxCly and SiClx species. This results in a shift in the process from growth to etching with increasing PSiCl4. In general, for the atmospheric pressure conditions employed in this study, growth at higher temperatures >1000°C and higher SiCl4 concentrations gave the best results. The growth of silicon nanowire arrays on anodized alumina (AAO)-coated glass substrates was also investigated. Glass will not hold up to the high temperatures required for Si nanowire growth with SiCl4 so SiH 4 was used as the Si precursor instead. Initial studies were carried out to measure the resistivity of p-type and n-type silicon nanowires grown in freestanding AAO membranes. A series of nanowire samples were grown in which the doping and the nanowire length inside the membrane were varied. Circular metal contacts were deposited on the top surface of the membranes and the resistance of the nanowire arrays was measured. The measured resistance versus nanowire length was plotted and the nanowire resistivity was extracted from the slope. The resistivity of the silicon

  17. Cathodic cage plasma deposition of TiN and TiO{sub 2} thin films on silicon substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sousa, Romulo R. M. de; Sato, Patricia S.; Nascente, Pedro A. P., E-mail: nascente@ufscar.br

    2015-07-15

    Cathodic cage plasma deposition (CCPD) was used for growing titanium nitride (TiN) and titanium dioxide (TiO{sub 2}) thin films on silicon substrates. The main advantages of the CCPD technique are the uniformity, tridimensionality, and high rate of the film deposition that occurs at higher pressures, lower temperatures, and lower treatment times than those used in conventional nitriding treatments. In this work, the influence of the temperature and gas atmosphere upon the characteristics of the deposited films was investigated. The TiN and TiO{sub 2} thin films were characterized by x-ray diffraction, scanning electron microscopy, and Raman spectroscopy to analyze their chemical,more » structural, and morphological characteristics, and the combination of these results indicates that the low-cost CCPD technique can be used to produce even and highly crystalline TiN and TiO{sub 2} films.« less

  18. RF performances of inductors integrated on localized p+-type porous silicon regions

    PubMed Central

    2012-01-01

    To study the influence of localized porous silicon regions on radiofrequency performances of passive devices, inductors were integrated on localized porous silicon regions, full porous silicon sheet, bulk silicon and glass substrates. In this work, a novel strong, resistant fluoropolymer mask is introduced to localize the porous silicon on the silicon wafer. Then, the quality factors and resonant frequencies obtained with the different substrates are presented. A first comparison is done between the performances of inductors integrated on same-thickness localized and full porous silicon sheet layers. The effect of the silicon regions in the decrease of performances of localized porous silicon is discussed. Then, the study shows that the localized porous silicon substrate significantly reduces losses in comparison with high-resistivity silicon or highly doped silicon bulks. These results are promising for the integration of both passive and active devices on the same silicon/porous silicon hybrid substrate. PMID:23009746

  19. Hexagonal AlN Layers Grown on Sulfided Si(100) Substrate

    NASA Astrophysics Data System (ADS)

    Bessolov, V. N.; Gushchina, E. V.; Konenkova, E. V.; L'vova, T. V.; Panteleev, V. N.; Shcheglov, M. P.

    2018-01-01

    We have studied the influence of sulfide passivation on the initial stages of aluminum nitride (AlN)-layer nucleation and growth by hydride vapor-phase epitaxy (HVPE) on (100)-oriented single-crystalline silicon substrates. It is established that the substrate pretreatment in (NH4)2S aqueous solution leads to the columnar nucleation of hexagonal AlN crystals of two modifications rotated by 30° relative to each other. Based on the sulfide treatment, a simple method of oxide removal from and preparation of Si(100) substrate surface is developed that can be used for the epitaxial growth of group-III nitride layers.

  20. Hybrid stretchable circuits on silicone substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Robinson, A., E-mail: adam.1.robinson@nokia.com; Aziz, A., E-mail: a.aziz1@lancaster.ac.uk; Liu, Q.

    When rigid and stretchable components are integrated onto a single elastic carrier substrate, large strain heterogeneities appear in the vicinity of the deformable-non-deformable interfaces. In this paper, we report on a generic approach to manufacture hybrid stretchable circuits where commercial electronic components can be mounted on a stretchable circuit board. Similar to printed circuit board development, the components are electrically bonded on the elastic substrate and interconnected with stretchable electrical traces. The substrate—a silicone matrix carrying concentric rigid disks—ensures both the circuit elasticity and the mechanical integrity of the most fragile materials.

  1. Chemical bath deposited (CBD) CuO thin films on n-silicon substrate for electronic and optical applications: Impact of growth time

    NASA Astrophysics Data System (ADS)

    Sultana, Jenifar; Paul, Somdatta; Karmakar, Anupam; Yi, Ren; Dalapati, Goutam Kumar; Chattopadhyay, Sanatan

    2017-10-01

    Thin film of p-type cupric oxide (p-CuO) is grown on silicon (n-Si) substrate by using chemical bath deposition (CBD) technique and a precise control of thickness from 60 nm to 178 nm has been achieved. The structural properties and stoichiometric composition of the grown films are observed to depend significantly on the growth time. The chemical composition, optical properties, and structural quality are investigated in detail by employing XRD, ellipsometric measurements and SEM images. Also, the elemental composition and the oxidation states of Cu and O in the grown samples have been studied in detail by XPS measurements. Thin film of 110 nm thicknesses exhibited the best performance in terms of crystal quality, refractive index, dielectric constant, band-gap, and optical properties. The study suggests synthesis route for developing high quality CuO thin film using CBD method for electronic and optical applications.

  2. Transfer of micro and nano-photonic silicon nanomembrane waveguide devices on flexible substrates.

    PubMed

    Ghaffari, Afshin; Hosseini, Amir; Xu, Xiaochuan; Kwong, David; Subbaraman, Harish; Chen, Ray T

    2010-09-13

    This paper demonstrates transfer of optical devices without extra un-patterned silicon onto low-cost, flexible plastic substrates using single-crystal silicon nanomembranes. Employing this transfer technique, stacking two layers of silicon nanomembranes with photonic crystal waveguide in the first layer and multi mode interference couplers in the second layer is shown, respectively. This technique is promising to realize high density integration of multilayer hybrid structures on flexible substrates.

  3. Synthesis of Poly-Silicon Thin Films on Glass Substrate Using Laser Initiated Metal Induced Crystallization of Amorphous Silicon for Space Power Application

    NASA Technical Reports Server (NTRS)

    Abu-Safe, Husam H.; Naseem, Hameed A.; Brown, William D.

    2007-01-01

    Poly-silicon thin films on glass substrates are synthesized using laser initiated metal induced crystallization of hydrogenated amorphous silicon films. These films can be used to fabricate solar cells on low cost glass and flexible substrates. The process starts by depositing 200 nm amorphous silicon films on the glass substrates. Following this, 200 nm of sputtered aluminum films were deposited on top of the silicon layers. The samples are irradiated with an argon ion cw laser beam for annealing. Laser power densities ranging from 4 to 9 W/cm2 were used in the annealing process. Each area on the sample is irradiated for a different exposure time. Optical microscopy was used to examine any cracks in the films and loss of adhesion to the substrates. X-Ray diffraction patterns from the initial results indicated the crystallization in the films. Scanning electron microscopy shows dendritic growth. The composition analysis of the crystallized films was conducted using Energy Dispersive x-ray Spectroscopy. The results of poly-silicon films synthesis on space qualified flexible substrates such as Kapton are also presented.

  4. Wet-chemical passivation of atomically flat and structured silicon substrates for solar cell application

    NASA Astrophysics Data System (ADS)

    Angermann, H.; Rappich, J.; Korte, L.; Sieber, I.; Conrad, E.; Schmidt, M.; Hübener, K.; Polte, J.; Hauschild, J.

    2008-04-01

    Special sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of differently oriented silicon to prepare very smooth silicon interfaces with excellent electronic properties on mono- and poly-crystalline substrates. Surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and scanning electron microscopy (SEM) investigations were utilised to develop wet-chemical smoothing procedures for atomically flat and structured surfaces, respectively. Hydrogen-termination as well as passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological processing. Compared to conventional pre-treatments, significantly lower micro-roughness and densities of surface states were achieved on mono-crystalline Si(100), on evenly distributed atomic steps, such as on vicinal Si(111), on silicon wafers with randomly distributed upside pyramids, and on poly-crystalline EFG ( Edge-defined Film-fed- Growth) silicon substrates. The recombination loss at a-Si:H/c-Si interfaces prepared on c-Si substrates with randomly distributed upside pyramids was markedly reduced by an optimised wet-chemical smoothing procedure, as determined by PL measurements. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H(n)/c-Si(p)/Al) with textured c-Si substrates the smoothening procedure results in a significant increase of short circuit current Isc, fill factor and efficiency η. The scatter in the cell parameters for measurements on different cells is much narrower, as compared to conventional pre-treatments, indicating more well-defined and reproducible surface conditions prior to a-Si:H emitter deposition and/or a higher stability of the c-Si surface against variations in the a-Si:H deposition conditions.

  5. Highly stable, protein resistant thin films on SiC-modified silicon substrates.

    PubMed

    Qin, Guoting; Zhang, Rui; Makarenko, Boris; Kumar, Amit; Rabalais, Wayne; López Romero, J Manuel; Rico, Rodrigo; Cai, Chengzhi

    2010-05-21

    Thin films terminated with oligo(ethylene glycol) (OEG) could be photochemically grafted onto ultrathin silicon carbide layers that were generated on silicon substrates via carbonization with acetylene at 820 degrees C. The OEG coating reduced the non-specific adsorption of fibrinogen on the substrates by 99.5% and remained resistant after storage in PBS for 4 weeks at 37 degrees C.

  6. Low resistance Ohmic contact to p-type crystalline silicon via nitrogen-doped copper oxide films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Xinyu, E-mail: xinyu.zhang@anu.edu.au; Wan, Yimao; Bullock, James

    2016-08-01

    This work explores the application of transparent nitrogen doped copper oxide (CuO{sub x}:N) films deposited by reactive sputtering to create hole-selective contacts for p-type crystalline silicon (c-Si) solar cells. It is found that CuO{sub x}:N sputtered directly onto crystalline silicon is able to form an Ohmic contact. X-ray photoelectron spectroscopy and Raman spectroscopy measurements are used to characterise the structural and physical properties of the CuO{sub x}:N films. Both the oxygen flow rate and the substrate temperature during deposition have a significant impact on the film composition, as well as on the resulting contact resistivity. After optimization, a low contactmore » resistivity of ∼10 mΩ cm{sup 2} has been established. This result offers significant advantages over conventional contact structures in terms of carrier transport and device fabrication.« less

  7. Uniformity and passivation research of Al2O3 film on silicon substrate prepared by plasma-enhanced atom layer deposition.

    PubMed

    Jia, Endong; Zhou, Chunlan; Wang, Wenjing

    2015-01-01

    Plasma-enhanced atom layer deposition (PEALD) can deposit denser films than those prepared by thermal ALD. But the improvement on thickness uniformity and the decrease of defect density of the films deposited by PEALD need further research. A PEALD process from trimethyl-aluminum (TMA) and oxygen plasma was investigated to study the influence of the conditions with different plasma powers and deposition temperatures on uniformity and growth rate. The thickness and refractive index of films were measured by ellipsometry, and the passivation effect of alumina on n-type silicon before and after annealing was measured by microwave photoconductivity decay method. Also, the effects of deposition temperature and annealing temperature on effective minority carrier lifetime were investigated. Capacitance-voltage and conductance-voltage measurements were used to investigate the interface defect density of state (D it) of Al2O3/Si. Finally, Al diffusion P(+) emitter on n-type silicon was passivated by PEALD Al2O3 films. The conclusion is that the condition of lower substrate temperature accelerates the growth of films and that the condition of lower plasma power controls the films' uniformity. The annealing temperature is higher for samples prepared at lower substrate temperature in order to get the better surface passivation effects. Heavier doping concentration of Al increased passivation quality after annealing by the effective minority carrier lifetime up to 100 μs.

  8. Formation of thin-film resistors on silicon substrates

    DOEpatents

    Schnable, George L.; Wu, Chung P.

    1988-11-01

    The formation of thin-film resistors by the ion implantation of a metallic conductive layer in the surface of a layer of phosphosilicate glass or borophosphosilicate glass which is deposited on a silicon substrate. The metallic conductive layer materials comprise one of the group consisting of tantalum, ruthenium, rhodium, platinum and chromium silicide. The resistor is formed and annealed prior to deposition of metal, e.g. aluminum, on the substrate.

  9. MBE growth and optical properties of GaN layers on SiC/Si(111) hybrid substrate

    NASA Astrophysics Data System (ADS)

    Reznik, R. R.; Kotlyar, K. P.; Soshnikov, I. P.; Kukushkin, S. A.; Osipov, A. V.; Nikitina, E. V.; Cirlin, G. E.

    2017-11-01

    The fundamental possibility of the growth of GaN layers by molecular-beam epitaxy on a silicon substrate with nanoscale buffer layer of silicon carbide without any AlN layers has been demonstrated for the first time. Morphological properties of the resulting system have been studied.

  10. Spectroellipsometric detection of silicon substrate damage caused by radiofrequency sputtering of niobium oxide

    NASA Astrophysics Data System (ADS)

    Lohner, Tivadar; Serényi, Miklós; Szilágyi, Edit; Zolnai, Zsolt; Czigány, Zsolt; Khánh, Nguyen Quoc; Petrik, Péter; Fried, Miklós

    2017-11-01

    Substrate surface damage induced by deposition of metal atoms by radiofrequency (rf) sputtering or ion beam sputtering onto single-crystalline silicon (c-Si) surface has been characterized earlier by electrical measurements. The question arises whether it is possible to characterize surface damage using spectroscopic ellipsometry (SE). In our experiments niobium oxide layers were deposited by rf sputtering on c-Si substrates in gas mixture of oxygen and argon. Multiple angle of incidence spectroscopic ellipsometry measurements were performed, a four-layer optical model (surface roughness layer, niobium oxide layer, native silicon oxide layer and ion implantation-amorphized silicon [i-a-Si] layer on a c-Si substrate) was created in order to evaluate the spectra. The evaluations yielded thicknesses of several nm for the i-a-Si layer. Better agreement could be achieved between the measured and the generated spectra by inserting a mixed layer (with components of c-Si and i-a-Si applying the effective medium approximation) between the silicon oxide layer and the c-Si substrate. High depth resolution Rutherford backscattering (RBS) measurements were performed to investigate the interface disorder between the deposited niobium oxide layer and the c-Si substrate. Atomic resolution cross-sectional transmission electron microscopy investigation was applied to visualize the details of the damaged subsurface region of the substrate.

  11. Wetting of silicone oil onto a cell-seeded substrate

    NASA Astrophysics Data System (ADS)

    Lu, Yongjie; Chan, Yau Kei; Chao, Youchuang; Shum, Ho Cheung

    2017-11-01

    Wetting behavior of solid substrates in three-phase systems containing two immiscible liquids are widely studied. There exist many three-phase systems in biological environments, such as droplet-based microfluidics or tamponade of silicone oil for eye surgery. However, few studies focus on wetting behavior of biological surfaces with cells. Here we investigate wetting of silicone oil onto cell-seeded PMMA sheet immersed in water. Using a simple parallel-plate cell, we show the effect of cell density, viscosity of silicone oil, morphology of silicone oil drops and interfacial tension on the wetting phenomenon. The dynamics of wetting is also observed by squeezing silicone oil drop using two parallel plates. Experimental results are explained based on disjoining pressure which is dependent on the interaction of biological surfaces and liquid used. These findings are useful for explaining emulsification of silicone oil in ophthalmological applications.

  12. N-type nano-silicon powders with ultra-low electrical resistivity as anode materials in lithium ion batteries

    NASA Astrophysics Data System (ADS)

    Yue, Zhihao; Zhou, Lang; Jin, Chenxin; Xu, Guojun; Liu, Liekai; Tang, Hao; Li, Xiaomin; Sun, Fugen; Huang, Haibin; Yuan, Jiren

    2017-06-01

    N-type silicon wafers with electrical resistivity of 0.001 Ω cm were ball-milled to powders and part of them was further mechanically crushed by sand-milling to smaller particles of nano-size. Both the sand-milled and ball-milled silicon powders were, respectively, mixed with graphite powder (silicon:graphite = 5:95, weight ratio) as anode materials for lithium ion batteries. Electrochemical measurements, including cycle and rate tests, present that anode using sand-milled silicon powder performed much better. The first discharge capacity of sand-milled silicon anode is 549.7 mAh/g and it is still up to 420.4 mAh/g after 100 cycles. Besides, the D50 of sand-milled silicon powder shows ten times smaller in particle size than that of ball-milled silicon powder, and they are 276 nm and 2.6 μm, respectively. In addition, there exist some amorphous silicon components in the sand-milled silicon powder excepting the multi-crystalline silicon, which is very different from the ball-milled silicon powder made up of multi-crystalline silicon only.

  13. Studies of silicon p-n junction solar cells. [open circuit photovoltage

    NASA Technical Reports Server (NTRS)

    Lindholm, F. A.

    1976-01-01

    Single crystal silicon p-n junction solar cells made with low resistivity substrates show poorer solar energy conversion efficiency than traditional theory predicts. The physical mechanisms responsible for this discrepancy are identified and characterized. The open circuit voltage in shallow junction cells of about 0.1 ohm/cm substrate resistivity is investigated under AMO (one sun) conditions.

  14. Impact of Substrate Types on Structure and Emission of ZnO Nanocrystalline Films

    NASA Astrophysics Data System (ADS)

    Ballardo Rodriguez, I. Ch.; El Filali, B.; Díaz Cano, A. I.; Torchynska, T. V.

    2018-02-01

    Zinc oxide (ZnO) films were simultaneously synthesized by an ultrasonic spray pyrolysis (USP) method on p-type Si (100), silicon carbide polytype [6H-SiC (0001)], porous 6H-SiC and amorphous glass substrates with the aim of studying the impact of substrate types on the structure and emission of ZnO nanocrystalline films. Porous silicon carbide (P-SiC) was prepared by the electrochemical anodization method at a constant potential of 20 V and etching time of 12 min. ZnO films grown on the SiC and P-SiC substrates are characterized by a wurtzite crystal structure with preferential growth along the (002) direction and with grain sizes of 90-180 and 70-160 nm, respectively. ZnO films grown on the Si substrate have just some small irregular hexagonal islands. The amorphous glass substrate did not promote the formation of any regular crystal forms. The obtained x-ray diffraction and photoluminescence (PL) results have shown that the better ZnO film crystallinity and high PL intensity of near-band edge emissions were achieved in the films grown on the porous SiC and SiC substrates. The preferential growth and crystalline nature of ZnO films on the SiC substrate have been discussed from the point of view of the lattice parameter compatibility between ZnO and SiC crystals.

  15. Electrical properties of n-type GaSb substrates and p-type GaSb buffer layers for InAs/InGaSb superlattice infrared detectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mitchel, W. C., E-mail: William.Mitchel.1@us.af.mil; Haugan, H. J.; Mou, Shin

    2015-09-15

    Lightly doped n-type GaSb substrates with p-type GaSb buffer layers are the preferred templates for growth of InAs/InGaSb superlattices used in infrared detector applications because of relatively high infrared transmission and a close lattice match to the superlattices. We report here temperature dependent resistivity and Hall effect measurements of bare substrates and substrate-p-type buffer layer structures grown by molecular beam epitaxy. Multicarrier analysis of the resistivity and Hall coefficient data demonstrate that high temperature transport in the substrates is due to conduction in both the high mobility zone center Γ band and the low mobility off-center L band. High overallmore » mobility values indicate the absence of close compensation and that improved infrared and transport properties were achieved by a reduction in intrinsic acceptor concentration. Standard transport measurements of the undoped buffer layers show p-type conduction up to 300 K indicating electrical isolation of the buffer layer from the lightly n-type GaSb substrate. However, the highest temperature data indicate the early stages of the expected p to n type conversion which leads to apparent anomalously high carrier concentrations and lower than expected mobilities. Data at 77 K indicate very high quality buffer layers.« less

  16. Plasma-deposited fluoropolymer film mask for local porous silicon formation

    PubMed Central

    2012-01-01

    The study of an innovative fluoropolymer masking layer for silicon anodization is proposed. Due to its high chemical resistance to hydrofluoric acid even under anodic bias, this thin film deposited by plasma has allowed the formation of deep porous silicon regions patterned on the silicon wafer. Unlike most of other masks, fluoropolymer removal after electrochemical etching is rapid and does not alter the porous layer. Local porous regions were thus fabricated both in p+-type and low-doped n-type silicon substrates. PMID:22734507

  17. Analysis of epitaxial drift field N on P silicon solar cells

    NASA Technical Reports Server (NTRS)

    Baraona, C. R.; Brandhorst, H. W., Jr.

    1976-01-01

    The performance of epitaxial drift field silicon solar cell structures having a variety of impurity profiles was calculated. These structures consist of a uniformly doped P-type substrate layer, and a P-type epitaxial drift field layer with a variety of field strengths. Several N-layer structures were modeled. A four layer solar cell model was used to calculate efficiency, open circuit voltage and short circuit current. The effect on performance of layer thickness, doping level, and diffusion length was determined. The results show that peak initial efficiency of 18.1% occurs for a drift field thickness of about 30 micron with the doping rising from 10 to the 17th power atoms/cu cm at the edge of the depletion region to 10 to the 18th power atoms/cu cm in the substrate. Stronger drift fields (narrow field regions) allowed very high performance (17% efficiency) even after irradiation to 3x10 to the 14th power 1 MeV electrons/sq cm.

  18. Rough SERS substrate based on gold coated porous silicon layer prepared on the silicon backside surface

    NASA Astrophysics Data System (ADS)

    Dridi, H.; Haji, L.; Moadhen, A.

    2017-04-01

    We report in this paper a novel method to elaborate rough Surface Enhanced Raman Scattering (SERS) substrate. A single layer of porous silicon was formed on the silicon backside surface. Morphological characteristics of the porous silicon layer before and after gold deposition were influenced by the rough character (gold size). The reflectance measurements showed a dependence of the gold nano-grains size on the surface nature, through the Localized Surface Plasmon (LSP) band properties. SERS signal of Rhodamine 6G used as a model analyte, adsorbed on the rough porous silicon layer revealed a marked enhancement of its vibrational modes intensities.

  19. Fabrication of n-type Si nanostructures by direct nanoimprinting with liquid-Si ink

    NASA Astrophysics Data System (ADS)

    Takagishi, Hideyuki; Masuda, Takashi; Yamazaki, Ken; Shimoda, Tatsuya

    2018-01-01

    Nanostructures of n-type amorphous silicon (a-Si) and polycrystalline silicon (poly-Si) with a height of 270 nm and line widths of 110-165 nm were fabricated directly onto a substrate through a simple imprinting process that does not require vacuum conditions or photolithography. The n-type Liquid-Si ink was synthesized via photopolymerization of cyclopentasilane (Si5H10) and white phosphorus (P4). By raising the temperature from 160 °C to 200 °C during the nanoimprinting process, well-defined angular patterns were fabricated without any cracking, peeling, or deflections. After the nanoimprinting process, a-Si was produced by heating the nanostructures at 400°C-700 °C, and poly-Si was produced by heating at 800 °C. The dopant P diffuses uniformly in the Si films, and its concentration can be controlled by varying the concentration of P4 in the ink. The specific resistance of the n-type poly-Si pattern was 7.0 × 10-3Ω ṡ cm, which is comparable to the specific resistance of flat n-type poly-Si films.

  20. Giant Dirac point shift of graphene phototransistors by doped silicon substrate current

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shimatani, Masaaki; Ogawa, Shinpei, E-mail: Ogawa.Shimpei@eb.MitsubishiElectric.co.jp; Fujisawa, Daisuke

    2016-03-15

    Graphene is a promising new material for photodetectors due to its excellent optical properties and high-speed response. However, graphene-based phototransistors have low responsivity due to the weak light absorption of graphene. We have observed a giant Dirac point shift upon white light illumination in graphene-based phototransistors with n-doped Si substrates, but not those with p-doped substrates. The source-drain current and substrate current were investigated with and without illumination for both p-type and n-type Si substrates. The decay time of the drain-source current indicates that the Si substrate, SiO{sub 2} layer, and metal electrode comprise a metal-oxide-semiconductor (MOS) capacitor due tomore » the presence of defects at the interface between the Si substrate and SiO{sub 2} layer. The difference in the diffusion time of the intrinsic major carriers (electrons) and the photogenerated electron-hole pairs to the depletion layer delays the application of the gate voltage to the graphene channel. Therefore, the giant Dirac point shift is attributed to the n-type Si substrate current. This phenomenon can be exploited to realize high-performance graphene-based phototransistors.« less

  1. Method of enhancing the electronic properties of an undoped and/or N-type hydrogenated amorphous silicon film

    DOEpatents

    Carlson, David E.

    1980-01-01

    The dark conductivity and photoconductivity of an N-type and/or undoped hydrogenated amorphous silicon layer fabricated by an AC or DC proximity glow discharge in silane can be increased through the incorporation of argon in an amount from 10 to about 90 percent by volume of the glow discharge atmosphere which contains a silicon-hydrogen containing compound in an amount of from about 90 to about 10 volume percent.

  2. Rapid Growth of Nanostructured Diamond Film on Silicon and Ti–6Al–4V Alloy Substrates

    PubMed Central

    Samudrala, Gopi K.; Vohra, Yogesh K.; Walock, Michael J.; Miles, Robin

    2014-01-01

    Nanostructured diamond (NSD) films were grown on silicon and Ti–6Al–4V alloy substrates by microwave plasma chemical vapor deposition (MPCVD). NSD Growth rates of 5 μm/h on silicon, and 4 μm/h on Ti–6Al–4V were achieved. In a chemistry of H2/CH4/N2, varying ratios of CH4/H2 and N2/CH4 were employed in this research and their effect on the resulting diamond films were studied by X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, and atomic force microscopy. As a result of modifying the stock cooling stage of CVD system, we were able to utilize plasma with high power densities in our NSD growth experiments, enabling us to achieve high growth rates. Substrate temperature and N2/CH4 ratio have been found to be key factors in determining the diamond film quality. NSD films grown as part of this study were shown to contain 85% to 90% sp3 bonded carbon. PMID:28788461

  3. Plasmonic integrated circuits comprising metal waveguides, multiplexer/demultiplexer, detectors, and logic circuits on a silicon substrate

    NASA Astrophysics Data System (ADS)

    Fukuda, M.; Ota, M.; Sumimura, A.; Okahisa, S.; Ito, M.; Ishii, Y.; Ishiyama, T.

    2017-05-01

    A plasmonic integrated circuit configuration comprising plasmonic and electronic components is presented and the feasibility for high-speed signal processing applications is discussed. In integrated circuits, plasmonic signals transmit data at high transfer rates with light velocity. Plasmonic and electronic components such as wavelength-divisionmultiplexing (WDM) networks comprising metal wires, plasmonic multiplexers/demultiplexers, and crossing metal wires are connected via plasmonic waveguides on the nanometer or micrometer scales. To merge plasmonic and electronic components, several types of plasmonic components were developed. To ensure that the plasmonic components could be easily fabricated and monolithically integrated onto a silicon substrate using silicon complementary metal-oxide-semiconductor (CMOS)-compatible processes, the components were fabricated on a Si substrate and made from silicon, silicon oxides, and metal; no other materials were used in the fabrication. The plasmonic components operated in the 1300- and 1550-nm-wavelength bands, which are typically employed in optical fiber communication systems. The plasmonic logic circuits were formed by patterning a silicon oxide film on a metal film, and the operation as a half adder was confirmed. The computed plasmonic signals can propagate through the plasmonic WDM networks and be connected to electronic integrated circuits at high data-transfer rates.

  4. Silicon thin-film transistor backplanes on flexible substrates

    NASA Astrophysics Data System (ADS)

    Kattamis, Alexis Z.

    Flexible large area electronics, especially for displays, is a rapidly growing field. Since hydrogenated amorphous silicon thin-film transistors (a-Si:H TFTs) have become the industry standard for liquid crystal displays, it makes sense that they be used in any transition from glass substrates to flexible substrates. The goal of this thesis work was to implement a-Si:H backplane technology on stainless steel and clear plastic substrates, with minimal recipe changes to ensure high device quality. When fabricating TFTs on flexible substrates many new issues arise, from thin-film fracture to overlay alignment errors. Our approach was to maintain elevated deposition temperatures (˜300°C) and engineer methods to minimize these problems, rather than reducing deposition temperatures. The resulting TFTs exhibit more stable operation than their low temperature counterparts and are therefore similar to the TFTs produced on glass. Two display projects using a-Si:H TFTs will be discussed in detail. They are an active-matrix organic light emitting display (AMOLED) on stainless steel and an active-matrix electrophoretic display (AMEPD) on clear plastic, with TFTs deposited at 250°C-280°C. Achieving quality a-Si:H TFTs on these substrates required addressing a host of technical challenges, including surface roughness and feature misalignment. Nanocrystalline silicon (nc-Si) was also implemented on a clear plastic substrate as a possible alternative to a-Si:H. nc-Si:H TFTs can be deposited using the same techniques as a-Si:H but yield carrier mobilities one order of magnitude greater. Their large mobilities could enable high resolution OLED displays and system-on-panel electronics.

  5. Growth of carbon nanotubes by Fe-catalyzed chemical vapor processes on silicon-based substrates

    NASA Astrophysics Data System (ADS)

    Angelucci, Renato; Rizzoli, Rita; Vinciguerra, Vincenzo; Fortuna Bevilacqua, Maria; Guerri, Sergio; Corticelli, Franco; Passini, Mara

    2007-03-01

    In this paper, a site-selective catalytic chemical vapor deposition synthesis of carbon nanotubes on silicon-based substrates has been developed in order to get horizontally oriented nanotubes for field effect transistors and other electronic devices. Properly micro-fabricated silicon oxide and polysilicon structures have been used as substrates. Iron nanoparticles have been obtained both from a thin Fe film evaporated by e-gun and from iron nitrate solutions accurately dispersed on the substrates. Single-walled nanotubes with diameters as small as 1 nm, bridging polysilicon and silicon dioxide “pillars”, have been grown. The morphology and structure of CNTs have been characterized by SEM, AFM and Raman spectroscopy.

  6. Substrate nitridation induced modulations in transport properties of wurtzite GaN/p-Si (100) heterojunctions grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhat, Thirumaleshwara N.; Rajpalke, Mohana K.; Krupanidhi, S. B.

    Phase pure wurtzite GaN films were grown on Si (100) substrates by introducing a silicon nitride layer followed by low temperature GaN growth as buffer layers. GaN films grown directly on Si (100) were found to be phase mixtured, containing both cubic ({beta}) and hexagonal ({alpha}) modifications. The x-ray diffraction (XRD), scanning electron microscopy (SEM), photoluminescence (PL) spectroscopy studies reveal that the significant enhancement in the structural as well as in the optical properties of GaN films grown with silicon nitride buffer layer grown at 800 deg. C when compared to the samples grown in the absence of silicon nitridemore » buffer layer and with silicon nitride buffer layer grown at 600 deg. C. Core-level photoelectron spectroscopy of Si{sub x}N{sub y} layers reveals the sources for superior qualities of GaN epilayers grown with the high temperature substrate nitridation process. The discussion has been carried out on the typical inverted rectification behavior exhibited by n-GaN/p-Si heterojunctions. Considerable modulation in the transport mechanism was observed with the nitridation conditions. The heterojunction fabricated with the sample of substrate nitridation at high temperature exhibited superior rectifying nature with reduced trap concentrations. Lowest ideality factors ({approx}1.5) were observed in the heterojunctions grown with high temperature substrate nitridation which is attributed to the recombination tunneling at the space charge region transport mechanism at lower voltages and at higher voltages space charge limited current conduction is the dominating transport mechanism. Whereas, thermally generated carrier tunneling and recombination tunneling are the dominating transport mechanisms in the heterojunctions grown without substrate nitridation and low temperature substrate nitridation, respectively.« less

  7. Evaluation of substrate noise suppression method to mitigate crosstalk among trough-silicon vias

    NASA Astrophysics Data System (ADS)

    Araga, Yuuki; Kikuchi, Katsuya; Aoyagi, Masahiro

    2018-04-01

    Substrate noise from a single through-silicon via (TSV) and the noise attenuation by a substrate tap and a guard ring are clarified. A CMOS test vehicle is designed, and 6-µm-diameter TSVs are manufactured on a 20-µm-thick silicon substrate by the via-last method. An on-chip waveform-capturing circuitry is embedded in the test vehicle to capture transient waveforms of substrate noise. The embedded waveform-capturing circuitry demonstrates small and local noise propagation. Experimental results show increased substrate noise level induced by TSVs and the effectiveness of the substrate tap and guard ring for mitigating the crosstalk among TSVs. An analytical model to explain substrate noise propagation is developed to validate experimental results. Results obtained using the substrate model with a multilayer mesh shows good consistency with experimental results, indicating that the model can be used for examination of noise suppression methods.

  8. Microdynamic Devices Fabricated on Silicon-On-Sapphire Substrates.

    DTIC Science & Technology

    Silicon-on-sapphire substrates are provided for the fabrication of micromechanical devices, such as micromotors . The high voltage stand-off...a consequence, the electrostatically driven devices, micromotors , can be incorporated in the integrated circuits and yet be powered at elevated voltages to increase their work potential.

  9. Method of deposition of silicon carbide layers on substrates and product

    DOEpatents

    Angelini, Peter; DeVore, Charles E.; Lackey, Walter J.; Blanco, Raymond E.; Stinton, David P.

    1984-01-01

    A method for direct chemical vapor deposition of silicon carbide to substrates, especially nuclear waste particles, is provided by the thermal decomposition of methylsilane at about 800.degree. C. to 1050.degree. C. when the substrates have been confined within a suitable coating environment.

  10. 229 nm UV LEDs on aluminum nitride single crystal substrates using p-type silicon for increased hole injection

    NASA Astrophysics Data System (ADS)

    Liu, Dong; Cho, Sang June; Park, Jeongpil; Seo, Jung-Hun; Dalmau, Rafael; Zhao, Deyin; Kim, Kwangeun; Gong, Jiarui; Kim, Munho; Lee, In-Kyu; Albrecht, John D.; Zhou, Weidong; Moody, Baxter; Ma, Zhenqiang

    2018-02-01

    AlGaN based 229 nm light emitting diodes (LEDs), employing p-type Si to significantly increase hole injection, were fabricated on single crystal bulk aluminum nitride (AlN) substrates. Nitride heterostructures were epitaxially deposited by organometallic vapor phase epitaxy and inherit the low dislocation density of the native substrate. Following epitaxy, a p-Si layer is bonded to the heterostructure. LEDs were characterized both electrically and optically. Owing to the low defect density films, large concentration of holes from p-Si, and efficient hole injection, no efficiency droop was observed up to a current density of 76 A/cm2 under continuous wave operation and without external thermal management. An optical output power of 160 μW was obtained with the corresponding external quantum efficiency of 0.03%. This study demonstrates that by adopting p-type Si nanomembrane contacts as a hole injector, practical levels of hole injection can be realized in UV light-emitting diodes with very high Al composition AlGaN quantum wells, enabling emission wavelengths and power levels that were previously inaccessible using traditional p-i-n structures with poor hole injection efficiency.

  11. Chemical Interaction-Guided, Metal-Free Growth of Large-Area Hexagonal Boron Nitride on Silicon-Based Substrates.

    PubMed

    Behura, Sanjay; Nguyen, Phong; Debbarma, Rousan; Che, Songwei; Seacrist, Michael R; Berry, Vikas

    2017-05-23

    Hexagonal boron nitride (h-BN) is an ideal platform for interfacing with two-dimensional (2D) nanomaterials to reduce carrier scattering for high-quality 2D electronics. However, scalable, transfer-free growth of hexagonal boron nitride (h-BN) remains a challenge. Currently, h-BN-based 2D heterostructures require exfoliation or chemical transfer of h-BN grown on metals resulting in small areas or significant interfacial impurities. Here, we demonstrate a surface-chemistry-influenced transfer-free growth of large-area, uniform, and smooth h-BN directly on silicon (Si)-based substrates, including Si, silicon nitride (Si 3 N 4 ), and silicon dioxide (SiO 2 ), via low-pressure chemical vapor deposition. The growth rates increase with substrate electronegativity, Si < Si 3 N 4 < SiO 2 , consistent with the adsorption rates calculated for the precursor molecules via atomistic molecular dynamics simulations. Under graphene with high grain density, this h-BN film acts as a polymer-free, planar-dielectric interface increasing carrier mobility by 3.5-fold attributed to reduced surface roughness and charged impurities. This single-step, chemical interaction guided, metal-free growth mechanism of h-BN for graphene heterostructures establishes a potential pathway for the design of complex and integrated 2D-heterostructured circuitry.

  12. Low-Temperature Growth of Amorphous Silicon Films and Direct Fabrication of Solar Cells on Flexible Polyimide and Photo-Paper Substrates

    NASA Astrophysics Data System (ADS)

    Madaka, Ramakrishna; Kanneboina, Venkanna; Agarwal, Pratima

    2018-05-01

    Direct deposition of hydrogenated amorphous silicon (a-Si:H) thin films and fabrication of solar cells on polyimide (PI) and photo-paper (PP) substrates using a rf-plasma-enhanced chemical vapor deposition technique is reported. Intrinsic amorphous silicon films were deposited on PI and PP substrates by varying the substrate temperature (T s) over 70-150°C to optimize the deposition parameters for best quality films. The films deposited on both PI and PP substrates at a temperature as low as 70°C showed a photosensitivity (σ ph/σ d) of nearly 4 orders of magnitude which increased to 5-6 orders of magnitude when the substrate temperature was increased to 130-150°C. The increase in σ ph/σ d is due to the presence of a few nanometer-sized crystallites embedded in the film. Solar cells (n-i-p) were fabricated directly on PI, PP and Corning 1737 glass (Corning) at 150°C for different thicknesses of an intrinsic amorphous silicon layer (i-layer). With the increase in i-layer thickness from 330 nm to 700 nm, the solar cell efficiency was found to increase from 3.81% to 5.02% on the Corning substrate whereas on the flexible PI substrate an increase from 3.38% to 4.38% was observed. On the other hand, in the case of cells on PP, the i-layer thickness was varied from 200 nm to 700 nm and the best cell efficiency 1.54% was obtained for the 200-nm-thick i-layer. The fabrication of a-Si (n-i-p) solar cells on photo-paper is presented for the first time.

  13. Hot-Electron Bolometer Mixers on Silicon-on-Insulator Substrates for Terahertz Frequencies

    NASA Technical Reports Server (NTRS)

    Skalare, Anders; Stern, Jeffrey; Bumble, Bruce; Maiwald, Frank

    2005-01-01

    A terahertz Hot-Electron Bolometer (HEB) mixer design using device substrates based on Silicon-On-Insulator (SOI) technology is described. This substrate technology allows very thin chips (6 pm) with almost arbitrary shape to be manufactured, so that they can be tightly fitted into a waveguide structure and operated at very high frequencies with only low risk for power leakages and resonance modes. The NbTiN-based bolometers are contacted by gold beam-leads, while other beamleads are used to hold the chip in place in the waveguide test fixture. The initial tests yielded an equivalent receiver noise temperature of 3460 K double-sideband at a local oscillator frequency of 1.462 THz and an intermediate frequency of 1.4 GHz.

  14. Simulation optimizing of n-type HIT solar cells with AFORS-HET

    NASA Astrophysics Data System (ADS)

    Yao, Yao; Xiao, Shaoqing; Zhang, Xiumei; Gu, Xiaofeng

    2017-07-01

    This paper presents a study of heterojunction with intrinsic thin layer (HIT) solar cells based on n-type silicon substrates by a simulation software AFORS-HET. We have studied the influence of thickness, band gap of intrinsic layer and defect densities of every interface. Details in mechanisms are elaborated as well. The results show that the optimized efficiency reaches more than 23% which may give proper suggestions to practical preparation for HIT solar cells industry.

  15. Photovoltaic Properties of p-Doped GaAs Nanowire Arrays Grown on n-Type GaAs(111)B Substrate

    PubMed Central

    2010-01-01

    We report on the molecular beam epitaxy growth of Au-assisted GaAs p-type-doped NW arrays on the n-type GaAs(111)B substrate and their photovoltaic properties. The samples are grown at different substrate temperature within the range from 520 to 580 °C. It is shown that the dependence of conversion efficiency on the substrate temperature has a maximum at the substrate temperature of 550 °C. For the best sample, the conversion efficiency of 1.65% and the fill factor of 25% are obtained. PMID:20672038

  16. Preparation of Mica and Silicon Substrates for DNA Origami Analysis and Experimentation

    PubMed Central

    Pillers, Michelle A.; Shute, Rebecca; Farchone, Adam; Linder, Keenan P.; Doerfler, Rose; Gavin, Corey; Goss, Valerie; Lieberman, Marya

    2015-01-01

    The designed nature and controlled, one-pot synthesis of DNA origami provides exciting opportunities in many fields, particularly nanoelectronics. Many of these applications require interaction with and adhesion of DNA nanostructures to a substrate. Due to its atomically flat and easily cleaned nature, mica has been the substrate of choice for DNA origami experiments. However, the practical applications of mica are relatively limited compared to those of semiconductor substrates. For this reason, a straightforward, stable, and repeatable process for DNA origami adhesion on derivatized silicon oxide is presented here. To promote the adhesion of DNA nanostructures to silicon oxide surface, a self-assembled monolayer of 3-aminopropyltriethoxysilane (APTES) is deposited from an aqueous solution that is compatible with many photoresists. The substrate must be cleaned of all organic and metal contaminants using Radio Corporation of America (RCA) cleaning processes and the native oxide layer must be etched to ensure a flat, functionalizable surface. Cleanrooms are equipped with facilities for silicon cleaning, however many components of DNA origami buffers and solutions are often not allowed in them due to contamination concerns. This manuscript describes the set-up and protocol for in-lab, small-scale silicon cleaning for researchers who do not have access to a cleanroom or would like to incorporate processes that could cause contamination of a cleanroom CMOS clean bench. Additionally, variables for regulating coverage are discussed and how to recognize and avoid common sample preparation problems is described. PMID:26274888

  17. Growth evolution of AlN films on silicon (111) substrates by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Haiyan; Wang, Wenliang; Yang, Weijia

    2015-05-14

    AlN films with various thicknesses have been grown on Si(111) substrates by pulsed laser deposition (PLD). The surface morphology and structural property of the as-grown AlN films have been investigated carefully to comprehensively explore the epitaxial behavior. The ∼2 nm-thick AlN film initially grown on Si substrate exhibits an atomically flat surface with a root-mean-square surface roughness of 0.23 nm. As the thickness increases, AlN grains gradually grow larger, causing a relatively rough surface. The surface morphology of ∼120 nm-thick AlN film indicates that AlN islands coalesce together and eventually form AlN layers. The decreasing growth rate from 240 to 180 nm/h is amore » direct evidence that the growth mode of AlN films grown on Si substrates by PLD changes from the islands growth to the layer growth. The evolution of AlN films throughout the growth is studied deeply, and its corresponding growth mechanism is hence proposed. These results are instructional for the growth of high-quality nitride films on Si substrates by PLD, and of great interest for the fabrication of AlN-based devices.« less

  18. Bidisperse silica nanoparticles close-packed monolayer on silicon substrate by three step spin method

    NASA Astrophysics Data System (ADS)

    Khanna, Sakshum; Marathey, Priyanka; Utsav, Chaliawala, Harsh; Mukhopadhyay, Indrajit

    2018-05-01

    We present the studies on the structural properties of monolayer Bidisperse silica (SiO2) nanoparticles (BDS) on Silicon (Si-100) substrate using spin coating technique. The Bidisperse silica nanoparticle was synthesised by the modified sol-gel process. Nanoparticles on the substrate are generally assembled in non-close/close-packed monolayer (CPM) form. The CPM form is obtained by depositing the colloidal suspension onto the silicon substrate using complex techniques. Here we report an effective method for forming a monolayer of bidisperse silica nanoparticle by three step spin coating technique. The samples were prepared by mixing the monodisperse solutions of different particles size 40 and 100 nm diameters. The bidisperse silica nanoparticles were self-assembled on the silicon substrate forming a close-packed monolayer film. The scanning electron microscope images of bidisperse films provided in-depth film structure of the film. The maximum surface coverage obtained was around 70-80%.

  19. Strain effects in low-dimensional silicon MOS and AlGaN/GaN HEMT devices

    NASA Astrophysics Data System (ADS)

    Baykan, Mehmet Onur

    dependent strain response of tri-gate p-type FinFETs are experimentally extracted using a 4-point bending jig. It is found that the low-field piezoresistance coefficient of p-type FinFETs can be modeled by using a weighted conductance average of the top and sidewall bulk piezoresistance coefficients. Next, the strain enhancement of p-type ballistic silicon nanowire MOSFETs is studied using sp3d 5s* basis nearest-neighbor tight-binding simulations coupled with a semiclassical top-of-the-barrier transport model. Size and orientation dependent strain enhancement of ballistic hole transport is explained by the strain-induced modification of the 1D nanowire valence band density-of-states. Further insights are provided for future p-type high-performance silicon nanowire logic devices. A physics based investigation is conducted to understand the strain effects on surface roughness limited electron mobility in silicon inversion layers. Based on the evidence from electrical and material characterization, a strain-induced surface morphology change is hypothesized. To model the observed electrical characteristics, we have employed a self-consistent MOSFET mobility simulator coupled with an ad hoc strain-induced roughness modification. The strain induced surface morphology change is found to be consistent among electrical and materials characterization, as well as transport simulations. In order to bridge the gap between the drift-diffusion based models for long-channel devices and the quasi-ballistic models for nanoscale channels, a unified carrier transport model is developed using an updated one-flux theory. Including the high-field and carrier confinement effects, a surface-potential based analytical transmission expression is obtained for the entire MOSFET operation range. With the new channel transmission equation and average carrier drift velocity, a new expression for channel ballisticity is defined. Impact of mechanical strain on carrier transport for both nMOSFETs and p

  20. Epitaxial growth of silicon for layer transfer

    DOEpatents

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  1. Solution and interfacial behavior of modified silicone polymers and their interactions with solid substrates

    NASA Astrophysics Data System (ADS)

    Purohit, Parag

    Surface treatment is very important step in many applications such as fabric finishing, coatings, cosmetics and personal care. Silicone polymers are a class of organic/inorganic materials that show unique properties such as weak intermolecular forces and high flexibility enabling even a very high molecular weight chain to achieve optimal orientation on surfaces. Material properties such as softness, repellency, bounciness and friction can therefore be tailored by using appropriately modified silicone polymers. Despite wide applications, the underlying mechanisms of material modification are unknown and tailoring silicones for applications remains mostly empirical. Thus the objective of this research is to understand the solution and interfacial behavior of functionalized silicone polymers, which govern their performance in material modification. Modified silicones are simultaneously hydrophobic and oleophobic in nature and due to this nearly universal non-compatibility, the studies of these polymers present unusual challenges. Due to this incompatible nature, the functionalized silicone polymers were emulsified into O/W emulsions to study their solution and interfacial properties. The colloidal properties such as electrokinetic and droplet distribution of these emulsions are assumed to play an important role in the observed surface and physical properties of solid substrates (in present study, cellulosic substrates) as well the stability of emulsions itself. To understand the effects of modified silicones on cellulosic substrates a variety of techniques such as frictional analysis, scanning electron microscopy and atomic force microscopy that can probe from macro to nano level were used. It is hypothesized that the size distribution and charge of silicone emulsions as well as the physiochemical conditions such as pH, control silicone conformation which in turn affect the modification of the substrate properties. With bimodal droplet distribution of silicone

  2. Nanostructural engineering of nitride nucleation layers for GaN substrate dislocation reduction.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Koleske, Daniel David; Lee, Stephen Roger; Lemp, Thomas Kerr

    2009-07-01

    With no lattice matched substrate available, sapphire continues as the substrate of choice for GaN growth, because of its reasonable cost and the extensive prior experience using it as a substrate for GaN. Surprisingly, the high dislocation density does not appear to limit UV and blue LED light intensity. However, dislocations may limit green LED light intensity and LED lifetime, especially as LEDs are pushed to higher current density for high end solid state lighting sources. To improve the performance for these higher current density LEDs, simple growth-enabled reductions in dislocation density would be highly prized. GaN nucleation layers (NLs)more » are not commonly thought of as an application of nano-structural engineering; yet, these layers evolve during the growth process to produce self-assembled, nanometer-scale structures. Continued growth on these nuclei ultimately leads to a fully coalesced film, and we show in this research program that their initial density is correlated to the GaN dislocation density. In this 18 month program, we developed MOCVD growth methods to reduce GaN dislocation densities on sapphire from 5 x 10{sup 8} cm{sup -2} using our standard delay recovery growth technique to 1 x 10{sup 8} cm{sup -2} using an ultra-low nucleation density technique. For this research, we firmly established a correlation between the GaN nucleation thickness, the resulting nucleation density after annealing, and dislocation density of full GaN films grown on these nucleation layers. We developed methods to reduce the nuclei density while still maintaining the ability to fully coalesce the GaN films. Ways were sought to improve the GaN nuclei orientation by improving the sapphire surface smoothness by annealing prior to the NL growth. Methods to eliminate the formation of additional nuclei once the majority of GaN nuclei were developed using a silicon nitride treatment prior to the deposition of the nucleation layer. Nucleation layer thickness was

  3. The electrical losses induced by silver paste in n-type silicon solar cells

    NASA Astrophysics Data System (ADS)

    Aoyama, Takayuki; Aoki, Mari; Sumita, Isao; Yoshino, Yasushi; Ohshita, Yoshio; Ogura, Atsushi

    2017-10-01

    Aluminum-added silver paste (Ag/Al paste) has been used for p+ emitter of n-type solar cells. The electrical losses due to shunting and recombination caused by the paste in the cells have been reported to originate from huge metallic spikes due to the aluminum. However, whether the aluminum actually induces the losses has not been clarified yet. In this study, the “floating contact method” is applied to aluminum-free silver (Al-free Ag) paste to investigate the effects of aluminum extraction from the Ag/Al paste and to understand how the aluminum principally induces the losses for the p+ emitter. Furthermore, the interfacial morphology between the Al-free Ag paste and p-type silicon is investigated. The Ag paste itself creates tiny crystallites for the p+ emitter, resulting in shunting and recombination. The result indicates that the aluminum addition to Ag paste is not the main reason for the electrical losses in the n-type solar cells.

  4. Microwave Induced Direct Bonding of Single Crystal Silicon Wafers

    NASA Technical Reports Server (NTRS)

    Budraa, N. K.; Jackson, H. W.; Barmatz, M.

    1999-01-01

    We have heated polished doped single-crystal silicon wafers in a single mode microwave cavity to temperatures where surface to surface bonding occurred. The absorption of microwaves and heating of the wafers is attributed to the inclusion of n-type or p-type impurities into these substrates. A cylindrical cavity TM (sub 010) standing wave mode was used to irradiate samples of various geometry's at positions of high magnetic field. This process was conducted in vacuum to exclude plasma effects. This initial study suggests that the inclusion of impurities in single crystal silicon significantly improved its microwave absorption (loss factor) to a point where heating silicon wafers directly can be accomplished in minimal time. Bonding of these substrates, however, occurs only at points of intimate surface to surface contact. The inclusion of a thin metallic layer on the surfaces enhances the bonding process.

  5. Adhesion of single- and multi-walled carbon nanotubes to silicon substrate: atomistic simulations and continuum analysis

    NASA Astrophysics Data System (ADS)

    Yuan, Xuebo; Wang, Youshan

    2017-10-01

    The radial deformation of carbon nanotubes (CNTs) adhering to a substrate may prominently affect their mechanical and physical properties. In this study, both classical atomistic simulations and continuum analysis are carried out, to investigate the lateral adhesion of single-walled CNTs (SWCNTs) and multi-walled CNTs (MWCNTs) to a silicon substrate. A linear elastic model for analyzing the adhesion of 2D shells to a rigid semi-infinite substrate is constructed in the framework of continuum mechanics. Good agreement is achieved between the cross-section profiles of adhesive CNTs obtained by the continuum model and by the atomistic simulation approach. It is found that the adhesion of a CNT to the silicon substrate is significantly influenced by its initial diameter and the number of walls. CNTs with radius larger than a certain critical radius are deformed radially on the silicon substrate with flat contact regions. With increasing number of walls, the extent of radial deformation of a MWCNT on the substrate decreases dramatically, and the flat contact area reduces—and eventually vanishes—due to increasing equivalent bending stiffness. It is analytically predicted that large-diameter MWCNTs with a large number of walls are likely to ‘stand’ on the silicon substrate. The present work can be useful for understanding the radial deformation of CNTs adhering to a solid planar substrate.

  6. The establishment of a production-ready manufacturing process utilizing thin silicon substrates for solar cells

    NASA Technical Reports Server (NTRS)

    Pryor, R. A.

    1980-01-01

    Three inch diameter Czochralski silicon substrates sliced directly to 5 mil, 8 mil, and 27 mil thicknesses with wire saw techniques were procured. Processing sequences incorporating either diffusion or ion implantation technologies were employed to produce n+p or n+pp+ solar cell structures. These cells were evaluated for performance, ease of fabrication, and cost effectiveness. It was determined that the use of 7 mil or even 4 mil wafers would provide near term cost reductions for solar cell manufacturers.

  7. Carrier transport and sensitivity issues in heterojunction with intrinsic thin layer solar cells on N-type crystalline silicon: A computer simulation study

    NASA Astrophysics Data System (ADS)

    Rahmouni, M.; Datta, A.; Chatterjee, P.; Damon-Lacoste, J.; Ballif, C.; Roca i Cabarrocas, P.

    2010-03-01

    Heterojunction with intrinsic thin layer or "HIT" solar cells are considered favorable for large-scale manufacturing of solar modules, as they combine the high efficiency of crystalline silicon (c-Si) solar cells, with the low cost of amorphous silicon technology. In this article, based on experimental data published by Sanyo, we simulate the performance of a series of HIT cells on N-type crystalline silicon substrates with hydrogenated amorphous silicon (a-Si:H) emitter layers, to gain insight into carrier transport and the general functioning of these devices. Both single and double HIT structures are modeled, beginning with the initial Sanyo cells having low open circuit voltages but high fill factors, right up to double HIT cells exhibiting record values for both parameters. The one-dimensional numerical modeling program "Amorphous Semiconductor Device Modeling Program" has been used for this purpose. We show that the simulations can correctly reproduce the electrical characteristics and temperature dependence for a set of devices with varying I-layer thickness. Under standard AM1.5 illumination, we show that the transport is dominated by the diffusion mechanism, similar to conventional P/N homojunction solar cells, and tunneling is not required to describe the performance of state-of-the art devices. Also modeling has been used to study the sensitivity of N-c-Si HIT solar cell performance to various parameters. We find that the solar cell output is particularly sensitive to the defect states on the surface of the c-Si wafer facing the emitter, to the indium tin oxide/P-a-Si:H front contact barrier height and to the band gap and activation energy of the P-a-Si:H emitter, while the I-a-Si:H layer is necessary to achieve both high Voc and fill factor, as it passivates the defects on the surface of the c-Si wafer. Finally, we describe in detail for most parameters how they affect current transport and cell properties.

  8. Chemical resistivity of self-assembled monolayer covalently attached to silicon substrate to hydrofluoric acid and ammonium fluoride

    NASA Astrophysics Data System (ADS)

    Saito, N.; Youda, S.; Hayashi, K.; Sugimura, H.; Takai, O.

    2003-06-01

    Self-assembled monolayers (SAMs) were prepared on hydrogen-terminated silicon substrates through chemical vapor deposition using 1-hexadecene (HD) as a precursor. The HD-SAMs prepared in an atmosphere under a reduced pressure (≈50 Pa) showed better chemical resistivities to hydrofluoric acid and ammonium fluoride (NH 4F) solutions than that of an organosilane SAM formed on oxide-covered silicon substrates. The surface covered with the HD-SAM was micro-patterned by vacuum ultraviolet photolithography and consequently divided into two areas terminated with HD-SAM or silicon dioxide. This micro-patterned sample was immersed in a 40 vol.% NH 4F aqueous solution. Surface images obtained by an optical microscopy clearly show that the micro-patterns of HD-SAM/silicon dioxide were successfully transferred into the silicon substrate.

  9. Atomic Layer Deposition of Wet-Etch Resistant Silicon Nitride Using Di(sec-butylamino)silane and N2 Plasma on Planar and 3D Substrate Topographies.

    PubMed

    Faraz, Tahsin; van Drunen, Maarten; Knoops, Harm C M; Mallikarjunan, Anupama; Buchanan, Iain; Hausmann, Dennis M; Henri, Jon; Kessels, Wilhelmus M M

    2017-01-18

    The advent of three-dimensional (3D) finFET transistors and emergence of novel memory technologies place stringent requirements on the processing of silicon nitride (SiN x ) films used for a variety of applications in device manufacturing. In many cases, a low temperature (<400 °C) deposition process is desired that yields high quality SiN x films that are etch resistant and also conformal when grown on 3D substrate topographies. In this work, we developed a novel plasma-enhanced atomic layer deposition (PEALD) process for SiN x using a mono-aminosilane precursor, di(sec-butylamino)silane (DSBAS, SiH 3 N( s Bu) 2 ), and N 2 plasma. Material properties have been analyzed over a wide stage temperature range (100-500 °C) and compared with those obtained in our previous work for SiN x deposited using a bis-aminosilane precursor, bis(tert-butylamino)silane (BTBAS, SiH 2 (NH t Bu) 2 ), and N 2 plasma. Dense films (∼3.1 g/cm 3 ) with low C, O, and H contents at low substrate temperatures (<400 °C) were obtained on planar substrates for this process when compared to other processes reported in the literature. The developed process was also used for depositing SiN x films on high aspect ratio (4.5:1) 3D trench nanostructures to investigate film conformality and wet-etch resistance (in dilute hydrofluoric acid, HF/H 2 O = 1:100) relevant for state-of-the-art device architectures. Film conformality was below the desired levels of >95% and attributed to the combined role played by nitrogen plasma soft saturation, radical species recombination, and ion directionality during SiN x deposition on 3D substrates. Yet, very low wet-etch rates (WER ≤ 2 nm/min) were observed at the top, sidewall, and bottom trench regions of the most conformal film deposited at low substrate temperature (<400 °C), which confirmed that the process is applicable for depositing high quality SiN x films on both planar and 3D substrate topographies.

  10. Growth of indium gallium arsenide thin film on silicon substrate by MOCVD technique

    NASA Astrophysics Data System (ADS)

    Chowdhury, Sisir; Das, Anish; Banerji, Pallab

    2018-05-01

    Indium gallium arsenide (InGaAs) thin film with indium phosphide (InP) buffer has been grown on p-type silicon (100) by Metal Organic Chemical Vapor Deposition (MOCVD) technique. To get a lattice matched substrate an Indium Phosphide buffer thin film is deposited onto Si substrate prior to InGaAs growth. The grown films have been investigated by UV-Vis-NIR reflectance spectroscopy. The band gap energy of the grown InGaAs thin films determined to be 0.82 eV from reflectance spectrum and the films are found to have same thickness for growth between 600 °C and 650 °C. Crystalline quality of the grown films has been studied by grazing incidence X-ray diffractometry (GIXRD).

  11. Very low temperature materials and self-alignment technology for amorphous hydrated silicon thin film transistors fabricated on transparent large area plastic substrates

    NASA Astrophysics Data System (ADS)

    Yang, Chien-Sheng

    The purpose of this research has been to (1) explore materials prepared using plasma enhanced chemical vapor deposition (PECVD) at 110sp°C for amorphous silicon thin film transistors (TFT's) fabricated on low temperature compatible, large area flexible polyethylene terephthalate (PET) substrates, and (2) develop full self-alignment technology using selective area n+ PECVD for source/drain contacts of amorphous silicon TFT's. For item (1), silicon nitride films, as gate dielectrics of TFT's, were deposited using SiHsb4+NHsb3, SiHsb4+NHsb3+Nsb2, SiHsb4+NHsb3+He, or SiHsb4+NHsb3+Hsb2 gases. Good quality silicon nitride films can be deposited using a SiHsb4+NHsb3 gas with high NHsb3/SiHsb4 ratios, or using a SiHsb4+NHsb3+Nsb2 gas with moderate NHsb3/SiHsb4 ratios. A chemical model was proposed to explain the Nsb2 dilution effect. This model includes calculations of (a) the electron energy distribution function in a plasma, (b) rate constants of electron impact dissociation, and (3) the (NHsbx) / (SiHsby) ratio in a plasma. The Nsb2 dilution was shown to have a effect of shifting the electron energy distribution into high energy, thus enhancing the (NHsbx) / (SiHsbyrbrack ratio in a plasma and promoting the deposition of N-rich silicon nitride films, which leads to decreased trap state density and a shift in trap state density to deeper in the gap. Amorphous silicon were formed successfully at 110sp°C on large area glass and plastic(PET) substrates. Linear mobilities are 0.33 and 0.12 cmsp2/Vs for TFT's on glass and plastic substrates, respectively. ON/OFF current ratios exceed 10sp7 for TFT's on glass and 10sp6 for TFT's on PET. For item (2), a novel full self-alignment process was developed for amorphous silicon TFT's. This process includes (1) back-exposure using the bottom gate metal as the mask, and (2) selective area n+ micro-crystalline silicon PECVD for source/drain contacts of amorphous silicon TFT's. TFT's fabricated using the full self-alignment process

  12. High performance and reusable SERS substrates using Ag/ZnO heterostructure on periodic silicon nanotube substrate

    NASA Astrophysics Data System (ADS)

    Lai, Yi-Chen; Ho, Hsin-Chia; Shih, Bo-Wei; Tsai, Feng-Yu; Hsueh, Chun-Hway

    2018-05-01

    Surface-enhanced Raman scattering (SERS) substrate with a higher surface area, enhanced light harvesting, multiple hot spots and strong electromagnetic field enhancements would exhibit enhanced Raman signals. Herein, the Ag nanoparticle/ZnO nanowire heterostructure decorated periodic silicon nanotube (Ag@ZnO@SiNT) substrate was proposed and fabricated. The proposed structure employed as SERS-active substrate was examined, and the results showed both the high performance in terms of high sensitivity and good reproducibility. Furthermore, the Ag@ZnO@SiNT substrate demonstrated the self-cleaning performance through the photocatalytic degradation of probed molecules upon UV-irradiation. The results showed that the proposed nanostructure had high performance, good reproducibility and reusability, and it is a promising SERS-active substrate for molecular sensing and cleaning.

  13. Substrate and Passivation Techniques for Flexible Amorphous Silicon-Based X-ray Detectors

    PubMed Central

    Marrs, Michael A.; Raupp, Gregory B.

    2016-01-01

    Flexible active matrix display technology has been adapted to create new flexible photo-sensing electronic devices, including flexible X-ray detectors. Monolithic integration of amorphous silicon (a-Si) PIN photodiodes on a flexible substrate poses significant challenges associated with the intrinsic film stress of amorphous silicon. This paper examines how altering device structuring and diode passivation layers can greatly improve the electrical performance and the mechanical reliability of the device, thereby eliminating one of the major weaknesses of a-Si PIN diodes in comparison to alternative photodetector technology, such as organic bulk heterojunction photodiodes and amorphous selenium. A dark current of 0.5 pA/mm2 and photodiode quantum efficiency of 74% are possible with a pixelated diode structure with a silicon nitride/SU-8 bilayer passivation structure on a 20 µm-thick polyimide substrate. PMID:27472329

  14. Substrate and Passivation Techniques for Flexible Amorphous Silicon-Based X-ray Detectors.

    PubMed

    Marrs, Michael A; Raupp, Gregory B

    2016-07-26

    Flexible active matrix display technology has been adapted to create new flexible photo-sensing electronic devices, including flexible X-ray detectors. Monolithic integration of amorphous silicon (a-Si) PIN photodiodes on a flexible substrate poses significant challenges associated with the intrinsic film stress of amorphous silicon. This paper examines how altering device structuring and diode passivation layers can greatly improve the electrical performance and the mechanical reliability of the device, thereby eliminating one of the major weaknesses of a-Si PIN diodes in comparison to alternative photodetector technology, such as organic bulk heterojunction photodiodes and amorphous selenium. A dark current of 0.5 pA/mm² and photodiode quantum efficiency of 74% are possible with a pixelated diode structure with a silicon nitride/SU-8 bilayer passivation structure on a 20 µm-thick polyimide substrate.

  15. Compensated amorphous silicon solar cell

    DOEpatents

    Devaud, Genevieve

    1983-01-01

    An amorphous silicon solar cell including an electrically conductive substrate, a layer of glow discharge deposited hydrogenated amorphous silicon over said substrate and having regions of differing conductivity with at least one region of intrinsic hydrogenated amorphous silicon. The layer of hydrogenated amorphous silicon has opposed first and second major surfaces where the first major surface contacts the electrically conductive substrate and an electrode for electrically contacting the second major surface. The intrinsic hydrogenated amorphous silicon region is deposited in a glow discharge with an atmosphere which includes not less than about 0.02 atom percent mono-atomic boron. An improved N.I.P. solar cell is disclosed using a BF.sub.3 doped intrinsic layer.

  16. Dip-coating process: Silicon sheet growth development for the large-area silicon sheet task of the low-cost silicon solar array project

    NASA Technical Reports Server (NTRS)

    Zook, J. D.; Heaps, J. D.; Maciolek, R. B.; Koepke, B. G.; Gutter, C. D.; Schuldt, S. B.

    1977-01-01

    The objective of this research program is to investigate the technical and economic feasibility of producing solar-cell-quality sheet silicon by coating one surface of carbonized ceramic substrates with a thin layer of large-grain polycrystalline silicon from the melt. The past quarter demonstrated significant progress in several areas. Seeded growth of silicon-on-ceramic (SOC) with an EFG ribbon seed was demonstrated. Different types of mullite were successfully coated with silicon. A new method of deriving minority carrier diffusion length, L sub n from spectral response measurements was evaluated. ECOMOD cost projections were found to be in good agreement with the interim SAMIS method proposed by JPL. On the less positive side, there was a decrease in cell performance which we believe to be due to an unidentified source of impurities.

  17. Surface passivation of n-type doped black silicon by atomic-layer-deposited SiO2/Al2O3 stacks

    NASA Astrophysics Data System (ADS)

    van de Loo, B. W. H.; Ingenito, A.; Verheijen, M. A.; Isabella, O.; Zeman, M.; Kessels, W. M. M.

    2017-06-01

    Black silicon (b-Si) nanotextures can significantly enhance the light absorption of crystalline silicon solar cells. Nevertheless, for a successful application of b-Si textures in industrially relevant solar cell architectures, it is imperative that charge-carrier recombination at particularly highly n-type doped black Si surfaces is further suppressed. In this work, this issue is addressed through systematically studying lowly and highly doped b-Si surfaces, which are passivated by atomic-layer-deposited Al2O3 films or SiO2/Al2O3 stacks. In lowly doped b-Si textures, a very low surface recombination prefactor of 16 fA/cm2 was found after surface passivation by Al2O3. The excellent passivation was achieved after a dedicated wet-chemical treatment prior to surface passivation, which removed structural defects which resided below the b-Si surface. On highly n-type doped b-Si, the SiO2/Al2O3 stacks result in a considerable improvement in surface passivation compared to the Al2O3 single layers. The atomic-layer-deposited SiO2/Al2O3 stacks therefore provide a low-temperature, industrially viable passivation method, enabling the application of highly n- type doped b-Si nanotextures in industrial silicon solar cells.

  18. Oxygen precipitation and bulk microdefects induced by the pre- and postepitaxial annealing in N/N + (100) silicon wafers

    NASA Astrophysics Data System (ADS)

    Wijaranakula, W.; Matlock, J. H.; Mollenkopf, H.

    1987-12-01

    Substrate wafers used for fabrication of epitaxial silicon wafers heavily doped with antimony at the concentration of 1020 atoms/cm3 were preannealed at a temperature between 500 and 900 °C prior to epitaxial deposition. Device fabrication thermal simulation was performed by heat treating the preannealed epitaxial wafers at 1050 °C in dry oxygen ambient for 16 h. Postepitaxial nucleation heat treatment at 750 °C for 4 h prior to the 1050 °C heat treament cycle was also applied on some epitaxial wafers for the purpose of enhancing the oxygen precipitation in silicon. It was observed that morphology and density of the bulk defects induced by the thermal treatment are affected by the preannealing temperature. The results also indicate that nucleation and growth kinetics of oxygen precipitates in preannealed n+ degenerate silicon substrate is strongly governed by oxygen and point defect diffusion.

  19. Detection of protein kinases P38 based on reflectance spectroscopy with n-type porous silicon microcavities for diagnosing hydatidosis hydatid disease

    NASA Astrophysics Data System (ADS)

    Lv, Xiaoyi; Lv, Guodong; Jia, Zhenhong; Wang, Jiajia; Mo, Jiaqing

    2014-11-01

    Detection of protein kinases P38 of Echinococcus granulosus and its homologous antibody have great value for early diagnosis and treatment of hydatidosis hydatid disease. In this experiment, n-type mesoporous silicon microcavities have been successfully fabricated without KOH etching or oxidants treatment that reported in other literature. We observed the changes of the reflectivity spectrum before and after the antigen-antibody reaction by n-type mesoporous silicon microcavities. The binding of protein kinases P38 and its homologous antibody causes red shifts in the reflection spectrum of the sensor, and the red shift was proportional to the protein kinases P38 concentration with linear relationship.

  20. Progress in the Development of SERS-Active Substrates Based on Metal-Coated Porous Silicon

    PubMed Central

    Girel, Kseniya V.; Panarin, Andrei; Terekhov, Sergei N.

    2018-01-01

    The present work gives an overview of the developments in surface-enhanced Raman scattering (SERS) with metal-coated porous silicon used as an active substrate. We focused this review on the research referenced to SERS-active materials based on porous silicon, beginning from the patent application in 2002 and enclosing the studies of this year. Porous silicon and metal deposition technologies are discussed. Since the earliest studies, a number of fundamentally different plasmonic nanostructures including metallic dendrites, quasi-ordered arrays of metallic nanoparticles (NPs), and metallic nanovoids have been grown on porous silicon, defined by the morphology of this host material. SERS-active substrates based on porous silicon have been found to combine a high and well-reproducible signal level, storage stability, cost-effective technology and handy use. They make it possible to identify and study many compounds including biomolecules with a detection limit varying from milli- to femtomolar concentrations. The progress reviewed here demonstrates the great prospects for the extensive use of the metal-coated porous silicon for bioanalysis by SERS-spectroscopy. PMID:29883382

  1. Progress in the Development of SERS-Active Substrates Based on Metal-Coated Porous Silicon.

    PubMed

    Bandarenka, Hanna V; Girel, Kseniya V; Zavatski, Sergey A; Panarin, Andrei; Terekhov, Sergei N

    2018-05-21

    The present work gives an overview of the developments in surface-enhanced Raman scattering (SERS) with metal-coated porous silicon used as an active substrate. We focused this review on the research referenced to SERS-active materials based on porous silicon, beginning from the patent application in 2002 and enclosing the studies of this year. Porous silicon and metal deposition technologies are discussed. Since the earliest studies, a number of fundamentally different plasmonic nanostructures including metallic dendrites, quasi-ordered arrays of metallic nanoparticles (NPs), and metallic nanovoids have been grown on porous silicon, defined by the morphology of this host material. SERS-active substrates based on porous silicon have been found to combine a high and well-reproducible signal level, storage stability, cost-effective technology and handy use. They make it possible to identify and study many compounds including biomolecules with a detection limit varying from milli- to femtomolar concentrations. The progress reviewed here demonstrates the great prospects for the extensive use of the metal-coated porous silicon for bioanalysis by SERS-spectroscopy.

  2. Impact of dopant concentrations on emitter formation with spin on dopant source in n-type crystalline silicon solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Singha, Bandana; Solanki, Chetan Singh

    Use of a suitable dopant source for emitter formation is an essential requirement in n-type crystalline silicon solar cells. Boron spin on dopant source, used as alternative to mostly used BBr{sub 3} liquid source, can yield an emitter with less diffusion induced defects under controlled conditions. Different concentrations of commercially available spin on dopant source is used and optimized in this work for sheet resistance values of the emitter ranging from 30 Ω/□ to 70 Ω/□ with emitter doping concentrations suitable for ohmic contacts. The dopant concentrations diluted with different ratios improves the carrier lifetime and thus improves the emittermore » performance. Hence use of suitable dopant source is essential in forming emitters in n-type crystalline silicon solar cells.« less

  3. The role of the substrate in Graphene/Silicon photodiodes

    NASA Astrophysics Data System (ADS)

    Luongo, G.; Giubileo, F.; Iemmo, L.; Di Bartolomeo, A.

    2018-01-01

    The Graphene/Silicon (Gr/Si) junction can function as a Schottky diode with performances strictly related to the quality of the interface. Here, we focus on the substrate geometry and on its effects on Gr/Si junction physics. We fabricate and study the electrical and optical behaviour of two types of devices: one made of a Gr/Si planar junction, the second realized with graphene on an array of Si nanotips. We show that the Gr/Si flat device exhibits a reverse photocurrent higher than the forward current and achieves a photoresponsivity of 2.5 A/W. The high photoresponse is due to the charges photogenerated in Si below a parasitic graphene/SiO2/Si structure, which are injected into the Gr/Si junction region. The other device with graphene on Si-tips displays a reverse current that grows exponentially with the bias. We explain this behaviour by taking into account the tip geometry of the substrate, which magnifies the electric field and shifts the Fermi level of graphene, thus enabling fine-tuning of the Schottky barrier height. The Gr/Si-tip device achieves a higher photoresponsivity, up to 3 A/W, likely due to photocharge internal multiplication.

  4. Method of Forming Textured Silicon Substrate by Maskless Cryogenic Etching

    NASA Technical Reports Server (NTRS)

    Yee, Karl Y. (Inventor); Homyk, Andrew P. (Inventor)

    2014-01-01

    Disclosed herein is a textured substrate comprising a base comprising silicon, the base having a plurality of needle like structures depending away from the base, wherein at least one of the needle like structures has a depth of greater than or equal to about 50 micrometers determined perpendicular to the base, and wherein at least one of the needle like structures has a width of less than or equal to about 50 micrometers determined parallel to the base. An anode and a lithium ion battery comprising the textured substrate, and a method of producing the textured substrate are also disclosed.

  5. Application of neutron transmutation doping method to initially p-type silicon material.

    PubMed

    Kim, Myong-Seop; Kang, Ki-Doo; Park, Sang-Jun

    2009-01-01

    The neutron transmutation doping (NTD) method was applied to the initially p-type silicon in order to extend the NTD applications at HANARO. The relationship between the irradiation neutron fluence and the final resistivity of the initially p-type silicon material was investigated. The proportional constant between the neutron fluence and the resistivity was determined to be 2.3473x10(19)nOmegacm(-1). The deviation of the final resistivity from the target for almost all the irradiation results of the initially p-type silicon ingots was at a range from -5% to 2%. In addition, the burn-up effect of the boron impurities, the residual (32)P activity and the effect of the compensation characteristics for the initially p-type silicon were studied. Conclusively, the practical methodology to perform the neutron transmutation doping of the initially p-type silicon ingot was established.

  6. Chemical-free n-type and p-type multilayer-graphene transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dissanayake, D. M. N. M., E-mail: nandithad@voxtel-inc.com; Eisaman, M. D.; Department of Electrical and Computer Engineering, Stony Brook University, Stony Brook, New York 11794

    A single-step doping method to fabricate n- and p-type multilayer graphene (MG) top-gate field effect transistors (GFETs) is demonstrated. The transistors are fabricated on soda-lime glass substrates, with the n-type doping of MG caused by the sodium in the substrate without the addition of external chemicals. Placing a hydrogen silsesquioxane (HSQ) barrier layer between the MG and the substrate blocks the n-doping, resulting in p-type doping of the MG above regions patterned with HSQ. The HSQ is deposited in a single fabrication step using electron beam lithography, allowing the patterning of arbitrary sub-micron spatial patterns of n- and p-type doping.more » When a MG channel is deposited partially on the barrier and partially on the glass substrate, a p-type and n-type doping profile is created, which is used for fabricating complementary transistors pairs. Unlike chemically doped GFETs in which the external dopants are typically introduced from the top, these substrate doped GFETs allow for a top gate which gives a stronger electrostatic coupling to the channel, reducing the operating gate bias. Overall, this method enables scalable fabrication of n- and p-type complementary top-gated GFETs with high spatial resolution for graphene microelectronic applications.« less

  7. Characterization of GaN nanowires grown on PSi, PZnO and PGaN on Si (111) substrates by thermal evaporation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shekari, Leila; Hassan, Haslan Abu; Thahab, Sabah M.

    2012-06-20

    In this research, we used an easy and inexpensive method to synthesize highly crystalline GaN nanowires (NWs); on different substrates such as porous silicon (PSi), porous zinc oxide (PZnO) and porous gallium nitride (PGaN) on Si (111) wafer by thermal evaporation using commercial GaN powder without any catalyst. Micro structural studies by scanning electron microscopy and transmission electron microscope measurements reveal the role of different substrates in the morphology, nucleation and alignment of the GaN nanowires. The degree of alignment of the synthesized nanowires does not depend on the lattice mismatch between wires and their substrates. Further structural and opticalmore » characterizations were performed using high resolution X-ray diffraction and energy-dispersive X-ray spectroscopy. Results indicate that the nanowires are of single-crystal hexagonal GaN. The quality and density of grown GaN nanowires for different substrates are highly dependent on the lattice mismatch between the nanowires and their substrates and also on the size of the porosity of the substrates. Nanowires grown on PGaN have the best quality and highest density as compared to nanowires on other substrates. By using three kinds of porous substrates, we are able to study the increase in the alignment and density of the nanowires.« less

  8. In situ micro-Raman analysis and X-ray diffraction of nickel silicide thin films on silicon.

    PubMed

    Bhaskaran, M; Sriram, S; Perova, T S; Ermakov, V; Thorogood, G J; Short, K T; Holland, A S

    2009-01-01

    This article reports on the in situ analysis of nickel silicide (NiSi) thin films formed by thermal processing of nickel thin films deposited on silicon substrates. The in situ techniques employed for this study include micro-Raman spectroscopy (microRS) and X-ray diffraction (XRD); in both cases the variations for temperatures up to 350 degrees C has been studied. Nickel silicide thin films formed by vacuum annealing of nickel on silicon were used as a reference for these measurements. In situ analysis was carried out on nickel thin films on silicon, while the samples were heated from room temperature to 350 degrees C. Data was gathered at regular temperature intervals and other specific points of interest (such as 250 degrees C, where the reaction between nickel and silicon to form Ni(2)Si is expected). The transformations from the metallic state, through the intermediate reaction states, until the desired metal-silicon reaction product is attained, are discussed. The evolution of nickel silicide from the nickel film can be observed from both the microRS and XRD in situ studies. Variations in the evolution of silicide from metal for different silicon substrates are discussed, and these include (100) n-type, (100) p-type, and (110) p-type silicon substrates.

  9. Hafnium nitride buffer layers for growth of GaN on silicon

    DOEpatents

    Armitage, Robert D.; Weber, Eicke R.

    2005-08-16

    Gallium nitride is grown by plasma-assisted molecular-beam epitaxy on (111) and (001) silicon substrates using hafnium nitride buffer layers. Wurtzite GaN epitaxial layers are obtained on both the (111) and (001) HfN/Si surfaces, with crack-free thickness up to 1.2 {character pullout}m. However, growth on the (001) surface results in nearly stress-free films, suggesting that much thicker crack-free layers could be obtained.

  10. Fabrication and characterization of multi-layer InAs/InGaAs quantum dot p-i-n GaAs solar cells grown on silicon substrates

    NASA Astrophysics Data System (ADS)

    Omri, M.; Sayari, A.; Sfaxi, L.

    2018-01-01

    This paper reports on InAs/InGaAs quantum dot solar cells (QDSCs) deposited by molecular beam epitaxy (MBE) on (001) n-type silicon ( n-Si) substrates. In-situ RHEED measurements show that InAs/InGaAs QDs SC has a high crystalline structure. The dislocation density in the active layer of the InAs/InGaAs QDSC and the lattice mismatch in the GaAs layer can be reduced by using an Si rough surface buffer layer (RSi). To show the effect of the QD layers, a reference SC with the same p-i-n structure as the InAs/InGaAs QDSC, but without InAs QDs, is also grown. The two SCs were studied by sepectroscopic ellipsometry (SE), in the 1-6 eV photon energy range, photoluminescence and photocurrent measurements. The optical constants of the two devices are determined in the photon energy range 1-6 eV from the SE data. The dominant features in the dielectric function spectra at 3 and 4.5 eV are attributed, respectively, to the E 1 and E 2 critical point structures of GaAs and InAs. The low-temperature photoluminescence spectrum of the InAs/InGaAs QDSC shows ground-state emissions, respectively, from the relatively small QDs near 1081 nm and from the large QDs near 1126 nm. Photocurrent measurements confirm the improved absorption performance (up to 1200 nm) of the InAs QDs SC which is ascribed to the optical absorption from the InAs/InGaAs QDs and the Si substrate as demonstrated by SE and photoluminescence measurements.

  11. Influence of the transition region between p- and n-type polycrystalline silicon passivating contacts on the performance of interdigitated back contact silicon solar cells

    NASA Astrophysics Data System (ADS)

    Reichel, Christian; Müller, Ralph; Feldmann, Frank; Richter, Armin; Hermle, Martin; Glunz, Stefan W.

    2017-11-01

    Passivating contacts based on thin tunneling oxides (SiOx) and n- and p-type semi-crystalline or polycrystalline silicon (poly-Si) enable high passivation quality and low contact resistivity, but the integration of these p+/n emitter and n+/n back surface field junctions into interdigitated back contact silicon solar cells poses a challenge due to high recombination at the transition region from p-type to n-type poly-Si. Here, the transition region was created in different configurations—(a) p+ and n+ poly-Si regions are in direct contact with each other ("pn-junction"), using a local overcompensation (counterdoping) as a self-aligning process, (b) undoped (intrinsic) poly-Si remains between the p+ and n+ poly-Si regions ("pin-junction"), and (c) etched trenches separate the p+ and n+ poly-Si regions ("trench")—in order to investigate the recombination characteristics and the reverse breakdown behavior of these solar cells. Illumination- and injection-dependent quasi-steady state photoluminescence (suns-PL) and open-circuit voltage (suns-Voc) measurements revealed that non-ideal recombination in the space charge regions with high local ideality factors as well as recombination in shunted regions strongly limited the performance of solar cells without a trench. In contrast, solar cells with a trench allowed for open-circuit voltage (Voc) of 720 mV, fill factor of 79.6%, short-circuit current (Jsc) of 41.3 mA/cm2, and a conversion efficiencies (η) of 23.7%, showing that a lowly conducting and highly passivating intermediate layer between the p+ and n+ poly-Si regions is mandatory. Independent of the configuration, no hysteresis was observed upon multiple stresses in reverse direction, indicating a controlled and homogeneously distributed breakdown, but with different breakdown characteristics.

  12. New electron trap in p-type Czochralski silicon

    NASA Technical Reports Server (NTRS)

    Mao, B.-Y.; Lagowski, J.; Gatos, H. C.

    1984-01-01

    A new electron trap (acceptor level) was discovered in p-type Czochralski (CZ) silicon by current transient spectroscopy. The behavior of this trap was found to be similar to that of the oxygen thermal donors; thus, 450 C annealing increases the trap concentration while high-temperature annealing (1100-1200 C) leads to the virtual elimination of the trap. The new trap is not observed in either float-zone or n-type CZ silicon. Its energy level depends on the group III doping element in the sample. These findings suggest that the trap is related to oxygen, and probably to the acceptor impurity as well.

  13. Chalcogenide Glass Lasers on Silicon Substrate Integrated Photonics

    DTIC Science & Technology

    2016-07-08

    AFRL-AFOSR-UK-TR-2016-0013 Chalcogenide glass lasers on silicon substrate integrated photonics Clara Dimas MASDAR INSTITUTE OF SCIENCE & TECHNOLOGY...PROJECT NUMBER 5e.  TASK NUMBER 5f.  WORK UNIT NUMBER 7. PERFORMING ORGANIZATION NAME(S) AND ADDRESS(ES) MASDAR INSTITUTE OF SCIENCE & TECHNOLOGY - MIST...communication by reducing coupling losses, chip size, energy requirements and manufacturing cost. Chalcogenide glass (ChG) light sources doped with rare earth

  14. Influence of oxygen-vacancy complex /A center/ on piezoresistance of n-type silicon.

    NASA Technical Reports Server (NTRS)

    Littlejohn, M. A.; Loggins, C. D., Jr.

    1972-01-01

    Changes in both magnitude and temperature dependence of the piezoresistance of electron-irradiated n-type silicon, induced by the latter's oxygen-vacancy complex (A center), are shown to be due to the fact that the presence of the A center causes the total conduction-band electron concentration to change with an applied stress. This change in electron concentration leads to an additional piezoresistance contribution that is expected to be important in certain many-valley semiconductors. This offers the possibility of tailoring the thermal variations of semiconductor mechanical sensors to more desirable values over limited temperature ranges.

  15. Lithographically defined few-electron silicon quantum dots based on a silicon-on-insulator substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Horibe, Kosuke; Oda, Shunri; Kodera, Tetsuo, E-mail: kodera.t.ac@m.titech.ac.jp

    2015-02-23

    Silicon quantum dot (QD) devices with a proximal single-electron transistor (SET) charge sensor have been fabricated in a metal-oxide-semiconductor structure based on a silicon-on-insulator substrate. The charge state of the QDs was clearly read out using the charge sensor via the SET current. The lithographically defined small QDs enabled clear observation of the few-electron regime of a single QD and a double QD by charge sensing. Tunnel coupling on tunnel barriers of the QDs can be controlled by tuning the top-gate voltages, which can be used for manipulation of the spin quantum bit via exchange interaction between tunnel-coupled QDs. Themore » lithographically defined silicon QD device reported here is technologically simple and does not require electrical gates to create QD confinement potentials, which is advantageous for the integration of complicated constructs such as multiple QD structures with SET charge sensors for the purpose of spin-based quantum computing.« less

  16. Preferred orientations of laterally grown silicon films over amorphous substrates using the vapor-liquid-solid technique

    NASA Astrophysics Data System (ADS)

    LeBoeuf, J. L.; Brodusch, N.; Gauvin, R.; Quitoriano, N. J.

    2014-12-01

    A novel method has been optimized so that adhesion layers are no longer needed to reliably deposit patterned gold structures on amorphous substrates. Using this technique allows for the fabrication of amorphous oxide templates known as micro-crucibles, which confine a vapor-liquid-solid (VLS) catalyst of nominally pure gold to a specific geometry. Within these confined templates of amorphous materials, faceted silicon crystals have been grown laterally. The novel deposition technique, which enables the nominally pure gold catalyst, involves the undercutting of an initial chromium adhesion layer. Using electron backscatter diffraction it was found that silicon nucleated in these micro-crucibles were 30% single crystals, 45% potentially twinned crystals and 25% polycrystals for the experimental conditions used. Single, potentially twinned, and polycrystals all had an aversion to growth with the {1 0 0} surface parallel to the amorphous substrate. Closer analysis of grain boundaries of potentially twinned and polycrystalline samples revealed that the overwhelming majority of them were of the 60° Σ3 coherent twin boundary type. The large amount of coherent twin boundaries present in the grown, two-dimensional silicon crystals suggest that lateral VLS growth occurs very close to thermodynamic equilibrium. It is suggested that free energy fluctuations during growth or cooling, and impurities were the causes for this twinning.

  17. Impact of substrate and thermal boundary resistance on the performance of AlGaN/GaN HEMTs analyzed by means of electro-thermal Monte Carlo simulations

    NASA Astrophysics Data System (ADS)

    García, S.; Íñiguez-de-la-Torre, I.; Mateos, J.; González, T.; Pérez, S.

    2016-06-01

    In this paper, we present results from the simulations of a submicrometer AlGaN/GaN high-electron-mobility transistor (HEMT) by using an in-house electro-thermal Monte Carlo simulator. We study the temperature distribution and the influence of heating on the transfer characteristics and the transconductance when the device is grown on different substrates (sapphire, silicon, silicon carbide and diamond). The effect of the inclusion of a thermal boundary resistance (TBR) is also investigated. It is found that, as expected, HEMTs fabricated on substrates with high thermal conductivities (diamond) exhibit lower temperatures, but the difference between hot-spot and average temperatures is higher. In addition, devices fabricated on substrates with higher thermal conductivities are more sensitive to the value of the TBR because the temperature discontinuity is greater in the TBR layer.

  18. Effects of macro- versus nanoporous silicon substrates on human aortic endothelial cell behavior

    PubMed Central

    2014-01-01

    Human aortic endothelial cells play a key role in the pathogenesis of atherosclerosis, which is a common, progressive, and multifactorial disease that is the clinical endpoint of an inflammatory process and endothelial dysfunction. Study and development of new therapies against cardiovascular disease must be tested in vitro cell models, prior to be evaluated in vivo. To this aim, new cell culture platforms are developed that allow cells to grow and respond to their environment in a realistic manner. In this work, the cell adhesion and morphology of endothelial cells are investigated on functionalized porous silicon substrates with two different pore size configurations: macroporous and nanoporous silicon. Herein, we modified the surfaces of porous silicon substrates by aminopropyl triethoxysilane, and we studied how different pore geometries induced different cellular response in the cell morphology and adhesion. The cell growth over the surface of porous silicon becomes an attractive field, especially for medical applications. Surface properties of the biomaterial are associated with cell adhesion and as well as, with proliferation, migration and differentiation. PMID:25246859

  19. Formation and characterization of ZnS/CdS nanocomposite materials into porous silicon

    NASA Astrophysics Data System (ADS)

    Xue, Tao; Lv, Xiao-yi; Jia, Zhen-hong; Hou, Jun-wei; Jian, Ji-kang

    2008-11-01

    ZnS/CdS were deposited by chemical vapor deposition (CVD) technique on porous silicon substrates formed by electrochemical anodization of n-type (100) silicon wafer. The optical properties of ZnS/CdS porous silicon composite materials are studied. The results showed that new luminescence characteristics such as strong and stable visible-light emissions with different colors were observed from the ZnS/CdS-PS nanocomposite materials at room temperature.

  20. Observation of a photoinduced, resonant tunneling effect in a carbon nanotube–silicon heterojunction

    PubMed Central

    Ambrosio, Antonio; Boscardin, Maurizio; Castrucci, Paola; Crivellari, Michele; Cilmo, Marco; De Crescenzi, Maurizio; De Nicola, Francesco; Fiandrini, Emanuele; Grossi, Valentina; Maddalena, Pasqualino; Passacantando, Maurizio; Santucci, Sandro; Scarselli, Manuela; Valentini, Antonio

    2015-01-01

    Summary A significant resonant tunneling effect has been observed under the 2.4 V junction threshold in a large area, carbon nanotube–silicon (CNT–Si) heterojunction obtained by growing a continuous layer of multiwall carbon nanotubes on an n-doped silicon substrate. The multiwall carbon nanostructures were grown by a chemical vapor deposition (CVD) technique on a 60 nm thick, silicon nitride layer, deposited on an n-type Si substrate. The heterojunction characteristics were intensively studied on different substrates, resulting in high photoresponsivity with a large reverse photocurrent plateau. In this paper, we report on the photoresponsivity characteristics of the device, the heterojunction threshold and the tunnel-like effect observed as a function of applied voltage and excitation wavelength. The experiments are performed in the near-ultraviolet to near-infrared wavelength range. The high conversion efficiency of light radiation into photoelectrons observed with the presented layout allows the device to be used as a large area photodetector with very low, intrinsic dark current and noise. PMID:25821710

  1. Observation of a photoinduced, resonant tunneling effect in a carbon nanotube-silicon heterojunction.

    PubMed

    Aramo, Carla; Ambrosio, Antonio; Ambrosio, Michelangelo; Boscardin, Maurizio; Castrucci, Paola; Crivellari, Michele; Cilmo, Marco; De Crescenzi, Maurizio; De Nicola, Francesco; Fiandrini, Emanuele; Grossi, Valentina; Maddalena, Pasqualino; Passacantando, Maurizio; Santucci, Sandro; Scarselli, Manuela; Valentini, Antonio

    2015-01-01

    A significant resonant tunneling effect has been observed under the 2.4 V junction threshold in a large area, carbon nanotube-silicon (CNT-Si) heterojunction obtained by growing a continuous layer of multiwall carbon nanotubes on an n-doped silicon substrate. The multiwall carbon nanostructures were grown by a chemical vapor deposition (CVD) technique on a 60 nm thick, silicon nitride layer, deposited on an n-type Si substrate. The heterojunction characteristics were intensively studied on different substrates, resulting in high photoresponsivity with a large reverse photocurrent plateau. In this paper, we report on the photoresponsivity characteristics of the device, the heterojunction threshold and the tunnel-like effect observed as a function of applied voltage and excitation wavelength. The experiments are performed in the near-ultraviolet to near-infrared wavelength range. The high conversion efficiency of light radiation into photoelectrons observed with the presented layout allows the device to be used as a large area photodetector with very low, intrinsic dark current and noise.

  2. High-Performance Ultrathin Organic-Inorganic Hybrid Silicon Solar Cells via Solution-Processed Interface Modification.

    PubMed

    Zhang, Jie; Zhang, Yinan; Song, Tao; Shen, Xinlei; Yu, Xuegong; Lee, Shuit-Tong; Sun, Baoquan; Jia, Baohua

    2017-07-05

    Organic-inorganic hybrid solar cells based on n-type crystalline silicon and poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate) exhibited promising efficiency along with a low-cost fabrication process. In this work, ultrathin flexible silicon substrates, with a thickness as low as tens of micrometers, were employed to fabricate hybrid solar cells to reduce the use of silicon materials. To improve the light-trapping ability, nanostructures were built on the thin silicon substrates by a metal-assisted chemical etching method (MACE). However, nanostructured silicon resulted in a large amount of surface-defect states, causing detrimental charge recombination. Here, the surface was smoothed by solution-processed chemical treatment to reduce the surface/volume ratio of nanostructured silicon. Surface-charge recombination was dramatically suppressed after surface modification with a chemical, associated with improved minority charge-carrier lifetime. As a result, a power conversion efficiency of 9.1% was achieved in the flexible hybrid silicon solar cells, with a substrate thickness as low as ∼14 μm, indicating that interface engineering was essential to improve the hybrid junction quality and photovoltaic characteristics of the hybrid devices.

  3. Improved method of preparing p-i-n junctions in amorphous silicon semiconductors

    DOEpatents

    Madan, A.

    1984-12-10

    A method of preparing p/sup +/-i-n/sup +/ junctions for amorphous silicon semiconductors includes depositing amorphous silicon on a thin layer of trivalent material, such as aluminum, indium, or gallium at a temperature in the range of 200/sup 0/C to 250/sup 0/C. At this temperature, the layer of trivalent material diffuses into the amorphous silicon to form a graded p/sup +/-i junction. A layer of n-type doped material is then deposited onto the intrinsic amorphous silicon layer in a conventional manner to finish forming the p/sup +/-i-n/sup +/ junction.

  4. Probiotic E. coli Nissle 1917 biofilms on silicone substrates for bacterial interference against pathogen colonization.

    PubMed

    Chen, Quan; Zhu, Zhiling; Wang, Jun; Lopez, Analette I; Li, Siheng; Kumar, Amit; Yu, Fei; Chen, Haoqing; Cai, Chengzhi; Zhang, Lijuan

    2017-03-01

    Bacterial interference is an alternative strategy to fight against device-associated bacterial infections. Pursuing this strategy, a non-pathogenic bacterial biofilm is used as a live, protective barrier to fence off pathogen colonization. In this work, biofilms formed by probiotic Escherichia coli strain Nissle 1917 (EcN) are investigated for their potential for long-term bacterial interference against infections associated with silicone-based urinary catheters and indwelling catheters used in the digestive system, such as feeding tubes and voice prostheses. We have shown that EcN can form stable biofilms on silicone substrates, particularly those modified with a biphenyl mannoside derivative. These biofilms greatly reduced the colonization by pathogenic Enterococcus faecalis in Lysogeny broth (LB) for 11days. Bacterial interference is an alternative strategy to fight against device-associated bacterial infections. Pursuing this strategy, we use non-pathogenic bacteria to form a biofilm that serves as a live, protective barrier against pathogen colonization. Herein, we report the first use of preformed probiotic E. coli Nissle 1917 biofilms on the mannoside-presenting silicone substrates to prevent pathogen colonization. The biofilms serve as a live, protective barrier to fence off the pathogens, whereas current antimicrobial/antifouling coatings are subjected to gradual coverage by the biomass from the rapidly growing pathogens in a high-nutrient environment. It should be noted that E. coli Nissle 1917 is commercially available and has been used in many clinical trials. We also demonstrated that this probiotic strain performed significantly better than the non-commercial, genetically modified E. coli strain that we previously reported. Copyright © 2017 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  5. Method of controllong the deposition of hydrogenated amorphous silicon and apparatus therefor

    DOEpatents

    Hanak, Joseph J.

    1985-06-25

    An improved method and apparatus for the controlled deposition of a layer of hydrogenated amorphous silicon on a substrate. Means is provided for the illumination of the coated surface of the substrate and measurement of the resulting photovoltage at the outermost layer of the coating. Means is further provided for admixing amounts of p type and n type dopants to the reactant gas in response to the measured photovoltage to achieve a desired level and type of doping of the deposited layer.

  6. Guided growth of horizontal GaN nanowires on quartz and their transfer to other substrates.

    PubMed

    Goren-Ruck, Lior; Tsivion, David; Schvartzman, Mark; Popovitz-Biro, Ronit; Joselevich, Ernesto

    2014-03-25

    The guided growth of horizontal nanowires has so far been demonstrated on a limited number of substrates. In most cases, the nanowires are covalently bonded to the substrate where they grow and cannot be transferred to other substrates. Here we demonstrate the guided growth of well-aligned horizontal GaN nanowires on quartz and their subsequent transfer to silicon wafers by selective etching of the quartz while maintaining their alignment. The guided growth was observed on different planes of quartz with varying degrees of alignment. We characterized the crystallographic orientations of the nanowires and proposed a new mechanism of "dynamic graphoepitaxy" for their guided growth on quartz. The transfer of the guided nanowires enabled the fabrication of back-gated field-effect transistors from aligned nanowire arrays on oxidized silicon wafers and the production of crossbar arrays. The guided growth of transferrable nanowires opens up the possibility of massively parallel integration of nanowires into functional systems on virtually any desired substrate.

  7. Study of nanoparticles TiO2 thin films on p-type silicon substrate using different alcoholic solvents

    NASA Astrophysics Data System (ADS)

    Muaz, A. K. M.; Hashim, U.; Arshad, M. K. Md.; Ruslinda, A. R.; Ayub, R. M.; Gopinath, Subash C. B.; Voon, C. H.; Liu, Wei-Wen; Foo, K. L.

    2016-07-01

    In this paper, sol-gel method spin coating technique is adopted to prepare nanoparticles titanium dioxide (TiO2) thin films. The prepared TiO2 sol was synthesized using titanium butoxide act as a precursor and subjected to deposited on the p-type silicon oxide (p-SiO2) and glass slide substrates under room temperature. The effect of different alcoholic solvents of methanol and ethanol on the structural, morphological, optical and electrical properties were systematically investigated. The coated TiO2 thin films were annealed in furnace at 773 K for 1 h. The structural properties of the TiO2 films were examined with X-ray Diffraction (XRD). From the XRD analysis, both solvents showing good crystallinity with anatase phase were the predominant structure. Atomic Force Microscopy (AFM) was employed to study the morphological of the thin films. The optical properties were investigated by Ultraviolet-visible (UV-Vis) spectroscopy were found that ethanol as a solvent give a higher optical transmittance if compare to the methanol solvent. The electrical properties of the nanoparticles TiO2 thin films were measured using two-point-probe technique.

  8. Crystallization of amorphous silicon thin films deposited by PECVD on nickel-metalized porous silicon.

    PubMed

    Ben Slama, Sonia; Hajji, Messaoud; Ezzaouia, Hatem

    2012-08-17

    Porous silicon layers were elaborated by electrochemical etching of heavily doped p-type silicon substrates. Metallization of porous silicon was carried out by immersion of substrates in diluted aqueous solution of nickel. Amorphous silicon thin films were deposited by plasma-enhanced chemical vapor deposition on metalized porous layers. Deposited amorphous thin films were crystallized under vacuum at 750°C. Obtained results from structural, optical, and electrical characterizations show that thermal annealing of amorphous silicon deposited on Ni-metalized porous silicon leads to an enhancement in the crystalline quality and physical properties of the silicon thin films. The improvement in the quality of the film is due to the crystallization of the amorphous film during annealing. This simple and easy method can be used to produce silicon thin films with high quality suitable for thin film solar cell applications.

  9. Crystallization of amorphous silicon thin films deposited by PECVD on nickel-metalized porous silicon

    PubMed Central

    2012-01-01

    Porous silicon layers were elaborated by electrochemical etching of heavily doped p-type silicon substrates. Metallization of porous silicon was carried out by immersion of substrates in diluted aqueous solution of nickel. Amorphous silicon thin films were deposited by plasma-enhanced chemical vapor deposition on metalized porous layers. Deposited amorphous thin films were crystallized under vacuum at 750°C. Obtained results from structural, optical, and electrical characterizations show that thermal annealing of amorphous silicon deposited on Ni-metalized porous silicon leads to an enhancement in the crystalline quality and physical properties of the silicon thin films. The improvement in the quality of the film is due to the crystallization of the amorphous film during annealing. This simple and easy method can be used to produce silicon thin films with high quality suitable for thin film solar cell applications. PMID:22901341

  10. Improved growth of GaN layers on ultra thin silicon nitride/Si (1 1 1) by RF-MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kumar, Mahesh; Roul, Basanta; Central Research Laboratory, Bharat Electronics, Bangalore 560013

    High-quality GaN epilayers were grown on Si (1 1 1) substrates by molecular beam epitaxy using a new growth process sequence which involved a substrate nitridation at low temperatures, annealing at high temperatures, followed by nitridation at high temperatures, deposition of a low-temperature buffer layer, and a high-temperature overgrowth. The material quality of the GaN films was also investigated as a function of nitridation time and temperature. Crystallinity and surface roughness of GaN was found to improve when the Si substrate was treated under the new growth process sequence. Micro-Raman and photoluminescence (PL) measurement results indicate that the GaN filmmore » grown by the new process sequence has less tensile stress and optically good. The surface and interface structures of an ultra thin silicon nitride film grown on the Si surface are investigated by core-level photoelectron spectroscopy and it clearly indicates that the quality of silicon nitride notably affects the properties of GaN growth.« less

  11. Transmission electron microscopy characterization of the erbium silicide formation process using a Pt/Er stack on a silicon-on-insulator substrate.

    PubMed

    Łaszcz, A; Katcki, J; Ratajczak, J; Tang, Xiaohui; Dubois, E

    2006-10-01

    Very thin erbium silicide layers have been used as source and drain contacts to n-type Si in low Schottky barrier MOSFETs on silicon-on-insulator substrates. Erbium silicide is formed by a solid-state reaction between the metal and silicon during annealing. The influence of annealing temperature (450 degrees C, 525 degrees C and 600 degrees C) on the formation of an erbium silicide layer in the Pt/Er/Si/SiO(2)/Si structure was analysed by means of cross-sectional transmission electron microscopy. The Si grains/interlayer formed at the interface and the presence of Si grains within the Er-related layer constitute proof that Si reacts with Er in the presence of a Pt top layer in the temperature range 450-600 degrees C. The process of silicide formation in the Pt/Er/Si structure differs from that in the Er/Si structure. At 600 degrees C, the Pt top layer vanishes and a (Pt-Er)Si(x) system is formed.

  12. Titanium disilicide formation by sputtering of titanium on heated silicon substrate

    NASA Astrophysics Data System (ADS)

    Tanielian, M.; Blackstone, S.

    1984-09-01

    We have sputter deposited titanium on bare silicon substrates at elevated temperatures. We find that at a substrate temperature of about 515 °C titanium silicide is formed due to the reaction of the titanium with the Si. The resistivity of the silicide is about 15 μΩ cm and it is not etchable in a selective titanium etch. This process can have applications in low-temperature, metal-oxide-semiconductor self-aligned silicide formation for very large scale integrated

  13. Edge facet dynamics during the growth of heavily doped n-type silicon by the Czochralski-method

    NASA Astrophysics Data System (ADS)

    Stockmeier, L.; Kranert, C.; Raming, G.; Miller, A.; Reimann, C.; Rudolph, P.; Friedrich, J.

    2018-06-01

    During the growth of [0 0 1]-oriented, heavily n-type doped silicon crystals by the Czochralski (CZ) method dislocation formation occurs frequently which leads to a reduction of the crystal yield. In this publication the evolution of the solid-liquid interface and the formation of the {1 1 1} edge facets are analyzed on a microscopic scale as possible reason for dislocation formation in heavily n-type doped [0 0 1]-oriented CZ crystals. A correlation between the length of the {1 1 1} edge facets and the curvature of the interface is found. They ultimately promote supercooled areas and interrupted growth kinetics, which increase the probability for dislocation formation at the boundary between the {1 1 1} edge facets and the atomically rough interface.

  14. Structural, Electrical and Optical Properties of Sputtered-Grown InN Films on ZnO Buffered Silicon, Bulk GaN, Quartz and Sapphire Substrates

    NASA Astrophysics Data System (ADS)

    Bashir, Umar; Hassan, Zainuriah; Ahmed, Naser M.; Afzal, Naveed

    2018-05-01

    Indium nitride (InN) films were grown on Si (111), bulk GaN, quartz and sapphire substrates by radio frequency magnetron sputtering. Prior to the film deposition, a zinc oxide (ZnO) buffer layer was deposited on all the substrates. The x-ray diffraction patterns of InN films on ZnO-buffered substrates indicated c-plane-oriented films whereas the Raman spectroscopy results indicated A1 (LO) and E2 (high) modes of InN on all the substrates. The crystalline quality of InN was found to be better on sapphire and quartz than on the other substrates. The surface roughness of InN was studied using an atomic force microscope. The results indicated higher surface roughness of the film on sapphire as compared to the others; however, roughness of the film was lower than 8 nm on all the substrates. The electrical properties indicated higher electron mobility of InN (20.20 cm2/Vs) on bulk GaN than on the other substrates. The optical band gap of InN film was more than 2 eV in all the cases and was attributed to high carrier concentration in the film.

  15. Solar cell with silicon oxynitride dielectric layer

    DOEpatents

    Shepherd, Michael; Smith, David D

    2015-04-28

    Solar cells with silicon oxynitride dielectric layers and methods of forming silicon oxynitride dielectric layers for solar cell fabrication are described. For example, an emitter region of a solar cell includes a portion of a substrate having a back surface opposite a light receiving surface. A silicon oxynitride (SiO.sub.xN.sub.y, 0substrate. A semiconductor layer is disposed on the silicon oxynitride dielectric layer.

  16. Monolithic amorphous silicon modules on continuous polymer substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Grimmer, D.P.

    This report examines manufacturing monolithic amorphous silicon modules on a continuous polymer substrate. Module production costs can be reduced by increasing module performance, expanding production, and improving and modifying production processes. Material costs can be reduced by developing processes that use a 1-mil polyimide substrate and multilayers of low-cost material for the front encapsulant. Research to speed up a-Si and ZnO deposition rates is needed to improve throughputs. To keep throughput rates compatible with depositions, multibeam fiber optic delivery systems for laser scribing can be used. However, mechanical scribing systems promise even higher throughputs. Tandem cells and production experience canmore » increase device efficiency and stability. Two alternative manufacturing processes are described: (1) wet etching and sheet handling and (2) wet etching and roll-to-roll fabrication.« less

  17. Preferred orientations of laterally grown silicon films over amorphous substrates using the vapor–liquid–solid technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    LeBoeuf, J. L., E-mail: jerome.leboeuf@mail.mcgill.ca; Brodusch, N.; Gauvin, R.

    2014-12-28

    A novel method has been optimized so that adhesion layers are no longer needed to reliably deposit patterned gold structures on amorphous substrates. Using this technique allows for the fabrication of amorphous oxide templates known as micro-crucibles, which confine a vapor–liquid–solid (VLS) catalyst of nominally pure gold to a specific geometry. Within these confined templates of amorphous materials, faceted silicon crystals have been grown laterally. The novel deposition technique, which enables the nominally pure gold catalyst, involves the undercutting of an initial chromium adhesion layer. Using electron backscatter diffraction it was found that silicon nucleated in these micro-crucibles were 30%more » single crystals, 45% potentially twinned crystals and 25% polycrystals for the experimental conditions used. Single, potentially twinned, and polycrystals all had an aversion to growth with the (1 0 0) surface parallel to the amorphous substrate. Closer analysis of grain boundaries of potentially twinned and polycrystalline samples revealed that the overwhelming majority of them were of the 60° Σ3 coherent twin boundary type. The large amount of coherent twin boundaries present in the grown, two-dimensional silicon crystals suggest that lateral VLS growth occurs very close to thermodynamic equilibrium. It is suggested that free energy fluctuations during growth or cooling, and impurities were the causes for this twinning.« less

  18. Low-temperature TCT characterization of heavily proton irradiated p-type magnetic Czochralski silicon detectors

    NASA Astrophysics Data System (ADS)

    Härkönen, J.; Tuovinen, E.; Luukka, P.; Kassamakov, I.; Autioniemi, M.; Tuominen, E.; Sane, P.; Pusa, P.; Räisänen, J.; Eremin, V.; Verbitskaya, E.; Li, Z.

    2007-12-01

    n +/p -/p + pad detectors processed at the Microelectronics Center of Helsinki University of Technology on boron-doped p-type high-resistivity magnetic Czochralski (MCz-Si) silicon substrates have been investigated by the transient current technique (TCT) measurements between 100 and 240 K. The detectors were irradiated by 9 MeV protons at the Accelerator Laboratory of University of Helsinki up to 1 MeV neutron equivalent fluence of 2×10 15 n/cm 2. In some of the detectors the thermal donors (TD) were introduced by intentional heat treatment at 430 °C. Hole trapping time constants and full depletion voltage values were extracted from the TCT data. We observed that hole trapping times in the order of 10 ns were found in heavily (above 1×10 15 n eq/cm 2) irradiated samples. These detectors could be fully depleted below 500 V in the temperature range of 140-180 K.

  19. Process for utilizing low-cost graphite substrates for polycrystalline solar cells

    NASA Technical Reports Server (NTRS)

    Chu, T. L. (Inventor)

    1978-01-01

    Low cost polycrystalline silicon solar cells supported on substrates were prepared by depositing successive layers of polycrystalline silicon containing appropriate dopants over supporting substrates of a member selected from the group consisting of metallurgical grade polycrystalline silicon, graphite and steel coated with a diffusion barrier of silica, borosilicate, phosphosilicate, or mixtures thereof such that p-n junction devices were formed which effectively convert solar energy to electrical energy. To improve the conversion efficiency of the polycrystalline silicon solar cells, the crystallite size in the silicon was substantially increased by melting and solidifying a base layer of polycrystalline silicon before depositing the layers which form the p-n junction.

  20. NT-SiC (new-technology silicon carbide) : Φ 650mm optical space mirror substrate of high-strength reaction-sintered silicon carbide

    NASA Astrophysics Data System (ADS)

    Suyama, Shoko; Itoh, Yoshiyasu; Tsuno, Katsuhiko; Ohno, Kazuhiko

    2005-08-01

    Silicon carbide (SiC) is the most advantageous as the material of various telescope mirrors, because of high stiffness, low density, low coefficient of thermal expansion, high thermal conductivity and thermal stability. Newly developed high-strength reaction-sintered silicon carbide (NTSIC), which has two times higher strength than sintered SiC, is one of the most promising candidates for lightweight optical mirror substrate, because of fully dense, lightweight, small sintering shrinkage (+/-1 %), good shape capability and low processing temperature. In this study, 650mm in diameter mirror substrate of NTSIC was developed for space telescope applications. Three developed points describe below. The first point was to realize the lightweight to thin the thickness of green bodies. Ribs down to 3mm thickness can be obtained by strengthen the green body. The second point was to enlarge the mirror size. 650mm in diameter of mirror substrate can be fabricated with enlarging the diameter in order. The final point was to realize the homogeneity of mirror substrate. Some properties, such as density, bending strength, coefficient of thermal expansion, Young's modulus, Poisson's ratio, fracture toughness, were measured by the test pieces cutting from the fabricated mirror substrates.

  1. Silicon-based hot electron emitting substrate with double tunneling

    NASA Astrophysics Data System (ADS)

    Chen, Fei; Zhan, Xinghua; Salcic, Zoran; Wong, Chee Cheong; Gao, Wei

    2017-07-01

    We propose a novel silicon structure, Hot Electron Emitting Substrate (HEES), which exhibits important effect of repeated tunneling at two different voltage ranges, which we refer to as double tunneling. In ambient atmosphere and room temperature, the I-V characteristic of HEES shows two current peaks during voltage sweep from 2 to 15 V. These two peaks are formed by the Fowler-Nordheim (FN) tunneling effect and tunneling diode mechanism, respectively.

  2. P-stop isolation study of irradiated n-in-p type silicon strip sensors for harsh radiation environments

    NASA Astrophysics Data System (ADS)

    Printz, Martin; CMS Tracker Collaboration

    2016-09-01

    In order to determine the most radiation hard silicon sensors for the CMS Experiment after the Phase II Upgrade in 2023 a comprehensive study of silicon sensors after a fluence of up to 1.5 ×1015neq /cm2 corresponding to 3000fb-1 after the HL-LHC era has been carried out. The results led to the decision that the future Outer Tracker (20 cm < R < 110 cm) of CMS will consist of n-in-p type sensors. This technology is more radiation hard but also the manufacturing is more challenging compared to p-in-n type sensors due to additional process steps in order to suppress the accumulation of electrons between the readout strips. One possible isolation technique of adjacent strips is the p-stop structure which is a p-type material implantation with a certain pattern for each individual strip. However, electrical breakdown and charge collection studies indicate that the process parameters of the p-stop structure have to be carefully calibrated in order to achieve a sufficient strip isolation but simultaneously high breakdown voltages. Therefore a study of the isolation characteristics with four different silicon sensor manufacturers has been executed in order to determine the most suitable p-stop parameters for the harsh radiation environment during HL-LHC. Several p-stop doping concentrations, doping depths and different p-stop pattern have been realized and experiments before and after irradiation with protons and neutrons have been performed and compared to T-CAD simulation studies with Synopsys Sentaurus. The measurements combine the electrical characteristics measured with a semi-automatic probestation with Sr90 signal measurements and analogue readout. Furthermore, some samples have been investigated with the help of a cosmic telescope with high resolution allowing charge collection studies of MIPs penetrating the sensor between two strips.

  3. Selective modulation of cell response on engineered fractal silicon substrates

    PubMed Central

    Gentile, Francesco; Medda, Rebecca; Cheng, Ling; Battista, Edmondo; Scopelliti, Pasquale E.; Milani, Paolo; Cavalcanti-Adam, Elisabetta A.; Decuzzi, Paolo

    2013-01-01

    A plethora of work has been dedicated to the analysis of cell behavior on substrates with ordered topographical features. However, the natural cell microenvironment is characterized by biomechanical cues organized over multiple scales. Here, randomly rough, self-affinefractal surfaces are generated out of silicon,where roughness Ra and fractal dimension Df are independently controlled. The proliferation rates, the formation of adhesion structures, and the morphology of 3T3 murine fibroblasts are monitored over six different substrates. The proliferation rate is maximized on surfaces with moderate roughness (Ra ~ 40 nm) and large fractal dimension (Df ~ 2.4); whereas adhesion structures are wider and more stable on substrates with higher roughness (Ra ~ 50 nm) and lower fractal dimension (Df ~ 2.2). Higher proliferation occurson substrates exhibiting densely packed and sharp peaks, whereas more regular ridges favor adhesion. These results suggest that randomly roughtopographies can selectively modulate cell behavior. PMID:23492898

  4. A model for the high-temperature transport properties of heavily doped n-type silicon-germanium alloys

    NASA Technical Reports Server (NTRS)

    Vining, Cronin B.

    1991-01-01

    A model is presented for the high-temperature transport properties of large-grain-size, heavily doped n-type silicon-germanium alloys. Electron and phonon transport coefficients are calculated using standard Boltzmann equation expressions in the relaxation time approximation. Good agreement with experiment is found by considering acoustic phonon and ionized impurity scattering for electrons, and phonon-phonon, point defect, and electron-phonon scattering for phonons. The parameters describing electron transport in heavily doped and lightly doped materials are significantly different and suggest that most carriers in heavily doped materials are in a band formed largely from impurity states. The maximum dimensionless thermoelectric figure of merit for single-crystal, n-type Si(0.8)Ge(0.2) at 1300 K is estimated at ZT about 1.13 with an optimum carrier concentration of n about 2.9 x 10 to the 20th/cu cm.

  5. Fabrication of polycrystalline solar cells on low-cost substrates

    NASA Technical Reports Server (NTRS)

    Chu, T. L. (Inventor)

    1976-01-01

    A new method of producing p-n junction semiconductors for solar cells was described; the principal objective of this investigation is to reduce production costs significantly by depositing polycrystalline silicon on a relatively cheap substrate such as metallurgical-grade silicon, graphite, or steel. The silicon layer contains appropriate dopants, and the substrates are coated with a diffusion barrier of silica, borosilicate, phosphosilicate, or mixtures of these compounds.

  6. Dip coating process: Silicon sheet growth development for the large-area silicon sheet task of the low-cost silicon solar array project

    NASA Technical Reports Server (NTRS)

    Heaps, J. D.; Maciolek, R. B.; Harrison, W. B.; Wolner, H. A.; Hendrickson, G.; Nelson, L. D.

    1976-01-01

    To date, an experimental dip-coating facility was constructed. Using this facility, relatively thin (1 mm) mullite and alumina substrates were successfully dip-coated with 2.5 - 3.0 ohm-cm, p-type silicon with areas of approximately 20 sq cm. The thickness and grain size of these coatings are influenced by the temperature of the melt and the rate at which the substrate is pulled from the melt. One mullite substrate had dendrite-like crystallites of the order of 1 mm wide and 1 to 2 cm long. Their axes were aligned along the direction of pulling. A large variety of substrate materials were purchased or developed enabling the program to commence a substrate definition evaluation. Due to the insulating nature of the substrate, the bottom layer of the p-n junction may have to be made via the top surface. The feasibility of accomplishing this was demonstrated using single crystal wafers.

  7. Characterization of Ag-porous silicon nanostructured layer formed by an electrochemical etching of p-type silicon surface for bio-application

    NASA Astrophysics Data System (ADS)

    Naddaf, M.; Al-Mariri, A.; Haj-Mhmoud, N.

    2017-06-01

    Nanostructured layers composed of silver-porous silicon (Ag-PS) have been formed by an electrochemical etching of p-type (1 1 1) silicon substrate in a AgNO3:HF:C2H5OH solution at different etching times (10 min-30 min). Scanning electron microscopy (SEM) and energy-dispersive x-ray spectroscopy (EDS) results reveal that the produced layers consist of Ag dendrites and a silicon-rich porous structure. The nanostructuring nature of the layer has been confirmed by spatial micro-Raman scattering and x-ray diffraction techniques. The Ag dendrites exhibit a surface-enhanced Raman scattering (SERS) spectrum, while the porous structure shows a typical PS Raman spectrum. Upon increasing the etching time, the average size of silicon nanocrystallite in the PS network decreases, while the average size of Ag nanocrystals is slightly affected. In addition, the immobilization of prokaryote Salmonella typhimurium DNA via physical adsorption onto the Ag-PS layer has been performed to demonstrate its efficiency as a platform for detection of biological molecules using SERS.

  8. Fabrication of silicon-on-diamond substrate with an ultrathin SiO2 bonding layer

    NASA Astrophysics Data System (ADS)

    Nagata, Masahiro; Shirahama, Ryouya; Duangchan, Sethavut; Baba, Akiyoshi

    2018-06-01

    We proposed and demonstrated a sputter etching method to prepare both a flat surface (root-mean-square surface roughness of approximately 0.2–0.3 nm) and an ultrathin SiO2 bonding layer at an accuracy of approximately 5 nm in thickness to fabricate a silicon-on-diamond substrate (SOD). We also investigated a plasma activation method on a SiO2 surface using various gases. We found that O2 plasma activation is more suitable for the bonding between SiO2 and Si than N2 or Ar plasma activation. We speculate that the concentration of hydroxyl groups on the SiO2 surface was increased by O2 plasma activation. We fabricated the SOD substrate with an ultrathin (15 nm in thickness) SiO2 bonding layer using the sputter etching and O2 plasma activation methods.

  9. Structural and electrical properties of AlN layers grown on silicon by reactive RF magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bazlov, N., E-mail: n.bazlov@spbu.ru; Pilipenko, N., E-mail: nelly.pilipenko@gmail.com; Vyvenko, O.

    2016-06-17

    AlN films of different thicknesses were deposited on n-Si (100) substrates by reactive radio frequency (rf) magnetron sputtering. Dependences of structure and electrical properties on thickness of deposited films were researched. The structures of the films were analyzed with scanning electron microscopy (SEM) and with transmitting electron microscopy (TEM). Electrical properties of the films were investigated on Au-AlN-(n-Si) structures by means of current-voltage (I-V), capacitance-voltage (C-V) and deep level transient spectroscopy (DLTS) techniques. Electron microscopy investigations had shown that structure and chemical composition of the films were thickness stratified. Near silicon surface layer was amorphous aluminum oxide one contained trapsmore » of positive charges with concentration of about 4 × 10{sup 18} cm{sup −3}. Upper layers were nanocrystalline ones consisted of both wurzite AlN and cubic AlON nanocrystals. They contained traps both positive and negative charges which were situated within 30 nm distance from silicon surface. Surface densities of these traps were about 10{sup 12} cm{sup −2}. Electron traps with activation energies of (0.2 ÷ 0.4) eV and densities of about 10{sup 10} cm{sup −2} were revealed on interface between aluminum oxide layer and silicon substrate. Their densities varied weakly with the film thickness.« less

  10. Study of nanoparticles TiO{sub 2} thin films on p-type silicon substrate using different alcoholic solvents

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Muaz, A. K. M.; Ruslinda, A. R.; Ayub, R. M.

    2016-07-06

    In this paper, sol-gel method spin coating technique is adopted to prepare nanoparticles titanium dioxide (TiO{sub 2}) thin films. The prepared TiO{sub 2} sol was synthesized using titanium butoxide act as a precursor and subjected to deposited on the p-type silicon oxide (p-SiO{sub 2}) and glass slide substrates under room temperature. The effect of different alcoholic solvents of methanol and ethanol on the structural, morphological, optical and electrical properties were systematically investigated. The coated TiO{sub 2} thin films were annealed in furnace at 773 K for 1 h. The structural properties of the TiO{sub 2} films were examined with X-raymore » Diffraction (XRD). From the XRD analysis, both solvents showing good crystallinity with anatase phase were the predominant structure. Atomic Force Microscopy (AFM) was employed to study the morphological of the thin films. The optical properties were investigated by Ultraviolet-visible (UV-Vis) spectroscopy were found that ethanol as a solvent give a higher optical transmittance if compare to the methanol solvent. The electrical properties of the nanoparticles TiO{sub 2} thin films were measured using two-point-probe technique.« less

  11. Simultaneous dual-functioning InGaN/GaN multiple-quantum-well diode for transferrable optoelectronics

    NASA Astrophysics Data System (ADS)

    Shi, Zheng; Yuan, Jialei; Zhang, Shuai; Liu, Yuhuai; Wang, Yongjin

    2017-10-01

    We propose a wafer-level procedure for the fabrication of 1.5-mm-diameter dual functioning InGaN/GaN multiple-quantum-well (MQW) diodes on a GaN-on-silicon platform for transferrable optoelectronics. Nitride semiconductor materials are grown on (111) silicon substrates with intermediate Al-composition step-graded buffer layers, and membrane-type MQW-diode architectures are obtained by a combination of silicon removal and III-nitride film backside thinning. Suspended MQW-diodes are directly transferred from silicon to foreign substrates such as metal, glass and polyethylene terephthalate by mechanically breaking the support beams. The transferred MQW-diodes display strong electroluminescence under current injection and photodetection under light irradiation. Interestingly, they demonstrate a simultaneous light-emitting light-detecting function, endowing the 1.5-mm-diameter MQW-diode with the capability of producing transferrable optoelectronics for adjustable displays, wearable optical sensors, multifunctional energy harvesting, flexible light communication and monolithic photonic circuit.

  12. Electrophoretic deposition of silicon substituted hydroxyapatite coatings from n-butanol-chloroform mixture.

    PubMed

    Xiao, Xiu Feng; Liu, Rong Fang; Tang, Xiao Lian

    2008-01-01

    Silicon Substituted Hydroxyapatite (Si-HA) coatings were prepared on titanium substrates by electrophoretic deposition (EPD). The stability of Si-HA suspension in n-butanol and chloroform mixture has been studied by electricity conductivity and sedimentation test. The microstructure, shear strength and bioactivity in vitro has been tested. The stability of Si-HA suspension containing n-butanol and chloroform mixture as medium is better than that of pure n-butanol as medium. The good adhesion of the particles with the substrate and good cohesion between the particles were obtained in n-butanol and chloroform mixture. Adding triethanolamine (TEA) as additive into the suspension is in favor of the formation of uniform and compact Si-HA coatings on the titanium substrates by EPD. The shear strength of the coatings can reach 20.43 MPa after sintering at 700 degrees C for 2 h, when the volume ratio of n-butanol: chloroform is 2:1 and the concentration of TEA is 15 ml/L. Titanium substrates etched in H(2)O(2)/NH(3) solution help to improve the shear strength of the coatings. After immersion in simulated body fluid for 7 days, Si-HA coatings have the ability to induce the bone-like apatite formation.

  13. Back scattering involving embedded silicon nitride (SiN) nanoparticles for c-Si solar cells

    NASA Astrophysics Data System (ADS)

    Ghosh, Hemanta; Mitra, Suchismita; Siddiqui, M. S.; Saxena, A. K.; Chaudhuri, Partha; Saha, Hiranmay; Banerjee, Chandan

    2018-04-01

    A novel material, structure and method of synthesis for dielectric light trapping have been presented in this paper. First, the light scattering behaviour of silicon nitride nanoparticles have been theoretically studied in order to find the optimized size for dielectric back scattering by FDTD simulations from Lumerical Inc. The optical results have been used in electrical analysis and thereby, estimate the effect of nanoparticles on efficiency of the solar cells depending on substrate thickness. Experimentally, silicon nitride (SiN) nanoparticles have been formed using hydrogen plasma treatment on SiN layer deposited by Plasma Enhanced Chemical Vapour Deposition (PECVD). The size and area coverage of the nanoparticles were controlled by varying the working pressure, power density and treatment duration. The nanoparticles were integrated with partial rear contact c-Si solar cells as dielectric back reflector structures for the light trapping in thin silicon solar cells. Experimental results revealed the increases of current density by 2.7% in presence of SiN nanoparticles.

  14. Galvanic displacement reaction and rapid thermal annealing in size/shape controlling silver nanoparticles on silicon substrate

    NASA Astrophysics Data System (ADS)

    Ghosh, Tapas; Satpati, Biswarup

    2017-05-01

    The effect of the thermal annealing on silver nanoparticles deposited on silicon surface has been studied. The silver nanoparticles have been deposited by the galvanic displacement reaction. Rapid thermal annealing (RTA) has been performed on the Si substrate, containing the silver nanoparticles. The scanning transmission electron microscopy (STEM), energy dispersive X-ray (EDX) spectroscopy and scanning electron microscopy (SEM) study show that the galvanic displacement reaction and subsequent rapid thermal annealing could lead to well separated and spherical shaped larger silver nanoparticles on silicon substrate.

  15. Electrical and Structural Analysis on the Formation of n-type Junction in Germanium

    NASA Astrophysics Data System (ADS)

    Aziz, Umar Abdul; Nadhirah Mohamad Rashid, Nur; Rahmah Aid, Siti; Centeno, Anthony; Ikenoue, Hiroshi; Xie, Fang

    2017-05-01

    Germanium (Ge) has re-emerged as a potential candidate to replace silicon (Si) as a substrate, due to its higher carrier mobility properties that are the key point for the realization of devices high drive current. However, the fabrication process of Ge is confronted with many problems such as low dopant electrical activation and the utilization of heavy n-type dopant atoms during ion implantation. These problems result in more damage and defects that can affect dopant activation. This paper reports the electrical and structural analysis on the formation of n-type junction in Ge substrate by ion implantation, followed by excimer laser annealing (ELA) using KrF laser. ELA parameters such as laser fluences were varied from 100 - 2000 mJ/cm2 and shot number between 1 - 1000 to obtain the optimized parameter of ELA with a high degree of damage and defect removal. Low resistance with a high degree of crystallinity is obtained for the samples annealed with less than five shot number. Higher shot number with high laser fluence, shows a high degree of ablation damage.

  16. Summary of theoretical and experimental investigation of grating type, silicon photovoltaic cells. [using p-n junctions on light receiving surface of base crystal

    NASA Technical Reports Server (NTRS)

    Chen, L. Y.; Loferski, J. J.

    1975-01-01

    Theoretical and experimental aspects are summarized for single crystal, silicon photovoltaic devices made by forming a grating pattern of p/n junctions on the light receiving surface of the base crystal. Based on the general semiconductor equations, a mathematical description is presented for the photovoltaic properties of such grating-like structures in a two dimensional form. The resulting second order elliptical equation is solved by computer modeling to give solutions for various, reasonable, initial values of bulk resistivity, excess carrier concentration, and surface recombination velocity. The validity of the computer model is established by comparison with p/n devices produced by alloying an aluminum grating pattern into the surface of n-type silicon wafers. Current voltage characteristics and spectral response curves are presented for cells of this type constructed on wafers of different resistivities and orientations.

  17. Integrated TiN coated porous silicon supercapacitor with large capacitance per foot print

    NASA Astrophysics Data System (ADS)

    Grigoras, Kestutis; Grönberg, Leif; Ahopelto, Jouni; Prunnila, Mika

    2017-05-01

    We have fabricated a micro-supercapacitor with porous silicon electrodes coated with TiN by atomic layer deposition technique. The coating provides an efficient surface passivation and high electrical conductivity of the electrodes, resulting in stable and almost ideal electrochemical double layer capacitor behavior with characteristics comparable to the best carbon based micro-supercapacitors. Stability of the supercapacitor is verified by performing 50 000 voltammetry cycles with high capacitance retention obtained. Silicon microfabrication techniques facilitate integration of both supercapacitor electrodes inside the silicon substrate and, in this work, such in-chip supercapacitor is demonstrated. This approach allows realization of very high capacitance per foot print area. The in-chip micro-supercapacitor can be integrated with energy harvesting elements and can be used in wearable and implantable microdevices.

  18. High performance hybrid silicon micropillar solar cell based on light trapping characteristics of Cu nanoparticles

    NASA Astrophysics Data System (ADS)

    Zhang, Yulong; Fan, Zhiqiang; Zhang, Weijia; Ma, Qiang; Jiang, Zhaoyi; Ma, Denghao

    2018-05-01

    High performance silicon combined structure (micropillar with Cu nanoparticles) solar cell has been synthesized from N-type silicon substrates based on the micropillar array. The combined structure solar cell exhibited higher short circuit current rather than the silicon miropillar solar cell, which the parameters of micropillar array are the same. Due to the Cu nanoparticles were decorated on the surface of silicon micropillar array, the photovoltaic properties of cells have been improved. In addition, the optimal efficiency of 11.5% was measured for the combined structure solar cell, which is better than the silicon micropillar cell.

  19. JFET front-end circuits integrated in a detector-grade silicon substrate

    NASA Astrophysics Data System (ADS)

    Manghisoni, M.; Ratti, L.; Re, V.; Speziali, V.; Traversi, G.; Dalla Betta, G. F.; Boscardin, M.; Batignani, G.; Giorgi, M.; Bosisio, L.

    2003-08-01

    This paper presents the design and experimental results relevant to front-end circuits integrated on detector-grade high resistivity silicon. The fabrication technology is made available by the Istituto per la Ricerca Scientifica e Tecnologica (ITC-IRST), Trento, Italy and allows using a common substrate for different kinds of active devices, such as N-channel JFETs and MOSFETs, and for pixel, microstrip, and PIN detectors. This research activity is being carried out in the framework of a project aiming at the fabrication of a multichannel mixed analog-digital chip for the readout of solid-state detectors integrated in the same substrate. Possible applications are in the field of medical and industrial imaging and space and high energy physics experiments. An all-JFET charge sensitive amplifier, which can use either a resistive or a nonresistive feedback network, has been characterized. The two configurations have been compared to each other, paying particular attention to noise performances, in view of the design of the complete readout channel. Operation capability in harsh radiation environment has been evaluated through exposure to /spl gamma/-rays from a /sup 60/Co source.

  20. Complementary p- and n-type polymer doping for ambient stable graphene inverter.

    PubMed

    Yun, Je Moon; Park, Seokhan; Hwang, Young Hwan; Lee, Eui-Sup; Maiti, Uday; Moon, Hanul; Kim, Bo-Hyun; Bae, Byeong-Soo; Kim, Yong-Hyun; Kim, Sang Ouk

    2014-01-28

    Graphene offers great promise to complement the inherent limitations of silicon electronics. To date, considerable research efforts have been devoted to complementary p- and n-type doping of graphene as a fundamental requirement for graphene-based electronics. Unfortunately, previous efforts suffer from undesired defect formation, poor controllability of doping level, and subtle environmental sensitivity. Here we present that graphene can be complementary p- and n-doped by simple polymer coating with different dipolar characteristics. Significantly, spontaneous vertical ordering of dipolar pyridine side groups of poly(4-vinylpyridine) at graphene surface can stabilize n-type doping at room-temperature ambient condition. The dipole field also enhances and balances the charge mobility by screening the impurity charge effect from the bottom substrate. We successfully demonstrate ambient stable inverters by integrating p- and n-type graphene transistors, which demonstrated clear voltage inversion with a gain of 0.17 at a 3.3 V input voltage. This straightforward polymer doping offers diverse opportunities for graphene-based electronics, including logic circuits, particularly in mechanically flexible form.

  1. Characterization of self-assembled monolayers (SAMs) on silicon substrate comparative with polymer substrate for Escherichia coli O157:H7 detection

    NASA Astrophysics Data System (ADS)

    Moldovan, Carmen; Mihailescu, Carmen; Stan, Dana; Ruta, Lavinia; Iosub, Rodica; Gavrila, Raluca; Purica, Munizer; Vasilica, Schiopu

    2009-08-01

    This article presents the characterization of two substrates, silicon and polymer coated with gold, that are functionalized by mixed self-assembled monolayers (SAMs) in order to efficiently immobilize the anti- Escherichia coli O157:H7 polyclonal purified antibody. A biosurface functionalized by SAMs (self-assembled monolayers) technique has been developed. Immobilization of goat anti- E. coli O157:H7 antibody was performed by covalently bonding of thiolate mixed self-assembled monolayers (SAMs) realized on two substrates: polymer coated with gold and silicon coated with gold. The F(ab') 2 fragments of the antibodies have been used for eliminating nonspecific bindings between the Fc portions of antibodies and the Fc receptor on cells. The properties of the monolayers and the biofilm formatted with attached antibody molecules were analyzed at each step using infrared spectroscopy (FTIR-ATR), atomic force microscopy (AFM), scanning electron microscopy (SEM) and cyclic voltammetry (CV). In our study the gold-coated silicon substrates approach yielded the best results. These experimental results revealed the necessity to investigate each stage of the immobilization process taking into account in the same time the factors that influence the chemistry of the surface and the further interactions as well and also provide a solid basis for further studies aiming at elaborating sensitive and specific immunosensor or a microarray for the detection of E. coli O157:H7.

  2. Growth and Comparison of Residual Stress of AlN Films on Silicon (100), (110) and (111) Substrates

    NASA Astrophysics Data System (ADS)

    Pandey, Akhilesh; Dutta, Shankar; Prakash, Ravi; Raman, R.; Kapoor, Ashok Kumar; Kaur, Davinder

    2018-02-01

    This paper reports on the comparison of residual stresses in AlN thin films sputter-deposited in identical conditions on Si (100) (110) and (111) substrates. The deposited films are of polycrystalline wurtzite structure with preferred orientation along the (002) direction. AlN film on the Si (111) substrate showed a vertical columnar structure, whereas films on Si (100) and (110) showed tilted columnar structures. Residual stress in the AlN films is estimated by x-ray diffraction (XRD), infra-red absorption method and wafer curvature technique. Films residual stress are found compressive and values are in the range of - 650 (± 50) MPa, - 730 (± 50) MPa and - 300 (± 50) MPa for the AlN films grown on Si (100), (110) and (111) substrates, respectively, with different techniques. The difference in residual stresses can be attributed to the microstructure of the films and mismatch between in plane atomic arrangements of the film and substrates.

  3. Single and multijunction silicon based thin film solar cells on a flexible substrate with absorber layers made by hot-wire CVD

    NASA Astrophysics Data System (ADS)

    Li, Hongbo

    2007-09-01

    With the worldwide growing concern about reliable energy supply and the environmental problems of fossil and nuclear energy production, the need for clean and sustainable energy sources is evident. Solar energy conversion, such as in photovoltaic systems, can play a major role in the urgently needed energy transition in electricity production. Solar cells based on thin film silicon and its alloys are a promising candidate that is capable of fulfilling the fast increasing demand of a reliable solar cell supply. The conventional method to deposit silicon thin films is based on plasma enhanced chemical vapour deposition (PECVD) techniques, which have the disadvantage of increasing film inhomogeneity at a high deposition rate when scaling up for the industrial production. In this thesis, we study the possibility of making high efficiency single and multijunction thin film silicon solar cells with the so-called hot-wire CVD technique, in which no strong electromagnetic field is involved in the deposition. Therefore, the up-scaling for industrial production is straightforward. We report and discuss our findings on the correlation of substrate surface rms roughness and the main output parameter of a solar cell, the open circuit voltage Voc of c-Si:H n i p cells. By considering all the possible reasons that could influence the Voc of such cells, we conclude that the near linear correlation of Voc and substrate surface rms roughness is the result the two most probable reasons: the unintentional doping through the cracks originated near the valleys of the substrate surface due to the in-diffusion of impurities, and the high density electrical defects formed by the collision of columnar silicon structures. Both of them relate to the morphology of substrate surface. Therefore, to have the best cell performance on a rough substrate surface, a good control on the substrate surface morphology is necessary. Another issue influencing the performance of c-Si:H solar cells is the

  4. Human aortic endothelial cell morphology influenced by topography of porous silicon substrates.

    PubMed

    Formentín, Pilar; Catalán, Úrsula; Fernández-Castillejo, Sara; Alba, Maria; Baranowska, Malgorzata; Solà, Rosa; Pallarès, Josep; Marsal, Lluís F

    2015-10-01

    Porous silicon has received much attention because of its optical properties and for its usefulness in cell-based biosensing, drug delivery, and tissue engineering applications. Surface properties of the biomaterial are associated with cell adhesion and with proliferation, migration, and differentiation. The present article analyzes the behavior of human aortic endothelial cells in macro- and nanoporous collagen-modified porous silicon samples. On both substrates, cells are well adhered and numerous. Confocal microscopy and scanning electron microscopy were employed to study the effects of porosity on the morphology of the cells. On macroporous silicon, filopodia is not observed but the cell spreads on the surface, increasing the lamellipodia surface which penetrates the macropore. On nanoporous silicon, multiple filopodia were found to branch out from the cell body. These results demonstrate that the pore size plays a key role in controlling the morphology and growth rate of human aortic endothelial cells, and that these forms of silicon can be used to control cell development in tissue engineering as well as in basic cell biology research. © The Author(s) 2015.

  5. Development of AC-coupled, poly-silicon biased, p-on-n silicon strip detectors in India for HEP experiments

    NASA Astrophysics Data System (ADS)

    Jain, Geetika; Dalal, Ranjeet; Bhardwaj, Ashutosh; Ranjan, Kirti; Dierlamm, Alexander; Hartmann, Frank; Eber, Robert; Demarteau, Marcel

    2018-02-01

    P-on-n silicon strip sensors having multiple guard-ring structures have been developed for High Energy Physics applications. The study constitutes the optimization of the sensor design, and fabrication of AC-coupled, poly-silicon biased sensors of strip width of 30 μm and strip pitch of 55 μm. The silicon wafers used for the fabrication are of 4 inch n-type, having an average resistivity of 2-5 k Ω cm, with a thickness of 300 μm. The electrical characterization of these detectors comprises of: (a) global measurements of total leakage current, and backplane capacitance; (b) strip and voltage scans of strip leakage current, poly-silicon resistance, interstrip capacitance, interstrip resistance, coupling capacitance, and dielectric current; and (c) charge collection measurements using ALiBaVa setup. The results of the same are reported here.

  6. Effect of thermal interaction between bulk GaN substrates and corral sapphire on blue light emission InGaN/GaN multi-quantum wells by MOCVD

    NASA Astrophysics Data System (ADS)

    Sivanathan, P. C.; Shuhaimi, Ahmad; Hamza, Hebal; Kowsz, Stacy J.; Abdul Khudus, Muhammad I. M.; Li, Hongjian; Allif, Kamarul

    2018-07-01

    The InGaN/GaN multi-quantum wells, growth on bulk GaN substrate were studied for blue light emission. Growth temperature plays a key role determining the peak wavelength of a quantum well. The study was carried out by growing quantum wells, MQWs on the whole sapphire at 716 °C and observed peak wavelength at 463 nm. While the bulk GaN substrate with sapphire corral grown at 703 °C and observed a blueshift at 433 nm peak wavelength. These results contradict that of typical observation of wavelength emission inversely proportional to the growth temperature. On the other hand, the growth of GaN-sapphire and GaN-silicon at similar conditions emits 435 nm and 450 nm respectively. The heat interaction of bulk GaN substrates surrounded by the sapphire corral exhibits different growth conditions in multi-quantum wells when compared to that of a whole sapphire substrate (absence of bulk GaN). The predicated surface temperature of bulk GaN substrate is 10 °C-15 °C of more than the corral sapphire. This observation may link to the difference in the thermal distribution of the growth surface corresponding to the different thermal conductivity ratio. The photoluminescence and computational techniques were used to understand in-depth of the heat interaction.

  7. Strong room temperature electroluminescence from lateral p-SiGe/i-Ge/n-SiGe heterojunction diodes on silicon-on-insulator substrate

    NASA Astrophysics Data System (ADS)

    Lin, Guangyang; Yi, Xiaohui; Li, Cheng; Chen, Ningli; Zhang, Lu; Chen, Songyan; Huang, Wei; Wang, Jianyuan; Xiong, Xihuan; Sun, Jiaming

    2016-10-01

    A lateral p-Si0.05Ge0.95/i-Ge/n-Si0.05Ge0.95 heterojunction light emitting diode on a silicon-on-insulator (SOI) substrate was proposed, which is profitable to achieve higher luminous extraction compared to vertical junctions. Due to the high carrier injection ratio of heterostructures and optical reflection at the SiO2/Si interface of the SOI, strong room temperature electroluminescence (EL) at around 1600 nm from the direct bandgap of i-Ge with 0.30% tensile strain was observed. The EL peak intensity of the lateral heterojunction is enhanced by ˜4 folds with a larger peak energy than that of the vertical Ge p-i-n homojunction, suggesting that the light emitting efficiency of the lateral heterojunction is effectively improved. The EL peak intensity of the lateral heterojunction, which increases quadratically with injection current density, becomes stronger for diodes with a wider i-Ge region. The CMOS compatible fabrication process of the lateral heterojunctions paves the way for the integration of the light source with the Ge metal-oxide-semiconductor field-effect-transistor.

  8. a Study of Oxygen Precipitation in Heavily Doped Silicon.

    NASA Astrophysics Data System (ADS)

    Graupner, Robert Kurt

    Gettering of impurities with oxygen precipitates is widely used during the fabrication of semiconductors to improve the performance and yield of the devices. Since the effectiveness of the gettering process is largely dependent on the initial interstitial oxygen concentration, accurate measurements of this parameter are of considerable importance. Measurements of interstitial oxygen following thermal cycles are required for development of semiconductor fabrication processes and for research into the mechanisms of oxygen precipitate nucleation and growth. Efforts by industrial associations have led to the development of standard procedures for the measurement of interstitial oxygen in wafers. However practical oxygen measurements often do not satisfy the requirements of such standard procedures. An additional difficulty arises when the silicon wafer has a low resitivity (high dopant concentration). In such cases the infrared light used for the measurement is severely attenuated by the electrons of holes introduced by the dopant. Since such wafers are the substrates used for the production of widely used epitaxial wafers, this measurement problem is economically important. Alternative methods such as Secondary Ion Mass Spectroscopy or Gas Fusion Analysis have been developed to measure oxygen in these cases. However, neither of these methods is capable of distinguishing interstitial oxygen from precipitated oxygen as required for precipitation studies. In addition to the commercial interest in heavily doped silicon substrates, they are also of interest for research into the role of point defects in nucleation and precipitation processes. Despite considerable research effort, there is still disagreement concerning the type of point defect and its role in semiconductor processes. Studies of changes in the interstitial oxygen concentration of heavily doped and lightly doped silicon wafers could help clarify the role of point defects in oxygen nucleation and precipitation

  9. ZnO buffer layer for metal films on silicon substrates

    DOEpatents

    Ihlefeld, Jon

    2014-09-16

    Dramatic improvements in metallization integrity and electroceramic thin film performance can be achieved by the use of the ZnO buffer layer to minimize interfacial energy between metallization and adhesion layers. In particular, the invention provides a substrate metallization method utilizing a ZnO adhesion layer that has a high work of adhesion, which in turn enables processing under thermal budgets typically reserved for more exotic ceramic, single-crystal, or metal foil substrates. Embodiments of the present invention can be used in a broad range of applications beyond ferroelectric capacitors, including microelectromechanical systems, micro-printed heaters and sensors, and electrochemical energy storage, where integrity of metallized silicon to high temperatures is necessary.

  10. Porous silicon-copper phthalocyanine heterostructure based photoelectrochemical cell

    NASA Astrophysics Data System (ADS)

    A. Betty, C.; N, Padma; Arora, Shalav; Survaiya, Parth; Bhattacharya, Debarati; Choudhury, Sipra; Roy, Mainak

    2018-01-01

    A hybrid solar cell consisting of nanostructured p-type porous silicon (PS) deposited with visible light absorbing dye, Copper Phthalocyanine (CuPc) has been prepared in the photoelectrochemical cell configuration. P-type PS with (100) and (111) orientations which have different porous structures were used for studying the effects of the substrate morphology on the cell efficiency. Heterostructures were prepared by depositing three different thicknesses of CuPc for optimizing the cell efficiency. Structural and surface characterizations were studied using XRD, Raman, SEM and AFM on the PS-CuPc heterostructure. XRD spectrum on both plane silicon and porous silicon indicates the π-π stacking of CuPc with increased disorder for CuPc film on porous silicon. Electrochemical characterizations under sun light type radiation have been carried out to evaluate the photosensitivity of the heterostructure. Between the two different substrates, (100) PS gives better photocurrent, possibly due to the higher surface area and lower series resistance of the structure. Among the (100) PS substrates, (100) PS with 15 nm CuPc film gives Voc more than 1 V resulting in higher efficiency for the cell. The study suggests the scope for optimization of solar cell efficiency using various combinations of the substrate structure and thickness of the sensitizing layer.

  11. Fabrication and characterization of low temperature polycrystalline silicon thin film transistors

    NASA Astrophysics Data System (ADS)

    Krishnan, Anand Thiruvengadathan

    2000-10-01

    The proliferation of devices with built-in displays, such as personal digital assistants and cellular phones has created a demand for rugged light-weight displays. Polymeric substrates could be suited for these applications, and they offer the possibility of flexible displays also. However, driver circuitry needs to be integrated in the display if the cost is to be reduced. Low temperature (<350°C) polycrystalline silicon (poly-Si) thin film transistors, if developed, offer driver circuitry integration during pixel transistor fabrication on top of flexible substrates. This thesis addresses several issues related to the fabrication of thin film transistors at low temperatures on glass substrates. A high-density plasma (electron cyclotron resonance (ECR)) based approach was adopted for deposition of thin films. A process for deposition of n-type doped silicon (n-type doped Si) at T < 350°C and having resistivity <1 ohm/cm has been developed. Intrinsic poly-Si was deposited under different conditions of microwave power, RF bias and deposition times. The properties of n-type doped Si and intrinsic poly-Si were correlated with the structure and the deposition conditions. A novel TFT structure has been proposed and implemented in this work. This top gate TFT structure uses n-type doped Si and utilizes only two masks and one alignment step. There are no critical etch steps and good interface quality could be obtained even without post-processing hydrogenation as the poly-Si surface was not exposed to air before deposition of the gate dielectric. TFTs using this top gate structure were fabricated with no process step exceeding 340°C electrode temperature (surface temperature <300°C). These TFTs show ON/OFF ratios in excess of 105. Their sub-threshold swing is ˜0.5 V/decade and mobility is 1--10 cm2/V-s. Several TFTs were also fabricated using alternative dielectrics such as oxide deposited from tetramethyl silane in an RFPECVD chamber and silicon nitride deposited in

  12. A novel approach for osteocalcin detection by competitive ELISA using porous silicon as a substrate.

    PubMed

    Rahimi, Fereshteh; Mohammadnejad Arough, Javad; Yaghoobi, Mona; Davoodi, Hadi; Sepehri, Fatemeh; Amirabadizadeh, Masood

    2017-11-01

    In this study, porous silicon (PSi) was utilized instead of prevalent polystyrene platforms, and its capability in biomolecule screening was examined. Here, two types of porous structure, macroporous silicon (Macro-PSi) and mesoporous silicon (Meso-PSi), were produced on silicon wafers by electrochemical etching using different electrolytes. Moreover, both kinds of fresh and oxidized PSi samples were investigated. Next, osteocalcin as a biomarker of the bone formation process was used as a model biomarker, and the colorimetric detection was performed by competitive enzyme-linked immunosorbent assay (ELISA). Both Macro-PSi and Meso-PSi substrates in the oxidized state, specifically the Meso-porous structure, were reported to have higher surface area to volume ratio, more capacitance of surface-antigen interaction, and more ability to capture antigen in comparison with the prevalent platforms. Moreover, the optical density signal of osteocalcin detected by the ELISA technique was notably higher than the common platforms. Based on the findings of this study, PSi can potentially be used in the ELISA to achieve better results and consequently more sensitivity. A further asset of incorporating such a nanometer structure in the ELISA technique is that the system response to analyte concentration could be maintained by consuming lower monoclonal antibody (or antigen) and consequently reduces the cost of the experiment. © 2016 International Union of Biochemistry and Molecular Biology, Inc.

  13. Hole injection and dielectric breakdown in 6H-SiC and 4H-SiC metal-oxide-semiconductor structures during substrate electron injection via Fowler-Nordheim tunneling

    NASA Astrophysics Data System (ADS)

    Samanta, Piyas; Mandal, Krishna C.

    2015-12-01

    Hole injection into silicon dioxide (SiO2) films (8-40 nm thick) is investigated for the first time during substrate electron injection via Fowler-Nordheim (FN) tunneling in n-type 4H- and 6H-SiC (silicon carbide) based metal-oxide-semiconductor (MOS) structures at a wide range of temperatures (T) between 298 and 598 K and oxide electric fields Eox from 6 to 10 MV/cm. Holes are generated in heavily doped n-type polycrystalline silicon (n+ -polySi) gate serving as the anode as well as in the bulk silicon dioxide (SiO2) film via hot-electron initiated band-to-band ionization (BTBI). In absence of oxide trapped charges, it is shown that at a given temperature, the hole injection rates from either of the above two mechanisms are higher in n-4H-SiC MOS devices than those in n-6H-SiC MOS structures when compared at a given Eox and SiO2 thickness (tox). On the other hand, relative to n-4H-SiC devices, n-6H-SiC structures exhibit higher hole injection rates for a given tox during substrate electron injection at a given FN current density je,FN throughout the temperature range studied here. These two observations clearly reveal that the substrate material (n-6H-SiC and n-4H-SiC) dependencies on time-to-breakdown (tBD) or injected charge (electron) to breakdown (QBD) of the SiO2 film depend on the mode of FN injections (constant field/voltage and current) from the substrate which is further verified from the rigorous device simulation as well.

  14. P-Type Silicon Strip Sensors for the new CMS Tracker at HL-LHC

    NASA Astrophysics Data System (ADS)

    Adam, W.; Bergauer, T.; Brondolin, E.; Dragicevic, M.; Friedl, M.; Frühwirth, R.; Hoch, M.; Hrubec, J.; König, A.; Steininger, H.; Waltenberger, W.; Alderweireldt, S.; Beaumont, W.; Janssen, X.; Lauwers, J.; Van Mechelen, P.; Van Remortel, N.; Van Spilbeeck, A.; Beghin, D.; Brun, H.; Clerbaux, B.; Delannoy, H.; De Lentdecker, G.; Fasanella, G.; Favart, L.; Goldouzian, R.; Grebenyuk, A.; Karapostoli, G.; Lenzi, Th.; Léonard, A.; Luetic, J.; Postiau, N.; Seva, T.; Vanlaer, P.; Vannerom, D.; Wang, Q.; Zhang, F.; Abu Zeid, S.; Blekman, F.; De Bruyn, I.; De Clercq, J.; D'Hondt, J.; Deroover, K.; Lowette, S.; Moortgat, S.; Moreels, L.; Python, Q.; Skovpen, K.; Van Mulders, P.; Van Parijs, I.; Bakhshiansohi, H.; Bondu, O.; Brochet, S.; Bruno, G.; Caudron, A.; Delaere, C.; Delcourt, M.; De Visscher, S.; Francois, B.; Giammanco, A.; Jafari, A.; Komm, M.; Krintiras, G.; Lemaitre, V.; Magitteri, A.; Mertens, A.; Michotte, D.; Musich, M.; Piotrzkowski, K.; Quertenmont, L.; Szilasi, N.; Vidal Marono, M.; Wertz, S.; Beliy, N.; Caebergs, T.; Daubie, E.; Hammad, G. H.; Härkönen, J.; Lampén, T.; Luukka, P.; Peltola, T.; Tuominen, E.; Tuovinen, E.; Eerola, P.; Tuuva, T.; Baulieu, G.; Boudoul, G.; Caponetto, L.; Combaret, C.; Contardo, D.; Dupasquier, T.; Gallbit, G.; Lumb, N.; Mirabito, L.; Perries, S.; Vander Donckt, M.; Viret, S.; Agram, J.-L.; Andrea, J.; Bloch, D.; Bonnin, C.; Brom, J.-M.; Chabert, E.; Chanon, N.; Charles, L.; Conte, E.; Fontaine, J.-Ch.; Gross, L.; Hosselet, J.; Jansova, M.; Tromson, D.; Autermann, C.; Feld, L.; Karpinski, W.; Kiesel, K. M.; Klein, K.; Lipinski, M.; Ostapchuk, A.; Pierschel, G.; Preuten, M.; Rauch, M.; Schael, S.; Schomakers, C.; Schulz, J.; Schwering, G.; Wlochal, M.; Zhukov, V.; Pistone, C.; Fluegge, G.; Kuensken, A.; Pooth, O.; Stahl, A.; Aldaya, M.; Asawatangtrakuldee, C.; Beernaert, K.; Bertsche, D.; Contreras-Campana, C.; Eckerlin, G.; Eckstein, D.; Eichhorn, T.; Gallo, E.; Garay Garcia, J.; Hansen, K.; Haranko, M.; Harb, A.; Hauk, J.; Keaveney, J.; Kalogeropoulos, A.; Kleinwort, C.; Lohmann, W.; Mankel, R.; Maser, H.; Mittag, G.; Muhl, C.; Mussgiller, A.; Pitzl, D.; Reichelt, O.; Savitskyi, M.; Schuetze, P.; Walsh, R.; Zuber, A.; Biskop, H.; Buhmann, P.; Centis-Vignali, M.; Garutti, E.; Haller, J.; Hoffmann, M.; Lapsien, T.; Matysek, M.; Perieanu, A.; Scharf, Ch.; Schleper, P.; Schmidt, A.; Schwandt, J.; Sonneveld, J.; Steinbrück, G.; Vormwald, B.; Wellhausen, J.; Abbas, M.; Amstutz, C.; Barvich, T.; Barth, Ch.; Boegelspacher, F.; De Boer, W.; Butz, E.; Caselle, M.; Colombo, F.; Dierlamm, A.; Freund, B.; Hartmann, F.; Heindl, S.; Husemann, U.; Kornmayer, A.; Kudella, S.; Muller, Th.; Simonis, H. J.; Steck, P.; Weber, M.; Weiler, Th.; Anagnostou, G.; Asenov, P.; Assiouras, P.; Daskalakis, G.; Kyriakis, A.; Loukas, D.; Paspalaki, L.; Siklér, F.; Veszprémi, V.; Bhardwaj, A.; Dalal, R.; Jain, G.; Ranjan, K.; Bakhshiansohl, H.; Behnamian, H.; Khakzad, M.; Naseri, M.; Cariola, P.; Creanza, D.; De Palma, M.; De Robertis, G.; Fiore, L.; Franco, M.; Loddo, F.; Silvestris, L.; Maggi, G.; Martiradonna, S.; My, S.; Selvaggi, G.; Albergo, S.; Cappello, G.; Chiorboli, M.; Costa, S.; Di Mattia, A.; Giordano, F.; Potenza, R.; Saizu, M. A.; Tricomi, A.; Tuve, C.; Barbagli, G.; Brianzi, M.; Ciaranfi, R.; Ciulli, V.; Civinini, C.; D'Alessandro, R.; Focardi, E.; Latino, G.; Lenzi, P.; Meschini, M.; Paoletti, S.; Russo, L.; Scarlini, E.; Sguazzoni, G.; Strom, D.; Viliani, L.; Ferro, F.; Lo Vetere, M.; Robutti, E.; Dinardo, M. E.; Fiorendi, S.; Gennai, S.; Malvezzi, S.; Manzoni, R. A.; Menasce, D.; Moroni, L.; Pedrini, D.; Azzi, P.; Bacchetta, N.; Bisello, D.; Dall'Osso, M.; Pozzobon, N.; Tosi, M.; De Canio, F.; Gaioni, L.; Manghisoni, M.; Nodari, B.; Riceputi, E.; Re, V.; Traversi, G.; Comotti, D.; Ratti, L.; Alunni Solestizi, L.; Biasini, M.; Bilei, G. M.; Cecchi, C.; Checcucci, B.; Ciangottini, D.; Fanò, L.; Gentsos, C.; Ionica, M.; Leonardi, R.; Manoni, E.; Mantovani, G.; Marconi, S.; Mariani, V.; Menichelli, M.; Modak, A.; Morozzi, A.; Moscatelli, F.; Passeri, D.; Placidi, P.; Postolache, V.; Rossi, A.; Saha, A.; Santocchia, A.; Storchi, L.; Spiga, D.; Androsov, K.; Azzurri, P.; Arezzini, S.; Bagliesi, G.; Basti, A.; Boccali, T.; Borrello, L.; Bosi, F.; Castaldi, R.; Ciampa, A.; Ciocci, M. A.; Dell'Orso, R.; Donato, S.; Fedi, G.; Giassi, A.; Grippo, M. T.; Ligabue, F.; Lomtadze, T.; Magazzu, G.; Martini, L.; Mazzoni, E.; Messineo, A.; Moggi, A.; Morsani, F.; Palla, F.; Palmonari, F.; Raffaelli, F.; Rizzi, A.; Savoy-Navarro, A.; Spagnolo, P.; Tenchini, R.; Tonelli, G.; Venturi, A.; Verdini, P. G.; Bellan, R.; Costa, M.; Covarelli, R.; Da Rocha Rolo, M.; Demaria, N.; Rivetti, A.; Dellacasa, G.; Mazza, G.; Migliore, E.; Monteil, E.; Pacher, L.; Ravera, F.; Solano, A.; Fernandez, M.; Gomez, G.; Jaramillo Echeverria, R.; Moya, D.; Gonzalez Sanchez, F. J.; Vila, I.; Virto, A. L.; Abbaneo, D.; Ahmed, I.; Albert, E.; Auzinger, G.; Berruti, G.; Bianchi, G.; Blanchot, G.; Bonnaud, J.; Caratelli, A.; Ceresa, D.; Christiansen, J.; Cichy, K.; Daguin, J.; D'Auria, A.; Detraz, S.; Deyrail, D.; Dondelewski, O.; Faccio, F.; Frank, N.; Gadek, T.; Gill, K.; Honma, A.; Hugo, G.; Jara Casas, L. M.; Kaplon, J.; Kornmayer, A.; Kottelat, L.; Kovacs, M.; Krammer, M.; Lenoir, P.; Mannelli, M.; Marchioro, A.; Marconi, S.; Mersi, S.; Martina, S.; Michelis, S.; Moll, M.; Onnela, A.; Orfanelli, S.; Pavis, S.; Peisert, A.; Pernot, J.-F.; Petagna, P.; Petrucciani, G.; Postema, H.; Rose, P.; Tropea, P.; Troska, J.; Tsirou, A.; Vasey, F.; Vichoudis, P.; Verlaat, B.; Zwalinski, L.; Bachmair, F.; Becker, R.; di Calafiori, D.; Casal, B.; Berger, P.; Djambazov, L.; Donega, M.; Grab, C.; Hits, D.; Hoss, J.; Kasieczka, G.; Lustermann, W.; Mangano, B.; Marionneau, M.; Martinez Ruiz del Arbol, P.; Masciovecchio, M.; Meinhard, M.; Perozzi, L.; Roeser, U.; Starodumov, A.; Tavolaro, V.; Wallny, R.; Zhu, D.; Amsler, C.; Bösiger, K.; Caminada, L.; Canelli, F.; Chiochia, V.; de Cosa, A.; Galloni, C.; Hreus, T.; Kilminster, B.; Lange, C.; Maier, R.; Ngadiuba, J.; Pinna, D.; Robmann, P.; Taroni, S.; Yang, Y.; Bertl, W.; Deiters, K.; Erdmann, W.; Horisberger, R.; Kaestli, H.-C.; Kotlinski, D.; Langenegger, U.; Meier, B.; Rohe, T.; Streuli, S.; Cussans, D.; Flacher, H.; Goldstein, J.; Grimes, M.; Jacob, J.; Seif El Nasr-Storey, S.; Cole, J.; Hoad, C.; Hobson, P.; Morton, A.; Reid, I. D.; Auzinger, G.; Bainbridge, R.; Dauncey, P.; Hall, G.; James, T.; Magnan, A.-M.; Pesaresi, M.; Raymond, D. M.; Uchida, K.; Garabedian, A.; Heintz, U.; Narain, M.; Nelson, J.; Sagir, S.; Speer, T.; Swanson, J.; Tersegno, D.; Watson-Daniels, J.; Chertok, M.; Conway, J.; Conway, R.; Flores, C.; Lander, R.; Pellett, D.; Ricci-Tam, F.; Squires, M.; Thomson, J.; Yohay, R.; Burt, K.; Ellison, J.; Hanson, G.; Olmedo, M.; Si, W.; Yates, B. R.; Gerosa, R.; Sharma, V.; Vartak, A.; Yagil, A.; Zevi Della Porta, G.; Dutta, V.; Gouskos, L.; Incandela, J.; Kyre, S.; Mullin, S.; Patterson, A.; Qu, H.; White, D.; Dominguez, A.; Bartek, R.; Cumalat, J. P.; Ford, W. T.; Jensen, F.; Johnson, A.; Krohn, M.; Leontsinis, S.; Mulholland, T.; Stenson, K.; Wagner, S. R.; Apresyan, A.; Bolla, G.; Burkett, K.; Butler, J. N.; Canepa, A.; Cheung, H. W. K.; Chramowicz, J.; Christian, D.; Cooper, W. E.; Deptuch, G.; Derylo, G.; Gingu, C.; Grünendahl, S.; Hasegawa, S.; Hoff, J.; Howell, J.; Hrycyk, M.; Jindariani, S.; Johnson, M.; Kahlid, F.; Lei, C. M.; Lipton, R.; Lopes De Sá, R.; Liu, T.; Los, S.; Matulik, M.; Merkel, P.; Nahn, S.; Prosser, A.; Rivera, R.; Schneider, B.; Sellberg, G.; Shenai, A.; Spiegel, L.; Tran, N.; Uplegger, L.; Voirin, E.; Berry, D. R.; Chen, X.; Ennesser, L.; Evdokimov, A.; Evdokimov, O.; Gerber, C. E.; Hofman, D. J.; Makauda, S.; Mills, C.; Sandoval Gonzalez, I. D.; Alimena, J.; Antonelli, L. J.; Francis, B.; Hart, A.; Hill, C. S.; Parashar, N.; Stupak, J.; Bortoletto, D.; Bubna, M.; Hinton, N.; Jones, M.; Miller, D. H.; Shi, X.; Tan, P.; Baringer, P.; Bean, A.; Khalil, S.; Kropivnitskaya, A.; Majumder, D.; Wilson, G.; Ivanov, A.; Mendis, R.; Mitchell, T.; Skhirtladze, N.; Taylor, R.; Anderson, I.; Fehling, D.; Gritsan, A.; Maksimovic, P.; Martin, C.; Nash, K.; Osherson, M.; Swartz, M.; Xiao, M.; Bloom, K.; Claes, D. R.; Fangmeier, C.; Gonzalez Suarez, R.; Monroy, J.; Siado, J.; Hahn, K.; Sevova, S.; Sung, K.; Trovato, M.; Bartz, E.; Gershtein, Y.; Halkiadakis, E.; Kyriacou, S.; Lath, A.; Nash, K.; Osherson, M.; Schnetzer, S.; Stone, R.; Walker, M.; Malik, S.; Norberg, S.; Ramirez Vargas, J. E.; Alyari, M.; Dolen, J.; Godshalk, A.; Harrington, C.; Iashvili, I.; Kharchilava, A.; Nguyen, D.; Parker, A.; Rappoccio, S.; Roozbahani, B.; Alexander, J.; Chaves, J.; Chu, J.; Dittmer, S.; McDermott, K.; Mirman, N.; Rinkevicius, A.; Ryd, A.; Salvati, E.; Skinnari, L.; Soffi, L.; Tao, Z.; Thom, J.; Tucker, J.; Zientek, M.; Akgün, B.; Ecklund, K. M.; Kilpatrick, M.; Nussbaum, T.; Zabel, J.; Betchart, B.; Covarelli, R.; Demina, R.; Hindrichs, O.; Petrillo, G.; Eusebi, R.; Osipenkov, I.; Perloff, A.; Ulmer, K. A.

    2017-06-01

    The upgrade of the LHC to the High-Luminosity LHC (HL-LHC) is expected to increase the LHC design luminosity by an order of magnitude. This will require silicon tracking detectors with a significantly higher radiation hardness. The CMS Tracker Collaboration has conducted an irradiation and measurement campaign to identify suitable silicon sensor materials and strip designs for the future outer tracker at the CMS experiment. Based on these results, the collaboration has chosen to use n-in-p type silicon sensors and focus further investigations on the optimization of that sensor type. This paper describes the main measurement results and conclusions that motivated this decision.

  15. Gold nanoparticles deposited on linker-free silicon substrate and embedded in aluminum Schottky contact.

    PubMed

    Gorji, Mohammad Saleh; Razak, Khairunisak Abdul; Cheong, Kuan Yew

    2013-10-15

    Given the enormous importance of Au nanoparticles (NPs) deposition on Si substrates as the precursor for various applications, we present an alternative approach to deposit Au NPs on linker-free n- and p-type Si substrates. It is demonstrated that, all conditions being similar, there is a significant difference between densities of the deposited NPs on both substrates. The Zeta-potential and polarity of charges surrounding the hydroxylamine reduced seeded growth Au NPs, are determined by a Zetasizer. To investigate the surface properties of Si substrates, contact angle measurement is performed. Field-emission scanning electron microscope is then utilized to distinguish the NPs density on the substrates. Finally, Al/Si Schottky barrier diodes with embedded Au NPs are fabricated, and their structural and electrical characteristics are further evaluated using an energy-filtered transmission electron microscope and current-voltage measurements, respectively. The results reveal that the density of NPs is significantly higher on n-type Si substrate and consequently has more pronounced effects on the electrical characteristics of the diode. It is concluded that protonation of Si-OH group on Si surface in low pH is responsible for the immobilization of Au NPs, which eventually contributes to the lowering of barrier height and enhances the electrical characteristics. Copyright © 2013 Elsevier Inc. All rights reserved.

  16. Process for direct integration of a thin-film silicon p-n junction diode with a magnetic tunnel junction

    DOEpatents

    Toet, Daniel; Sigmon, Thomas W.

    2004-12-07

    A process for direct integration of a thin-film silicon p-n junction diode with a magnetic tunnel junction for use in advanced magnetic random access memory (MRAM) cells for high performance, non-volatile memory arrays. The process is based on pulsed laser processing for the fabrication of vertical polycrystalline silicon electronic device structures, in particular p-n junction diodes, on films of metals deposited onto low temperature-substrates such as ceramics, dielectrics, glass, or polymers. The process preserves underlayers and structures onto which the devices are typically deposited, such as silicon integrated circuits. The process involves the low temperature deposition of at least one layer of silicon, either in an amorphous or a polycrystalline phase on a metal layer. Dopants may be introduced in the silicon film during or after deposition. The film is then irradiated with short pulse laser energy that is efficiently absorbed in the silicon, which results in the crystallization of the film and simultaneously in the activation of the dopants via ultrafast melting and solidification. The silicon film can be patterned either before or after crystallization.

  17. Process For Direct Integration Of A Thin-Film Silicon P-N Junction Diode With A Magnetic Tunnel Junction

    DOEpatents

    Toet, Daniel; Sigmon, Thomas W.

    2005-08-23

    A process for direct integration of a thin-film silicon p-n junction diode with a magnetic tunnel junction for use in advanced magnetic random access memory (MRAM) cells for high performance, non-volatile memory arrays. The process is based on pulsed laser processing for the fabrication of vertical polycrystalline silicon electronic device structures, in particular p-n junction diodes, on films of metals deposited onto low temperature-substrates such as ceramics, dielectrics, glass, or polymers. The process preserves underlayers and structures onto which the devices are typically deposited, such as silicon integrated circuits. The process involves the low temperature deposition of at least one layer of silicon, either in an amorphous or a polycrystalline phase on a metal layer. Dopants may be introduced in the silicon film during or after deposition. The film is then irradiated with short pulse laser energy that is efficiently absorbed in the silicon, which results in the crystallization of the film and simultaneously in the activation of the dopants via ultrafast melting and solidification. The silicon film can be patterned either before or after crystallization.

  18. Process for direct integration of a thin-film silicon p-n junction diode with a magnetic tunnel junction

    DOEpatents

    Toet, Daniel; Sigmon, Thomas W.

    2003-01-01

    A process for direct integration of a thin-film silicon p-n junction diode with a magnetic tunnel junction for use in advanced magnetic random access memory (MRAM) cells for high performance, non-volatile memory arrays. The process is based on pulsed laser processing for the fabrication of vertical polycrystalline silicon electronic device structures, in particular p-n junction diodes, on films of metals deposited onto low temperature-substrates such as ceramics, dielectrics, glass, or polymers. The process preserves underlayers and structures onto which the devices are typically deposited, such as silicon integrated circuits. The process involves the low temperature deposition of at least one layer of silicon, either in an amorphous or a polycrystalline phase on a metal layer. Dopants may be introduced in the silicon film during or after deposition. The film is then irradiated with short pulse laser energy that is efficiently absorbed in the silicon, which results in the crystallization of the film and simultaneously in the activation of the dopants via ultrafast melting and solidification. The silicon film can be patterned either before or after crystallization.

  19. Electrochemical Fabrication of Nanostructures on Porous Silicon for Biochemical Sensing Platforms.

    PubMed

    Ko, Euna; Hwang, Joonki; Kim, Ji Hye; Lee, Joo Heon; Lee, Sung Hwan; Tran, Van-Khue; Chung, Woo Sung; Park, Chan Ho; Choo, Jaebum; Seong, Gi Hun

    2016-01-01

    We present a method for the electrochemical patterning of gold nanoparticles (AuNPs) or silver nanoparticles (AgNPs) on porous silicon, and explore their applications in: (1) the quantitative analysis of hydroxylamine as a chemical sensing electrode and (2) as a highly sensitive surface-enhanced Raman spectroscopy (SERS) substrate for Rhodamine 6G. For hydroxylamine detection, AuNPs-porous silicon can enhance the electrochemical oxidation of hydroxylamine. The current changed linearly for concentrations ranging from 100 μM to 1.32 mM (R(2) = 0.995), and the detection limit was determined to be as low as 55 μM. When used as SERS substrates, these materials also showed that nanoparticles decorated on porous silicon substrates have more SERS hot spots than those decorated on crystalline silicon substrates, resulting in a larger SERS signal. Moreover, AgNPs-porous silicon provided five-times higher signal compared to AuNPs-porous silicon. From these results, we expect that nanoparticles decorated on porous silicon substrates can be used in various types of biochemical sensing platforms.

  20. Plasmonic properties of gold nanoparticles on silicon substrates: Understanding Fano-like spectra observed in reflection

    NASA Astrophysics Data System (ADS)

    Bossard-Giannesini, Léo; Cruguel, Hervé; Lacaze, Emmanuelle; Pluchery, Olivier

    2016-09-01

    Gold nanoparticles (AuNPs) are known for their localized surface plasmon resonance (LSPR) that can be measured with UV-visible spectroscopy. AuNPs are often deposited on silicon substrates for various applications, and the LSPR is measured in reflection. In this case, optical spectra are measured by surface differential reflectance spectroscopy (SDRS) and the absorbance exhibits a negative peak. This article studies both experimentally and theoretically on the single layers of 16 nm diameter spherical gold nanoparticles (AuNPs) grafted on silicon. The morphology and surface density of AuNPs were investigated by atomic force microscopy (AFM). The plasmon response in transmission on the glass substrate and in reflection on the silicon substrate is described by an analytical model based on the Fresnel equations and the Maxwell-Garnett effective medium theory (FMG). The FMG model shows a strong dependence to the incidence angle of the light. At low incident angles, the peak appears negatively with a shallow intensity, and at angles above 30°, the usual positive shape of the plasmon is retrieved. The relevance of the FMG model is compared to the Mie theory within the dipolar approximation. We conclude that no Fano effect is responsible for this derivative shape. An easy-to-use formula is derived that agrees with our experimental data.

  1. Influence of calcium and silicon supplementation into Pleurotus ostreatus substrates on quality of fresh and canned mushrooms.

    PubMed

    Thongsook, T; Kongbangkerd, T

    2011-08-01

    Supplements of gypsum (calcium source), pumice (silicon source) and pumice sulfate (silicon and calcium source) into substrates for oyster mushrooms (Pleurotus ostreatus) were searched for their effects on production as well as qualities of fresh and canned mushrooms. The addition of pumice up to 30% had no effect on total yield, size distribution and cap diameters. The supplementation of gypsum at 10% decreased the total yield; and although gypsum at 5% did not affect total yield, the treatment increased the proportion of large-sized caps. High content (>10%) of pumice sulfate resulted in the lower yield. Calcium and silicon contents in the fruit bodies were not influenced by supplementations. The centrifugal drip loss values and solid content of fresh mushrooms, and the percentage of weight gained and firmness of canned mushrooms, cultivated in substrates supplemented with gypsum, pumice and pumice sulfate were significantly (p≤0.05) higher than those of the control. Scanning electron micrographs revealed the more compacted hyphae of mushroom stalks supplemented with silicon and/or calcium after heat treatment, compared to the control. Supplementation of P. ostreatus substrates with 20% pumice was the most practical treatment because it showed no effect on yield and the most cost-effective.

  2. Tribology of monolayer films: comparison between n-alkanethiols on gold and n-alkyl trichlorosilanes on silicon.

    PubMed

    Booth, Brandon D; Vilt, Steven G; McCabe, Clare; Jennings, G Kane

    2009-09-01

    This Article presents a quantitative comparison of the frictional performance for monolayers derived from n-alkanethiolates on gold and n-alkyl trichlorosilanes on silicon. Monolayers were characterized by pin-on-disk tribometry, contact angle analysis, ellipsometry, and electrochemical impedance spectroscopy (EIS). Pin-on-disk microtribometry provided frictional analysis at applied normal loads from 10 to 1000 mN at a speed of 0.1 mm/s. At low loads (10 mN), methyl-terminated n-alkanethiolate self-assembled monolayers (SAMs) exhibited a 3-fold improvement in coefficient of friction over SAMs with hydroxyl- or carboxylic-acid-terminated surfaces. For monolayers prepared from both n-alkanethiols on gold and n-alkyl trichlorosilanes on silicon, a critical chain length of at least eight carbons is required for beneficial tribological performance at an applied load of 9.8 mN. Evidence for disruption of chemisorbed alkanethiolate SAMs with chain lengths n n-octadecyl dimethylchlorosilane and n-octadecyl trichlorosilane withstood normal loads at least 30 times larger than those that damaged octadecanethiolate SAMs. Collectively, our results show that the tribological properties of monolayer films are dependent on their internal stabilities, which are influenced by cohesive chain interactions (van der Waals) and the adsorbate-substrate bond.

  3. Probing photo-carrier collection efficiencies of individual silicon nanowire diodes on a wafer substrate.

    PubMed

    Schmitt, S W; Brönstrup, G; Shalev, G; Srivastava, S K; Bashouti, M Y; Döhler, G H; Christiansen, S H

    2014-07-21

    Vertically aligned silicon nanowire (SiNW) diodes are promising candidates for the integration into various opto-electronic device concepts for e.g. sensing or solar energy conversion. Individual SiNW p-n diodes have intensively been studied, but to date an assessment of their device performance once integrated on a silicon substrate has not been made. We show that using a scanning electron microscope (SEM) equipped with a nano-manipulator and an optical fiber feed-through for tunable (wavelength, power using a tunable laser source) sample illumination, the dark and illuminated current-voltage (I-V) curve of individual SiNW diodes on the substrate wafer can be measured. Surprisingly, the I-V-curve of the serially coupled system composed of SiNW/wafers is accurately described by an equivalent circuit model of a single diode and diode parameters like series and shunting resistivity, diode ideality factor and photocurrent can be retrieved from a fit. We show that the photo-carrier collection efficiency (PCE) of the integrated diode illuminated with variable wavelength and intensity light directly gives insight into the quality of the device design at the nanoscale. We find that the PCE decreases for high light intensities and photocurrent densities, due to the fact that considerable amounts of photo-excited carriers generated within the substrate lead to a decrease in shunting resistivity of the SiNW diode and deteriorate its rectification. The PCE decreases systematically for smaller wavelengths of visible light, showing the possibility of monitoring the effectiveness of the SiNW device surface passivation using the shown measurement technique. The integrated device was pre-characterized using secondary ion mass spectrometry (SIMS), TCAD simulations and electron beam induced current (EBIC) measurements to validate the properties of the characterized material at the single SiNW diode level.

  4. High-T(sub c) Edge-geometry SNS Weak Links on Silicon-on-sapphire Substrates

    NASA Technical Reports Server (NTRS)

    Hunt, B.; Foote, M.; Pike, W.; Barner, J.; Vasquez, R.

    1994-01-01

    High-quality superconductor/normal-metal/superconductor(SNS) edge-geometry weak links have been produced on silicon-on-sapphire (SOS) substrates using a new SrTiO(sub 3)/'seed layer'/cubic-zirconia (YS2) buffer system.

  5. Formation of composite polyacrylamide and silicone substrates for independent control of stiffness and strain.

    PubMed

    Simmons, Chelsey S; Ribeiro, Alexandre J S; Pruitt, Beth L

    2013-02-21

    Cells that line major tissues in the body such as blood vessels, lungs and gastrointestinal tract experience deformation from mechanical strain with our heartbeat, breathing, and other daily activities. Tissues also remodel in both development and disease, changing their mechanical properties. Taken together, cells can experience vastly different mechanical cues resulting from the combination of these interdependent stimuli. To date, most studies of cellular mechanotransduction have been limited to assays in which variations in substrate stiffness and strain were not combined. Here, we address this technological gap by implementing a method that can simultaneously tune both substrate stiffness and mechanical strain. Substrate stiffness is controlled with different monomer and crosslinker ratios during polyacrylamide gel polymerization, and strain is transferred from the underlying silicone platform when stretched. We demonstrate this platform with polyacrylamide gels with elastic moduli at 6 kPa and 20 kPa in combination with two different silicone formulations. The gels remain attached with up to 50% applied strains. To validate strain transfer through the gels into cells, we employ particle-tracking methods and observe strain transmission via cell morphological changes.

  6. P-Type Silicon Strip Sensors for the new CMS Tracker at HL-LHC

    DOE PAGES

    Adam, W.; Bergauer, T.; Brondolin, E.; ...

    2017-06-27

    The upgrade of the LHC to the High-Luminosity LHC (HL-LHC) is expected to increase the LHC design luminosity by an order of magnitude. This will require silicon tracking detectors with a significantly higher radiation hardness. The CMS Tracker Collaboration has conducted an irradiation and measurement campaign to identify suitable silicon sensor materials and strip designs for the future outer tracker at the CMS experiment. Based on these results, the collaboration has chosen to use n-in-p type silicon sensors and focus further investigations on the optimization of that sensor type. Furthermore, this paper describes the main measurement results and conclusions thatmore » motivated this decision.« less

  7. P-Type Silicon Strip Sensors for the new CMS Tracker at HL-LHC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Adam, W.; Bergauer, T.; Brondolin, E.

    The upgrade of the LHC to the High-Luminosity LHC (HL-LHC) is expected to increase the LHC design luminosity by an order of magnitude. This will require silicon tracking detectors with a significantly higher radiation hardness. The CMS Tracker Collaboration has conducted an irradiation and measurement campaign to identify suitable silicon sensor materials and strip designs for the future outer tracker at the CMS experiment. Based on these results, the collaboration has chosen to use n-in-p type silicon sensors and focus further investigations on the optimization of that sensor type. Furthermore, this paper describes the main measurement results and conclusions thatmore » motivated this decision.« less

  8. Growth and tribological properties of diamond films on silicon and tungsten carbide substrates

    NASA Astrophysics Data System (ADS)

    Radhika, R.; Ramachandra Rao, M. S.

    2016-11-01

    Hot filament chemical vapor deposition technique was used to deposit microcrystalline diamond (MCD) and nanocrystalline diamond (NCD) films on silicon (Si) and tungsten carbide (WC-6Co) substrates. Friction coefficient of larger diamond grains deposited on WC-6Co substrate shows less value approximately 0.2 while this differs marginally on films grown on Si substrate. The study claims that for a less friction coefficient, the grain size is not necessarily smaller. However, the less friction coefficient (less than 0.1 saturated value) in MCD and NCD deposited on Si is explained by the formation of graphitized tribolayer. This layer easily forms when diamond phase is thermodynamically unstable.

  9. GaN-based light-emitting diodes on various substrates: a critical review.

    PubMed

    Li, Guoqiang; Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Wang, Haiyan; Lin, Zhiting; Zhou, Shizhong

    2016-05-01

    GaN and related III-nitrides have attracted considerable attention as promising materials for application in optoelectronic devices, in particular, light-emitting diodes (LEDs). At present, sapphire is still the most popular commercial substrate for epitaxial growth of GaN-based LEDs. However, due to its relatively large lattice mismatch with GaN and low thermal conductivity, sapphire is not the most ideal substrate for GaN-based LEDs. Therefore, in order to obtain high-performance and high-power LEDs with relatively low cost, unconventional substrates, which are of low lattice mismatch with GaN, high thermal conductivity and low cost, have been tried as substitutes for sapphire. As a matter of fact, it is not easy to obtain high-quality III-nitride films on those substrates for various reasons. However, by developing a variety of techniques, distincts progress has been made during the past decade, with high-performance LEDs being successfully achieved on these unconventional substrates. This review focuses on state-of-the-art high-performance GaN-based LED materials and devices on unconventional substrates. The issues involved in the growth of GaN-based LED structures on each type of unconventional substrate are outlined, and the fundamental physics behind these issues is detailed. The corresponding solutions for III-nitride growth, defect control, and chip processing for each type of unconventional substrate are discussed in depth, together with a brief introduction to some newly developed techniques in order to realize LED structures on unconventional substrates. This is very useful for understanding the progress in this field of physics. In this review, we also speculate on the prospects for LEDs on unconventional substrates.

  10. Optimal activation condition of nonpolar a-plane p-type GaN layers grown on r-plane sapphire substrates by MOCVD

    NASA Astrophysics Data System (ADS)

    Son, Ji-Su; Hyeon Baik, Kwang; Gon Seo, Yong; Song, Hooyoung; Hoon Kim, Ji; Hwang, Sung-Min; Kim, Tae-Geun

    2011-07-01

    The optimal conditions of p-type activation for nonpolar a-plane (1 1 -2 0) p-type GaN films on r-plane (1 -1 0 2) sapphire substrates with various off-axis orientations have been investigated. Secondary ion mass spectrometry (SIMS) measurements show that Mg doping concentrations of 6.58×10 19 cm -3 were maintained in GaN during epitaxial growth. The samples were activated at various temperatures and periods of time in air, oxygen (O 2) and nitrogen (N 2) gas ambient by conventional furnace annealing (CFA) and rapid thermal annealing (RTA). The activation of nonpolar a-plane p-type GaN was successful in similar annealing times and temperatures when compared with polar c-plane p-type GaN. However, activation ambient of nonpolar a-plane p-type GaN was clearly different, where a-plane p-type GaN was effectively activated in air ambient. Photoluminescence shows that the optical properties of Mg-doped a-plane GaN samples are enhanced when activated in air ambient.

  11. Analysis of signals propagating in a phononic crystal PZT layer deposited on a silicon substrate.

    PubMed

    Hladky-Hennion, Anne-Christine; Vasseur, Jérôme; Dubus, Bertrand; Morvan, Bruno; Wilkie-Chancellier, Nicolas; Martinez, Loïc

    2013-12-01

    The design of a stop-band filter constituted by a periodically patterned lead zirconate titanate (PZT) layer, polarized along its thickness, deposited on a silicon substrate and sandwiched between interdigitated electrodes for emission/reception of guided elastic waves, is investigated. The filter characteristics are theoretically evaluated by using finite element simulations: dispersion curves of a patterned PZT layer with a specific pattern geometry deposited on a silicon substrate present an absolute stop band. The whole structure is modeled with realistic conditions, including appropriate interdigitated electrodes to propagate a guided mode in the piezoelectric layer. A robust method for signal analysis based on the Gabor transform is applied to treat transmitted signals; extract attenuation, group delays, and wave number variations versus frequency; and identify stop-band filter characteristics.

  12. The Growth of Expitaxial GaAs and GaAlAs on Silicon Substrates by OMVPE

    DTIC Science & Technology

    1988-08-01

    structures have been grown on semi-insulating gallium arsenide substrates, and on high-resistivity silicon substrates using a two stage growth technique...fully in Quarter 9. 2. MATERIALS GROWTH 2.1 DOPING OF GALLIUM ARSENIDE FOR FETs As reported in quarter 7, doping levels for GaAs/SI 4ere found to be a...FET structures on both GaAs and Si substrates. A number of FET layers have been grown to the GAT4 specification on semi-insulating gallium arsenide

  13. Silicon content design of CrSiN films for good anti-corrosion and anti-wear performances in NaOH solution

    NASA Astrophysics Data System (ADS)

    Wang, Haixin; Ye, Yuwei; Wang, Chunting; Zhang, Guangan; Liu, Wei

    2018-06-01

    The CrSiN films with different silicon contents were fabricated by medium frequency magnetron sputtering. The 304L stainless steel and Si (1 0 0) wafer were used for substrate specimens. Film plasticity, corrosion and tribological behaviors in 0.1 M NaOH solution were systematically investigated. Results show that the plasticity of CrN film could be improved by the addition of silicon. During the corrosion test, with the increase of silicon content, the corrosion current density exhibited a descending trend and impedance presented a rising trend. The COF and wear rate of as-prepared CrSiN film initially decreased and then increased as the silicon content increased. The CrSiN film with 12.7 at.% Si exhibited the lowest COF of 0.04 and a wear rate of 6.746  ×  10‑8 mm3 Nm‑1 in 0.1 M NaOH solution.

  14. Method for making defect-free zone by laser-annealing of doped silicon

    DOEpatents

    Narayan, Jagdish; White, Clark W.; Young, Rosa T.

    1980-01-01

    This invention is a method for improving the electrical properties of silicon semiconductor material. The method comprises irradiating a selected surface layer of the semiconductor material with high-power laser pulses characterized by a special combination of wavelength, energy level, and duration. The combination effects melting of the layer without degrading electrical properties, such as minority-carrier diffusion length. The method is applicable to improving the electrical properties of n- and p-type silicon which is to be doped to form an electrical junction therein. Another important application of the method is the virtually complete removal of doping-induced defects from ion-implanted or diffusion-doped silicon substrates.

  15. High Mobility SiGe/Si n-Type Structures and Field Effect Transistors on Sapphire Substrates

    NASA Technical Reports Server (NTRS)

    Alterovitz, Samuel A.; Ponchak, George E.; Mueller, Carl H.; Croke, Edward T.

    2004-01-01

    SiGe/Si n-type modulation doped field effect transistors (MODFETs) fabricated on sapphire substrates have been characterized at microwave frequencies for the first time. The highest measured room temperature electron mobility is 1380 sq cm/V-sec at a carrier density of 1.8 x 10(exp 12)/sq cm for a MODFET structure, and 900 sq cm/V-sec at a carrier density of 1.3 x 10/sq cm for a phosphorus ion implanted sample. A two finger, 2 x 200 micron gate n-MODFET has a peak transconductance of 37 mS/mm at a drain to source voltage of 2.5 V and a transducer gain of 6.4 dB at 1 GHz.

  16. Metalorganic chemical vapor deposition growth of high-mobility AlGaN/AlN/GaN heterostructures on GaN templates and native GaN substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Jr-Tai, E-mail: jrche@ifm.liu.se; Hsu, Chih-Wei; Forsberg, Urban

    2015-02-28

    Severe surface decomposition of semi-insulating (SI) GaN templates occurred in high-temperature H{sub 2} atmosphere prior to epitaxial growth in a metalorganic chemical vapor deposition system. A two-step heating process with a surface stabilization technique was developed to preserve the GaN template surface. Utilizing the optimized heating process, a high two-dimensional electron gas mobility ∼2000 cm{sup 2}/V·s was obtained in a thin AlGaN/AlN/GaN heterostructure with an only 100-nm-thick GaN spacer layer homoepitaxially grown on the GaN template. This technique was also demonstrated viable for native GaN substrates to stabilize the surface facilitating two-dimensional growth of GaN layers. Very high residual silicon andmore » oxygen concentrations were found up to ∼1 × 10{sup 20 }cm{sup −3} at the interface between the GaN epilayer and the native GaN substrate. Capacitance-voltage measurements confirmed that the residual carbon doping controlled by growth conditions of the GaN epilayer can be used to successfully compensate the donor-like impurities. State-of-the-art structural properties of a high-mobility AlGaN/AlN/GaN heterostructure was then realized on a 1 × 1 cm{sup 2} SI native GaN substrate; the full width at half maximum of the X-ray rocking curves of the GaN (002) and (102) peaks are only 21 and 14 arc sec, respectively. The surface morphology of the heterostructure shows uniform parallel bilayer steps, and no morphological defects were noticeable over the entire epi-wafer.« less

  17. Performance and temperature dependencies of proton irradiated n/p and p/n GaAs and n/p silicon cells

    NASA Technical Reports Server (NTRS)

    Weinberg, I.; Swartz, C. K.; Hart, R. E., Jr.

    1985-01-01

    n/p homojunction GaAs cells are found to be more radiation resistant than p/n heteroface GaAs under 10 MeV proton irradiation. Both GaAs cell types outperform conventional silicon n/p cells under the same conditions. An increased temperature dependency of maximum power for the GaAs n/p cells is attributed to differences in Voc between the two GaAs cell types. These results and diffusion length considerations are consistent with the conclusion that p-type GaAs is more radiation resistant than n-type and therefore that the n/p configuration is possibly favored for use in the space radiation environment. However, it is concluded that additional work is required in order to choose between the two GaAs cell configurations.

  18. Measurements of Elastic Moduli of Silicone Gel Substrates with a Microfluidic Device

    PubMed Central

    Gutierrez, Edgar; Groisman, Alex

    2011-01-01

    Thin layers of gels with mechanical properties mimicking animal tissues are widely used to study the rigidity sensing of adherent animal cells and to measure forces applied by cells to their substrate with traction force microscopy. The gels are usually based on polyacrylamide and their elastic modulus is measured with an atomic force microscope (AFM). Here we present a simple microfluidic device that generates high shear stresses in a laminar flow above a gel-coated substrate and apply the device to gels with elastic moduli in a range from 0.4 to 300 kPa that are all prepared by mixing two components of a transparent commercial silicone Sylgard 184. The elastic modulus is measured by tracking beads on the gel surface under a wide-field fluorescence microscope without any other specialized equipment. The measurements have small and simple to estimate errors and their results are confirmed by conventional tensile tests. A master curve is obtained relating the mixing ratios of the two components of Sylgard 184 with the resulting elastic moduli of the gels. The rigidity of the silicone gels is less susceptible to effects from drying, swelling, and aging than polyacrylamide gels and can be easily coated with fluorescent tracer particles and with molecules promoting cellular adhesion. This work can lead to broader use of silicone gels in the cell biology laboratory and to improved repeatability and accuracy of cell traction force microscopy and rigidity sensing experiments. PMID:21980487

  19. Quantum cascade lasers grown on silicon.

    PubMed

    Nguyen-Van, Hoang; Baranov, Alexei N; Loghmari, Zeineb; Cerutti, Laurent; Rodriguez, Jean-Baptiste; Tournet, Julie; Narcy, Gregoire; Boissier, Guilhem; Patriarche, Gilles; Bahriz, Michael; Tournié, Eric; Teissier, Roland

    2018-05-08

    Technological platforms offering efficient integration of III-V semiconductor lasers with silicon electronics are eagerly awaited by industry. The availability of optoelectronic circuits combining III-V light sources with Si-based photonic and electronic components in a single chip will enable, in particular, the development of ultra-compact spectroscopic systems for mass scale applications. The first circuits of such type were fabricated using heterogeneous integration of semiconductor lasers by bonding the III-V chips onto silicon substrates. Direct epitaxial growth of interband III-V laser diodes on silicon substrates has also been reported, whereas intersubband emitters grown on Si have not yet been demonstrated. We report the first quantum cascade lasers (QCLs) directly grown on a silicon substrate. These InAs/AlSb QCLs grown on Si exhibit high performances, comparable with those of the devices fabricated on their native InAs substrate. The lasers emit near 11 µm, the longest emission wavelength of any laser integrated on Si. Given the wavelength range reachable with InAs/AlSb QCLs, these results open the way to the development of a wide variety of integrated sensors.

  20. Studies of silicon quantum dots prepared at different substrate temperatures

    NASA Astrophysics Data System (ADS)

    Al-Agel, Faisal A.; Suleiman, Jamal; Khan, Shamshad A.

    2017-03-01

    In this research work, we have synthesized silicon quantum dots at different substrate temperatures 193, 153 and 123 K at a fixed working pressure 5 Torr. of Argon gas. The structural studies of these silicon quantum dots have been undertaken using X-ray diffraction, Field Emission Scanning Electron Microscopy (FESEM) and High Resolution Transmission Electron Microscopy (HRTEM). The optical and electrical properties have been studied using UV-visible spectroscopy, Fourier transform infrared (FTIR) spectroscopy, Fluorescence spectroscopy and I-V measurement system. X-ray diffraction pattern of Si quantum dots prepared at different temperatures show the amorphous nature except for the quantum dots synthesized at 193 K which shows polycrystalline nature. FESEM images of samples suggest that the size of quantum dots varies from 2 to 8 nm. On the basis of UV-visible spectroscopy measurements, a direct band gap has been observed for Si quantum dots. FTIR spectra suggest that as-grown Si quantum dots are partially oxidized which is due exposure of as-prepared samples to air after taking out from the chamber. PL spectra of the synthesized silicon quantum dots show an intense peak at 444 nm, which may be attributed to the formation of Si quantum dots. Temperature dependence of dc conductivity suggests that the dc conductivity enhances exponentially by raising the temperature. On the basis above properties i.e. direct band gap, high absorption coefficient and high conductivity, these silicon quantum dots will be useful for the fabrication of solar cells.

  1. Formation of composite polyacrylamide and silicone substrates for independent control of stiffness and strain

    PubMed Central

    Simmons, Chelsey S.; Ribeiro, Alexandre J. S.; Pruitt, Beth L.

    2013-01-01

    Cells that line major tissues in the body such as blood vessels, lungs and gastrointestinal tract experience deformation from mechanical strain with our heartbeat, breathing, and other daily activities. Tissues also remodel in both development and disease, changing their mechanical properties. Taken together, cells can experience vastly different mechanical cues resulting from the combination of these interdependent stimuli. To date, most studies of cellular mechanotransduction have been limited to assays in which variations in substrate stiffness and strain were not combined. Here, we address this technological gap by implementing a method that can simultaneously tune both substrate stiffness and mechanical strain. Substrate stiffness is controlled with different monomer and crosslinker ratios during polyacrylamide gel polymerization, and strain is transferred from the underlying silicone platform when stretched. We demonstrate this platform with polyacrylamide gels with elastic moduli at 6 kPa and 20 kPa in combination with two different silicone formulations. The gels remain attached with up to 50% applied strains. To validate strain transfer through the gels into cells, we employ particle-tracking methods and observe strain transmission via cell morphological changes. PMID:23287818

  2. Electrical properties and surface morphology of electron beam evaporated p-type silicon thin films on polyethylene terephthalate for solar cells applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ang, P. C.; Ibrahim, K.; Pakhuruddin, M. Z.

    2015-04-24

    One way to realize low-cost thin film silicon (Si) solar cells fabrication is by depositing the films with high-deposition rate and manufacturing-compatible electron beam (e-beam) evaporation onto inexpensive foreign substrates such as glass or plastic. Most of the ongoing research is reported on e-beam evaporation of Si films on glass substrates to make polycrystalline solar cells but works combining both e-beam evaporation and plastic substrates are still scarce in the literature. This paper studies electrical properties and surface morphology of 1 µm electron beam evaporated Al-doped p-type silicon thin films on textured polyethylene terephthalate (PET) substrate for application as anmore » absorber layer in solar cells. In this work, Si thin films with different doping concentrations (including an undoped reference) are prepared by e-beam evaporation. Energy dispersion X-ray (EDX) showed that the Si films are uniformly doped by Al dopant atoms. With increased Al/Si ratio, doping concentration increased while both resistivity and carrier mobility of the films showed opposite relationships. Root mean square (RMS) surface roughness increased. Overall, the Al-doped Si film with Al/Si ratio of 2% (doping concentration = 1.57×10{sup 16} atoms/cm{sup 3}) has been found to provide the optimum properties of a p-type absorber layer for fabrication of thin film Si solar cells on PET substrate.« less

  3. In situ study of the growth and degradation processes in tetragonal lysozyme crystals on a silicon substrate by high-resolution X-ray diffractometry

    NASA Astrophysics Data System (ADS)

    Kovalchuk, M. V.; Prosekov, P. A.; Marchenkova, M. A.; Blagov, A. E.; D'yakova, Yu. A.; Tereshchenko, E. Yu.; Pisarevskii, Yu. V.; Kondratev, O. A.

    2014-09-01

    The results of an in situ study of the growth of tetragonal lysozyme crystals by high-resolution X-ray diffractometry are considered. The crystals are grown by the sitting-drop method on crystalline silicon substrates of different types: both on smooth substrates and substrates with artificial surface-relief structures using graphoepitaxy. The crystals are grown in a special hermetically closed crystallization cell, which enables one to obtain images with an optical microscope and perform in situ X-ray diffraction studies in the course of crystal growth. Measurements for lysozyme crystals were carried out in different stages of the crystallization process, including crystal nucleation and growth, developed crystals, the degradation of the crystal structure, and complete destruction.

  4. Thin Film Transistors On Plastic Substrates

    DOEpatents

    Carey, Paul G.; Smith, Patrick M.; Sigmon, Thomas W.; Aceves, Randy C.

    2004-01-20

    A process for formation of thin film transistors (TFTs) on plastic substrates replaces standard thin film transistor fabrication techniques, and uses sufficiently lower processing temperatures so that inexpensive plastic substrates may be used in place of standard glass, quartz, and silicon wafer-based substrates. The silicon based thin film transistor produced by the process includes a low temperature substrate incapable of withstanding sustained processing temperatures greater than about 250.degree. C., an insulating layer on the substrate, a layer of silicon on the insulating layer having sections of doped silicon, undoped silicon, and poly-silicon, a gate dielectric layer on the layer of silicon, a layer of gate metal on the dielectric layer, a layer of oxide on sections of the layer of silicon and the layer of gate metal, and metal contacts on sections of the layer of silicon and layer of gate metal defining source, gate, and drain contacts, and interconnects.

  5. Nanofabrication on monocrystalline silicon through friction-induced selective etching of Si3N4 mask

    PubMed Central

    2014-01-01

    A new fabrication method is proposed to produce nanostructures on monocrystalline silicon based on the friction-induced selective etching of its Si3N4 mask. With low-pressure chemical vapor deposition (LPCVD) Si3N4 film as etching mask on Si(100) surface, the fabrication can be realized by nanoscratching on the Si3N4 mask and post-etching in hydrofluoric acid (HF) and potassium hydroxide (KOH) solution in sequence. Scanning Auger nanoprobe analysis indicated that the HF solution could selectively etch the scratched Si3N4 mask and then provide the gap for post-etching of silicon substrate in KOH solution. Experimental results suggested that the fabrication depth increased with the increase of the scratching load or KOH etching period. Because of the excellent masking ability of the Si3N4 film, the maximum fabrication depth of nanostructure on silicon can reach several microns. Compared to the traditional friction-induced selective etching technique, the present method can fabricate structures with lesser damage and deeper depths. Since the proposed method has been demonstrated to be a less destructive and flexible way to fabricate a large-area texture structure, it will provide new opportunities for Si-based nanofabrication. PMID:24940174

  6. GaN microring waveguide resonators bonded to silicon substrate by a two-step polymer process.

    PubMed

    Hashida, Ryohei; Sasaki, Takashi; Hane, Kazuhiro

    2018-03-20

    Using a polymer bonding technique, GaN microring waveguide resonators were fabricated on a Si substrate for future hybrid integration of GaN and Si photonic devices. The designed GaN microring consisted of a rib waveguide having a core of 510 nm in thickness, 1000 nm in width, and a clad of 240 nm in thickness. A GaN crystalline layer of 1000 nm in thickness was grown on a Si(111) substrate by metal organic chemical vapor deposition using a buffer layer of 300 nm in thickness for the compensation of lattice constant mismatch between GaN and Si crystals. The GaN/Si wafer was bonded to a Si(100) wafer by a two-step polymer process to prevent it from trapping air bubbles. The bonded GaN layer was thinned from the backside by a fast atom beam etching to remove the buffer layer and to generate the rib waveguides. The transmission characteristics of the GaN microring waveguide resonators were measured. The losses of the straight waveguides were measured to be 4.0±1.7  dB/mm around a wavelength of 1.55 μm. The microring radii ranged from 30 to 60 μm, where the measured free-spectral ranges varied from 2.58 to 5.30 nm. The quality factors of the microring waveguide resonators were from 1710 to 2820.

  7. Controlling the shapes of coated silicon substrates via magnetic fields, a progress report

    NASA Astrophysics Data System (ADS)

    Ulmer, Melville P.; Coppejans, Rocco; Buchholz, David B.; Cao, Jian; Wang, Xiaoli; Mercado, Alejandro M.; Qian, Jun; Assoufid, Lahsen; O'Donnell, Allison E.; Condron, Kyle S.; Harpt, Benjamin E.

    2017-08-01

    We describe our progress in developing a method for correcting residual figure errors in X-ray mirrors. The technology has applications to both synchrotron radiation beamlines and X-ray astronomy. Our concept is to develop mirrors that are on the order of a millimeter thick. A magnetic smart material (MSM) is deposited onto the mirror substrate (silicon) and coated with a magnetically hard material. The shape of the mirror can be controlled by applying an external magnetic field to the mirror. This causes the MSM to expand or contract, thereby applying a magnetostrictive stress to the mirror and changing its shape. The shape change is maintained after the field has been removed by the magnetic hard material, which retains part of the field and prevents the MSM from relaxing. Here we present the results of shaping 200 µm thick silicon (100) 14 × 2 mm cantilevers and 50 × 50 × 0.1 mm substrates. We demonstrate that not only can a sizable deflection be created, but it can also be retained for ˜ 60 hours.

  8. p-type doping by platinum diffusion in low phosphorus doped silicon

    NASA Astrophysics Data System (ADS)

    Ventura, L.; Pichaud, B.; Vervisch, W.; Lanois, F.

    2003-07-01

    In this work we show that the cooling rate following a platinum diffusion strongly influences the electrical conductivity in weakly phosphorus doped silicon. Diffusions were performed at the temperature of 910 °C in the range of 8 32 hours in 0.6, 30, and 60 Ωrm cm phosphorus doped silicon samples. Spreading resistance profile analyses clearly show an n-type to p-type conversion under the surface when samples are cooled slowly. On the other hand, a compensation of the phosphorus donors can only be observed when samples are quenched. One Pt related acceptor deep level at 0.43 eV from the valence band is assumed to be at the origin of the type conversion mechanism. Its concentration increases by lowering the applied cooling rate. A complex formation with fast species such as interstitial Pt atoms or intrinsic point defects is expected. In 0.6 Ωrm cm phosphorus doped silicon, no acceptor deep level in the lower band gap is detected by DLTS measurement. This removes the opportunity of a pairing between phosphorus and platinum and suggests the possibility of a Fermi level controlled complex formation.

  9. Influence of intermediate layers on the surface condition of laser crystallized silicon thin films and solar cell performance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Höger, Ingmar, E-mail: ingmar.hoeger@ipht-jena.de; Gawlik, Annett; Brückner, Uwe

    The intermediate layer (IL) between glass substrate and silicon plays a significant role in the optimization of multicrystalline liquid phase crystallized silicon thin film solar cells on glass. This study deals with the influence of the IL on the surface condition and the required chemical surface treatment of the crystallized silicon (mc-Si), which is of particular interest for a-Si:H heterojunction thin film solar cells. Two types of IL were investigated: sputtered silicon nitride (SiN) and a layer stack consisting of silicon nitride and silicon oxide (SiN/SiO). X-ray photoelectron spectroscopy measurements revealed the formation of silicon oxynitride (SiO{sub x}N{sub y}) ormore » silicon oxide (SiO{sub 2}) layers at the surface of the mc-Si after liquid phase crystallization on SiN or SiN/SiO, respectively. We propose that SiO{sub x}N{sub y} formation is governed by dissolving nitrogen from the SiN layer in the silicon melt, which segregates at the crystallization front during crystallization. This process is successfully hindered, when additional SiO layers are introduced into the IL. In order to achieve solar cell open circuit voltages above 500 mV, a removal of the formed SiO{sub x}N{sub y} top layer is required using sophisticated cleaning of the crystallized silicon prior to a-Si:H deposition. However, solar cells crystallized on SiN/SiO yield high open circuit voltage even when a simple wet chemical surface treatment is applied. The implementation of SiN/SiO intermediate layers facilitates the production of mesa type solar cells with open circuit voltages above 600 mV and a power conversion efficiency of 10%.« less

  10. The low threshold voltage n-type silicon transistors based on a polymer/silica nanocomposite gate dielectric: The effect of annealing temperatures on their operation

    NASA Astrophysics Data System (ADS)

    Hashemi, Adeleh; Bahari, Ali; Ghasemi, Shahram

    2017-09-01

    In this work, povidone/silica nanocomposite dielectric layers were deposited on the n-type Si (100) substrates for application in n-type silicon field-effect transistors (FET). Thermogravimetric analysis (TGA) indicated that strong chemical interactions between polymer and silica nanoparticles were created. In order to examine the effect of annealing temperatures on chemical interactions and nanostructure properties, annealing process was done at 423-513 K. Atomic force microscopy (AFM) images show the very smooth surfaces with very low surface roughness (0.038-0.088 nm). The Si2p and C1s core level photoemission spectra were deconvoluted to the chemical environments of Si and C atoms respectively. The obtained results of deconvoluted X-ray photoelectron spectroscopy (XPS) spectra revealed a high percentage of silanol hydrogen bonds in the sample which was not annealed. These bonds were inversed to stronger covalence bonds (siloxan bonds) at annealing temperature of 423 K. By further addition of temperature, siloxan bonds were shifted to lower binding energy of about 1 eV and their intensity were abated at annealing temperature of 513 K. The electrical characteristics were extracted from current-Voltage (I-V) and capacitance-voltage (C-V) measurements in metal-insulator-semiconductor (MIS) structure. The all n-type Si transistors showed very low threshold voltages (-0.24 to 1 V). The formation of the strongest cross-linking at nanostructure of dielectric film annealed at 423 K caused resulted in an un-trapped path for the transport of charge carriers yielding the lowest threshold voltage (0.08 V) and the highest electron mobility (45.01 cm2/V s) for its FET. By increasing the annealing temperature (473 and 513 K) on the nanocomposite dielectric films, the values of the average surface roughness, the capacitance and the FET threshold voltage increased and the value of FET electron field-effect mobility decreased.

  11. Zero lattice mismatch and twin-free single crystalline ScN buffer layers for GaN growth on silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lupina, L.; Zoellner, M. H.; Dietrich, B.

    2015-11-16

    We report the growth of thin ScN layers deposited by plasma-assisted molecular beam epitaxy on Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3}/Si(111) substrates. Using x-ray diffraction, Raman spectroscopy, and transmission electron microscopy, we find that ScN films grown at 600 °C are single crystalline, twin-free with rock-salt crystal structure, and exhibit a direct optical band gap of 2.2 eV. A high degree of crystalline perfection and a very good lattice matching between ScN and GaN (misfit < 0.1%) makes the ScN/Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} buffer system a very promising template for the growth of high quality GaN layers on silicon.

  12. Choline and N,N-dimethylethanolamine as direct substrates for methanogens.

    PubMed

    Watkins, Andrew J; Roussel, Erwan G; Webster, Gordon; Parkes, R John; Sass, Henrik

    2012-12-01

    Choline (N,N,N-trimethylethanolamine), which is widely distributed in membrane lipids and is a component of sediment biota, has been shown to be utilized anaerobically by mixed prokaryote cultures to produce methane but not by pure cultures of methanogens. Here, we show that five recently isolated Methanococcoides strains from a range of sediments (Aarhus Bay, Denmark; Severn Estuary mudflats at Portishead, United Kingdom; Darwin Mud Volcano, Gulf of Cadiz; Napoli mud volcano, eastern Mediterranean) can directly utilize choline for methanogenesis producing ethanolamine, which is not further metabolized. Di- and monomethylethanolamine are metabolic intermediates that temporarily accumulate. Consistent with this, dimethylethanolamine was shown to be another new growth substrate, but monomethylethanolamine was not. The specific methanogen inhibitor 2-bromoethanesulfonate (BES) inhibited methane production from choline. When choline and trimethylamine are provided together, diauxic growth occurs, with trimethylamine being utilized first, and then after a lag (∼7 days) choline is metabolized. Three type strains of Methanococcoides (M. methylutens, M. burtonii, and M. alaskense), in contrast, did not utilize choline. However, two of them (M. methylutens and M. burtonii) did metabolize dimethylethanolamine. These results extend the known substrates that can be directly utilized by some methanogens, giving them the advantage that they would not be reliant on bacterial syntrophs for their substrate supply.

  13. Choline and N,N-Dimethylethanolamine as Direct Substrates for Methanogens

    PubMed Central

    Watkins, Andrew J.; Roussel, Erwan G.; Webster, Gordon; Parkes, R. John

    2012-01-01

    Choline (N,N,N-trimethylethanolamine), which is widely distributed in membrane lipids and is a component of sediment biota, has been shown to be utilized anaerobically by mixed prokaryote cultures to produce methane but not by pure cultures of methanogens. Here, we show that five recently isolated Methanococcoides strains from a range of sediments (Aarhus Bay, Denmark; Severn Estuary mudflats at Portishead, United Kingdom; Darwin Mud Volcano, Gulf of Cadiz; Napoli mud volcano, eastern Mediterranean) can directly utilize choline for methanogenesis producing ethanolamine, which is not further metabolized. Di- and monomethylethanolamine are metabolic intermediates that temporarily accumulate. Consistent with this, dimethylethanolamine was shown to be another new growth substrate, but monomethylethanolamine was not. The specific methanogen inhibitor 2-bromoethanesulfonate (BES) inhibited methane production from choline. When choline and trimethylamine are provided together, diauxic growth occurs, with trimethylamine being utilized first, and then after a lag (∼7 days) choline is metabolized. Three type strains of Methanococcoides (M. methylutens, M. burtonii, and M. alaskense), in contrast, did not utilize choline. However, two of them (M. methylutens and M. burtonii) did metabolize dimethylethanolamine. These results extend the known substrates that can be directly utilized by some methanogens, giving them the advantage that they would not be reliant on bacterial syntrophs for their substrate supply. PMID:23001649

  14. Performance and temperature dependencies of proton irradiated n/p GaAs and n/p silicon cells

    NASA Technical Reports Server (NTRS)

    Weinberg, I.; Swartz, C. K.; Hart, R. E., Jr.

    1985-01-01

    The n/p homojunction GaAs cell is found to be more radiation resistant than p/nheteroface GaAs under 10 MeV proton irradiation. Both GaAs cell types outperform conventional silicon n/p cells under the same conditions. An increase temperature dependency of maximum power for the GaAs n/p cells is attributed largely to differences in Voc between the two GaAs cell types. These results and diffusion length considerations are consistent with the conclusion that p-type GaAs is more radiation resistant than n-type and therefore that the n/p configuration is possibly favored for use in the space radiation environment. However, it is concluded that additional work is required in order to choose between the two GaAs cell configurations.

  15. Experimental study on surface wrinkling of silicon monoxide film on compliant substrate under thermally induced loads

    NASA Astrophysics Data System (ADS)

    Li, Chuanwei; Kong, Yingxiao; Jiang, Wenchong; Wang, Zhiyong; Li, Linan; Wang, Shibin

    2017-06-01

    The wrinkling of a silicon monoxide thin film on a compliant poly(dimethylsiloxane) (PDMS) substrate structure was experimentally investigated in this study. The self-expansion effect of PDMS during film deposition was utilized to impose a pretensile strain on the structure through a specially made fixture. A laser scanning confocal microscope (LSCM) system with an in situ heating stage was employed for the real-time measurement. The Young’s modulus of the silicon monoxide thin film as well as the PDMS substrate was measured on the basis of the elasticity theory. Moreover, the effects of temperature variations on geometric parameters in the postbuckling state, such as wavelength and amplitude, were analyzed. It was proved that wavelength is relatively immune to thermal loads, while amplitude is much more sensitive.

  16. Silicon ball grid array chip carrier

    DOEpatents

    Palmer, David W.; Gassman, Richard A.; Chu, Dahwey

    2000-01-01

    A ball-grid-array integrated circuit (IC) chip carrier formed from a silicon substrate is disclosed. The silicon ball-grid-array chip carrier is of particular use with ICs having peripheral bond pads which can be reconfigured to a ball-grid-array. The use of a semiconductor substrate such as silicon for forming the ball-grid-array chip carrier allows the chip carrier to be fabricated on an IC process line with, at least in part, standard IC processes. Additionally, the silicon chip carrier can include components such as transistors, resistors, capacitors, inductors and sensors to form a "smart" chip carrier which can provide added functionality and testability to one or more ICs mounted on the chip carrier. Types of functionality that can be provided on the "smart" chip carrier include boundary-scan cells, built-in test structures, signal conditioning circuitry, power conditioning circuitry, and a reconfiguration capability. The "smart" chip carrier can also be used to form specialized or application-specific ICs (ASICs) from conventional ICs. Types of sensors that can be included on the silicon ball-grid-array chip carrier include temperature sensors, pressure sensors, stress sensors, inertia or acceleration sensors, and/or chemical sensors. These sensors can be fabricated by IC processes and can include microelectromechanical (MEM) devices.

  17. Direct ultrasensitive electrical detection of prostate cancer biomarkers with CMOS-compatible n- and p-type silicon nanowire sensor arrays

    NASA Astrophysics Data System (ADS)

    Gao, Anran; Lu, Na; Dai, Pengfei; Fan, Chunhai; Wang, Yuelin; Li, Tie

    2014-10-01

    Sensitive and quantitative analysis of proteins is central to disease diagnosis, drug screening, and proteomic studies. Here, a label-free, real-time, simultaneous and ultrasensitive prostate-specific antigen (PSA) sensor was developed using CMOS-compatible silicon nanowire field effect transistors (SiNW FET). Highly responsive n- and p-type SiNW arrays were fabricated and integrated on a single chip with a complementary metal oxide semiconductor (CMOS) compatible anisotropic self-stop etching technique which eliminated the need for a hybrid method. The incorporated n- and p-type nanowires revealed complementary electrical response upon PSA binding, providing a unique means of internal control for sensing signal verification. The highly selective, simultaneous and multiplexed detection of PSA marker at attomolar concentrations, a level useful for clinical diagnosis of prostate cancer, was demonstrated. The detection ability was corroborated to be effective by comparing the detection results at different pH values. Furthermore, the real-time measurement was also carried out in a clinically relevant sample of blood serum, indicating the practicable development of rapid, robust, high-performance, and low-cost diagnostic systems.Sensitive and quantitative analysis of proteins is central to disease diagnosis, drug screening, and proteomic studies. Here, a label-free, real-time, simultaneous and ultrasensitive prostate-specific antigen (PSA) sensor was developed using CMOS-compatible silicon nanowire field effect transistors (SiNW FET). Highly responsive n- and p-type SiNW arrays were fabricated and integrated on a single chip with a complementary metal oxide semiconductor (CMOS) compatible anisotropic self-stop etching technique which eliminated the need for a hybrid method. The incorporated n- and p-type nanowires revealed complementary electrical response upon PSA binding, providing a unique means of internal control for sensing signal verification. The highly

  18. Bottom-up Fabrication of Graphene on Silicon/Silica Substrate via a Facile Soft-hard Template Approach

    PubMed Central

    Yang, Yuxing; Liu, Ruili; Wu, Jiayang; Jiang, Xinhong; Cao, Pan; Hu, Xiaofeng; Pan, Ting; Qiu, Ciyuan; Yang, Junyi; Song, Yinglin; Wu, Dongqing; Su, Yikai

    2015-01-01

    In this work, a novel soft-hard template method towards the direct fabrication of graphene films on silicon/silica substrate is developed via a tri-constituent self-assembly route. Using cetyl trimethyl ammonium bromide (CTAB) as a soft template, silica (SiO2) from tetramethoxysilane as a hard template, and pyrene as a carbon source, the self-assembly process allows the formation of a sandwich-like SiO2/CTAB/pyrene composite, which can be further converted to high quantity graphene films with a thickness of ~1 nm and a size of over 5 μm by thermal treatment. The morphology and thickness of the graphene films can be effectively controlled through the adjustment of the ratio of pyrene to CTAB. Furthermore, a high nonlinear refractive index n2 of ~10−12 m2 W−1 is measured from graphene/silica hybrid film, which is six orders of magnitude larger than that of silicon and comparable to the graphene from chemical vapor deposition process. PMID:26311022

  19. High Efficiency, Low Cost Solar Cells Manufactured Using 'Silicon Ink' on Thin Crystalline Silicon Wafers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Antoniadis, H.

    Reported are the development and demonstration of a 17% efficient 25mm x 25mm crystalline Silicon solar cell and a 16% efficient 125mm x 125mm crystalline Silicon solar cell, both produced by Ink-jet printing Silicon Ink on a thin crystalline Silicon wafer. To achieve these objectives, processing approaches were developed to print the Silicon Ink in a predetermined pattern to form a high efficiency selective emitter, remove the solvents in the Silicon Ink and fuse the deposited particle Silicon films. Additionally, standard solar cell manufacturing equipment with slightly modified processes were used to complete the fabrication of the Silicon Ink highmore » efficiency solar cells. Also reported are the development and demonstration of a 18.5% efficient 125mm x 125mm monocrystalline Silicon cell, and a 17% efficient 125mm x 125mm multicrystalline Silicon cell, by utilizing high throughput Ink-jet and screen printing technologies. To achieve these objectives, Innovalight developed new high throughput processing tools to print and fuse both p and n type particle Silicon Inks in a predetermined pat-tern applied either on the front or the back of the cell. Additionally, a customized Ink-jet and screen printing systems, coupled with customized substrate handling solution, customized printing algorithms, and a customized ink drying process, in combination with a purchased turn-key line, were used to complete the high efficiency solar cells. This development work delivered a process capable of high volume producing 18.5% efficient crystalline Silicon solar cells and enabled the Innovalight to commercialize its technology by the summer of 2010.« less

  20. Silicon nitride films deposited with an electron beam created plasma

    NASA Technical Reports Server (NTRS)

    Bishop, D. C.; Emery, K. A.; Rocca, J. J.; Thompson, L. R.; Zamani, H.; Collins, G. J.

    1984-01-01

    The electron beam assisted chemical vapor deposition (EBCVD) of silicon nitride films using NH3, N2, and SiH4 as the reactant gases is reported. The films have been deposited on aluminum, SiO2, and polysilicon film substrates as well as on crystalline silicon substrates. The range of experimental conditions under which silicon nitrides have been deposited includes substrate temperatures from 50 to 400 C, electron beam currents of 2-40 mA, electron beam energies of 1-5 keV, total ambient pressures of 0.1-0.4 Torr, and NH3/SiH4 mass flow ratios of 1-80. The physical, electrical, and chemical properties of the EBCVD films are discussed.

  1. Bilateral substrate effect on the thermal conductivity of two-dimensional silicon

    NASA Astrophysics Data System (ADS)

    Zhang, Xiaoliang; Bao, Hua; Hu, Ming

    2015-03-01

    Silicene, the silicon-based counterpart of graphene, has received exceptional attention from a wide community of scientists and engineers in addition to graphene, due to its unique and fascinating physical and chemical properties. Recently, the thermal transport of the atomic thin Si layer, critical to various applications in nanoelectronics, has been studied; however, to date, the substrate effect has not been investigated. In this paper, we present our nonequilibrium molecular dynamics studies on the phonon transport of silicene supported on different substrates. A counter-intuitive phenomenon, in which the thermal conductivity of silicene can be either enhanced or suppressed by changing the surface crystal plane of the substrate, has been observed. This phenomenon is fundamentally different from the general understanding of supported graphene, a representative two-dimensional material, in which the substrate always has a negative effect on the phonon transport of graphene. By performing phonon polarization and spectral energy density analysis, we explain the underlying physics of the new phenomenon in terms of the different impacts on the dominant phonons in the thermal transport of silicene induced by the substrate: the dramatic increase in the thermal conductivity of silicene supported on the 6H-SiC substrate is due to the augmented lifetime of the majority of the acoustic phonons, while the significant decrease in the thermal conductivity of silicene supported on the 3C-SiC substrate results from the reduction in the lifetime of almost the entire phonon spectrum. Our results suggest that, by choosing different substrates, the thermal conductivity of silicene can be largely tuned, which paves the way for manipulating the thermal transport properties of silicene for future emerging applications.

  2. Silicon on insulator self-aligned transistors

    DOEpatents

    McCarthy, Anthony M.

    2003-11-18

    A method for fabricating thin-film single-crystal silicon-on-insulator (SOI) self-aligned transistors. Standard processing of silicon substrates is used to fabricate the transistors. Physical spaces, between the source and gate, and the drain and gate, introduced by etching the polysilicon gate material, are used to provide connecting implants (bridges) which allow the transistor to perform normally. After completion of the silicon substrate processing, the silicon wafer is bonded to an insulator (glass) substrate, and the silicon substrate is removed leaving the transistors on the insulator (glass) substrate. Transistors fabricated by this method may be utilized, for example, in flat panel displays, etc.

  3. Thick-film materials for silicon photovoltaic cell manufacture

    NASA Technical Reports Server (NTRS)

    Field, M. B.

    1977-01-01

    Thick film technology is applicable to three areas of silicon solar cell fabrication; metallization, junction formation, and coating for protection of screened ohmic contacts, particularly wrap around contacts, interconnection and environmental protection. Both material and process parameters were investigated. Printed ohmic contacts on n- and p-type silicon are very sensitive to the processing parameters of firing time, temperature, and atmosphere. Wrap around contacts are easily achieved by first printing and firing a dielectric over the edge and subsequently applying a low firing temperature conductor. Interconnection of cells into arrays can be achieved by printing and cofiring thick film metal pastes, soldering, or with heat curing conductive epoxies on low cost substrates. Printed (thick) film vitreous protection coatings do not yet offer sufficient optical uniformity and transparency for use on silicon. A sprayed, heat curable SiO2 based resin shows promise of providing both optical matching and environmental protection.

  4. Investigation of AlGaN/GaN high electron mobility transistor structures on 200-mm silicon (111) substrates employing different buffer layer configurations.

    PubMed

    Lee, H-P; Perozek, J; Rosario, L D; Bayram, C

    2016-11-21

    AlGaN/GaN high electron mobility transistor (HEMT) structures are grown on 200-mm diameter Si(111) substrates by using three different buffer layer configurations: (a) Thick-GaN/3 × {Al x Ga 1-x N}/AlN, (b) Thin-GaN/3 × {Al x Ga 1-x N}/AlN, and (c) Thin-GaN/AlN, so as to have crack-free and low-bow (<50 μm) wafer. Scanning electron microscopy, energy-dispersive X-ray spectroscopy, high resolution-cross section transmission electron microscopy, optical microscopy, atomic-force microscopy, cathodoluminescence, Raman spectroscopy, X-ray diffraction (ω/2θ scan and symmetric/asymmetric ω scan (rocking curve scan), reciprocal space mapping) and Hall effect measurements are employed to study the structural, optical, and electrical properties of these AlGaN/GaN HEMT structures. The effects of buffer layer stacks (i.e. thickness and content) on defectivity, stress, and two-dimensional electron gas (2DEG) mobility and 2DEG concentration are reported. It is shown that 2DEG characteristics are heavily affected by the employed buffer layers between AlGaN/GaN HEMT structures and Si(111) substrates. Particularly, we report that in-plane stress in the GaN layer affects the 2DEG mobility and 2DEG carrier concentration significantly. Buffer layer engineering is shown to be essential for achieving high 2DEG mobility (>1800 cm 2 /V∙s) and 2DEG carrier concentration (>1.0 × 10 13  cm -2 ) on Si(111) substrates.

  5. Investigation of AlGaN/GaN high electron mobility transistor structures on 200-mm silicon (111) substrates employing different buffer layer configurations

    PubMed Central

    Lee, H.-P.; Perozek, J.; Rosario, L. D.; Bayram, C.

    2016-01-01

    AlGaN/GaN high electron mobility transistor (HEMT) structures are grown on 200-mm diameter Si(111) substrates by using three different buffer layer configurations: (a) Thick-GaN/3 × {AlxGa1−xN}/AlN, (b) Thin-GaN/3 × {AlxGa1−xN}/AlN, and (c) Thin-GaN/AlN, so as to have crack-free and low-bow (<50 μm) wafer. Scanning electron microscopy, energy-dispersive X-ray spectroscopy, high resolution-cross section transmission electron microscopy, optical microscopy, atomic-force microscopy, cathodoluminescence, Raman spectroscopy, X-ray diffraction (ω/2θ scan and symmetric/asymmetric ω scan (rocking curve scan), reciprocal space mapping) and Hall effect measurements are employed to study the structural, optical, and electrical properties of these AlGaN/GaN HEMT structures. The effects of buffer layer stacks (i.e. thickness and content) on defectivity, stress, and two-dimensional electron gas (2DEG) mobility and 2DEG concentration are reported. It is shown that 2DEG characteristics are heavily affected by the employed buffer layers between AlGaN/GaN HEMT structures and Si(111) substrates. Particularly, we report that in-plane stress in the GaN layer affects the 2DEG mobility and 2DEG carrier concentration significantly. Buffer layer engineering is shown to be essential for achieving high 2DEG mobility (>1800 cm2/V∙s) and 2DEG carrier concentration (>1.0 × 1013 cm−2) on Si(111) substrates. PMID:27869222

  6. Modulation of porphyrin photoluminescence by nanoscale spacers on silicon substrates

    NASA Astrophysics Data System (ADS)

    Fang, Y. C.; Zhang, Y.; Gao, H. Y.; Chen, L. G.; Gao, B.; He, W. Z.; Meng, Q. S.; Zhang, C.; Dong, Z. C.

    2013-11-01

    We investigate photoluminescence (PL) properties of quasi-monolayered tetraphenyl porphyrin (TPP) molecules on silicon substrates modulated by three different nanoscale spacers: native oxide layer (NOL), hydrogen (H)-passivated layer, and Ag nanoparticle (AgNP) thin film, respectively. In comparison with the PL intensity from the TPP molecules on the NOL-covered silicon, the fluorescence intensity from the molecules on the AgNP-covered surface was greatly enhanced while that for the H-passivated surface was found dramatically suppressed. Time-resolved fluorescence spectra indicated shortened lifetimes for TPP molecules in both cases, but the decay kinetics is believed to be different. The suppressed emission for the H-passivated sample was attributed to the weaker decoupling effect of the monolayer of hydrogen atoms as compared to the NOL, leading to increased nonradiative decay rate; whereas the enhanced fluorescence with shortened lifetime for the AgNP-covered sample is attributed not only to the resonant excitation by local surface plasmons, but also to the increased radiative decay rate originating from the emission enhancement in plasmonic "hot-spots".

  7. Development of New Front Side Metallization Method of Aluminum Electroplating for Silicon Solar Cell

    NASA Astrophysics Data System (ADS)

    Willis, Megan D.

    In this thesis, the methods of aluminum electroplating in an ionic liquid for silicon solar cell front side metallization were studied. It focused on replacing the current silver screen printing with an alternative metallization technology using a low-cost Earth-abundant metal for mass production, due to the high cost and limited availability of silver. A conventional aluminum electroplating method was employed for silicon solar cells fabrication on both p-type and n-type substrates. The highest efficiency of 17.9% was achieved in the n-type solar cell with a rear junction, which is comparable to that of the same structure cell with screen printed silver electrodes from industrial production lines. It also showed better spiking resistant performance than the common structure p-type solar cell. Further efforts were put on the development of a novel light-induced plating of aluminum technique. The aluminum was deposited directly on a silicon substrate without the assistance of a conductive seed layer, thus simplified and reduced the process cost. The plated aluminum has good adhesion to the silicon surface with the resistivity as low as 4x10-6 Ω-cm. A new demo tool was designed and set up for the light-induced plating experiment, aiming to utilize this technique in large-size solar cells fabrication and mass production. Besides the metallization methods, a comprehensive sensitivity analysis for the efficiency dispersion in the production of crystalline-Si solar cells was presented based on numerical simulations. Temperature variation in the diffusion furnace was the most significant cause of the efficiency dispersion. It was concluded that a narrow efficiency range of +/-0.5% absolute is achievable if the emitter diffusion temperature is confined to a 13°C window, while other cell parameters vary within their normal windows. Possible methods to minimize temperature variation in emitter diffusion were proposed.

  8. Method of forming crystalline silicon devices on glass

    DOEpatents

    McCarthy, Anthony M.

    1995-01-01

    A method for fabricating single-crystal silicon microelectronic components on a silicon substrate and transferring same to a glass substrate. This is achieved by utilizing conventional silicon processing techniques for fabricating components of electronic circuits and devices on bulk silicon, wherein a bulk silicon surface is prepared with epitaxial layers prior to the conventional processing. The silicon substrate is bonded to a glass substrate and the bulk silicon is removed leaving the components intact on the glass substrate surface. Subsequent standard processing completes the device and circuit manufacturing. This invention is useful in applications requiring a transparent or insulating substrate, particularly for display manufacturing. Other applications include sensors, actuators, optoelectronics, radiation hard electronics, and high temperature electronics.

  9. Optical and electrical properties of GaN-based light emitting diodes grown on micro- and nano-scale patterned Si substrate

    NASA Astrophysics Data System (ADS)

    Chiu, Ching-Hsueh; Lin, Chien-Chung; Deng, Dongmei; Kuo, Hao-Chung; Lau, Kei-May

    2011-10-01

    We investigate the optical and electrical characteristics of the GaN-based light emitting diodes (LEDs) grown on Micro and Nano-scale Patterned silicon substrate (MPLEDs and NPLEDs). The transmission electron microscopy (TEM) images reveal the suppression of threading dislocation density in InGaN/GaN structure on nano-pattern substrate due to nanoscale epitaxial lateral overgrowth (NELOG). The plan-view and cross-section cathodoluminescence (CL) mappings show less defective and more homogeneous active quantum well region growth on nano-porous substrates. From temperature dependent photoluminescence (PL) and low temperature time-resolved photoluminescence (TRPL) measurement, NPLEDs has better carrier confinement and higher radiative recombination rate than MPLEDs. In terms of device performance, NPLEDs exhibits smaller electroluminescence (EL) peak wavelength blue shift, lower reverse leakage current and decreases efficiency droop compared with the MPLEDs. These results suggest the feasibility of using NPSi for the growth of high quality and power LEDs on Si substrates.

  10. Depositing nanoparticles on a silicon substrate using a freeze drying technique.

    PubMed

    Sigehuzi, Tomoo

    2017-08-28

    For the microscopic observation of nanoparticles, an adequate sample preparation is an essential part of this task. Much research has been performed for usable preparation methods that will yield aggregate-free samples. A freeze drying technique, which only requires a -80  ° C freezer and a freeze dryer, is shown to provide an on-substrate dispersion of mostly isolated nanoparticles. The particle density could be made sufficiently high for efficient observations using atomic force microscopy. Since this sandwich method is purely physical, it could be applied to deposit various nanoparticles independent of their surface chemical properties. Suspension film thickness, or the dimensionality of the suspension film, was shown to be crucial for the isolation of the particles. Silica nanoparticles were dispersed on a silicon substrate using this method and the sample properties were examined using atomic force microscopy.

  11. Crystalline silicon growth in nickel/a-silicon bilayer

    NASA Astrophysics Data System (ADS)

    Mohiddon, Md Ahamad; Naidu, K. Lakshun; Dalba, G.; Rocca, F.; Krishna, M. Ghanashyam

    2013-02-01

    The effect of substrate temperature on amorphous Silicon crystallization, mediated by metal impurity is reported. Bilayers of Ni(200nm)/Si(400nm) are deposited on fused silica substrate by electron beam evaporator at 200 and 500 °C. Raman mapping shows that, 2 to 5 micron size crystalline silicon clusters are distributed over the entire surface of the sample. X-ray diffraction and X-ray absorption spectroscopy studies demonstrate silicon crystallizes over the metal silicide seeds and grow with the annealing temperature.

  12. Fabrication of air-stable n-type carbon nanotube thin-film transistors on flexible substrates using bilayer dielectrics.

    PubMed

    Li, Guanhong; Li, Qunqing; Jin, Yuanhao; Zhao, Yudan; Xiao, Xiaoyang; Jiang, Kaili; Wang, Jiaping; Fan, Shoushan

    2015-11-14

    Single-walled carbon nanotube (SWNT) thin-film transistors hold great potential for flexible electronics. However, fabrication of air-stable n-type devices by methods compatible with standard photolithography on flexible substrates is challenging. Here, we demonstrated that by using a bilayer dielectric structure of MgO and atomic layer deposited (ALD) Al2O3 or HfO2, air-stable n-type devices can be obtained. The mechanism for conduction type conversion was elucidated and attributed to the hole depletion in SWNT, the decrease of the trap state density by MgO assimilating adsorbed water molecules in the vicinity of SWNT, and the energy band bending because of the positive fixed charges in the ALD layer. The key advantage of the method is the relatively low temperature (120 or 90 °C) required here for the ALD process because we need not employ this step to totally remove the absorbates on the SWNTs. This advantage facilitates the integration of both p-type and n-type transistors through a simple lift off process and compact CMOS inverters were demonstrated. We also demonstrated that the doping of SWNTs in the channel plays a more important role than the Schottky barriers at the metal contacts in carbon nanotube thin-film transistors, unlike the situation in individual SWNT-based transistors.

  13. Epitaxial regrowth of silicon for the fabrication of radial junction nanowire solar cells

    NASA Astrophysics Data System (ADS)

    Kendrick, Chito E.; Eichfeld, Sarah M.; Ke, Yue; Weng, Xiaojun; Wang, Xin; Mayer, Theresa S.; Redwing, Joan M.

    2010-08-01

    Radial p-n silicon nanowire (SiNW) solar cells are of interest as a potential pathway to increase the efficiency of crystalline silicon photovoltaics by reducing the junction length and surface reflectivity. Our studies have focused on the use of vapor-liquid-solid (VLS) growth in combination with chemical vapor deposition (CVD) processing for the fabrication of radial p-n junction SiNW array solar cells. High aspect ratio p-type SiNW arrays were initially grown on gold-coated (111) Si substrates by CVD using SiCl4 as the source gas and B2H6 as the p-type dopant source. The epitaxial re-growth of n-type Si shell layers on the Si nanowires was then investigated using SiH4 as the source gas and PH3 as the dopant. Highly conformal coatings were achieved on nanowires up to 25 μm in length. The microstructure of the Si shell layer changed from polycrystalline to single crystal as the deposition temperature was raised from 650oC to 950oC. Electrical test structures were fabricated by aligning released SiNWs onto pre-patterned substrates via fieldassisted assembly followed by selective removal of the n-type shell layer and contact deposition. Current-voltage measurements of the radial p-n SiNWs diodes fabricated with re-grown Si shell layers at 950°C demonstrate rectifying behavior with an ideality factor of 1.93. Under illumination from an AM1.5g spectrum and efficiency for this single SiNW radial p-n junction was determined to be 1.8%, total wire diameter was 985 nm.

  14. Laser generation in microdisc resonators with InAs/GaAs quantum dots transferred on a silicon substrate

    NASA Astrophysics Data System (ADS)

    Nadtochiy, A. M.; Kryzhanovskaya, N. V.; Maximov, M. V.; Zhukov, A. E.; Moiseev, E. I.; Kulagina, M. M.; Vashanova, K. A.; Zadiranov, Yu. M.; Mukhin, I. S.; Arakcheeva, E. M.; Livshits, D.; Lipovskii, A. A.

    2013-09-01

    Microdisc resonators based on InAs/GaAs quantum dots separated from a GaAs substrate by selective etching and fixed to a silicon substrate by epoxy glue are studied using luminescence spectroscopy. A disc resonator 6 μm in diameter exhibits quasi-single-mode laser generation at a temperature of 78 K with a threshold power of 320 μW and λ/Δλ ˜ 27000.

  15. Retuning Rieske-type Oxygenases to Expand Substrate Range

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mohammadi, Mahmood; Viger, Jean-François; Kumar, Pravindra

    2012-09-17

    Rieske-type oxygenases are promising biocatalysts for the destruction of persistent pollutants or for the synthesis of fine chemicals. In this work, we explored pathways through which Rieske-type oxygenases evolve to expand their substrate range. BphAE{sub p4}, a variant biphenyl dioxygenase generated from Burkholderia xenovorans LB400 BphAE{sub LB400} by the double substitution T335A/F336M, and BphAE{sub RR41}, obtained by changing Asn{sup 338}, Ile{sup 341}, and Leu{sup 409} of BphAE{sub p4} to Gln{sup 338}, Val{sup 341}, and Phe{sup 409}, metabolize dibenzofuran two and three times faster than BphAE{sub LB400}, respectively. Steady-state kinetic measurements of single- and multiple-substitution mutants of BphAE{sub LB400} showed thatmore » the single T335A and the double N338Q/L409F substitutions contribute significantly to enhanced catalytic activity toward dibenzofuran. Analysis of crystal structures showed that the T335A substitution relieves constraints on a segment lining the catalytic cavity, allowing a significant displacement in response to dibenzofuran binding. The combined N338Q/L409F substitutions alter substrate-induced conformational changes of protein groups involved in subunit assembly and in the chemical steps of the reaction. This suggests a responsive induced fit mechanism that retunes the alignment of protein atoms involved in the chemical steps of the reaction. These enzymes can thus expand their substrate range through mutations that alter the constraints or plasticity of the catalytic cavity to accommodate new substrates or that alter the induced fit mechanism required to achieve proper alignment of reaction-critical atoms or groups.« less

  16. Vertically aligned p-type single-crystalline GaN nanorod arrays on n-type Si for heterojunction photovoltaic cells.

    PubMed

    Tang, Y B; Chen, Z H; Song, H S; Lee, C S; Cong, H T; Cheng, H M; Zhang, W J; Bello, I; Lee, S T

    2008-12-01

    Vertically aligned Mg-doped GaN nanorods have been epitaxially grown on n-type Si substrate to form a heterostructure for fabricating p-n heterojunction photovoltaic cells. The p-type GaN nanorod/n-Si heterojunction cell shows a well-defined rectifying behavior with a rectification ratio larger than 10(4) in dark. The cell has a high short-circuit photocurrent density of 7.6 mAlcm2 and energy conversion efficiency of 2.73% under AM 1.5G illumination at 100 mW/cm2. Moreover, the nanorod array may be used as an antireflection coating for solar cell applications to effectively reduce light loss due to reflection. This study provides an experimental demonstration for integrating one-dimensional nanostructure arrays with the substrate to directly fabricate heterojunction photovoltaic cells.

  17. Improving off-state leakage characteristics for high voltage AlGaN/GaN-HFETs on Si substrates

    NASA Astrophysics Data System (ADS)

    Moon, Sung-Woon; Twynam, John; Lee, Jongsub; Seo, Deokwon; Jung, Sungdal; Choi, Hong Goo; Shim, Heejae; Yim, Jeong Soon; Roh, Sungwon D.

    2014-06-01

    We present a reliable process and design technique for realizing high voltage AlGaN/GaN hetero-junction field effect transistors (HFETs) on Si substrates with very low and stable off-state leakage current characteristics. In this work, we have investigated the effects of the surface passivation layer, prepared by low pressure chemical vapor deposition (LPCVD) of silicon nitride (SiNx), and gate bus isolation design on the off-state leakage characteristics of metal-oxide-semiconductor (MOS) gate structure-based GaN HFETs. The surface passivated devices with gate bus isolation fully surrounding the source and drain regions showed extremely low off-state leakage currents of less than 20 nA/mm at 600 V, with very small variation. These techniques were successfully applied to high-current devices with 80-mm gate width, yielding excellent off-state leakage characteristics within a drain voltage range 0-700 V.

  18. A novel technique based on a plasma focus device for nano-porous gallium nitride formation on P-type silicon

    NASA Astrophysics Data System (ADS)

    Sharifi Malvajerdi, S.; Salar Elahi, A.; Habibi, M.

    2017-04-01

    A new deposition formation was observed with a Mather-type Plasma Focus Device (MPFD). MPFD was unitized to fabricate porous Gallium Nitride (GaN) on p-type Silicon (Si) substrate with a (100) crystal orientation for the first time in a deposition process. GaN was deposited on Si with 4 and 7 shots. The samples were subjected to a 3 phase annealing procedure. First, the semiconductors were annealed in the PFD with nitrogen plasma shots after their deposition. Second, a thermal chemical vapor deposition annealed the samples for 1 h at 1050 °C by nitrogen gas at a pressure of 1 Pa. Finally, an electric furnace annealed the samples for 1 h at 1150 °C with continuous flow of nitrogen. Porous GaN structures were observed by Field emission scanning electron microscopy and atomic force microscopy. Furthermore, X-Ray diffraction analysis was carried out to determine the crystallinity of GaN after the samples were annealed. Energy-Dispersive X-Ray Spectroscopy indicated the amount of gallium, nitrogen, and oxygen due to the self-oxidation of the samples. Photoluminescence spectroscopy revealed emissions at 2.94 eV and 3.39 eV, which shows that hexagonal wurtzite crystal structures were formed.

  19. Method of forming crystalline silicon devices on glass

    DOEpatents

    McCarthy, A.M.

    1995-03-21

    A method is disclosed for fabricating single-crystal silicon microelectronic components on a silicon substrate and transferring same to a glass substrate. This is achieved by utilizing conventional silicon processing techniques for fabricating components of electronic circuits and devices on bulk silicon, wherein a bulk silicon surface is prepared with epitaxial layers prior to the conventional processing. The silicon substrate is bonded to a glass substrate and the bulk silicon is removed leaving the components intact on the glass substrate surface. Subsequent standard processing completes the device and circuit manufacturing. This invention is useful in applications requiring a transparent or insulating substrate, particularly for display manufacturing. Other applications include sensors, actuators, optoelectronics, radiation hard electronics, and high temperature electronics. 7 figures.

  20. Nanotribological effects of silicone type, silicone deposition level, and surfactant type on human hair using atomic force microscopy.

    PubMed

    La Torre, Carmen; Bhushan, Bharat

    2006-01-01

    The atomic/friction force microscope (AFM/FFM) has recently become an important tool for studying the micro/nanoscale structure and tribological properties of human hair. Of particular interest to hair and beauty care science is how common hair-care materials, such as conditioner, deposit onto and change hair's tribological properties, since these properties are closely tied to product performance. Since a conditioner is a complex network of many different ingredients (including silicones for lubrication and cationic surfactants for static control and gel network formulation), studying the effects of these individual components can give insight into the significance each has on hair properties. In this study, AFM/FFM is used to conduct nanotribological studies of surface roughness, friction force, and adhesive forces as a function of silicone type, silicone deposition level, and cationic surfactant type. Changes in the coefficient of friction as a result of soaking hair in de-ionized water are also discussed.

  1. Comparison on mechanical properties of heavily phosphorus- and arsenic-doped Czochralski silicon wafers

    NASA Astrophysics Data System (ADS)

    Yuan, Kang; Sun, Yuxin; Lu, Yunhao; Liang, Xingbo; Tian, Daxi; Ma, Xiangyang; Yang, Deren

    2018-04-01

    Heavily phosphorus (P)- and arsenic (As)-doped Czochralski silicon (CZ-Si) wafers generally act as the substrates for the epitaxial silicon wafers used to fabricate power and communication devices. The mechanical properties of such two kinds of n-type heavily doped CZ silicon wafers are vital to ensure the quality of epitaxial silicon wafers and the manufacturing yields of devices. In this work, the mechanical properties including the hardness, Young's modulus, indentation fracture toughness and the resistance to dislocation motion have been comparatively investigated for heavily P- and As-doped CZ-Si wafers. It is found that heavily P-doped CZ-Si possesses somewhat higher hardness, lower Young's modulus, larger indentation fracture toughness and stronger resistance to dislocation motion than heavily As-doped CZ-Si. The mechanisms underlying this finding have been tentatively elucidated by considering the differences in the doping effects of P and As in silicon.

  2. Extended short wavelength infrared HgCdTe detectors on silicon substrates

    NASA Astrophysics Data System (ADS)

    Park, J. H.; Hansel, D.; Mukhortova, A.; Chang, Y.; Kodama, R.; Zhao, J.; Velicu, S.; Aqariden, F.

    2016-09-01

    We report high-quality n-type extended short wavelength infrared (eSWIR) HgCdTe (cutoff wavelength 2.59 μm at 77 K) layers grown on three-inch diameter CdTe/Si substrates by molecular beam epitaxy (MBE). This material is used to fabricate test diodes and arrays with a planar device architecture using arsenic implantation to achieve p-type doping. We use different variations of a test structure with a guarded design to compensate for the lateral leakage current of traditional test diodes. These test diodes with guarded arrays characterize the electrical performance of the active 640 × 512 format, 15 μm pitch detector array.

  3. A difference in using atomic layer deposition or physical vapour deposition TiN as electrode material in metal-insulator-metal and metal-insulator-silicon capacitors.

    PubMed

    Groenland, A W; Wolters, R A M; Kovalgin, A Y; Schmitz, J

    2011-09-01

    In this work, metal-insulator-metal (MIM) and metal-insulator-silicon (MIS) capacitors are studied using titanium nitride (TiN) as the electrode material. The effect of structural defects on the electrical properties on MIS and MIM capacitors is studied for various electrode configurations. In the MIM capacitors the bottom electrode is a patterned 100 nm TiN layer (called BE type 1), deposited via sputtering, while MIS capacitors have a flat bottom electrode (called BE type 2-silicon substrate). A high quality 50-100 nm thick SiO2 layer, made by inductively-coupled plasma CVD at 150 degrees C, is deposited as a dielectric on top of both types of bottom electrodes. BE type 1 (MIM) capacitors have a varying from low to high concentration of structural defects in the SiO2 layer. BE type 2 (MIS) capacitors have a low concentration of structural defects and are used as a reference. Two sets of each capacitor design are fabricated with the TiN top electrode deposited either via physical vapour deposition (PVD, i.e., sputtering) or atomic layer deposition (ALD). The MIM and MIS capacitors are electrically characterized in terms of the leakage current at an electric field of 0.1 MV/cm (I leak) and for different structural defect concentrations. It is shown that the structural defects only show up in the electrical characteristics of BE type 1 capacitors with an ALD TiN-based top electrode. This is due to the excellent step coverage of the ALD process. This work clearly demonstrates the sensitivity to process-induced structural defects, when ALD is used as a step in process integration of conductors on insulation materials.

  4. Study of thickness and uniformity of oxide passivation with DI-O3 on silicon substrate for electronic and photonic applications

    NASA Astrophysics Data System (ADS)

    Sharma, Mamta; Hazra, Purnima; Singh, Satyendra Kumar

    2018-05-01

    Since the beginning of semiconductor fabrication technology evolution, clean and passivated substrate surface is one of the prime requirements for fabrication of Electronic and optoelectronic device fabrication. However, as the scale of silicon circuits and device architectures are continuously decreased from micrometer to nanometer (from VLSI to ULSI technology), the cleaning methods to achieve better wafer surface qualities has raised research interests. The development of controlled and uniform silicon dioxide is the most effective and reliable way to achieve better wafer surface quality for fabrication of electronic devices. On the other hand, in order to meet the requirement of high environment safety/regulatory standards, the innovation of cleaning technology is also in demand. The controlled silicon dioxide layer formed by oxidant de-ionized ozonated water has better uniformity. As the uniformity of the controlled silicon dioxide layer is improved on the substrate, it enhances the performance of the devices. We can increase the thickness of oxide layer, by increasing the ozone time treatment. We reported first time to measurement of thickness of controlled silicon dioxide layer and obtained the uniform layer for same ozone time.

  5. Upgraded metallurgical-grade silicon solar cells with efficiency above 20%

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, P.; Rougieux, F. E.; Samundsett, C.

    We present solar cells fabricated with n-type Czochralski–silicon wafers grown with strongly compensated 100% upgraded metallurgical-grade feedstock, with efficiencies above 20%. The cells have a passivated boron-diffused front surface, and a rear locally phosphorus-diffused structure fabricated using an etch-back process. The local heavy phosphorus diffusion on the rear helps to maintain a high bulk lifetime in the substrates via phosphorus gettering, whilst also reducing recombination under the rear-side metal contacts. The independently measured results yield a peak efficiency of 20.9% for the best upgraded metallurgical-grade silicon cell and 21.9% for a control device made with electronic-grade float-zone silicon. The presencemore » of boron-oxygen related defects in the cells is also investigated, and we confirm that these defects can be partially deactivated permanently by annealing under illumination.« less

  6. Improved PECVD Si x N y film as a mask layer for deep wet etching of the silicon

    NASA Astrophysics Data System (ADS)

    Han, Jianqiang; Yin, Yi Jun; Han, Dong; Dong, LiZhen

    2017-09-01

    Although plasma enhanced chemical vapor deposition (PECVD) silicon nitride (Si x N y ) films have been extensively investigated by many researchers, requirements of film properties vary from device to device. For some applications utilizing Si x N y film as the mask Layer for deep wet etching of the silicon, it is very desirable to obtain a high quality film. In this study, Si x N y films were deposited on silicon substrates by PECVD technique from the mixtures of NH3 and 5% SiH4 diluted in Ar. The deposition temperature and RF power were fixed at 400 °C and 20 W, respectively. By adjusting the SiH4/NH3 flow ratio, Si x N y films of different compositions were deposited on silicon wafers. The stoichiometry, residual stress, etch rate in 1:50 HF, BHF solution and 40% KOH solution of deposited Si x N y films were measured. The experimental results show that the optimum SiH4/NH3 flow ratio at which deposited Si x N y films can perfectly protect the polysilicon resistors on the front side of wafers during KOH etching is between 1.63 and 2.24 under the given temperature and RF power. Polysilicon resistors protected by the Si x N y films can withstand 6 h 40% KOH double-side etching at 80 °C. At the range of SiH4/NH3 flow ratios, the Si/N atom ratio of films ranges from 0.645 to 0.702, which slightly deviate the ideal stoichiometric ratio of LPCVD Si3N4 film. In addition, the silicon nitride films with the best protection effect are not the films of minimum etch rate in KOH solution.

  7. Single n-GaN microwire/p-Silicon thin film heterojunction light-emitting diode.

    PubMed

    Ahn, Jaehui; Mastro, Michael A; Klein, Paul B; Hite, Jennifer K; Feigelson, Boris; Eddy, Charles R; Kim, Jihyun

    2011-10-24

    The emission and waveguiding properties of individual GaN microwires as well as devices based on an n-GaN microwire/p-Si (100) junction were studied for relevance in optoelectronics and optical circuits. Pulsed photoluminescence of the GaN microwire excited in the transverse or longitudinal direction demonstrated gain. These n-type GaN microwires were positioned mechanically or by dielectrophoretic force onto pre-patterned electrodes on a p-type Si (100) substrate. Electroluminescence from this p-n point junction was characteristic of a heterostructure light-emitting diode. Additionally, waveguiding was observed along the length of the microwire for light originating from photoluminescence as well as from electroluminescence generated at the p-n junction. © 2011 Optical Society of America

  8. Silicon nanowire photodetectors made by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Xu, Ying; Ni, Chuan; Sarangan, Andrew

    2016-09-01

    Silicon nanowires have unique optical effects, and have potential applications in photodetectors. They can exhibit simple optical effects such as anti-reflection, but can also produce quantum confined effects. In this work, we have fabricated silicon photodetectors, and then post-processed them by etching nanowires on the incident surface. These nanowires were produced by a wet-chemical etching process known as the metal-assisted-chemical etching, abbreviated as MACE. N-type silicon substrates were doped by thermal diffusion from a solid ceramic source, followed by etching, patterning and contact metallization. The detectors were first tested for functionality and optical performance. The nanowires were then made by depositing an ultra-thin film of gold below its percolation thickness to produce an interconnected porous film. This was then used as a template to etch high aspect ratio nanowires into the face of the detectors with a HF:H2O2 mixture.

  9. Conductive-probe atomic force microscopy characterization of silicon nanowire

    PubMed Central

    2011-01-01

    The electrical conduction properties of lateral and vertical silicon nanowires (SiNWs) were investigated using a conductive-probe atomic force microscopy (AFM). Horizontal SiNWs, which were synthesized by the in-plane solid-liquid-solid technique, are randomly deployed into an undoped hydrogenated amorphous silicon layer. Local current mapping shows that the wires have internal microstructures. The local current-voltage measurements on these horizontal wires reveal a power law behavior indicating several transport regimes based on space-charge limited conduction which can be assisted by traps in the high-bias regime (> 1 V). Vertical phosphorus-doped SiNWs were grown by chemical vapor deposition using a gold catalyst-driving vapor-liquid-solid process on higly n-type silicon substrates. The effect of phosphorus doping on the local contact resistance between the AFM tip and the SiNW was put in evidence, and the SiNWs resistivity was estimated. PMID:21711623

  10. Attenuation of epsilon(sub eff) of coplanar waveguide transmission lines on silicon substrates

    NASA Technical Reports Server (NTRS)

    Taub, Susan R.; Young, Paul G.

    1993-01-01

    Attenuation and epsilon(sub eff) of Coplanar Waveguide (CPW) transmission lines were measured on Silicon substrates with resistivities ranging from 400 to greater than 30,000 ohm-cm, that have a 1000 angstrom coating of SiO2. Both attenuation and epsilon(sub eff) are given over the frequency range 5 to 40 GHz for various strip and slot widths. These measured values are also compared to the theoretical values.

  11. Sputtered silicon nitride coatings for wear protection

    NASA Technical Reports Server (NTRS)

    Grill, A.; Aron, P. R.

    1982-01-01

    Silicon nitride films were deposited by RF sputtering on 304 stainless steel substrates in a planar RF sputtering apparatus. The sputtering was performed from a Si3N4 target in a sputtering atmosphere of argon and nitrogen. The rate of deposition, the composition of the coatings, the surface microhardness and the adhesion of the coatings to the substrates were investigated as a function of the process parameters, such as: substrate target distance, fraction nitrogen in the sputtering atmosphere and sputtering pressure. Silicon rich coating was obtained for fraction nitrogen below 0.2. The rate of deposition decreases continuously with increasing fraction nitrogen and decreasing sputtering pressure. It was found that the adherence of the coatings improves with decreasing sputtering pressure, almost independently of their composition.

  12. Investigation on nonlinear optical properties of MoS2 nanoflakes grown on silicon and quartz substrates

    NASA Astrophysics Data System (ADS)

    Bayesteh, Samaneh; Zahra Mortazavi, Seyedeh; Reyhani, Ali

    2018-05-01

    In this study, MoS2 nanoflakes were directly grown on different substrates—Si/SiO2 and quartz—by one-step thermal chemical vapor deposition using MoO3 and sulfide powders as precursors. Scanning electron microscopy and x-ray diffraction patterns demonstrated the formation of MoS2 structures on both substrates. Moreover, UV-visible and photoluminescence analysis confirmed the formation of MoS2 few-layer structures. According to Raman spectroscopy, by assessment of the line width and frequency shift differences between the and A 1g, it was inferred that the MoS2 grown on the silicon substrate was monolayer and that grown on the quartz substrate was multilayer. In addition, open-aperture and close-aperture Z-scan techniques were employed to study the nonlinear optical properties including nonlinear absorption and nonlinear refraction of the grown MoS2. All experiments were performed using a diode laser with a wavelength of 532 nm as the light source. It is noticeable that both samples demonstrate obvious self-defocusing behavior. The monolayer MoS2 grown on the silicon substrate displayed considerable two-photon absorption while, the multilayer MoS2 synthesized on the quartz exhibited saturable absorption. In general, few-layered MoS2 would be useful for the development of nanophotonic devices like optical limiters, optical switchers, etc.

  13. Influence of design variables on radiation hardness of silicon MINP solar cells

    NASA Technical Reports Server (NTRS)

    Anderson, W. A.; Solaun, S.; Rao, B. B.; Banerjee, S.

    1985-01-01

    Metal-insulator-N/P silicon (MINP) solar cells were fabricated using different substrate resistivity values, different N-layer designs, and different I-layer designs. A shallow junction into an 0.3 ohm-cm substrate gave best efficiency whereas a deeper junction into a 1 to 4 ohm-cm substrate gave improved radiation hardness. I-layer design variation did little to influence radiation hardness.

  14. Technology of silicon charged-particle detectors developed at the Institute of Electron Technology (ITE)

    NASA Astrophysics Data System (ADS)

    Wegrzecka, Iwona; Panas, Andrzej; Bar, Jan; Budzyński, Tadeusz; Grabiec, Piotr; Kozłowski, Roman; Sarnecki, Jerzy; Słysz, Wojciech; Szmigiel, Dariusz; Wegrzecki, Maciej; Zaborowski, Michał

    2013-07-01

    The paper discusses the technology of silicon charged-particle detectors developed at the Institute of Electron Technology (ITE). The developed technology enables the fabrication of both planar and epiplanar p+-ν-n+ detector structures with an active area of up to 50 cm2. The starting material for epiplanar structures are silicon wafers with a high-resistivity n-type epitaxial layer ( ν layer - ρ < 3 kΩcm) deposited on a highly doped n+-type substrate (ρ< 0,02Ωcm) developed and fabricated at the Institute of Electronic Materials Technology. Active layer thickness of the epiplanar detectors (νlayer) may range from 10 μm to 150 μm. Imported silicon with min. 5 kΩcm resistivity is used to fabricate planar detectors. Active layer thickness of the planar detectors (ν) layer) may range from 200 μm to 1 mm. This technology enables the fabrication of both discrete and multi-junction detectors (monolithic detector arrays), such as single-sided strip detectors (epiplanar and planar) and double-sided strip detectors (planar). Examples of process diagrams for fabrication of the epiplanar and planar detectors are presented in the paper, and selected technological processes are discussed.

  15. Gold@silver bimetal nanoparticles/pyramidal silicon 3D substrate with high reproducibility for high-performance SERS

    NASA Astrophysics Data System (ADS)

    Zhang, Chao; Jiang, Shou Zhen; Yang, Cheng; Li, Chong Hui; Huo, Yan Yan; Liu, Xiao Yun; Liu, Ai Hua; Wei, Qin; Gao, Sai Sai; Gao, Xing Guo; Man, Bao Yuan

    2016-05-01

    A novel and efficient surface enhanced Raman scattering (SERS) substrate has been presented based on Gold@silver/pyramidal silicon 3D substrate (Au@Ag/3D-Si). By combining the SERS activity of Ag, the chemical stability of Au and the large field enhancement of 3D-Si, the Au@Ag/3D-Si substrate possesses perfect sensitivity, homogeneity, reproducibility and chemical stability. Using R6G as probe molecule, the SERS results imply that the Au@Ag/3D-Si substrate is superior to the 3D-Si, Ag/3D-Si and Au/3D-Si substrate. We also confirmed these excellent behaviors in theory via a commercial COMSOL software. The corresponding experimental and theoretical results indicate that our proposed Au@Ag/3D-Si substrate is expected to develop new opportunities for label-free SERS detections in biological sensors, biomedical diagnostics and food safety.

  16. Results of a real-time irradiation of lithium P/N and conventional N/P silicon solar cells.

    NASA Technical Reports Server (NTRS)

    Reynard, D. L.; Peterson, D. G.

    1972-01-01

    Eight types of lithium-diffused P/N and three types of conventional 10 ohm-cm N/P silicon solar cells were irradiated at four different temperatures with a strontium-90 radioisotope at a rate typical of that expected in earth orbit. The six-month irradiation confirmed earlier accelerator results, showed that certain cell types outperform others at the various temperatures, and, in general, verified the recent improvements and potential usefulness of lithium solar cells. The experimental approach and statistical methods and analyses employed yielded increased confidence in the validity of the results. Injection level effects were observed to be significant.

  17. Buried oxide layer in silicon

    DOEpatents

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2001-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  18. Dislocation-free strained silicon-on-silicon by in-place bonding

    NASA Astrophysics Data System (ADS)

    Cohen, G. M.; Mooney, P. M.; Paruchuri, V. K.; Hovel, H. J.

    2005-06-01

    In-place bonding is a technique where silicon-on-insulator (SOI) slabs are bonded by hydrophobic attraction to the underlying silicon substrate when the buried oxide is undercut in dilute HF. The bonding between the exposed surfaces of the SOI slab and the substrate propagates simultaneously with the buried oxide etching. As a result, the slabs maintain their registration and are referred to as "bonded in-place". We report the fabrication of dislocation-free strained silicon slabs from pseudomorphic trilayer Si/SiGe/SOI by in-place bonding. Removal of the buried oxide allows the compressively strained SiGe film to relax elastically and induce tensile strain in the top and bottom silicon films. The slabs remain bonded to the substrate by van der Waals forces when the wafer is dried. Subsequent annealing forms a covalent bond such that when the upper Si and the SiGe layer are removed, the bonded silicon slab remains strained.

  19. A simplified boron diffusion for preparing the silicon single crystal p-n junction as an educational device

    NASA Astrophysics Data System (ADS)

    Shiota, Koki; Kai, Kazuho; Nagaoka, Shiro; Tsuji, Takuto; Wakahara, Akihiro; Rusop, Mohamad

    2016-07-01

    The educational method which is including designing, making, and evaluating actual semiconductor devices with learning the theory is one of the best way to obtain the fundamental understanding of the device physics and to cultivate the ability to make unique ideas using the knowledge in the semiconductor device. In this paper, the simplified Boron thermal diffusion process using Sol-Gel material under normal air environment was proposed based on simple hypothesis and the feasibility of the reproducibility and reliability were investigated to simplify the diffusion process for making the educational devices, such as p-n junction, bipolar and pMOS devices. As the result, this method was successfully achieved making p+ region on the surface of the n-type silicon substrates with good reproducibility. And good rectification property of the p-n junctions was obtained successfully. This result indicates that there is a possibility to apply on the process making pMOS or bipolar transistors. It suggests that there is a variety of the possibility of the applications in the educational field to foster an imagination of new devices.

  20. Metalorganic chemical vapor deposition of gallium nitride on sacrificial substrates

    NASA Astrophysics Data System (ADS)

    Fenwick, William Edward

    GaN-based light emitting diodes (LEDs) face several challenges if the technology is to continue to make a significant impact in general illumination, and on technology that has become known as solid state lighting (SSL). Two of the most pressing challenges for the continued penetration of SSL into traditional lighting applications are efficacy and total lumens from the device, and their related cost. The development of alternative substrate technologies is a promising avenue toward addressing both of these challenges, as both GaN-based device technology and the associated metalorganic chemical vapor deposition (MOCVD) technology are already relatively mature technologies with a well-understood cost base. Zinc oxide (ZnO) and silicon (Si) are among the most promising alternative substrates for GaN epitaxy. These substrates offer the ability to access both higher efficacy and lumen devices (ZnO) at a much reduced cost. This work focuses on the development of MOCVD growth processes to yield high quality GaN-based materials and devices on both ZnO and Si. ZnO is a promising substrate for growth of low defect-density GaN because of its similar lattice constant and thermal expansion coefficient. The major hurdles for GaN growth on ZnO are the instability of the substrate in a hydrogen atmosphere, which is typical of nitride growth conditions, and the inter-diffusion of zinc and oxygen from the substrate into the GaN-based epitaxial layer. A process was developed for the MOCVD growth of GaN and InxGa 1-xN on ZnO that attempted to address these issues. The structural and optical properties of these films were studied using various techniques. X-ray diffraction (XRD) showed the growth of wurtzite GaN on ZnO, and room-temperature photoluminescence (RT-PL) showed near band-edge luminescence from the GaN and InxGa1-xN layers. However, high zinc and oxygen concentrations due to interdiffusion near the ZnO substrate remained an issue; therefore, the diffusion of zinc and oxygen

  1. Microstructure factor and mechanical and electronic properties of hydrogenated amorphous and nanocrystalline silicon thin-films for microelectromechanical systems applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mouro, J.; Gualdino, A.; Chu, V.

    2013-11-14

    Thin-film silicon allows the fabrication of MEMS devices at low processing temperatures, compatible with monolithic integration in advanced electronic circuits, on large-area, low-cost, and flexible substrates. The most relevant thin-film properties for applications as MEMS structural layers are the deposition rate, electrical conductivity, and mechanical stress. In this work, n{sup +}-type doped hydrogenated amorphous and nanocrystalline silicon thin-films were deposited by RF-PECVD, and the influence of the hydrogen dilution in the reactive mixture, the RF-power coupled to the plasma, the substrate temperature, and the deposition pressure on the structural, electrical, and mechanical properties of the films was studied. Three differentmore » types of silicon films were identified, corresponding to three internal structures: (i) porous amorphous silicon, deposited at high rates and presenting tensile mechanical stress and low electrical conductivity, (ii) dense amorphous silicon, deposited at intermediate rates and presenting compressive mechanical stress and higher values of electrical conductivity, and (iii) nanocrystalline silicon, deposited at very low rates and presenting the highest compressive mechanical stress and electrical conductivity. These results show the combinations of electromechanical material properties available in silicon thin-films and thus allow the optimized selection of a thin silicon film for a given MEMS application. Four representative silicon thin-films were chosen to be used as structural material of electrostatically actuated MEMS microresonators fabricated by surface micromachining. The effect of the mechanical stress of the structural layer was observed to have a great impact on the device resonance frequency, quality factor, and actuation force.« less

  2. Triple-junction thin-film silicon solar cell fabricated on periodically textured substrate with a stabilized efficiency of 13.6%

    NASA Astrophysics Data System (ADS)

    Sai, Hitoshi; Matsui, Takuya; Koida, Takashi; Matsubara, Koji; Kondo, Michio; Sugiyama, Shuichiro; Katayama, Hirotaka; Takeuchi, Yoshiaki; Yoshida, Isao

    2015-05-01

    We report a high-efficiency triple-junction thin-film silicon solar cell fabricated with the so-called substrate configuration. It was verified whether the design criteria for developing single-junction microcrystalline silicon (μc-Si:H) solar cells are applicable to multijunction solar cells. Furthermore, a notably high short-circuit current density of 32.9 mA/cm2 was achieved in a single-junction μc-Si:H cell fabricated on a periodically textured substrate with a high-mobility front transparent contacting layer. These technologies were also combined into a-Si:H/μc-Si:H/μc-Si:H triple-junction cells, and a world record stabilized efficiency of 13.6% was achieved.

  3. Rapid Growth of Nanostructured Diamond Film on Silicon and Ti-6Al-4V Alloy Substrates.

    PubMed

    Samudrala, Gopi K; Vohra, Yogesh K; Walock, Michael J; Miles, Robin

    2014-01-13

    Nanostructured diamond (NSD) films were grown on silicon and Ti-6Al-4V alloy substrates by microwave plasma chemical vapor deposition (MPCVD). NSD Growth rates of 5 µm/h on silicon, and 4 µm/h on Ti-6Al-4V were achieved. In a chemistry of H₂/CH₄/N₂, varying ratios of CH₄/H₂ and N₂/CH₄ were employed in this research and their effect on the resulting diamond films were studied by X-ray photoelectron spectroscopy, Raman spectroscopy, scanning electron microscopy, and atomic force microscopy. As a result of modifying the stock cooling stage of CVD system, we were able to utilize plasma with high power densities in our NSD growth experiments, enabling us to achieve high growth rates. Substrate temperature and N₂/CH₄ ratio have been found to be key factors in determining the diamond film quality. NSD films grown as part of this study were shown to contain 85% to 90% sp³ bonded carbon.

  4. Oxygen-related vacancy-type defects in ion-implanted silicon

    NASA Astrophysics Data System (ADS)

    Pi, X. D.; Burrows, C. P.; Coleman, P. G.; Gwilliam, R. M.; Sealy, B. J.

    2003-10-01

    Czochralski silicon samples implanted to a dose of 5 × 1015 cm-2 with 0.5 MeV O and to a dose of 1016 cm-2 with 1 MeV Si, respectively, have been studied by positron annihilation spectroscopy. The evolution of divacancies to vacancy (V)-O complexes is out-competed by V-interstitial (I) recombination at 400 and 500 °C in the Si- and O-implanted samples; the higher oxygen concentration makes the latter temperature higher. The defective region shrinks as the annealing temperature increases as interstitials are injected from the end of the implantation range (Rp). VmOn (m> n) are formed in the shallow region most effectively at 700 °C for both Si and O implantation. VxOy (x< y) are produced near Rp by the annealing. At 800 °C, implanted Si ions diffuse and reduce m and implanted O ions diffuse and increase n in VmOn. All oxygen-related vacancy-type defects appear to begin to dissociate at 950 °C, with the probable formation of oxygen clusters. At 1100 °C, oxygen precipitates appear to form just before Rp in O-implanted silicon.

  5. Novel Cyclosilazane-Type Silicon Precursor and Two-Step Plasma for Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride.

    PubMed

    Park, Jae-Min; Jang, Se Jin; Lee, Sang-Ick; Lee, Won-Jun

    2018-03-14

    We designed cyclosilazane-type silicon precursors and proposed a three-step plasma-enhanced atomic layer deposition (PEALD) process to prepare silicon nitride films with high quality and excellent step coverage. The cyclosilazane-type precursor, 1,3-di-isopropylamino-2,4-dimethylcyclosilazane (CSN-2), has a closed ring structure for good thermal stability and high reactivity. CSN-2 showed thermal stability up to 450 °C and a sufficient vapor pressure of 4 Torr at 60 °C. The energy for the chemisorption of CSN-2 on the undercoordinated silicon nitride surface as calculated by density functional theory method was -7.38 eV. The PEALD process window was between 200 and 500 °C, with a growth rate of 0.43 Å/cycle. The best film quality was obtained at 500 °C, with hydrogen impurity of ∼7 atom %, oxygen impurity less than 2 atom %, low wet etching rate, and excellent step coverage of ∼95%. At 300 °C and lower temperatures, the wet etching rate was high especially at the lower sidewall of the trench pattern. We introduced the three-step PEALD process to improve the film quality and the step coverage on the lower sidewall. The sequence of the three-step PEALD process consists of the CSN-2 feeding step, the NH 3 /N 2 plasma step, and the N 2 plasma step. The H radicals in NH 3 /N 2 plasma efficiently remove the ligands from the precursor, and the N 2 plasma after the NH 3 plasma removes the surface hydrogen atoms to activate the adsorption of the precursor. The films deposited at 300 °C using the novel precursor and the three-step PEALD process showed a significantly improved step coverage of ∼95% and an excellent wet etching resistance at the lower sidewall, which is only twice as high as that of the blanket film prepared by low-pressure chemical vapor deposition.

  6. Multifunctionality is affected by interactions between green roof plant species, substrate depth, and substrate type.

    PubMed

    Dusza, Yann; Barot, Sébastien; Kraepiel, Yvan; Lata, Jean-Christophe; Abbadie, Luc; Raynaud, Xavier

    2017-04-01

    Green roofs provide ecosystem services through evapotranspiration and nutrient cycling that depend, among others, on plant species, substrate type, and substrate depth. However, no study has assessed thoroughly how interactions between these factors alter ecosystem functions and multifunctionality of green roofs. We simulated some green roof conditions in a pot experiment. We planted 20 plant species from 10 genera and five families (Asteraceae, Caryophyllaceae, Crassulaceae, Fabaceae, and Poaceae) on two substrate types (natural vs. artificial) and two substrate depths (10 cm vs. 30 cm). As indicators of major ecosystem functions, we measured aboveground and belowground biomasses, foliar nitrogen and carbon content, foliar transpiration, substrate water retention, and dissolved organic carbon and nitrates in leachates. Interactions between substrate type and depth strongly affected ecosystem functions. Biomass production was increased in the artificial substrate and deeper substrates, as was water retention in most cases. In contrast, dissolved organic carbon leaching was higher in the artificial substrates. Except for the Fabaceae species, nitrate leaching was reduced in deep, natural soils. The highest transpiration rates were associated with natural soils. All functions were modulated by plant families or species. Plant effects differed according to the observed function and the type and depth of the substrate. Fabaceae species grown on natural soils had the most noticeable patterns, allowing high biomass production and high water retention but also high nitrate leaching from deep pots. No single combination of factors enhanced simultaneously all studied ecosystem functions, highlighting that soil-plant interactions induce trade-offs between ecosystem functions. Substrate type and depth interactions are major drivers for green roof multifunctionality.

  7. Method of forming contacts for a back-contact solar cell

    DOEpatents

    Manning, Jane

    2015-10-20

    Methods of forming contacts for solar cells are described. In one embodiment, a method includes forming a silicon layer above a substrate, forming and patterning a solid-state p-type dopant source on the silicon layer, forming an n-type dopant source layer over exposed regions of the silicon layer and over a plurality of regions of the solid-state p-type dopant source, and heating the substrate to provide a plurality of n-type doped silicon regions among a plurality of p-type doped silicon regions.

  8. Method of forming contacts for a back-contact solar cell

    DOEpatents

    Manning, Jane

    2014-07-15

    Methods of forming contacts for solar cells are described. In one embodiment, a method includes forming a silicon layer above a substrate, forming and patterning a solid-state p-type dopant source on the silicon layer, forming an n-type dopant source layer over exposed regions of the silicon layer and over a plurality of regions of the solid-state p-type dopant source, and heating the substrate to provide a plurality of n-type doped silicon regions among a plurality of p-type doped silicon regions.

  9. Feasibility Study of Extended-Gate-Type Silicon Nanowire Field-Effect Transistors for Neural Recording

    PubMed Central

    Kang, Hongki; Kim, Jee-Yeon; Choi, Yang-Kyu; Nam, Yoonkey

    2017-01-01

    In this research, a high performance silicon nanowire field-effect transistor (transconductance as high as 34 µS and sensitivity as 84 nS/mV) is extensively studied and directly compared with planar passive microelectrode arrays for neural recording application. Electrical and electrochemical characteristics are carefully characterized in a very well-controlled manner. We especially focused on the signal amplification capability and intrinsic noise of the transistors. A neural recording system using both silicon nanowire field-effect transistor-based active-type microelectrode array and platinum black microelectrode-based passive-type microelectrode array are implemented and compared. An artificial neural spike signal is supplied as input to both arrays through a buffer solution and recorded simultaneously. Recorded signal intensity by the silicon nanowire transistor was precisely determined by an electrical characteristic of the transistor, transconductance. Signal-to-noise ratio was found to be strongly dependent upon the intrinsic 1/f noise of the silicon nanowire transistor. We found how signal strength is determined and how intrinsic noise of the transistor determines signal-to-noise ratio of the recorded neural signals. This study provides in-depth understanding of the overall neural recording mechanism using silicon nanowire transistors and solid design guideline for further improvement and development. PMID:28350370

  10. Feasibility Study of Extended-Gate-Type Silicon Nanowire Field-Effect Transistors for Neural Recording.

    PubMed

    Kang, Hongki; Kim, Jee-Yeon; Choi, Yang-Kyu; Nam, Yoonkey

    2017-03-28

    In this research, a high performance silicon nanowire field-effect transistor (transconductance as high as 34 µS and sensitivity as 84 nS/mV) is extensively studied and directly compared with planar passive microelectrode arrays for neural recording application. Electrical and electrochemical characteristics are carefully characterized in a very well-controlled manner. We especially focused on the signal amplification capability and intrinsic noise of the transistors. A neural recording system using both silicon nanowire field-effect transistor-based active-type microelectrode array and platinum black microelectrode-based passive-type microelectrode array are implemented and compared. An artificial neural spike signal is supplied as input to both arrays through a buffer solution and recorded simultaneously. Recorded signal intensity by the silicon nanowire transistor was precisely determined by an electrical characteristic of the transistor, transconductance. Signal-to-noise ratio was found to be strongly dependent upon the intrinsic 1/f noise of the silicon nanowire transistor. We found how signal strength is determined and how intrinsic noise of the transistor determines signal-to-noise ratio of the recorded neural signals. This study provides in-depth understanding of the overall neural recording mechanism using silicon nanowire transistors and solid design guideline for further improvement and development.

  11. Study on Silicon Microstructure Processing Technology Based on Porous Silicon

    NASA Astrophysics Data System (ADS)

    Shang, Yingqi; Zhang, Linchao; Qi, Hong; Wu, Yalin; Zhang, Yan; Chen, Jing

    2018-03-01

    Aiming at the heterogeneity of micro - sealed cavity in silicon microstructure processing technology, the technique of preparing micro - sealed cavity of porous silicon is proposed. The effects of different solutions, different substrate doping concentrations, different current densities, and different etching times on the rate, porosity, thickness and morphology of the prepared porous silicon were studied. The porous silicon was prepared by different process parameters and the prepared porous silicon was tested and analyzed. For the test results, optimize the process parameters and experiments. The experimental results show that the porous silicon can be controlled by optimizing the parameters of the etching solution and the doping concentration of the substrate, and the preparation of porous silicon with different porosity can be realized by different doping concentration, so as to realize the preparation of silicon micro-sealed cavity, to solve the sensor sensitive micro-sealed cavity structure heterogeneous problem, greatly increasing the application of the sensor.

  12. Status of AlGaN based focal plane array for near UV imaging and strategy to extend this technology to far-UV by substrate removal

    NASA Astrophysics Data System (ADS)

    Reverchon, Jean-Luc; Gourdel, Yves; Robo, Jean-Alexandre; Truffer, Jean-Patrick; Costard, Eric; Brault, Julien; Duboz, Jean-Yves

    2017-11-01

    The fast development of nitrides has given the opportunity to investigate AlGaN as a material for ultraviolet detection. Such AlGaN based camera presents an intrinsic spectral selectivity and an extremely low dark current at room temperature. Firstly, we will present results on focal plane array of 320x256 pixels with a pitch of 30μm. The peak responsivity is around 280nm (solar-blind), 310nm and 360nm. These results are obtained in a standard SWIR supply chain (readout circuit, electronics). With the existing near-UV camera grown on sapphire, the short wavelength cutoff is due to a window layer improving the material quality of the active layer. The ultimate shortest wavelength would be 200nm due to sapphire substrate. We present here the ways to transfer the standard design of Schottky photodiodes from sapphire to silicon substrate. We will show the capability to remove the silicon substrate, and etch the window layer in order to extend the band width to lower wavelengths.

  13. Purified silicon production system

    DOEpatents

    Wang, Tihu; Ciszek, Theodore F.

    2004-03-30

    Method and apparatus for producing purified bulk silicon from highly impure metallurgical-grade silicon source material at atmospheric pressure. Method involves: (1) initially reacting iodine and metallurgical-grade silicon to create silicon tetraiodide and impurity iodide byproducts in a cold-wall reactor chamber; (2) isolating silicon tetraiodide from the impurity iodide byproducts and purifying it by distillation in a distillation chamber; and (3) transferring the purified silicon tetraiodide back to the cold-wall reactor chamber, reacting it with additional iodine and metallurgical-grade silicon to produce silicon diiodide and depositing the silicon diiodide onto a substrate within the cold-wall reactor chamber. The two chambers are at atmospheric pressure and the system is open to allow the introduction of additional source material and to remove and replace finished substrates.

  14. Photovoltaic cell with nano-patterned substrate

    DOEpatents

    Cruz-Campa, Jose Luis; Zhou, Xiaowang; Zubia, David

    2016-10-18

    A photovoltaic solar cell comprises a nano-patterned substrate layer. A plurality of nano-windows are etched into an intermediate substrate layer to form the nano-patterned substrate layer. The nano-patterned substrate layer is positioned between an n-type semiconductor layer composed of an n-type semiconductor material and a p-type semiconductor layer composed of a p-type semiconductor material. Semiconductor material accumulates in the plurality of nano-windows, causing a plurality of heterojunctions to form between the n-type semiconductor layer and the p-type semiconductor layer.

  15. Rapid thermal process by RF heating of nano-graphene layer/silicon substrate structure: Heat explosion theory approach

    NASA Astrophysics Data System (ADS)

    Sinder, M.; Pelleg, J.; Meerovich, V.; Sokolovsky, V.

    2018-03-01

    RF heating kinetics of a nano-graphene layer/silicon substrate structure is analyzed theoretically as a function of the thickness and sheet resistance of the graphene layer, the dimensions and thermal parameters of the structure, as well as of cooling conditions and of the amplitude and frequency of the applied RF magnetic field. It is shown that two regimes of the heating can be realized. The first one is characterized by heating of the structure up to a finite temperature determined by equilibrium between the dissipated loss power caused by induced eddy-currents and the heat transfer to environment. The second regime corresponds to a fast unlimited temperature increase (heat explosion). The criterions of realization of these regimes are presented in the analytical form. Using the criterions and literature data, it is shown the possibility of the heat explosion regime for a graphene layer/silicon substrate structure at RF heating.

  16. Continuous-flow mass production of silicon nanowires via substrate-enhanced metal-catalyzed electroless etching of silicon with dissolved oxygen as an oxidant.

    PubMed

    Hu, Ya; Peng, Kui-Qing; Liu, Lin; Qiao, Zhen; Huang, Xing; Wu, Xiao-Ling; Meng, Xiang-Min; Lee, Shuit-Tong

    2014-01-13

    Silicon nanowires (SiNWs) are attracting growing interest due to their unique properties and promising applications in photovoltaic devices, thermoelectric devices, lithium-ion batteries, and biotechnology. Low-cost mass production of SiNWs is essential for SiNWs-based nanotechnology commercialization. However, economic, controlled large-scale production of SiNWs remains challenging and rarely attainable. Here, we demonstrate a facile strategy capable of low-cost, continuous-flow mass production of SiNWs on an industrial scale. The strategy relies on substrate-enhanced metal-catalyzed electroless etching (MCEE) of silicon using dissolved oxygen in aqueous hydrofluoric acid (HF) solution as an oxidant. The distinct advantages of this novel MCEE approach, such as simplicity, scalability and flexibility, make it an attractive alternative to conventional MCEE methods.

  17. Microhardness of carbon-doped (111) p-type Czochralski silicon

    NASA Technical Reports Server (NTRS)

    Danyluk, S.; Lim, D. S.; Kalejs, J.

    1985-01-01

    The effect of carbon on (111) p-type Czochralski silicon is examined. The preparation of the silicon and microhardness test procedures are described, and the equation used to determine microhardness from indentations in the silicon wafers is presented. The results indicate that as the carbon concentration in the silicon increases the microhardness increases. The linear increase in microhardness is the result of carbon hindering dislocation motion, and the effect of temperature on silicon deformation and dislocation mobility is explained. The measured microhardness was compared with an analysis which is based on dislocation pinning by carbon; a good correlation was observed. The Labusch model for the effect of pinning sites on dislocation motion is given.

  18. Micro knife-edge optical measurement device in a silicon-on-insulator substrate.

    PubMed

    Chiu, Yi; Pan, Jiun-Hung

    2007-05-14

    The knife-edge method is a commonly used technique to characterize the optical profiles of laser beams or focused spots. In this paper, we present a micro knife-edge scanner fabricated in a silicon-on-insulator substrate using the micro-electromechanical-system technology. A photo detector can be fabricated in the device to allow further integration with on-chip signal conditioning circuitry. A novel backside deep reactive ion etching process is proposed to solve the residual stress effect due to the buried oxide layer. Focused optical spot profile measurement is demonstrated.

  19. Design and fabrication of non silicon substrate based MEMS energy harvester for arbitrary surface applications

    NASA Astrophysics Data System (ADS)

    Balpande, Suresh S.; Pande, Rajesh S.

    2016-04-01

    Internet of Things (IoT) uses MEMS sensor nodes and actuators to sense and control objects through Internet. IOT deploys millions of chemical battery driven sensors at different locations which are not reliable many times because of frequent requirement of charging & battery replacement in case of underground laying, placement at harsh environmental conditions, huge count and difference between demand (24 % per year) and availability (energy density growing rate 8% per year). Energy harvester fabricated on silicon wafers have been widely used in manufacturing MEMS structures. These devices require complex fabrication processes, costly chemicals & clean room. In addition to this silicon wafer based devices are not suitable for curved surfaces like pipes, human bodies, organisms, or other arbitrary surface like clothes, structure surfaces which does not have flat and smooth surface always. Therefore, devices based on rigid silicon wafers are not suitable for these applications. Flexible structures are the key solution for this problems. Energy transduction mechanism generates power from free surrounding vibrations or impact. Sensor nodes application has been purposefully selected due to discrete power requirement at low duty cycle. Such nodes require an average power budget in the range of about 0.1 microwatt to 1 mW over a period of 3-5 seconds. Energy harvester is the best alternate source in contrast with battery for sensor node application. Novel design of Energy Harvester based on cheapest flexible non silicon substrate i.e. cellulose acetate substrate have been modeled, simulated and analyzed on COMSOL multiphysics and fabricated using sol-gel spin coating setup. Single cantilever based harvester generates 60-75 mV peak electric potential at 22Hz frequency and approximately 22 µW power at 1K-Ohm load. Cantilever array can be employed for generating higher voltage by replicating this structure. This work covers design, optimization, fabrication of harvester and

  20. Diamond and diamondlike carbon as wear-resistant, self-lubricating coatings for silicon nitride

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1995-01-01

    Recent work on the friction and wear properties of as-deposited fine-grain diamond, polished coarse-grain diamond, and as-deposited diamondlike carbon (DLC) films in humid air at a relative humidity of approximately 40 percent and in dry nitrogen is reviewed. Two types of chemical vapor deposition (CVD) processes are used to deposit diamond films on silicon nitride (Si3N4) substrates: microwave-plasma and hot-filament. Ion beams are used to deposit DLC films of Si3N4 substrates. The diamond and DLC films in sliding contact with hemispherical bare Si3N4 pins have low steady-state coefficients of friction (less than 0.2) and low wear rates (less than 10(exp -7) mm(exp 2)/N-m), and thus, can be used effectively as wear-resistant, self-lubricating coatings for Si3N4 in the aforementioned two environments.

  1. Texturization of as-cut p-type monocrystalline silicon wafer using different wet chemical solutions

    NASA Astrophysics Data System (ADS)

    Hashmi, Galib; Hasanuzzaman, Muhammad; Basher, Mohammad Khairul; Hoq, Mahbubul; Rahman, Md. Habibur

    2018-06-01

    Implementing texturization process on the monocrystalline silicon substrate reduces reflection and enhances light absorption of the substrate. Thus texturization is one of the key elements to increase the efficiency of solar cell. Considering as-cut monocrystalline silicon wafer as base substrate, in this work different concentrations of Na2CO3 and NaHCO3 solution, KOH-IPA (isopropyl alcohol) solution and tetramethylammonium hydroxide solution with different time intervals have been investigated for texturization process. Furthermore, saw damage removal process was conducted with 10% NaOH solution, 20 wt% KOH-13.33 wt% IPA solution and HF/nitric/acetic acid solution. The surface morphology of saw damage, saw damage removed surface and textured wafer were observed using optical microscope and field emission scanning electron microscopy. Texturization causes pyramidal micro structures on the surface of (100) oriented monocrystalline silicon wafer. The height of the pyramid on the silicon surface varies from 1.5 to 3.2 µm and the inclined planes of the pyramids are acute angle. Contact angle value indicates that the textured wafer's surface fall in between near-hydrophobic to hydrophobic range. With respect to base material absolute reflectance 1.049-0.75% within 250-800 nm wavelength region, 0.1-0.026% has been achieved within the same wavelength region when textured with 0.76 wt% KOH-4 wt% IPA solution for 20 min. Furthermore, an alternative route of using 1 wt% Na2CO3-0.2 wt% NaHCO3 solution for 50 min has been exploited in the texturization process.

  2. Powder containing 2H-type silicon carbide produced by reacting silicon dioxide and carbon powder in nitrogen atmosphere in the presence of aluminum

    NASA Technical Reports Server (NTRS)

    Kuramoto, N.; Takiguchi, H.

    1984-01-01

    The production of powder which contains silicon carbide consisting of 40% of 2H-type silicon carbide, beta type silicon carbide and less than 3% of nitrogen is discussed. The reaction temperature to produce the powder containing 40% of 2H-type silicon carbide is set at above 1550 degrees C in an atmosphere of aluminum or aluminum compounds and nitrogen gas or an antioxidation atmosphere containing nitrogen gas. The mixture ratio of silicon dioxide and carbon powder is 0.55 - 1:2.0 and the contents of aluminum or aluminum compounds within silicon dioxide is less than 3% in weight.

  3. High Sensitivity Detection of CdSe/ZnS Quantum Dot-Labeled DNA Based on N-type Porous Silicon Microcavities.

    PubMed

    Lv, Changwu; Jia, Zhenhong; Lv, Jie; Zhang, Hongyan; Li, Yanyu

    2017-01-01

    N-type macroporous silicon microcavity structures were prepared using electrochemical etching in an HF solution in the absence of light and oxidants. The CdSe/ZnS water-soluble quantum dot-labeled DNA target molecules were detected by monitoring the microcavity reflectance spectrum, which was characterized by the reflectance spectrum defect state position shift resulting from changes to the structures' refractive index. Quantum dots with a high refractive index and DNA coupling can improve the detection sensitivity by amplifying the optical response signals of the target DNA. The experimental results show that DNA combined with a quantum dot can improve the sensitivity of DNA detection by more than five times.

  4. Direct ultrasensitive electrical detection of prostate cancer biomarkers with CMOS-compatible n- and p-type silicon nanowire sensor arrays.

    PubMed

    Gao, Anran; Lu, Na; Dai, Pengfei; Fan, Chunhai; Wang, Yuelin; Li, Tie

    2014-11-07

    Sensitive and quantitative analysis of proteins is central to disease diagnosis, drug screening, and proteomic studies. Here, a label-free, real-time, simultaneous and ultrasensitive prostate-specific antigen (PSA) sensor was developed using CMOS-compatible silicon nanowire field effect transistors (SiNW FET). Highly responsive n- and p-type SiNW arrays were fabricated and integrated on a single chip with a complementary metal oxide semiconductor (CMOS) compatible anisotropic self-stop etching technique which eliminated the need for a hybrid method. The incorporated n- and p-type nanowires revealed complementary electrical response upon PSA binding, providing a unique means of internal control for sensing signal verification. The highly selective, simultaneous and multiplexed detection of PSA marker at attomolar concentrations, a level useful for clinical diagnosis of prostate cancer, was demonstrated. The detection ability was corroborated to be effective by comparing the detection results at different pH values. Furthermore, the real-time measurement was also carried out in a clinically relevant sample of blood serum, indicating the practicable development of rapid, robust, high-performance, and low-cost diagnostic systems.

  5. Formation of copper precipitates in silicon

    NASA Astrophysics Data System (ADS)

    Flink, Christoph; Feick, Henning; McHugo, Scott A.; Mohammed, Amna; Seifert, Winfried; Hieslmair, Henry; Heiser, Thomas; Istratov, Andrei A.; Weber, Eicke R.

    1999-12-01

    The formation of copper precipitates in silicon was studied after high-temperature intentional contamination of p- and n-type FZ and Cz-grown silicon and quench to room temperature. With the Transient Ion Drift (TID) technique on p-type silicon a critical Fermi level position at EC-0.2 eV was found. Only if the Fermi level position, which is determined by the concentrations of the acceptors and the copper donors, surpasses this critical value precipitation takes place. If the Fermi level is below this level the supersaturated interstitial copper diffuses out. An electrostatic precipitation model is introduced that correlates the observed precipitation behavior with the electrical activity of the copper precipitates as detected with Deep Level Transient Spectroscopy (DLTS) on n-type and with Minority Carrier Transient Spectroscopy (MCTS) on p-type silicon.

  6. Influence of substrate bias voltage on structure and properties of the CrAlN films deposited by unbalanced magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Lv, Yanhong; Ji, Li; Liu, Xiaohong; Li, Hongxuan; Zhou, Huidi; Chen, Jianmin

    2012-02-01

    The CrAlN films were deposited on silicon and stainless steel substrates by unbalanced magnetron sputtering system. The influence of substrate bias on deposition rate, composition, structure, morphology and properties of the CrAlN films was investigated. The results showed that, with the increase of the substrate bias voltage, the deposition rate decreased accompanied by a change of the preferred orientation of the CrAlN film from (2 2 0) to (2 0 0). The grain size and the average surface roughness of the CrAlN films declined as the bias voltage increases above -100 V. The morphology of the films changed from obviously columnar to dense glass-like structure with the increase of the bias voltage from -50 to -250 V. Meanwhile, the films deposited at moderate bias voltage had better mechanical and tribological properties, while the films deposited at higher bias voltage showed better corrosion resistance. It was found that the corrosion resistance improvement was not only attributed to the low pinhole density of the film, but also to chemical composition of films.

  7. Pure silver ohmic contacts to N- and P- type gallium arsenide materials

    DOEpatents

    Hogan, Stephen J.

    1986-01-01

    Disclosed is an improved process for manufacturing gallium arsenide semiconductor devices having as its components an n-type gallium arsenide substrate layer and a p-type gallium arsenide diffused layer. The improved process comprises forming a pure silver ohmic contact to both the diffused layer and the substrate layer, wherein the n-type layer comprises a substantially low doping carrier concentration.

  8. A cochlear implant fabricated using a bulk silicon-surface micromachining process

    NASA Astrophysics Data System (ADS)

    Bell, Tracy Elizabeth

    1999-11-01

    This dissertation presents the design and fabrication of two generations of a silicon microelectrode array for use in a cochlear implant. A cochlear implant is a device that is inserted into the inner ear and uses electrical stimulation to provide sound sensations to the profoundly deaf. The first-generation silicon cochlear implant is a passive device fabricated using silicon microprobe technology developed at the University of Michigan. It contains twenty-two iridium oxide (IrO) stimulating sites that are 250 mum in diameter and spaced at 750 mum intervals. In-vivo recordings were made in guinea pig auditory cortex in response to electrical stimulation with this device, verifying its ability to electrically evoke an auditory response. Auditory thresholds as low as 78 muA were recorded. The second-generation implant is a thirty-two site, four-channel device with on-chip CMOS site-selection circuitry and integrated position sensing. It was fabricated using a novel bulk silicon surface micromachining process which was developed as a part of this dissertation work. While the use of semiconductor technology offers many advantages in fabricating cochlear implants over the methods currently used, it was felt that even further advantages could be gained by developing a new micromachining process which would allow circuitry to be distributed along the full length of the cochlear implant substrate. The new process uses electropolishing of an n+ bulk silicon sacrificial layer to undercut and release n- epitaxial silicon structures from the wafer. An extremely abrupt etch-stop between the n+ and n- silicon is obtained, with no electropolishing taking place in the n-type silicon that is doped lower than 1 x 1017 cm-3 in concentration. Lateral electropolishing rates of up to 50 mum/min were measured using this technique, allowing one millimeter-wide structures to be fully undercut in as little as 10 minutes. The new micromachining process was integrated with a standard p

  9. Self-assembled molecular magnets on patterned silicon substrates: bridging bio-molecules with nanoelectronics.

    PubMed

    Chang, Chia-Ching; Sun, Kien Wen; Lee, Shang-Fan; Kan, Lou-Sing

    2007-04-01

    The paper reports the methods of preparing molecular magnets and patterning of the molecules on a semiconductor surface. A highly magnetically aligned metallothionein containing Mn and Cd (Mn,Cd-MT-2) is first synthesized, and the molecules are then placed into nanopores prepared on silicon (001) surfaces using electron beam lithography and reactive ion-etching techniques. We have observed the self-assemble growth of the MT molecules on the patterned Si surface such that the MT molecules have grown into rod or ring type three-dimensional nanostructures, depending on the patterned nanostructures on the surface. We also provide scanning electron microscopy, atomic force microscopy, and magnetic force microscope studies of the molecular nanostructures. This engineered molecule shows molecular magnetization and is biocompatible with conventional semiconductors. These features make Mn,Cd-MT-2 a good candidate for biological applications and sensing sources of new nanodevices. Using molecular self-assembly and topographical patterning of the semiconductor substrate, we can close the gap between bio-molecules and nanoelectronics built into the semiconductor chip.

  10. Effect of surface chemical composition on the surface potential and iso-electric point of silicon substrates modified with self-assembled monolayers.

    PubMed

    Kuo, Che-Hung; Chang, Hsun-Yun; Liu, Chi-Ping; Lee, Szu-Hsian; You, Yun-Wen; Shyue, Jing-Jong

    2011-03-07

    Self-assembled monolayer (SAM)-modified nano-materials are a new technology to deliver drug molecules. While the majority of these depend on covalently immobilizing molecules on the surface, it is proposed that electrostatic interactions may be used to deliver drugs. By tuning the surface potential of solid substrates with SAMs, drug molecules could be either absorbed on or desorbed from substrates through the difference in electrostatic interactions around the selected iso-electric point (IEP). In this work, the surface of silicon substrates was tailored with various ratios of 3-aminopropyltrimethoxysilane (APTMS) and 3-mercaptopropyltrimethoxysilane (MPTMS), which form amine- and thiol-bearing SAMs, respectively. The ratio of the functional groups on the silicon surface was quantified by X-ray photoelectron spectrometry (XPS); in general, the deposition kinetics of APTMS were found to be faster than those of MPTMS. Furthermore, for solutions with high MPTMS concentrations, the relative deposition rate of APTMS increased dramatically due to the acid-base reaction in the solution and subsequent electrostatic interactions between the molecules and the substrate. The zeta potential in aqueous electrolytes was determined with an electro-kinetic analyzer. By depositing SAMs of binary functional groups in varied ratios, the surface potential and IEP of silicon substrates could be fine-tuned. For <50% amine concentration in SAMs, the IEP changed linearly with the chemical composition from <2 to 7.18. For higher amine concentrations, the IEP slowly increased with concentration to 7.94 because the formation of hydrogen-bonding suppressed the subsequent protonation of amines.

  11. Production of a Pseudomonas lipase in n-alkane substrate and its isolation using an improved ammonium sulfate precipitation technique.

    PubMed

    Kanwar, Lambit; Gogoi, Binod Kumar; Goswami, Pranab

    2002-09-01

    Among the various lipidic and non-lipidic substances, normal alkanes within the chain lengths of C-12 to C-20 served as the best carbon substrates for the production of extracellular lipase by Pseudomonas species G6. Maximum lipase production of 25 U/ml of the culture broth was obtained by using n-hexadecane as the sole carbon substrate. The optimum pH of 8 and temperature of 34 + 1 degrees C were demonstrated for the production of lipase in n-hexadecane substrate. The optimum concentration of iron, which played a critical role on the lipase production, was found to be 0.25 mg/l. Lipase production could be enhanced to nearly 2.4-fold by using tributyrin at a concentration of 0.05% (v/v) in the culture medium. High recovery of the lipase protein (83%) from the culture broth was achieved by treating the culture supernatant with Silicone 21 Defoamer followed by ammonium sulfate (60% saturation) fractionation.

  12. Diffusion Bonding of Silicon Carbide for MEMS-LDI Applications

    NASA Technical Reports Server (NTRS)

    Halbig, Michael C.; Singh, Mrityunjay; Shpargel, Tarah P.; Kiser, J. Douglas

    2007-01-01

    A robust joining approach is critically needed for a Micro-Electro-Mechanical Systems-Lean Direct Injector (MEMS-LDI) application which requires leak free joints with high temperature mechanical capability. Diffusion bonding is well suited for the MEMS-LDI application. Diffusion bonds were fabricated using titanium interlayers between silicon carbide substrates during hot pressing. The interlayers consisted of either alloyed titanium foil or physically vapor deposited (PVD) titanium coatings. Microscopy shows that well adhered, crack free diffusion bonds are formed under optimal conditions. Under less than optimal conditions, microcracks are present in the bond layer due to the formation of intermetallic phases. Electron microprobe analysis was used to identify the reaction formed phases in the diffusion bond. Various compatibility issues among the phases in the interlayer and substrate are discussed. Also, the effects of temperature, pressure, time, silicon carbide substrate type, and type of titanium interlayer and thickness on the microstructure and composition of joints are discussed.

  13. High-responsivity vertical-illumination Si/Ge uni-traveling-carrier photodiodes based on silicon-on-insulator substrate.

    PubMed

    Li, Chong; Xue, ChunLai; Liu, Zhi; Cong, Hui; Cheng, Buwen; Hu, Zonghai; Guo, Xia; Liu, Wuming

    2016-06-09

    Si/Ge uni-traveling carrier photodiodes exhibit higher output current when space-charge effect is overcome and the thermal effects is suppressed. High current is beneficial for increasing the dynamic range of various microwave photonic systems and simplifying high-bit-rate digital receivers in many applications. From the point of view of packaging, detectors with vertical-illumination configuration can be easily handled by pick-and-place tools and are a popular choice for making photo-receiver modules. However, vertical-illumination Si/Ge uni-traveling carrier (UTC) devices suffer from inter-constraint between high speed and high responsivity. Here, we report a high responsivity vertical-illumination Si/Ge UTC photodiode based on a silicon-on-insulator substrate. When the transmission of the monolayer anti-reflection coating was maximum, the maximum absorption efficiency of the devices was 1.45 times greater than the silicon substrate owing to constructive interference. The Si/Ge UTC photodiode had a dominant responsivity at 1550 nm of 0.18 A/W, a 50% improvement even with a 25% thinner Ge absorption layer.

  14. High-responsivity vertical-illumination Si/Ge uni-traveling-carrier photodiodes based on silicon-on-insulator substrate

    PubMed Central

    Li, Chong; Xue, ChunLai; Liu, Zhi; Cong, Hui; Cheng, Buwen; Hu, Zonghai; Guo, Xia; Liu, Wuming

    2016-01-01

    Si/Ge uni-traveling carrier photodiodes exhibit higher output current when space-charge effect is overcome and the thermal effects is suppressed. High current is beneficial for increasing the dynamic range of various microwave photonic systems and simplifying high-bit-rate digital receivers in many applications. From the point of view of packaging, detectors with vertical-illumination configuration can be easily handled by pick-and-place tools and are a popular choice for making photo-receiver modules. However, vertical-illumination Si/Ge uni-traveling carrier (UTC) devices suffer from inter-constraint between high speed and high responsivity. Here, we report a high responsivity vertical-illumination Si/Ge UTC photodiode based on a silicon-on-insulator substrate. When the transmission of the monolayer anti-reflection coating was maximum, the maximum absorption efficiency of the devices was 1.45 times greater than the silicon substrate owing to constructive interference. The Si/Ge UTC photodiode had a dominant responsivity at 1550 nm of 0.18 A/W, a 50% improvement even with a 25% thinner Ge absorption layer. PMID:27279426

  15. Enhanced Raman scattering in porous silicon grating.

    PubMed

    Wang, Jiajia; Jia, Zhenhong; Lv, Changwu

    2018-03-19

    The enhancement of Raman signal on monocrystalline silicon gratings with varying groove depths and on porous silicon grating were studied for a highly sensitive surface enhanced Raman scattering (SERS) response. In the experiment conducted, porous silicon gratings were fabricated. Silver nanoparticles (Ag NPs) were then deposited on the porous silicon grating to enhance the Raman signal of the detective objects. Results show that the enhancement of Raman signal on silicon grating improved when groove depth increased. The enhanced performance of Raman signal on porous silicon grating was also further improved. The Rhodamine SERS response based on Ag NPs/ porous silicon grating substrates was enhanced relative to the SERS response on Ag NPs/ porous silicon substrates. Ag NPs / porous silicon grating SERS substrate system achieved a highly sensitive SERS response due to the coupling of various Raman enhancement factors.

  16. Influence of a silicon (Si14)-based coating substrate for biomaterials on fibroblast growth and human C5a.

    PubMed

    Hiebl, B; Hopperdietzel, C; Hünigen, H; Jung, F; Scharnagl, N

    2013-01-01

    Despite considerable efforts in biomaterial development there is still a lack on substrates for cardiovascular tissue engineering approaches which allow the establishment of a tight a functional endothelial layer on their surface to provide hemocompatibility. The study aimed to test the biocompatibility of a silicon (Si14)-based coating substrate (Supershine Medicare, Permanon) which was designed to resist temperatures from -40°C up to 300°C and which allows the use of established heat-inducing sterilization techniques respectively. By X-ray photoelectron spectroscopy it could be validated that this substrate is able to establish a 40-50 nm thick layer of silica, oxygen and carbon without including any further elements from the substrate on an exemplary selection of materials (silicone, soda-lime-silica glass, stainless steel). Analysis of the LDH-release, the cell activity/proliferation (MTS assay) and the cell phenotype after growing 3T3 cells with extracts of the coated materials did not indicate any signs of cytotoxicity. Additionally by measuring the C5a release after exposure of the coated materials with human serum it could be demonstrated, that the coating had no impact on the activation of the complement system. These results generally suggest the tested substrate as a promising candidate for the coating of materials which are aimed to be used in cardiovascular tissue engineering approaches.

  17. Immobilization and detection of platelet-derived extracellular vesicles on functionalized silicon substrate: cytometric and spectrometric approach.

    PubMed

    Gajos, Katarzyna; Kamińska, Agnieszka; Awsiuk, Kamil; Bajor, Adrianna; Gruszczyński, Krzysztof; Pawlak, Anna; Żądło, Andrzej; Kowalik, Artur; Budkowski, Andrzej; Stępień, Ewa

    2017-02-01

    Among the various biomarkers that are used to diagnose or monitor disease, extracellular vesicles (EVs) represent one of the most promising targets in the development of new therapeutic strategies and the application of new diagnostic methods. The detection of circulating platelet-derived microvesicles (PMVs) is a considerable challenge for laboratory diagnostics, especially in the preliminary phase of a disease. In this study, we present a multistep approach to immobilizing and detecting PMVs in biological samples (microvesicles generated from activated platelets and human platelet-poor plasma) on functionalized silicon substrate. We describe the application of time-of-flight secondary ion mass spectrometry (TOF-SIMS) and spectroscopic ellipsometry methods to the detection of immobilized PMVs in the context of a novel imaging flow cytometry (ISX) technique and atomic force microscopy (AFM). This novel approach allowed us to confirm the presence of the abundant microvesicle phospholipids phosphatidylserine (PS) and phosphatidylethanolamine (PE) on a surface with immobilized PMVs. Phosphatidylcholine groups (C 5 H 12 N + ; C 5 H 15 PNO 4 + ) were also detected. Moreover, we were able to show that ellipsometry permitted the immobilization of PMVs on a functionalized surface to be evaluated. The sensitivity of the ISX technique depends on the size and refractive index of the analyzed microvesicles. Graphical abstract Human platelets activated with thrombin (in concentration 1IU/mL) generate population of PMVs (platelet derived microvesicles), which can be detected and enumerated with fluorescent-label method (imaging cytometry). Alternatively, PMVs can be immobilized on the modified silicon substrate which is functionalized with a specific IgM murine monoclonal antibody against human glycoprotein IIb/IIIa complex (PAC-1). Immobilized PMVs can be subjected to label-free analyses by means ellipsometry, atomic force microscopy (AFM) and time-of-flight secondary ion mass

  18. Porous Silicon Nanowires

    PubMed Central

    Qu, Yongquan; Zhou, Hailong; Duan, Xiangfeng

    2011-01-01

    In this minreview, we summarize recent progress in the synthesis, properties and applications of a new type of one-dimensional nanostructures — single crystalline porous silicon nanowires. The growth of porous silicon nanowires starting from both p- and n-type Si wafers with a variety of dopant concentrations can be achieved through either one-step or two-step reactions. The mechanistic studies indicate the dopant concentration of Si wafers, oxidizer concentration, etching time and temperature can affect the morphology of the as-etched silicon nanowires. The porous silicon nanowires are both optically and electronically active and have been explored for potential applications in diverse areas including photocatalysis, lithium ion battery, gas sensor and drug delivery. PMID:21869999

  19. Process for the deposition of high temperature stress and oxidation resistant coatings on silicon-based substrates

    DOEpatents

    Sarin, V.K.

    1991-07-30

    A process is disclosed for depositing a high temperature stress and oxidation resistant coating on a silicon nitride- or silicon carbide-based substrate body. A gas mixture is passed over the substrate at about 900--1500 C and about 1 torr to about ambient pressure. The gas mixture includes one or more halide vapors with other suitable reactant gases. The partial pressure ratios, flow rates, and process times are sufficient to deposit a continuous, fully dense, adherent coating. The halide and other reactant gases are gradually varied during deposition so that the coating is a graded coating of at least two layers. Each layer is a graded layer changing in composition from the material over which it is deposited to the material of the layer and further to the material, if any, deposited thereon, so that no clearly defined compositional interfaces exist. The gases and their partial pressures are varied according to a predetermined time schedule and the halide and other reactant gases are selected so that the layers include (a) an adherent, continuous intermediate layer about 0.5-20 microns thick of an aluminum nitride or an aluminum oxynitride material, over and chemically bonded to the substrate body, and (b) an adherent, continuous first outer layer about 0.5-900 microns thick including an oxide of aluminum or zirconium over and chemically bonded to the intermediate layer.

  20. Process for the deposition of high temperature stress and oxidation resistant coatings on silicon-based substrates

    DOEpatents

    Sarin, Vinod K.

    1991-01-01

    A process for depositing a high temperature stress and oxidation resistant coating on a silicon nitride- or silicon carbide-based substrate body. A gas mixture is passed over the substrate at about 900.degree.-1500.degree. C. and about 1 torr to about ambient pressure. The gas mixture includes one or more halide vapors with other suitable reactant gases. The partial pressure ratios, flow rates, and process times are sufficient to deposit a continuous, fully dense, adherent coating. The halide and other reactant gases are gradually varied during deposition so that the coating is a graded coating of at least two layers. Each layer is a graded layer changing in composition from the material over which it is deposited to the material of the layer and further to the material, if any, deposited thereon, so that no clearly defined compositional interfaces exist. The gases and their partial pressures are varied according to a predetermined time schedule and the halide and other reactant gases are selected so that the layers include (a) an adherent, continuous intermediate layer about 0.5-20 microns thick of an aluminum nitride or an aluminum oxynitride material, over and chemically bonded to the substrate body, and (b) an adherent, continuous first outer layer about 0.5-900 microns thick including an oxide of aluminum or zirconium over and chemically bonded to the intermediate layer.

  1. Dewetting and deposition of thin films with insoluble surfactants from curved silicone hydrogel substrates.

    PubMed

    Bhamla, M Saad; Balemans, Caroline; Fuller, Gerald G

    2015-07-01

    We investigate the stabilizing effect of insoluble surfactant monolayers on thin aqueous films. We first describe an experimental platform that enables the formation of aqueous films laden with dipalmitoylphosphatidylcholine (DPPC) monolayers on curved silicone hydrogel (SiHy) substrates. We show that these surfactant layers extend the lifetime of the aqueous films. The films eventually "dewet" by the nucleation and growth of dry areas and the onset of this dewetting can be controlled by the surface rheology of the DPPC layer. We thus demonstrate that increasing the interfacial rheology of the DPPC layer leads to stable films that delay dewetting. We also show that dewetting can be exploited to controllably pattern the underlying curved SiHy substrates with DPPC layers. Copyright © 2015 Elsevier Inc. All rights reserved.

  2. A simplified boron diffusion for preparing the silicon single crystal p-n junction as an educational device

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shiota, Koki, E-mail: a14510@sr.kagawa-nct.ac.jp; Kai, Kazuho; Nagaoka, Shiro, E-mail: nagaoka@es.kagawa-nct.ac.jp

    The educational method which is including designing, making, and evaluating actual semiconductor devices with learning the theory is one of the best way to obtain the fundamental understanding of the device physics and to cultivate the ability to make unique ideas using the knowledge in the semiconductor device. In this paper, the simplified Boron thermal diffusion process using Sol-Gel material under normal air environment was proposed based on simple hypothesis and the feasibility of the reproducibility and reliability were investigated to simplify the diffusion process for making the educational devices, such as p-n junction, bipolar and pMOS devices. As themore » result, this method was successfully achieved making p+ region on the surface of the n-type silicon substrates with good reproducibility. And good rectification property of the p-n junctions was obtained successfully. This result indicates that there is a possibility to apply on the process making pMOS or bipolar transistors. It suggests that there is a variety of the possibility of the applications in the educational field to foster an imagination of new devices.« less

  3. Interfacial nanobubbles on atomically flat substrates with different hydrophobicities.

    PubMed

    Wang, Xingya; Zhao, Binyu; Ma, Wangguo; Wang, Ying; Gao, Xingyu; Tai, Renzhong; Zhou, Xingfei; Zhang, Lijuan

    2015-04-07

    The dependence of the morphology of interfacial nanobubbles on atomically flat substrates with different wettability ranges was investigated by using PeakForce quantitative nanomechanics. Interfacial nanobubbles were formed and imaged on silicon nitride (Si3N4), mica, and highly ordered pyrolytic graphite (HOPG) substrates that were partly covered by reduced graphene oxide (rGO). The contact angles and sizes of those nanobubbles were measured under the same conditions. Nanobubbles with the same lateral width exhibited different heights on the different substrates, with the order Si3N4≈mica>rGO>HOPG, which is consistent with the trend of the hydrophobicity of the substrates. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Nano-Welding of Multi-Walled Carbon Nanotubes on Silicon and Silica Surface by Laser Irradiation

    PubMed Central

    Yuan, Yanping; Chen, Jimin

    2016-01-01

    In this study, a continuous fiber laser (1064 nm wavelength, 30 W/cm2) is used to irradiate multi-walled carbon nanotubes (MWCNTs) on different substrate surfaces. Effects of substrates on nano-welding of MWCNTs are investigated by scanning electron microscope (SEM). For MWCNTs on silica, after 3 s irradiation, nanoscale welding with good quality can be achieved due to breaking C–C bonds and formation of new graphene layers. While welding junctions can be formed until 10 s for the MWCNTs on silicon, the difference of irradiation time to achieve welding is attributed to the difference of thermal conductivity for silica and silicon. As the irradiation time is prolonged up to 12.5 s, most of the MWCNTs are welded to a silicon substrate, which leads to their frameworks of tube walls on the silicon surface. This is because the accumulation of absorbed energy makes the temperature rise. Then chemical reactions among silicon, carbon and nitrogen occur. New chemical bonds of Si–N and Si–C achieve the welding between the MWCNTs and silicon. Vibration modes of Si3N4 appear at peaks of 363 cm−1 and 663 cm−1. There are vibration modes of SiC at peaks of 618 cm−1, 779 cm−1 and 973 cm−1. The experimental observation proves chemical reactions and the formation of Si3N4 and SiC by laser irradiation. PMID:28344293

  5. ScAlN etch mask for highly selective silicon etching

    DOE PAGES

    Henry, Michael David; Young, Travis R.; Griffin, Ben

    2017-09-08

    Here, this work reports the utilization of a recently developed film, ScAlN, as a silicon etch mask offering significant improvements in high etch selectivity to silicon. Utilization of ScAlN as a fluorine chemistry based deep reactive ion etch mask demonstrated etch selectivity at 23 550:1, four times better than AlN, 11 times better than Al 2O 3, and 148 times better than silicon dioxide with significantly less resputtering at high bias voltage than either Al 2O 3 or AlN. Ellipsometry film thickness measurements show less than 0.3 nm/min mask erosion rates for ScAlN. Micromasking of resputtered Al for Al 2Omore » 3, AlN, and ScAlN etch masks is also reported here, utilizing cross-sectional scanning electron microscope and confocal microscope roughness measurements. With lower etch bias, the reduced etch rate can be optimized to achieve a trench bottom surface roughness that is comparable to SiO 2 etch masks. Etch mask selectivity enabled by ScAlN is likely to make significant improvements in microelectromechanical systems, wafer level packaging, and plasma dicing of silicon.« less

  6. Formation of multiple levels of porous silicon for buried insulators and conductors in silicon device technologies

    DOEpatents

    Blewer, Robert S.; Gullinger, Terry R.; Kelly, Michael J.; Tsao, Sylvia S.

    1991-01-01

    A method of forming a multiple level porous silicon substrate for semiconductor integrated circuits including anodizing non-porous silicon layers of a multi-layer silicon substrate to form multiple levels of porous silicon. At least one porous silicon layer is then oxidized to form an insulating layer and at least one other layer of porous silicon beneath the insulating layer is metallized to form a buried conductive layer. Preferably the insulating layer and conductive layer are separated by an anodization barrier formed of non-porous silicon. By etching through the anodization barrier and subsequently forming a metallized conductive layer, a fully or partially insulated buried conductor may be fabricated under single crystal silicon.

  7. Meniscus-force-mediated layer transfer technique using single-crystalline silicon films with midair cavity: Application to fabrication of CMOS transistors on plastic substrates

    NASA Astrophysics Data System (ADS)

    Sakaike, Kohei; Akazawa, Muneki; Nakagawa, Akitoshi; Higashi, Seiichiro

    2015-04-01

    A novel low-temperature technique for transferring a silicon-on-insulator (SOI) layer with a midair cavity (supported by narrow SiO2 columns) by meniscus force has been proposed, and a single-crystalline Si (c-Si) film with a midair cavity formed in dog-bone shape was successfully transferred to a poly(ethylene terephthalate) (PET) substrate at its heatproof temperature or lower. By applying this proposed transfer technique, high-performance c-Si-based complementary metal-oxide-semiconductor (CMOS) transistors were successfully fabricated on the PET substrate. The key processes are the thermal oxidation and subsequent hydrogen annealing of the SOI layer on the midair cavity. These processes ensure a good MOS interface, and the SiO2 layer works as a “blocking” layer that blocks contamination from PET. The fabricated n- and p-channel c-Si thin-film transistors (TFTs) on the PET substrate showed field-effect mobilities of 568 and 103 cm2 V-1 s-1, respectively.

  8. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    NASA Technical Reports Server (NTRS)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  9. Effect of substrates on the molecular orientation of silicon phthalocyanine dichloride thin films

    NASA Astrophysics Data System (ADS)

    Deng, Juzhi; Baba, Yuji; Sekiguchi, Tetsuhiro; Hirao, Norie; Honda, Mitsunori

    2007-05-01

    Molecular orientations of silicon phthalocyanine dichloride (SiPcCl2) thin films deposited on three different substrates have been measured by near-edge x-ray absorption fine structure (NEXAFS) spectroscopy using linearly polarized synchrotron radiation. The substrates investigated were highly oriented pyrolitic graphite (HOPG), polycrystalline gold and indium tin oxide (ITO). For thin films of about five monolayers, the polarization dependences of the Si K-edge NEXAFS spectra showed that the molecular planes of SiPcCl2 on three substrates were nearly parallel to the surface. Quantitative analyses of the polarization dependences revealed that the tilted angle on HOPG was only 2°, which is interpreted by the perfect flatness of the HOPG surface. On the other hand, the tilted angle on ITO was 26°. Atomic force microscopy (AFM) observation of the ITO surface showed that the periodicity of the horizontal roughness is of the order of a few nanometres, which is larger than the molecular size of SiPcCl2. It is concluded that the morphology of the top surface layer of the substrate affects the molecular orientation of SiPcCl2 molecules not only for mono-layered adsorbates but also for multi-layered thin films.

  10. Modeling of thin, back-wall silicon solar cells

    NASA Technical Reports Server (NTRS)

    Baraona, C. R.

    1979-01-01

    The performance of silicon solar cells with p-n junctions on the nonilluminated surface (i.e., upside-down or back-wall cells) was calculated. These structures consisted of a uniformly shaped p-type substrate layer, a p(+)-type field layer on the front (illuminated) surface, and a shallow, n-type junction on the back (nonilluminated) surface. A four-layer solar cell model was used to calculate efficiency, open-circuit voltage, and short-circuit current. The effect on performance of p-layer thickness and resistivity was determined. The diffusion length was varied to simulate the effect of radiation damage. The results show that peak initial efficiencies greater than 15 percent are possible for cell thicknesses or 100 micrometers or less. After 10 years of radiation damage in geosynchronous orbit, thin (25 to 50 micrometers thick) cells made from 10 to 100 ohm cm material show the smallest decrease (approximately 10 percent) in performance.

  11. The effect of substrate bias voltages on impact resistance of CrAlN coatings deposited by modified ion beam enhanced magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Chunyan, Yu; Linhai, Tian; Yinghui, Wei; Shebin, Wang; Tianbao, Li; Bingshe, Xu

    2009-01-01

    CrAlN coatings were deposited on silicon and AISI H13 steel substrates using a modified ion beam enhanced magnetron sputtering system. The effect of substrate negative bias voltages on the impact property of the CrAlN coatings was studied. The X-ray diffraction (XRD) data show that all CrAlN coatings were crystallized in the cubic NaCl B1 structure, with the (1 1 1), (2 0 0) (2 2 0) and (2 2 2) diffraction peaks observed. Two-dimensional surface morphologies of CrAlN coatings were investigated by atomic force microscope (AFM). The results show that with increasing substrate bias voltage the coatings became more compact and denser, and the microhardness and fracture toughness of the coatings increased correspondingly. In the dynamic impact resistance tests, the CrAlN coatings displayed better impact resistance with the increase of bias voltage, due to the reduced emergence and propagation of the cracks in coatings with a very dense structure and the increase of hardness and fracture toughness in coatings.

  12. TMG-chitotriomycin as a probe for the prediction of substrate specificity of β-N-acetylhexosaminidases.

    PubMed

    Shiota, Hiroto; Kanzaki, Hiroshi; Hatanaka, Tadashi; Nitoda, Teruhiko

    2013-06-28

    TMG-chitotriomycin (1) produced by the actinomycete Streptomyces annulatus NBRC13369 was examined as a probe for the prediction of substrate specificity of β-N-acetylhexosaminidases (HexNAcases). According to the results of inhibition assays, 14 GH20 HexNAcases from various organisms were divided into 1-sensitive and 1-insensitive enzymes. Three representatives of each group were investigated for their substrate specificity. The 1-sensitive HexNAcases hydrolyzed N-acetylchitooligosaccharides but not N-glycan-type oligosaccharides, whereas the 1-insensitive enzymes hydrolyzed N-glycan-type oligosaccharides but not N-acetylchitooligosaccharides, indicating that TMG-chitotriomycin can be used as a molecular probe to distinguish between chitin-degrading HexNAcases and glycoconjugate-processing HexNAcases. Copyright © 2013 Elsevier Ltd. All rights reserved.

  13. High Sensitivity Detection of CdSe/ZnS Quantum Dot-Labeled DNA Based on N-type Porous Silicon Microcavities

    PubMed Central

    Lv, Changwu; Jia, Zhenhong; Lv, Jie; Zhang, Hongyan; Li, Yanyu

    2017-01-01

    N-type macroporous silicon microcavity structures were prepared using electrochemical etching in an HF solution in the absence of light and oxidants. The CdSe/ZnS water-soluble quantum dot-labeled DNA target molecules were detected by monitoring the microcavity reflectance spectrum, which was characterized by the reflectance spectrum defect state position shift resulting from changes to the structures’ refractive index. Quantum dots with a high refractive index and DNA coupling can improve the detection sensitivity by amplifying the optical response signals of the target DNA. The experimental results show that DNA combined with a quantum dot can improve the sensitivity of DNA detection by more than five times. PMID:28045442

  14. Gallium nitride heterostructures on 3D structured silicon.

    PubMed

    Fündling, Sönke; Sökmen, Unsal; Peiner, Erwin; Weimann, Thomas; Hinze, Peter; Jahn, Uwe; Trampert, Achim; Riechert, Henning; Bakin, Andrey; Wehmann, Hergo-Heinrich; Waag, Andreas

    2008-10-08

    We investigated GaN-based heterostructures grown on three-dimensionally patterned Si(111) substrates by metal organic vapour phase epitaxy, with the goal of fabricating well controlled high quality, defect reduced GaN-based nanoLEDs. The high aspect ratios of such pillars minimize the influence of the lattice mismatched substrate and improve the material quality. In contrast to other approaches, we employed deep etched silicon substrates to achieve a controlled pillar growth. For that a special low temperature inductively coupled plasma etching process has been developed. InGaN/GaN multi-quantum-well structures have been incorporated into the pillars. We found a pronounced dependence of the morphology of the GaN structures on the size and pitch of the pillars. Spatially resolved optical properties of the structures are analysed by cathodoluminescence.

  15. Improved toughness of silicon carbide

    NASA Technical Reports Server (NTRS)

    Palm, J. A.

    1976-01-01

    Impact energy absorbing layers (EALs) comprised of partially densified silicon carbide were formed in situ on fully sinterable silicon carbide substrates. After final sintering, duplex silicon carbide structures resulted which were comprised of a fully sintered, high density silicon carbide substrate or core, overlayed with an EAL of partially sintered silicon carbide integrally bonded to its core member. Thermal cycling tests proved such structures to be moderately resistant to oxidation and highly resistant to thermal shock stresses. The strength of the developed structures in some cases exceeded but essentially it remained the same as the fully sintered silicon carbide without the EAL. Ballistic impact tests indicated that substantial improvements in the toughness of sintered silicon carbide were achieved by the use of the partially densified silicon carbide EALs.

  16. Development of Silicon-substrate Based Fabry-Perot Etalons for far-IR Astrophysics

    NASA Astrophysics Data System (ADS)

    Stacey, Gordon

    We propose to design, construct and test silicon-substrate-based (SSB) mirrors necessary for high performance Fabry-Perot interferometers (FPIs) to be used in the 25-40 um mid-IR band. These mirrors will be fabricated from silicon wafers that are anti-reflection coated (ARC) by micromachining an artificial dielectric meta-material on one side, and depositing optimized gold-metalized patterns on the other. Two mirrors with the metalized surfaces facing one-another form the Fabry-Perot cavity, also known as the FPI etalon. The exterior surfaces of the silicon mirrors are anti-reflection coated for both good transmission in the science band, and to prevent unwanted parasitic FPI cavities from forming between the four surfaces (one anti-reflection coated, one metalized for each mirror) of the FPI etalon. The mirrors will be tested within a Miniature Cryogenic Scanning Fabry-Perot (MCSF) that we have designed through support of a previous NASA grant (NNX09AB95G). This design is based on our long experience in constructing and using scanning FPI in the mid-IR to submm range, and fits within test-beds we have on hand that are suitable for both warm and cold tests. The key technologies are the ARC and tuned mirrors that are enabled by silicon nano-machining techniques. The creation of these SSB mirrors promises greatly improved performance over previous versions of mid-IR to submm-band FPIs that are based on mirrors made from free-standing metal mesh stretched over support rings. Performance is improved both structurally and in terms of sensitivity, and is measured as the product of the cavity finesse times transmission. Our electromagnetic modeling suggests that SSB mirrors will improve this product by a factor of 2 over the best free standing mesh etalons available. This translates into a factor of sqrt(2) improvement in sensitivity per etalon, or a full factor of 2 when used in a tandem (dual etalon) FPI spectrometer. The SSB improvements are due to both the stiff (~ 0

  17. Intravitreal properties of porous silicon photonic crystals

    PubMed Central

    Cheng, L; Anglin, E; Cunin, F; Kim, D; Sailor, M J; Falkenstein, I; Tammewar, A; Freeman, W R

    2009-01-01

    Aim To determine the suitability of porous silicon photonic crystals for intraocular drug-delivery. Methods A rugate structure was electrochemically etched into a highly doped p-type silicon substrate to create a porous silicon film that was subsequently removed and ultrasonically fractured into particles. To stabilise the particles in aqueous media, the silicon particles were modified by surface alkylation (using thermal hydrosilylation) or by thermal oxidation. Unmodified particles, hydrosilylated particles and oxidised particles were injected into rabbit vitreous. The stability and toxicity of each type of particle were studied by indirect ophthalmoscopy, biomicroscopy, tonometry, electroretinography (ERG) and histology. Results No toxicity was observed with any type of the particles during a period of >4 months. Surface alkylation led to dramatically increased intravitreal stability and slow degradation. The estimated vitreous half-life increased from 1 week (fresh particles) to 5 weeks (oxidised particles) and to 16 weeks (hydrosilylated particles). Conclusion The porous silicon photonic crystals showed good biocompatibility and may be used as an intraocular drug-delivery system. The intravitreal injectable porous silicon photonic crystals may be engineered to host a variety of therapeutics and achieve controlled drug release over long periods of time to treat chronic vitreoretinal diseases. PMID:18441177

  18. Passivation of c-Si surfaces by sub-nm amorphous silicon capped with silicon nitride

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wan, Yimao, E-mail: yimao.wan@anu.edu.au; Yan, Di; Bullock, James

    2015-12-07

    A sub-nm hydrogenated amorphous silicon (a-Si:H) film capped with silicon nitride (SiN{sub x}) is shown to provide a high level passivation to crystalline silicon (c-Si) surfaces. When passivated by a 0.8 nm a-Si:H/75 nm SiN{sub x} stack, recombination current density J{sub 0} values of 9, 11, 47, and 87 fA/cm{sup 2} are obtained on 10 Ω·cm n-type, 0.8 Ω·cm p-type, 160 Ω/sq phosphorus-diffused, and 120 Ω/sq boron-diffused silicon surfaces, respectively. The J{sub 0} on n-type 10 Ω·cm wafers is further reduced to 2.5 ± 0.5 fA/cm{sup 2} when the a-Si:H film thickness exceeds 2.5 nm. The passivation by the sub-nm a-Si:H/SiN{sub x} stack is thermally stable at 400 °C in N{sub 2} formore » 60 min on all four c-Si surfaces. Capacitance–voltage measurements reveal a reduction in interface defect density and film charge density with an increase in a-Si:H thickness. The nearly transparent sub-nm a-Si:H/SiN{sub x} stack is thus demonstrated to be a promising surface passivation and antireflection coating suitable for all types of surfaces encountered in high efficiency c-Si solar cells.« less

  19. Incorporation of Mg in Free-Standing HVPE GaN Substrates

    NASA Astrophysics Data System (ADS)

    Zvanut, M. E.; Dashdorj, J.; Freitas, J. A.; Glaser, E. R.; Willoughby, W. R.; Leach, J. H.; Udwary, K.

    2016-06-01

    Mg, the only effective p-type dopant for nitrides, is well studied in thin films due to the important role of the impurity in light-emitting diodes and high-power electronics. However, there are few reports of Mg in thick free-standing GaN substrates. Here, we demonstrate successful incorporation of Mg into GaN grown by hydride vapor-phase epitaxy (HVPE) using metallic Mg as the doping source. The concentration of Mg obtained from four separate growth runs ranged between 1016 cm-3 and 1019 cm-3. Raman spectroscopy and x-ray diffraction revealed that Mg did not induce stress or perturb the crystalline quality of the HVPE GaN substrates. Photoluminescence (PL) and electron paramagnetic resonance (EPR) spectroscopies were performed to investigate the types of point defects in the crystals. The near-band-edge excitonic and shallow donor-shallow acceptor radiative recombination processes involving shallow Mg acceptors were prominent in the PL spectrum of a sample doped to 3 × 1018 cm-3, while the EPR signal was also thought to represent a shallow Mg acceptor. Detection of this signal reflects minimization of nonuniform strain obtained in the thick free-standing HVPE GaN compared with heteroepitaxial thin films.

  20. Nanostructured silicon ferromagnet collected by a permanent neodymium magnet.

    PubMed

    Okuno, Takahisa; Thürmer, Stephan; Kanoh, Hirofumi

    2017-11-30

    Nanostructured silicon (N-Si) was prepared by anodic electroetching of p-type silicon wafers. The obtained magnetic particles were separated by a permanent neodymium magnet as a magnetic nanostructured silicon (mN-Si). The N-Si and mN-Si exhibited different magnetic properties: the N-Si exhibited ferromagnetic-like behaviour, whereas the mN-Si exhibited superparamagnetic-like behaviour.

  1. Growth of high-quality InGaN/GaN LED structures on (1 1 1) Si substrates with internal quantum efficiency exceeding 50%

    NASA Astrophysics Data System (ADS)

    Lee, JaeWon; Tak, Youngjo; Kim, Jun-Youn; Hong, Hyun-Gi; Chae, Suhee; Min, Bokki; Jeong, Hyungsu; Yoo, Jinwoo; Kim, Jong-Ryeol; Park, Youngsoo

    2011-01-01

    GaN-based light-emitting-diodes (LEDs) on (1 1 1) Si substrates with internal quantum efficiency (IQE) exceeding 50% have been successfully grown by metal organic vapor phase epitaxy (MOVPE). 3.5 μm thick crack-free GaN epitaxial layers were grown on the Si substrates by the re-growth method on patterned templates. Series of step-graded Al xGa 1- xN epitaxial layers were used as the buffer layers to compensate thermal tensile stresses produced during the post-growth cooling process as well as to reduce the density of threading dislocations (TDs) generated due to the lattice mismatches between III-nitride layers and the silicon substrates. The light-emitting region consisted of 1.8 μm thick n-GaN, 3 periods of InGaN/GaN superlattice, InGaN/GaN multiple quantum wells (MQWs) designed for a peak wavelength of about 455 nm, an electron blocking layer (EBL), and p-GaN. The full-widths at half-maximum (FWHM) of (0 0 0 2) and (1 0 -1 2) ω-rocking curves of the GaN epitaxial layers were 410 and 560 arcsec, respectively. Cross-sectional transmission electron microscopy (TEM) investigation revealed that the propagation of the threading dislocations was mostly limited to the interface between the last Al xGa 1- xN buffer and n-GaN layers. The density of the threading dislocations induced pits of n-GaN, as estimated by atomic force microscopy (AFM), was about 5.5×10 8 cm -2. Temperature dependent photoluminescence (PL) measurements with a relative intensity integration method were carried out to estimate the internal quantum efficiency (IQE) of the light-emitting structures grown on Si, which reached up to 55%.

  2. Fabrication of novel plasmonics-active substrates

    NASA Astrophysics Data System (ADS)

    Dhawan, Anuj; Gerhold, Michael; Du, Yan; Misra, Veena; Vo-Dinh, Tuan

    2009-02-01

    This paper describes methodologies for fabricating of highly efficient plasmonics-active SERS substrates - having metallic nanowire structures with pointed geometries and sub-5 nm gap between the metallic nanowires enabling concentration of high EM fields in these regions - on a wafer-scale by a reproducible process that is compatible with large-scale development of these substrates. Excitation of surface plasmons in these nanowire structures leads to substantial enhancement in the Raman scattering signal obtained from molecules lying in the vicinity of the nanostructure surface. The methodologies employed included metallic coating of silicon nanowires fabricated by employing deep UV lithography as well as controlled growth of silicon germanium on silicon nanostructures to form diamond-shaped nanowire structures followed by metallic coating. These SERS substrates were employed for detecting chemical and biological molecules of interest. In order to characterize the SERS substrates developed in this work, we obtained SERS signals from molecules such as p-mercaptobenzoic acid (pMBA) and cresyl fast violet (CFV) attached to or adsorbed on the metal-coated SERS substrates. It was observed that both gold-coated triangular shaped nanowire substrates as well as gold-coated diamond shaped nanowire substrates provided very high SERS signals for the nanowires having sub-15 nm gaps and that the SERS signal depends on the closest spacing between the metal-coated silicon and silicon germanium nanowires. SERS substrates developed by the different processes were also employed for detection of biological molecules such as DPA (Dipicolinic Acid), an excellent marker for spores of bacteria such as Anthrax.

  3. Fabricating metal-oxide-semiconductor field-effect transistors on a polyethylene terephthalate substrate by applying low-temperature layer transfer of a single-crystalline silicon layer by meniscus force

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sakaike, Kohei; Akazawa, Muneki; Nakamura, Shogo

    2013-12-02

    A low-temperature local-layer technique for transferring a single-crystalline silicon (c-Si) film by using a meniscus force was proposed, and an n-channel metal-oxide-semiconductor field-effect transistor (MOSFET) was fabricated on polyethylene terephthalate (PET) substrate. It was demonstrated that it is possible to transfer and form c-Si films in the required shape at the required position on PET substrates at extremely low temperatures by utilizing a meniscus force. The proposed technique for layer transfer was applied for fabricating high-performance c-Si MOSFETs on a PET substrate. The fabricated MOSFET showed a high on/off ratio of more than 10{sup 8} and a high field-effect mobilitymore » of 609 cm{sup 2} V{sup −1} s{sup −1}.« less

  4. A deep-level transient spectroscopy study of gamma-ray irradiation on the passivation properties of silicon nitride layer on silicon

    NASA Astrophysics Data System (ADS)

    Dong, Peng; Yu, Xuegong; Ma, Yao; Xie, Meng; Li, Yun; Huang, Chunlai; Li, Mo; Dai, Gang; Zhang, Jian

    2017-08-01

    Plasma-enhanced chemical vapor deposited silicon nitride (SiNx) films are extensively used as passivation material in the solar cell industry. Such SiNx passivation layers are the most sensitive part to gamma-ray irradiation in solar cells. In this work, deep-level transient spectroscopy has been applied to analyse the influence of gamma-ray irradiation on the passivation properties of SiNx layer on silicon. It is shown that the effective carrier lifetime decreases with the irradiation dose. At the same time, the interface state density is significantly increased after irradiation, and its energy distribution is broadened and shifts deeper with respect to the conduction band edge, which makes the interface states becoming more efficient recombination centers for carriers. Besides, C-V characteristics show a progressive negative shift with increasing dose, indicating the generation of effective positive charges in SiNx films. Such positive charges are beneficial for shielding holes from the n-type silicon substrates, i. e. the field-effect passivation. However, based on the reduced carrier lifetime after irradiation, it can be inferred that the irradiation induced interface defects play a dominant role over the trapped positive charges, and therefore lead to the degradation of passivation properties of SiNx on silicon.

  5. High performance n-channel thin-film transistors with an amorphous phase C60 film on plastic substrate

    NASA Astrophysics Data System (ADS)

    Na, Jong H.; Kitamura, M.; Arakawa, Y.

    2007-11-01

    We fabricated high mobility, low voltage n-channel transistors on plastic substrates by combining an amorphous phase C60 film and a high dielectric constant gate insulator titanium silicon oxide (TiSiO2). The transistors exhibited high performance with a threshold voltage of 1.13V, an inverse subthreshold swing of 252mV/decade, and a field-effect mobility up to 1cm2/Vs at an operating voltage as low as 5V. The amorphous phase C60 films can be formed at room temperature, implying that this transistor is suitable for corresponding n-channel transistors in flexible organic logic devices.

  6. Evaluation of a silicon 5 MHz p–n diode actuator with a laterally vibrating extensional mode

    NASA Astrophysics Data System (ADS)

    Miyazaki, Fumito; Baba, Kazuki; Tanigawa, Hiroshi; Furutsuka, Takashi; Suzuki, Kenichiro

    2018-05-01

    In this paper, we describe p–n diode actuators that are laterally driven by the force induced in a depletion layer. The previously reported p–n diode actuators have been vertically driven. Because the resonant frequency depends on the thickness of the vibrating plate, the integration of resonators with different frequencies on a chip has been difficult. The resonators in this work are driven laterally by using length-extensional vibration. We have developed a compact model based on an analytical expression, in which p–n diode actuators are driven by the forces induced by the spread of the depletion layer. The deflection generated by the p–n diode actuators was proportional to the ratio of the depletion layer width to the resonator thickness as well as the position of the p–n junction. Good agreement of experimental results with the theory was confirmed by comparing the measured values for silicon p–n diode rectangular-plate actuators fabricated using a silicon-on-insulator (SOI) substrate. The displacement amplitude of the actuators was proportional to the DC bias, while the resonant frequency was independent of the DC bias. The latter characteristic is very different from that of widely used electrostatic actuators. Although the amplitude of the actuator measured in this work was very small, it is expected that the amplitude will increase greatly by increasing the doping of the p–n diode actuators.

  7. Structural basis for substrate recognition by the human N-terminal methyltransferase 1

    DOE PAGES

    Dong, Cheng; Mao, Yunfei; Tempel, Wolfram; ...

    2015-11-05

    α-N-terminal methylation represents a highly conserved and prevalent post-translational modification, yet its biological function has remained largely speculative. The recent discovery of α-N-terminal methyltransferase 1 (NTMT1) and its physiological substrates propels the elucidation of a general role of α-N-terminal methylation in mediating DNA-binding ability of the modified proteins. The phenotypes, observed from both NTMT1 knockdown in breast cancer cell lines and knockout mouse models, suggest the potential involvement of α-N-terminal methylation in DNA damage response and cancer development. In this study, we report the first crystal structures of human NTMT1 in complex with cofactor S-adenosyl-L-homocysteine (SAH) and six substrate peptides,more » respectively, and reveal that NTMT1 contains two characteristic structural elements (a β hairpin and an N-terminal extension) that contribute to its substrate specificity. Our complex structures, coupled with mutagenesis, binding, and enzymatic studies, also present the key elements involved in locking the consensus substrate motif XPK (X indicates any residue type other than D/E) into the catalytic pocket for α-N-terminal methylation and explain why NTMT1 prefers an XPK sequence motif. We propose a catalytic mechanism for α-N-terminal methylation. Overall, this study gives us the first glimpse of the molecular mechanism of α-N-terminal methylation and potentially contributes to the advent of therapeutic agents for human diseases associated with deregulated α-N-terminal methylation.« less

  8. A kinetic formulation of piezoresistance in N-type silicon: Application to non-linear effects

    NASA Astrophysics Data System (ADS)

    Charbonnieras, A. R.; Tellier, C. R.

    1999-07-01

    This paper is devoted to the theoretical study of the influence of the temperature and of the doping on the piezoresistance of N-type silicon. In the first step the fractional change in the resistivity caused by stresses is calculated in the framework of a multivalley model using a kinetic transport formulation based on the Boltzmann transport equation. In the second step shifts in the minima of the conduction band and the resulting shift of the Fermi level are expressed in terms of deformation potentials and of stresses. General expressions for the fundamental linear, π_{11} and π_{12}, and non-linear, π_{111}, π_{112}, π_{122} and π_{123}, piezoresistance coefficients are then derived. Plots of the non-linear piezoresistance coefficients against the reduced shift of the Fermi level or against temperature allow us to characterize the influence of doping and temperature. Finally some attempts are made to estimate the non-linearity for heavily doped semiconductor gauges. Cette publication est consacrée à l'étude théorique de l'influence de la température et du dopage sur la piezorésistivité du silicium type N. Dans une première étape nous adoptons le modèle de vallées et nous utilisons une formulation cinétique du transport électronique faisant appel à l'équation de transport de Boltzmann pour calculer la variation de la résistivité du semiconducteur sous contrainte. Dans la deuxième étape nous exprimons les déplacements des minima de la bande de conduction et du niveau de Fermi en termes de potentiels de déformation et de contraintes. Nous proposons ensuite des expressions générales pour les coefficients piezorésistifs fondamentaux linéaires, π_{11} et π_{12}, et non-linéaires, π_{111}, π_{112}, π_{122} et π_{123}. Des représentations graphiques des variations des coefficients non-linéaires permettent de caractériser l'influence du dopage et de la température. Enfin nous fournissons une première pré-estimation des effets

  9. The silicon on dust substrate path to make solar cells directly from a gaseous feedstock

    NASA Astrophysics Data System (ADS)

    Serra, J M; Pinto, C R; Silva, J A; Brito, M C; Maia Alves, J; Vallêra, A M

    2009-04-01

    In this paper, we present a silicon on dust substrate (SDS) process, a new method for the growth of silicon ribbons. As a demonstration of the concept, we also present results on solar cells made of these new silicon ribbons. SDS ribbons were obtained directly from a gaseous feedstock by a fast CVD step using silane. The resulting self-supported intrinsic ribbons were microcrystalline and porous. To make these ribbon films suitable for photovoltaic applications, a novel recrystallization with an in situ doping step was developed. To this purpose, the ribbons were sprayed with boric acid and then recrystallized by float zone melting. Simple solar cells were prepared by employing: aluminium back contacts, Ti/Pd/Ag front grid contacts, with no anti-reflective coating, doping optimization, passivation or gettering. The 1-sun I-V characteristics of the cells were: Voc ~ 530 mV and Jsc ~ 24 mA cm-2. The minority carrier diffusion length obtained from a spectral response at long wavelengths gave values of Ln ~ 70 µm.

  10. Formation of definite GaN p-n junction by Mg-ion implantation to n--GaN epitaxial layers grown on a high-quality free-standing GaN substrate

    NASA Astrophysics Data System (ADS)

    Oikawa, Takuya; Saijo, Yusuke; Kato, Shigeki; Mishima, Tomoyoshi; Nakamura, Tohru

    2015-12-01

    P-type conversion of n--GaN by Mg-ion implantation was successfully performed using high quality GaN epitaxial layers grown on free-standing low-dislocation-density GaN substrates. These samples showed low-temperature PL spectra quite similar to those observed from Mg-doped MOVPE-grown p-type GaN, consisting of Mg related donor-acceptor pair (DAP) and acceptor bound exciton (ABE) emission. P-n diodes fabricated by the Mg-ion implantation showed clear rectifying I-V characteristics and UV and blue light emissions were observed at forward biased conditions for the first time.

  11. Effect of heat treatment on phase composition and crystal structure of thin WSi2 films on silicon substrates

    NASA Astrophysics Data System (ADS)

    Biryukov, Y. P.; Dostanko, A. P.; Maltsev, A. A.; Shakhlevich, G. M.

    1984-10-01

    An experimental study of WSi2 films on silicon substrates with either 111 or 100 orientation was made, for the purpose of determining the effect of annealing by heat treatment on their phase composition and crystal structure. Films of 0.2 micron thickness were deposited at a rate of 0.5 nm/s on a silicon surface which was predecontaminated of SiO2 layers and adsorbate atoms by ion sputtering in one vacuum cycle. Deposition was by condensation, with the substrate held at various temperatures from 390 to 500 C, and then annealed in an argon atmosphere at various temperatures from 700 to 1000 C for 30 min. Subsequent phase analysis at room temperature was performed with a DRON-2 X-ray diffractometer, using a CuK (sub alpha)-radiation source and covering the 20 = 10 to 130 deg range of angles by the Debye-Sherer method, while the surface morphology was examined under an electron microscope.

  12. Design and fabrication of non silicon substrate based MEMS energy harvester for arbitrary surface applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Balpande, Suresh S., E-mail: balpandes@rknec.edu; Pande, Rajesh S.

    Internet of Things (IoT) uses MEMS sensor nodes and actuators to sense and control objects through Internet. IOT deploys millions of chemical battery driven sensors at different locations which are not reliable many times because of frequent requirement of charging & battery replacement in case of underground laying, placement at harsh environmental conditions, huge count and difference between demand (24 % per year) and availability (energy density growing rate 8% per year). Energy harvester fabricated on silicon wafers have been widely used in manufacturing MEMS structures. These devices require complex fabrication processes, costly chemicals & clean room. In addition tomore » this silicon wafer based devices are not suitable for curved surfaces like pipes, human bodies, organisms, or other arbitrary surface like clothes, structure surfaces which does not have flat and smooth surface always. Therefore, devices based on rigid silicon wafers are not suitable for these applications. Flexible structures are the key solution for this problems. Energy transduction mechanism generates power from free surrounding vibrations or impact. Sensor nodes application has been purposefully selected due to discrete power requirement at low duty cycle. Such nodes require an average power budget in the range of about 0.1 microwatt to 1 mW over a period of 3-5 seconds. Energy harvester is the best alternate source in contrast with battery for sensor node application. Novel design of Energy Harvester based on cheapest flexible non silicon substrate i.e. cellulose acetate substrate have been modeled, simulated and analyzed on COMSOL multiphysics and fabricated using sol-gel spin coating setup. Single cantilever based harvester generates 60-75 mV peak electric potential at 22Hz frequency and approximately 22 µW power at 1K-Ohm load. Cantilever array can be employed for generating higher voltage by replicating this structure. This work covers design, optimization, fabrication of

  13. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kruse, J. E.; Doundoulakis, G.; Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well asmore » numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.« less

  14. Deposition method for producing silicon carbide high-temperature semiconductors

    DOEpatents

    Hsu, George C.; Rohatgi, Naresh K.

    1987-01-01

    An improved deposition method for producing silicon carbide high-temperature semiconductor material comprising placing a semiconductor substrate composed of silicon carbide in a fluidized bed silicon carbide deposition reactor, fluidizing the bed particles by hydrogen gas in a mildly bubbling mode through a gas distributor and heating the substrate at temperatures around 1200.degree.-1500.degree. C. thereby depositing a layer of silicon carbide on the semiconductor substrate.

  15. Spectroscopic Ellipsometry Studies of n-i-p Hydrogenated Amorphous Silicon Based Photovoltaic Devices

    PubMed Central

    Karki Gautam, Laxmi; Junda, Maxwell M.; Haneef, Hamna F.; Collins, Robert W.; Podraza, Nikolas J.

    2016-01-01

    Optimization of thin film photovoltaics (PV) relies on characterizing the optoelectronic and structural properties of each layer and correlating these properties with device performance. Growth evolution diagrams have been used to guide production of materials with good optoelectronic properties in the full hydrogenated amorphous silicon (a-Si:H) PV device configuration. The nucleation and evolution of crystallites forming from the amorphous phase were studied using in situ near-infrared to ultraviolet spectroscopic ellipsometry during growth of films prepared as a function of hydrogen to reactive gas flow ratio R = [H2]/[SiH4]. In conjunction with higher photon energy measurements, the presence and relative absorption strength of silicon-hydrogen infrared modes were measured by infrared extended ellipsometry measurements to gain insight into chemical bonding. Structural and optical models have been developed for the back reflector (BR) structure consisting of sputtered undoped zinc oxide (ZnO) on top of silver (Ag) coated glass substrates. Characterization of the free-carrier absorption properties in Ag and the ZnO + Ag interface as well as phonon modes in ZnO were also studied by spectroscopic ellipsometry. Measurements ranging from 0.04 to 5 eV were used to extract layer thicknesses, composition, and optical response in the form of complex dielectric function spectra (ε = ε1 + iε2) for Ag, ZnO, the ZnO + Ag interface, and undoped a-Si:H layer in a substrate n-i-p a-Si:H based PV device structure. PMID:28773255

  16. High Mobility SiGe/Si Transistor Structures on Sapphire Substrates Using Ion Implantation

    NASA Technical Reports Server (NTRS)

    Alterovitz, S. A.; Mueller, C. H.; Croke, E. T.

    2003-01-01

    High mobility n-type SiGe/Si transistor structures have been fabricated on sapphire substrates by ion implanting phosphorus ions into strained 100 Angstrom thick silicon channels for the first time. The strained Si channels were sandwiched between Si(sub 0.7)Ge(sub 0.3) layers, which, in turn, were deposited on Si(sub 0.7)Ge(sub 0.3) virtual substrates and graded SiGe buffer layers. After the molecular beam epitaxy (MBE) film growth process was completed, ion thick silicon channels implantation and post-annealing were used to introduce donors. The phosphorous ions were preferentially located in the Si channel at a peak concentration of approximately 1x10(exp 18)/cu cm. Room temperature electron mobilities exceeding 750 sq cm/V-sec at carrier densities of 1x10(exp 12)/sq cm were measured. Electron concentration appears to be the key factor that determines mobility, with the highest mobility observed for electron densities in the 1 - 2x10(exp 12)/sq cm range.

  17. Silicon nanowire Esaki diodes.

    PubMed

    Schmid, Heinz; Bessire, Cedric; Björk, Mikael T; Schenk, Andreas; Riel, Heike

    2012-02-08

    We report on the fabrication and characterization of silicon nanowire tunnel diodes. The silicon nanowires were grown on p-type Si substrates using Au-catalyzed vapor-liquid-solid growth and in situ n-type doping. Electrical measurements reveal Esaki diode characteristics with peak current densities of 3.6 kA/cm(2), peak-to-valley current ratios of up to 4.3, and reverse current densities of up to 300 kA/cm(2) at 0.5 V reverse bias. Strain-dependent current-voltage (I-V) measurements exhibit a decrease of the peak tunnel current with uniaxial tensile stress and an increase of 48% for 1.3 GPa compressive stress along the <111> growth direction, revealing the strain dependence of the Si band structure and thus the tunnel barrier. The contributions of phonons to the indirect tunneling process were probed by conductance measurements at 4.2 K. These measurements show phonon peaks at energies corresponding to the transverse acoustical and transverse optical phonons. In addition, the low-temperature conductance measurements were extended to higher biases to identify potential impurity states in the band gap. The results demonstrate that the most likely impurity, namely, Au from the catalyst particle, is not detectable, a finding that is also supported by the excellent device properties of the Esaki diodes reported here. © 2012 American Chemical Society

  18. Application Of Optical Processing For Growth Of Silicon Dioxide

    DOEpatents

    Sopori, Bhushan L.

    1997-06-17

    A process for producing a silicon dioxide film on a surface of a silicon substrate. The process comprises illuminating a silicon substrate in a substantially pure oxygen atmosphere with a broad spectrum of visible and infrared light at an optical power density of from about 3 watts/cm.sup.2 to about 6 watts/cm.sup.2 for a time period sufficient to produce a silicon dioxide film on the surface of the silicon substrate. An optimum optical power density is about 4 watts/cm.sup.2 for growth of a 100.ANG.-300.ANG. film at a resultant temperature of about 400.degree. C. Deep level transient spectroscopy analysis detects no measurable impurities introduced into the silicon substrate during silicon oxide production and shows the interface state density at the SiO.sub.2 /Si interface to be very low.

  19. Off-axis silicon carbide substrates

    DOEpatents

    Edgar, James; Dudley, Michael; Kuball, Martin; Zhang, Yi; Wang, Guan; Chen, Hui; Zhang, Yu

    2014-09-02

    A method of epitaxial growth of a material on a crystalline substrate includes selecting a substrate having a crystal plane that includes a plurality of terraces with step risers that join adjacent terraces. Each terrace of the plurality or terraces presents a lattice constant that substantially matches a lattice constant of the material, and each step riser presents a step height and offset that is consistent with portions of the material nucleating on adjacent terraces being in substantial crystalline match at the step riser. The method also includes preparing a substrate by exposing the crystal plane; and epitaxially growing the material on the substrate such that the portions of the material nucleating on adjacent terraces merge into a single crystal lattice without defects at the step risers.

  20. Surface thiolation of silicon for antifouling application.

    PubMed

    Zhang, Xiaoning; Gao, Pei; Hollimon, Valerie; Brodus, DaShan; Johnson, Arion; Hu, Hongmei

    2018-02-07

    Thiol groups grafted silicon surface was prepared as previously described. 1H,1H,2H,2H-perfluorodecanethiol (PFDT) molecules were then immobilized on such a surface through disulfide bonds formation. To investigate the contribution of PFDT coating to antifouling, the adhesion behaviors of Botryococcus braunii (B. braunii) and Escherichia coli (E. coli) were studied through biofouling assays in the laboratory. The representative microscope images suggest reduced B. braunii and E. coli accumulation densities on PFDT integrated silicon substrate. However, the antifouling performance of PFDT integrated silicon substrate decreased over time. By incubating the aged substrate in 10 mM TCEP·HCl solution for 1 h, the fouled PFDT coating could be removed as the disulfide bonds were cleaved, resulting in reduced absorption of algal cells and exposure of non-fouled silicon substrate surface. Our results indicate that the thiol-terminated substrate can be potentially useful for restoring the fouled surface, as well as maximizing the effective usage of the substrate.

  1. RF plasma cleaning of silicon substrates with high-density polyethylene contamination

    NASA Astrophysics Data System (ADS)

    Cagomoc, Charisse Marie D.; De Leon, Mark Jeffry D.; Ebuen, Anna Sophia M.; Gilos, Marlo Nicole R.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    Upon contact with a polymeric material, microparticles from the polymer may adhere to a silicon (Si) substrate during device processing. The adhesion contaminates the surface and, in turn, leads to defects in the fabricated Si-based microelectronic devices. In this study, Si substrates with artificially induced high-density polyethylene (HDPE) contamination was exposed to 13.56 MHz radio frequency (RF) plasma utilizing argon and oxygen gas admixtures at a power density of 5.6 W/cm2 and a working pressure of 110 Pa for up to 6 min of treatment. Optical microscopy studies revealed the removal of up to 74% of the polymer contamination upon plasma exposure. Surface free energy (SFE) increased owing to the removal of contaminants as well as the formation of polar groups on the Si surface after plasma treatment. Atomic force microscopy scans showed a decrease in surface roughness from 12.25 nm for contaminated samples to 0.77 nm after plasma cleaning. The smoothening effect can be attributed to the removal of HDPE particles from the surface. In addition, scanning electron microscope images showed that there was a decrease in the amount of HDPE contaminants adhering onto the surface after plasma exposure.

  2. High performance InAs quantum dot lasers on silicon substrates by low temperature Pd-GaAs wafer bonding

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Zihao; Preble, Stefan F.; Yao, Ruizhe

    2015-12-28

    InAs quantum dot (QD) laser heterostructures have been grown by molecular beam epitaxy system on GaAs substrates, and then transferred to silicon substrates by a low temperature (250 °C) Pd-mediated wafer bonding process. A low interfacial resistivity of only 0.2 Ω cm{sup 2} formed during the bonding process is characterized by the current-voltage measurements. The InAs QD lasers on Si exhibit comparable characteristics to state-of-the-art QD lasers on silicon substrates, where the threshold current density J{sub th} and differential quantum efficiency η{sub d} of 240 A/cm{sup 2} and 23.9%, respectively, at room temperature are obtained with laser bars of cavity length and waveguide ridgemore » of 1.5 mm and 5 μm, respectively. The InAs QD lasers also show operation up to 100 °C with a threshold current density J{sub th} and differential quantum efficiency η{sub d} of 950 A/cm{sup 2} and 9.3%, respectively. The temperature coefficient T{sub 0} of 69 K from 60 to 100 °C is characterized from the temperature dependent J{sub th} measurements.« less

  3. Effect of Same-Temperature GaN Cap Layer on the InGaN/GaN Multiquantum Well of Green Light-Emitting Diode on Silicon Substrate

    PubMed Central

    Zheng, Changda; Wang, Li; Mo, Chunlan; Fang, Wenqing; Jiang, Fengyi

    2013-01-01

    GaN green LED was grown on Si (111) substrate by MOCVD. To enhance the quality of InGaN/GaN MQWs, same-temperature (ST) GaN protection layers with different thickness of 8 Å, 15 Å, and 30 Å were induced after the InGaN quantum wells (QWs) layer. Results show that a relative thicker cap layer is benefit to get InGaN QWs with higher In percent at fixed well temperature and obtain better QW/QB interface. As the cap thickness increases, the indium distribution becomes homogeneous as verified by fluorescence microscope (FLM). The interface of MQWs turns to be abrupt from XRD analysis. The intensity of photoluminescence (PL) spectrum is increased and the FWHM becomes narrow. PMID:24369453

  4. Effect of same-temperature GaN cap layer on the InGaN/GaN multiquantum well of green light-emitting diode on silicon substrate.

    PubMed

    Zheng, Changda; Wang, Li; Mo, Chunlan; Fang, Wenqing; Jiang, Fengyi

    2013-01-01

    GaN green LED was grown on Si (111) substrate by MOCVD. To enhance the quality of InGaN/GaN MQWs, same-temperature (ST) GaN protection layers with different thickness of 8 Å, 15 Å, and 30 Å were induced after the InGaN quantum wells (QWs) layer. Results show that a relative thicker cap layer is benefit to get InGaN QWs with higher In percent at fixed well temperature and obtain better QW/QB interface. As the cap thickness increases, the indium distribution becomes homogeneous as verified by fluorescence microscope (FLM). The interface of MQWs turns to be abrupt from XRD analysis. The intensity of photoluminescence (PL) spectrum is increased and the FWHM becomes narrow.

  5. Writing and Reading of Ultrathin Ferroelectric Domains on Commensurate SrTiO3 on Silicon

    NASA Astrophysics Data System (ADS)

    Levy, Jeremy; Cen, Cheng; Sleasman, Charles R.; Warusawithana, Maitri; Schlom, Darrell G.

    2008-03-01

    Ferroelectricity in ultrathin epitaxial SrTiO3 grown commensurately by oxide-molecular beam epitaxy (MBE) on silicon substrates was investigated using piezoforce microscopy (PFM). A series of samples containing n molecular layers (ML) of SrTiO3 (n = 3, 4, 5, 6, 8, 10, 20) was grown on silicon substrates. Room-temperature ferroelectricity was observed for samples containing n = 5, 6, 8, 10 ML. Temperature-dependent measurements indicate that the sample with n = 5 exhibits a ferroelectric phase transition at Tc˜317 K. Sample with n = 6 remains ferroelectric up to at least 393K. Polar domains created on the n = 6 was found to be stable at room temperature for more than 72 hours. The implications of these results for fundamental and device-related applications will be discussed briefly.

  6. Determination of the Wetting Angle of Germanium and Germanium-Silicon Melts on Different Substrate Materials

    NASA Technical Reports Server (NTRS)

    Kaiser, Natalie; Croell, Arne; Szofran, F. R.; Cobb. S. D.; Dold, P.; Benz, K. W.

    1999-01-01

    During Bridgman growth of semiconductors detachment of the crystal and the melt meniscus has occasionally been observed, mainly under microgravity (microg) conditions. An important factor for detached growth is the wetting angle of the melt with the crucible material. High contact angles are more likely to result in detachment of the growing crystal from the ampoule wall. In order to achieve detached growth of germanium (Ge) and germanium-silicon (GeSi) crystals under 1g and microg conditions, sessile drop measurements were performed to determine the most suitable ampoule material as well as temperature dependence of the surface tension for GeSi. Sapphire, fused quartz, glassy carbon, graphite, SiC, pyrolytic Boron Nitride (pBN), AIN, and diamond were used as substrates. Furthermore, different cleaning procedures and surface treatments (etching, sandblasting, etc.) of the same substrate material and their effect on the wetting behavior were studied during these experiments. pBN and AIN substrates exhibited the highest contact angles with values around 170 deg.

  7. Selective etching of silicon carbide films

    DOEpatents

    Gao, Di; Howe, Roger T.; Maboudian, Roya

    2006-12-19

    A method of etching silicon carbide using a nonmetallic mask layer. The method includes providing a silicon carbide substrate; forming a non-metallic mask layer by applying a layer of material on the substrate; patterning the mask layer to expose underlying areas of the substrate; and etching the underlying areas of the substrate with a plasma at a first rate, while etching the mask layer at a rate lower than the first rate.

  8. Method of forming buried oxide layers in silicon

    DOEpatents

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2000-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  9. Electron Beam "Writes" Silicon On Sapphire

    NASA Technical Reports Server (NTRS)

    Heinemann, Klaus

    1988-01-01

    Method of growing silicon on sapphire substrate uses beam of electrons to aid growth of semiconductor material. Silicon forms as epitaxial film in precisely localized areas in micron-wide lines. Promising fabrication method for fast, densely-packed integrated circuits. Silicon deposited preferentially in contaminated substrate zones and in clean zone irradiated by electron beam. Electron beam, like surface contamination, appears to stimulate decomposition of silane atmosphere.

  10. Optical properties of p–i–n structures based on amorphous hydrogenated silicon with silicon nanocrystals formed via nanosecond laser annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Krivyakin, G. K.; Volodin, V. A., E-mail: volodin@isp.nsc.ru; Kochubei, S. A.

    Silicon nanocrystals are formed in the i layers of p–i–n structures based on a-Si:H using pulsed laser annealing. An excimer XeCl laser with a wavelength of 308 nm and a pulse duration of 15 ns is used. The laser fluence is varied from 100 (below the melting threshold) to 250 mJ/cm{sup 2} (above the threshold). The nanocrystal sizes are estimated by analyzing Raman spectra using the phonon confinement model. The average is from 2.5 to 3.5 nm, depending on the laser-annealing parameters. Current–voltage measurements show that the fabricated p–i–n structures possess diode characteristics. An electroluminescence signal in the infrared (IR)more » range is detected for the p–i–n structures with Si nanocrystals; the peak position (0.9–1 eV) varies with the laser-annealing parameters. Radiative transitions are presumably related to the nanocrystal–amorphous-matrix interface states. The proposed approach can be used to produce light-emitting diodes on non-refractory substrates.« less

  11. Growth and high rate reactive ion etching of epitaxially grown barium hexaferrite films on single crystal silicon carbide substrates

    NASA Astrophysics Data System (ADS)

    Chen, Zhaohui

    Ferrites are an invaluable group of insulating magnetic materials used for high frequency microwave applications in such passive electronic devices as isolators, phase shifters, and circulators. Because of their high permeability, non-reciprocal electromagnetic properties, and low eddy current losses, there are no other materials that serve such a broad range of applications. Until recently, they have been widely employed in bulk form, with little success in thin film-based applications in commercial or military microwave technologies. In today's technology, emerging electronic systems, such as high frequency, high power wireless and satellite communications (GPS, Bluetooth, WLAN, commercial radar, etc) thin film materials are in high demand. It is widely recognized that as high frequency devices shift to microwave frequencies the integration of passive devices with semiconductor electronics holds significant advantages in the realization of miniaturization, broader bandwidths, higher performance, speed, power and lower production costs. Thus, the primary objective of this thesis is to explore the integration of ferrite films with wide band gap semiconductor substrates for the realization of monolithic integrated circuits (MICs). This thesis focuses on two key steps for the integration of barium hexaferrite (Ba M-type or BaM) devices on semiconductor substrates. First, the development of high crystal quality ferrite film growth via pulsed laser deposition on wide band gap silicon carbide semiconductor substrates, and second, the effective patterning of BaM films using dry etching techniques. To address part one, BaM films were deposited on 6H silicon carbide (0001) substrates by Pulsed Laser Deposition. X-ray diffraction showed strong crystallographic alignment while pole figures exhibited reflections consistent with epitaxial growth. After optimized annealing, BaM films have a perpendicular magnetic anisotropy field of 16,900 Oe, magnetization (4piMs) of 4.4 k

  12. Application of optical processing for growth of silicon dioxide

    DOEpatents

    Sopori, B.L.

    1997-06-17

    A process for producing a silicon dioxide film on a surface of a silicon substrate is disclosed. The process comprises illuminating a silicon substrate in a substantially pure oxygen atmosphere with a broad spectrum of visible and infrared light at an optical power density of from about 3 watts/cm{sup 2} to about 6 watts/cm{sup 2} for a time period sufficient to produce a silicon dioxide film on the surface of the silicon substrate. An optimum optical power density is about 4 watts/cm{sup 2} for growth of a 100{angstrom}-300{angstrom} film at a resultant temperature of about 400 C. Deep level transient spectroscopy analysis detects no measurable impurities introduced into the silicon substrate during silicon oxide production and shows the interface state density at the SiO{sub 2}/Si interface to be very low. 1 fig.

  13. Schottky barrier detection devices having a 4H-SiC n-type epitaxial layer

    DOEpatents

    Mandal, Krishna C.; Terry, J. Russell

    2016-12-06

    A detection device, along with methods of its manufacture and use, is provided. The detection device can include: a SiC substrate defining a substrate surface cut from planar to about 12.degree.; a buffer epitaxial layer on the substrate surface; a n-type epitaxial layer on the buffer epitaxial layer; and a top contact on the n-type epitaxial layer. The buffer epitaxial layer can include a n-type 4H--SiC epitaxial layer doped at a concentration of about 1.times.10.sup.15 cm.sup.-3 to about 5.times.10.sup.18 cm.sup.-3 with nitrogen, boron, aluminum, or a mixture thereof. The n-type epitaxial layer can include a n-type 4H--SiC epitaxial layer doped at a concentration of about 1.times.10.sup.13 cm.sup.-3 to about 5.times.10.sup.15 cm.sup.-3 with nitrogen. The top contact can have a thickness of about 8 nm to about 15 nm.

  14. Narrow band gap amorphous silicon semiconductors

    DOEpatents

    Madan, A.; Mahan, A.H.

    1985-01-10

    Disclosed is a narrow band gap amorphous silicon semiconductor comprising an alloy of amorphous silicon and a band gap narrowing element selected from the group consisting of Sn, Ge, and Pb, with an electron donor dopant selected from the group consisting of P, As, Sb, Bi and N. The process for producing the narrow band gap amorphous silicon semiconductor comprises the steps of forming an alloy comprising amorphous silicon and at least one of the aforesaid band gap narrowing elements in amount sufficient to narrow the band gap of the silicon semiconductor alloy below that of amorphous silicon, and also utilizing sufficient amounts of the aforesaid electron donor dopant to maintain the amorphous silicon alloy as an n-type semiconductor.

  15. Role of low-temperature AlGaN interlayers in thick GaN on silicon by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Fritze, S.; Drechsel, P.; Stauss, P.; Rode, P.; Markurt, T.; Schulz, T.; Albrecht, M.; Bläsing, J.; Dadgar, A.; Krost, A.

    2012-06-01

    Thin AlGaN interlayers have been grown into a thick GaN stack on Si substrates to compensate tensile thermal stress and significantly improve the structural perfection of the GaN. In particular, thicker interlayers reduce the density in a-type dislocations as concluded from x-ray diffraction (XRD) measurements. Beyond an interlayer thickness of 28 nm plastic substrate deformation occurs. For a thick GaN stack, the first two interlayers serve as strain engineering layers to obtain a crack-free GaN structure, while a third strongly reduces the XRD ω-(0002)-FWHM. The vertical strain and quality profile determined by several XRD methods demonstrates the individual impact of each interlayer.

  16. Substrate for thin silicon solar cells

    DOEpatents

    Ciszek, Theodore F.

    1998-01-01

    A substrate for a photovoltaic device wherein the substrate is the base upon which photosensitive material is to be grown and the substrate comprises an alloy having boron in a range from 0.1 atomic % of the alloy to 1.3 atomic % of the alloy and the substrate has a resistivity less than 3.times.10.sup.-3 ohm-cm.

  17. Methods of repairing a substrate

    NASA Technical Reports Server (NTRS)

    Riedell, James A. (Inventor); Easler, Timothy E. (Inventor)

    2011-01-01

    A precursor of a ceramic adhesive suitable for use in a vacuum, thermal, and microgravity environment. The precursor of the ceramic adhesive includes a silicon-based, preceramic polymer and at least one ceramic powder selected from the group consisting of aluminum oxide, aluminum nitride, boron carbide, boron oxide, boron nitride, hafnium boride, hafnium carbide, hafnium oxide, lithium aluminate, molybdenum silicide, niobium carbide, niobium nitride, silicon boride, silicon carbide, silicon oxide, silicon nitride, tin oxide, tantalum boride, tantalum carbide, tantalum oxide, tantalum nitride, titanium boride, titanium carbide, titanium oxide, titanium nitride, yttrium oxide, zirconium boride, zirconium carbide, zirconium oxide, and zirconium silicate. Methods of forming the ceramic adhesive and of repairing a substrate in a vacuum and microgravity environment are also disclosed, as is a substrate repaired with the ceramic adhesive.

  18. Back contact to film silicon on metal for photovoltaic cells

    DOEpatents

    Branz, Howard M.; Teplin, Charles; Stradins, Pauls

    2013-06-18

    A crystal oriented metal back contact for solar cells is disclosed herein. In one embodiment, a photovoltaic device and methods for making the photovoltaic device are disclosed. The photovoltaic device includes a metal substrate with a crystalline orientation and a heteroepitaxial crystal silicon layer having the same crystal orientation of the metal substrate. A heteroepitaxial buffer layer having the crystal orientation of the metal substrate is positioned between the substrate and the crystal silicon layer to reduce diffusion of metal from the metal foil into the crystal silicon layer and provide chemical compatibility with the heteroepitaxial crystal silicon layer. Additionally, the buffer layer includes one or more electrically conductive pathways to electrically couple the crystal silicon layer and the metal substrate.

  19. Nanostructure iron-silicon thin film deposition using plasma focus device

    NASA Astrophysics Data System (ADS)

    Kotb, M.; Saudy, A. H.; Hassaballa, S.; Eloker, M. M.

    2013-03-01

    The presented study in this paper reports the deposition of nano-structure iron-silicon thin film on a glass substrate using 3.3 KJ Mather-type plasma focus device. The iron-silicon powder was put on the top of hollow copper anode electrode. The deposition was done under different experimental conditions such as numbers of electric discharge shots and angular position of substrate. The film samples were exposed to energetic argon ions generated by plasma focus device at different distances from the top of the central electrode. The exposed samples were then analyzed for their structure and optical properties using X-ray diffraction (XRD) and UV-visible spectroscopy. The structure of iron-silicon thin films deposited using plasma focus device depends on the distance from the anode, the number of focus deposition shots and the angular position of the sample

  20. Crystallinity, Surface Morphology, and Photoelectrochemical Effects in Conical InP and InN Nanowires Grown on Silicon.

    PubMed

    Parameshwaran, Vijay; Xu, Xiaoqing; Clemens, Bruce

    2016-08-24

    The growth conditions of two types of indium-based III-V nanowires, InP and InN, are tailored such that instead of yielding conventional wire-type morphologies, single-crystal conical structures are formed with an enlarged diameter either near the base or near the tip. By using indium droplets as a growth catalyst, combined with an excess indium supply during growth, "ice cream cone" type structures are formed with a nanowire "cone" and an indium-based "ice cream" droplet on top for both InP and InN. Surface polycrystallinity and annihilation of the catalyst tip of the conical InP nanowires are observed when the indium supply is turned off during the growth process. This growth design technique is extended to create single-crystal InN nanowires with the same morphology. Conical InN nanowires with an enlarged base are obtained through the use of an excess combined Au-In growth catalyst. Electrochemical studies of the InP nanowires on silicon demonstrate a reduction photocurrent as a proof of photovolatic behavior and provide insight as to how the observed surface polycrystallinity and the resulting interface affect these device-level properties. Additionally, a photovoltage is induced in both types of conical InN nanowires on silicon, which is not replicated in epitaxial InN thin films.

  1. A Substrate Bias Effect on Recovery of the Threshold Voltage Shift of Amorphous Silicon Thin-Film Transistors

    NASA Astrophysics Data System (ADS)

    Han, Chang-Wook; Han, Min-Koo; Choi, Nack-Bong; Kim, Chang-Dong; Kim, Ki-Yong; Chung, In-Jae

    2007-07-01

    Hydrogenated amorphous silicon (a-Si:H) thin-film transistors (TFTs) were fabricated on a flexible stainless-steel (SS) substrate. The stability of the a-Si:H TFT is a key issue for active matrix organic light-emitting diodes (AMOLEDs). The drain current decreases because of the threshold voltage shift (Δ VTH) during OLED driving. A negative voltage at a floated gate can be induced by a negative substrate bias through a capacitor between the substrate and the gate electrode without additional circuits. The negative voltage biased at the SS substrate can recover Δ VTH and reduced drain current of the driving TFT. The VTH of the TFT increased by 2.3 V under a gate bias of +15 V and a drain bias of +15 V at 65 °C applied for 3,500 s. The VTH decreased by -2.3 V and the drain current recovered 97% of its initial value under a substrate bias of -23 V at 65 °C applied for 3,500 s.

  2. Screening method for selecting semiconductor substrates having defects below a predetermined level in an oxide layer

    DOEpatents

    Warren, William L.; Vanheusden, Karel J. R.; Schwank, James R.; Fleetwood, Daniel M.; Shaneyfelt, Marty R.; Winokur, Peter S.; Devine, Roderick A. B.

    1998-01-01

    A method for screening or qualifying semiconductor substrates for integrated circuit fabrication. The method comprises the steps of annealing at least one semiconductor substrate at a first temperature in a defect-activating ambient (e.g. hydrogen, forming gas, or ammonia) for sufficient time for activating any defects within on oxide layer of the substrate; measuring a defect-revealing electrical characteristic of at least a portion of the oxide layer for determining a quantity of activated defects therein; and selecting substrates for which the quantity of activated defects is below a predetermined level. The defect-revealing electrical characteristic may be a capacitance-versus-voltage (C-V) characteristic or a current-versus-voltage (I-V) characteristic that is dependent on an electrical charge in the oxide layer generated by the activated defects. Embodiments of the present invention may be applied for screening any type of semiconductor substrate or wafer having an oxide layer formed thereon or therein. This includes silicon-on-insulator substrates formed by a separation by the implantation of oxygen (SIMOX) process or the bond and etch back silicon-on-insulator (BESOI) process, as well as silicon substrates having a thermal oxide layer or a deposited oxide layer.

  3. Method for fabricating an ultra-low expansion mask blank having a crystalline silicon layer

    DOEpatents

    Cardinale, Gregory F.

    2002-01-01

    A method for fabricating masks for extreme ultraviolet lithography (EUVL) using Ultra-Low Expansion (ULE) substrates and crystalline silicon. ULE substrates are required for the necessary thermal management in EUVL mask blanks, and defect detection and classification have been obtained using crystalline silicon substrate materials. Thus, this method provides the advantages for both the ULE substrate and the crystalline silicon in an Extreme Ultra-Violet (EUV) mask blank. The method is carried out by bonding a crystalline silicon wafer or member to a ULE wafer or substrate and thinning the silicon to produce a 5-10 .mu.m thick crystalline silicon layer on the surface of the ULE substrate. The thinning of the crystalline silicon may be carried out, for example, by chemical mechanical polishing and if necessary or desired, oxidizing the silicon followed by etching to the desired thickness of the silicon.

  4. Structural, Optical and Electrical Properties of ZnS/Porous Silicon Heterostructures

    NASA Astrophysics Data System (ADS)

    Wang, Cai-Feng; Li, Qing-Shan; Lv, Lei; Zhang, Li-Chun; Qi, Hong-Xia; Chen, Hou

    2007-03-01

    ZnS films are deposited by pulsed laser deposition on porous silicon (PS) substrates formed by electrochemical anodization of p-type (100) silicon wafer. Scanning electron microscope images reveal that the surface of ZnS films is unsmoothed, and there are some cracks in the ZnS films due to the roughness of the PS surface. The x-ray diffraction patterns show that the ZnS films on PS surface are grown in preferring orientation along cubic phase β-ZnS (111) direction. White light emission is obtained by combining the blue-green emission from ZnS films with the orange-red emission from PS layers. Based on the I-V characteristic, the ZnS/PS heterojunction exhibits the rectifying junction behaviour, and an ideality factor n is calculated to be 77 from the I-V plot.

  5. Epitaxial Growth of beta-Silicon Carbide (SiC) on a Compliant Substrate via Chemical Vapor Deposition (CVD)

    NASA Technical Reports Server (NTRS)

    Mitchell, Sharanda L.

    1996-01-01

    Many lattice defects have been attributed to the lattice mismatch and the difference in the thermal coefficient of expansion between SiC and silicon (Si). Stacking faults, twins and antiphase boundaries are some of the lattice defects found in these SiC films. These defects may be a partial cause of the disappointing performance reported for the prototype devices fabricated from beta-SiC films. The objective of this research is to relieve some of the thermal stress due to lattice mismatch when SiC is epitaxially grown on Si. The compliant substrate is a silicon membrane 2-4 microns thick. The CVD process includes the buffer layer which is grown at 1360 C followed by a very thin epitaxial growth of SiC. Then the temperature is raised to 1500 C for the subsequent growth of SiC. Since silicon melts at 1415 C, the SiC will be grown on molten Silicon which is absorbed by a porous graphite susceptor eliminating the SiC/Si interface. We suspect that this buffer layer will yield less stressed material to help in the epitaxial growth of SiC.

  6. Gold Nanoparticles on Functionalized Silicon Substrate under Coulomb Blockade Regime: An Experimental and Theoretical Investigation.

    PubMed

    Pluchery, Olivier; Caillard, Louis; Dollfus, Philippe; Chabal, Yves J

    2018-01-18

    Single charge electronics offer a way for disruptive technology in nanoelectronics. Coulomb blockade is a realistic way for controlling the electric current through a device with the accuracy of one electron. In such devices the current exhibits a step-like increase upon bias which reflects the discrete nature of the fundamental charge. We have assembled a double tunnel junction on an oxide-free silicon substrate that exhibits Coulomb staircase characteristics using gold nanoparticles (AuNPs) as Coulomb islands. The first tunnel junction is an insulating layer made of a grafted organic monolayer (GOM) developed for this purpose. The GOM also serves for attaching AuNPs covalently. The second tunnel junction is made by the tip of an STM. We show that this device exhibits reproducible Coulomb blockade I-V curves at 40 K in vacuum. We also show that depending on the doping of the silicon substrate, the whole Coulomb staircase can be adjusted. We have developed a simulation approach based on the orthodox theory that was completed by calculating the bias dependent tunnel barriers and by including an accurate calculation of the band bending. This model accounts for the experimental data and the doping dependence of Coulomb oscillations. This study opens new perspectives toward designing new kind of single electron transistors (SET) based on this dependence of the Coulomb staircase with the charge carrier concentration.

  7. III/V nano ridge structures for optical applications on patterned 300 mm silicon substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kunert, B.; Guo, W.; Mols, Y.

    We report on an integration approach of III/V nano ridges on patterned silicon (Si) wafers by metal organic vapor phase epitaxy (MOVPE). Trenches of different widths (≤500 nm) were processed in a silicon oxide (SiO{sub 2}) layer on top of a 300 mm (001) Si substrate. The MOVPE growth conditions were chosen in a way to guarantee an efficient defect trapping within narrow trenches and to form a box shaped ridge with increased III/V volume when growing out of the trench. Compressively strained InGaAs/GaAs multi-quantum wells with 19% indium were deposited on top of the fully relaxed GaAs ridges as an activemore » material for optical applications. Transmission electron microcopy investigation shows that very flat quantum well (QW) interfaces were realized. A clear defect trapping inside the trenches is observed whereas the ridge material is free of threading dislocations with only a very low density of planar defects. Pronounced QW photoluminescence (PL) is detected from different ridge sizes at room temperature. The potential of these III/V nano ridges for laser integration on Si substrates is emphasized by the achieved ridge volume which could enable wave guidance and by the high crystal quality in line with the distinct PL.« less

  8. Deposition of silicon oxynitride films by low energy ion beam assisted nitridation at room temperature

    NASA Astrophysics Data System (ADS)

    Youroukov, S.; Kitova, S.; Danev, G.

    2008-05-01

    The possibility is studied of growing thin silicon oxynitride films by e-gun evaporation of SiO and SiO2 together with concurrent bombardment with low energy N2+ ions from a cyclotron resonance (ECR) source at room temperature of substrates. The degree of nitridation and oxidation of the films is investigated by means of X-ray spectroscopy. The optical characteristics of the films, their environmental stability and adhesion to different substrates are examined. The results obtained show than the films deposited are transparent. It is found that in the case of SiO evaporation with concurrent N2+ ion bombardment, reactive implantation of nitrogen within the films takes place at room temperature of the substrate with the formation of a new silicon oxynitride compound even at low ion energy (150-200 eV).

  9. Dip coating process: Silicon sheet growth development for the large-area silicon sheet task of the low-cost silicon solar array project

    NASA Technical Reports Server (NTRS)

    Heaps, J. D.; Maciolek, R. B.; Zook, J. D.; Harrison, W. B.; Scott, M. W.; Hendrickson, G.; Wolner, H. A.; Nelson, L. D.; Schuller, T. L.; Peterson, A. A.

    1976-01-01

    The technical and economic feasibility of producing solar cell quality sheet silicon by dip-coating one surface of carbonized ceramic substrates with a thin layer of large grain polycrystalline silicon was investigated. The dip-coating methods studied were directed toward a minimum cost process with the ultimate objective of producing solar cells with a conversion efficiency of 10% or greater. The technique shows excellent promise for low cost, labor-saving, scale-up potentialities and would provide an end product of sheet silicon with a rigid and strong supportive backing. An experimental dip-coating facility was designed and constructed, several substrates were successfully dip-coated with areas as large as 25 sq cm and thicknesses of 12 micron to 250 micron. There appears to be no serious limitation on the area of a substrate that could be coated. Of the various substrate materials dip-coated, mullite appears to best satisfy the requirement of the program. An inexpensive process was developed for producing mullite in the desired geometry.

  10. Experimental and FDTD study of silicon surface morphology induced by femtosecond laser irradiation at a high substrate temperature.

    PubMed

    Deng, Guoliang; Feng, Guoying; Zhou, Shouhuan

    2017-04-03

    Substrate temperature is an important parameter for controlling the properties of femtosecond laser induced surface structures besides traditional ways. The morphology on silicon surface at different temperatures are studied experimentally. Compared to those formed at 300 K, smoother ripples, micro-grooves and nano/micro-holes are formed at 700 K. A two temperature model and FDTD method are used to discuss the temperature dependence of surface structures. The results show that the increased light absorption at elevated temperature leads to the reduction of surface roughness. The type-g feature in the FDTD-η map at 700 K, which corresponds to the energy deposition modulation parallel to the laser polarization with a periodicity bigger than the wavelength, is the origin of the formation of grooves. This work can benefit both surface structures based applications and the study of femtosecond laser-matter interactions.

  11. Voltage-Controlled Spray Deposition of Multiwalled Carbon Nanotubes on Semiconducting and Insulating Substrates

    NASA Astrophysics Data System (ADS)

    Maulik, Subhodip; Sarkar, Anirban; Basu, Srismrita; Daniels-Race, Theda

    2018-05-01

    A facile, cost-effective, voltage-controlled, "single-step" method for spray deposition of surfactant-assisted dispersed carbon nanotube (CNT) thin films on semiconducting and insulating substrates has been developed. The fabrication strategy enables direct deposition and adhesion of CNT films on target samples, eliminating the need for substrate surface functionalization with organosilane binder agents or metal layer coatings. Spray coating experiments on four types of sample [bare silicon (Si), microscopy-grade glass samples, silicon dioxide (SiO2), and polymethyl methacrylate (PMMA)] under optimized control parameters produced films with thickness ranging from 40 nm to 6 μm with substantial surface coverage and packing density. These unique deposition results on both semiconducting and insulator target samples suggest potential applications of this technique in CNT thin-film transistors with different gate dielectrics, bendable electronics, and novel CNT-based sensing devices, and bodes well for further investigation into thin-film coatings of various inorganic, organic, and hybrid nanomaterials on different types of substrate.

  12. Silicon on ceramic process. Silicon sheet growth development for the large-area silicon sheet task of the low-cost silicon solar array project

    NASA Technical Reports Server (NTRS)

    Zook, J. D.; Heaps, J. D.; Maciolek, R. B.; Koepke, B. G.; Butter, C. D.; Schuldt, S. B.

    1977-01-01

    The technical and economic feasibility of producing solar-cell-quality sheet silicon was investigated. The sheets were made by coating one surface of carbonized ceramic substrates with a thin layer of large-grain polycrystalline silicon from the melt. Significant progress was made in all areas of the program.

  13. High-fluence ion implantation in silicon carbide for fabrication of a compliant substrate

    NASA Astrophysics Data System (ADS)

    Lioubtchenko, Mikhail

    GaN and related nitrides are promising materials for applications as UV/blue light emitters and in high-power, high-temperature electonic devices. Unfortunately, the vast potential of these materials cannot be realized effectively due to a large density of threading dislocations, arising from large lattice mismatch between GaN and utilized substrates. Therefore, a new approach to the heteroepitaxial growth is desirable, and a compliant substrate might help to remedy the situation. A modified model for the compliant substrate consisting of the compliant membrane glued to a thick handling substrate by a soft layer was proposed. We have chosen 6H-SiC as a starting substrate and ion implantation as a means of creating a buried layer. High fluence ion implantation of different species in 6H-SiC was performed at elevated temperatures and damage removal/accumulation was studied. It was found that temperatures around 1600°C are necessary to successfully recrystallize the radiation damage for Ti, Ga, Si and C implantations, but no damage removal was monitored for In implantation. In order to minimize the damage produced during ion implantation, it was decided to employ a multistep process in which each implantation step was followed by annealing. This approach was realized for 125 keV Ti++ and 300 keV Ga+ implantations up to a total dose of 1.8 x 1017 cm--2. Ti-implanted substrates were shown to retain good quality in the top layer, whereas Ga implantation preserves the quality of the near-surface region only at lower doses. The implanted species concentration was monitored after each step using Rutherford Backscattering (RBS). GaN films were grown on the prepared substrates and a control SiC sample by MOCVD. TEM and photoluminescence measurements have demonstrated that the quality of GaN films improves upon growth on compliant substrates.

  14. Red Light Emitting Schottky Diodes on p-TYPE GaN/AlN/Si(111) Substrate

    NASA Astrophysics Data System (ADS)

    Chuah, L. S.; Hassan, Z.; Abu Hassan, H.

    High quality GaN layers doped with Mg were grown on Si(111) substrates using high temperature AlN as buffer layer by radio-frequency molecular beam epitaxy. From the Hall measurements, fairly uniform high hole concentration as high as (4-5) × 1020 cm-3 throughout the GaN was achieved. The fabrication of the device is very simple. Nickel ohmic contacts and Schottky contacts using indium were fabricated on Mg-doped p-GaN films. The light emission has been obtained from these thin film electroluminescent devices. Thin film electroluminescent devices were operated under direct current bias. Schottky and ohmic contacts used as cathode and anode were employed in these investigations. Alternatively, two Schottky contacts could be probed as cathode and anode. Thin film electroluminescent devices were able to emit light. However, electrical and optical differences could be observed from the two different probing methods. The red light color could be observed when the potential between the electrodes was increased gradually under forward bias of 8 V at room temperature. Electrical properties of these thin film electroluminescent devices were characterized by current-voltage (I-V) system, the heights of barriers determined from the I-V measurements were found to be related to the electroluminescence.

  15. Aquaporins Mediate Silicon Transport in Humans.

    PubMed

    Garneau, Alexandre P; Carpentier, Gabriel A; Marcoux, Andrée-Anne; Frenette-Cotton, Rachelle; Simard, Charles F; Rémus-Borel, Wilfried; Caron, Luc; Jacob-Wagner, Mariève; Noël, Micheline; Powell, Jonathan J; Bélanger, Richard; Côté, François; Isenring, Paul

    2015-01-01

    In animals, silicon is an abundant and differentially distributed trace element that is believed to play important biological functions. One would thus expect silicon concentrations in body fluids to be regulated by silicon transporters at the surface of many cell types. Curiously, however, and even though they exist in plants and algae, no such transporters have been identified to date in vertebrates. Here, we show for the first time that the human aquaglyceroporins, i.e., AQP3, AQP7, AQP9 and AQP10 can act as silicon transporters in both Xenopus laevis oocytes and HEK-293 cells. In particular, heterologously expressed AQP7, AQP9 and AQP10 are all able to induce robust, saturable, phloretin-sensitive silicon transport activity in the range that was observed for low silicon rice 1 (lsi1), a silicon transporter in plant. Furthermore, we show that the aquaglyceroporins appear as relevant silicon permeation pathways in both mice and humans based on 1) the kinetics of substrate transport, 2) their presence in tissues where silicon is presumed to play key roles and 3) their transcriptional responses to changes in dietary silicon. Taken together, our data provide new evidence that silicon is a potentially important biological element in animals and that its body distribution is regulated. They should open up original areas of investigations aimed at deciphering the true physiological role of silicon in vertebrates.

  16. Nanoscale investigation on Pseudomonas aeruginosa biofilm formed on porous silicon using atomic force microscopy.

    PubMed

    Kannan, Ashwin; Karumanchi, Subbalakshmi Latha; Krishna, Vinatha; Thiruvengadam, Kothai; Ramalingam, Subramaniam; Gautam, Pennathur

    2014-01-01

    Colonization of surfaces by bacterial cells results in the formation of biofilms. There is a need to study the factors that are important for formation of biofilms since biofilms have been implicated in the failure of semiconductor devices and implants. In the present study, the adhesion force of biofilms (formed by Pseudomonas aeruginosa) on porous silicon substrates of varying surface roughness was quantified using atomic force microscopy (AFM). The experiments were carried out to quantify the effect of surface roughness on the adhesion force of biofilm. The results show that the adhesion force increased from 1.5 ± 0.5 to 13.2 ± 0.9 nN with increase in the surface roughness of silicon substrate. The results suggest that the adhesion force of biofilm is affected by surface roughness of substrate. © 2014 Wiley Periodicals, Inc.

  17. Studies of silicon p-n junction solar cells

    NASA Technical Reports Server (NTRS)

    Neugroschel, A.; Lindholm, F. A.

    1979-01-01

    To provide theoretical support for investigating different ways to obtain high open-circuit voltages in p-n junction silicon solar cells, an analytical treatment of heavily doped transparent-emitter devices is presented that includes the effects of bandgap narrowing, Fermi-Dirac statistics, a doping concentration gradient, and a finite surface recombination velocity at the emitter surface. Topics covered include: (1) experimental determination of bandgap narrowing in the emitter of silicon p-n junction devices; (2) heavily doped transparent regions in junction solar cells, diodes, and transistors; (3) high-low-emitter solar cell; (4) determination of lifetimes and recombination currents in p-n junction solar cells; (5) MOS and oxide-charged-induced BSF solar cells; and (6) design of high efficiency solar cells for space and terrestrial applications.

  18. Silicon-on-ceramic process: Silicon sheet growth and device development for the large-area silicon sheet task of the low-cost solar array project

    NASA Technical Reports Server (NTRS)

    Whitehead, A. B.; Zook, J. D.; Grung, B. L.; Heaps, J. D.; Schmit, F.; Schuldt, S. B.; Chapman, P. W.

    1981-01-01

    The technical feasibility of producing solar cell quality sheet silicon to meet the DOE 1986 cost goal of 70 cents/watt was investigated. The silicon on ceramic approach is to coat a low cost ceramic substrate with large grain polycrystalline silicon by unidirectional solidification of molten silicon. Results and accomplishments are summarized.

  19. In situ spectroscopic ellipsometry study of low-temperature epitaxial silicon growth

    NASA Astrophysics Data System (ADS)

    Halagačka, L.; Foldyna, M.; Leal, R.; Roca i Cabarrocas, P.

    2018-07-01

    Low-temperature growth of doped epitaxial silicon layers is a promising way to reduce the cost of p-n junction formation in c-Si solar cells. In this work, we study process of highly doped epitaxial silicon layer growth using in situ spectroscopic ellipsometry. The film was deposited by plasma-enhanced chemical vapor deposition (PECVD) on a crystalline silicon substrate at a low substrate temperature of 200 °C. In the deposition process, SiF4 was used as a precursor, B2H6 as doping gas, and a hydrogen/argon mixture as carrier gas. A spectroscopic ellipsometer with a wide spectral range was used for in situ spectroscopic measurements. Since the temperature during process is 200 °C, the optical functions of silicon differ from these at room temperature and have to be adjusted. Thickness of the epitaxial silicon layer was fitted on in situ ellipsometric data. As a result we were able to determine the dynamics of epitaxial layer growth, namely initial layer formation time and epitaxial growth rate. This study opens new perspectives in understanding and monitoring the epitaxial silicon deposition processes as the model fitting can be applied directly during the growth.

  20. Ring resonator optical modes in InGaN/GaN structures grown on micro-cone-patterned sapphire substrates

    NASA Astrophysics Data System (ADS)

    Kazanov, D. R.; Pozina, G.; Jmerik, V. N.; Shubina, T. V.

    2018-03-01

    Molecular beam epitaxy (MBE) of III-nitride compounds on specially prepared cone-shaped patterned substrates is being actively developed nowadays, especially for nanophotonic applications. This type of substrates enables the successful growth of hexagonal nanorods (NRs). The insertion of an active quantum-sized region of InGaN inside a GaN NR allows us to enhance the rate of optical transitions by coupling them with resonant optical modes in the NR. However, we have observed the enhancement of emission not only from the NR but also around the circumference region of the cone-shaped base. We have studied this specific feature and demonstrated its impact on the output signal.

  1. Process for forming pure silver ohmic contacts to N- and P-type gallium arsenide materials

    DOEpatents

    Hogan, S.J.

    1983-03-13

    Disclosed is an improved process for manufacturing gallium arsenide semiconductor devices having as its components a n-type gallium arsenide substrate layer and a p-type gallium arsenide diffused layer. The improved process comprises forming a pure silver ohmic contact to both the diffuse layer and the substrate layer wherein the n-type layer comprises a substantially low doping carrier concentration.

  2. High-speed thin-film transistors on single-crystalline, unstrained- and strained-silicon-based nanomembranes

    NASA Astrophysics Data System (ADS)

    Yuan, Hao-Chih

    This research focuses on developing high-performance single-crystal Si-based nanomembranes and high-frequency thin-film transistors (TFTs) using these nanomembranes on flexible plastic substrates. Unstrained Si or SiGe nanomembranes with thickness of several tens to a couple of hundred nanometers are derived from silicon-on-insulator (SOI) or silicon-germanium-on-insulator (SGOI) and are subsequently transferred and integrated with flexible plastic host substrates via a one-step dry printing technique. Biaxial tensile-strained Si membranes that utilize elastic strain-sharing between Si and additionally grown SiGe thin films are also successfully integrated with plastic host substrates and exhibit predicted strain status and negligible density of dislocations. Biaxial tensile strain enhances electron mobility and lowers Schottky contact resistance. As a result, flexible TFTs built on the strained Si-membranes demonstrate much higher electron effective mobility and higher drive current than the unstrained counterpart. The dependence of drive current and transconductance on uniaxial tensile strain introducing by mechanical bending is also discussed. A novel combined "hot-and-cold" TFT fabrication process is developed specifically for realizing a wide spectrum of micro-electronics that can exhibit RF performance and can be integrated on low-temperature plastic substrate. The "hot" process that consists of ion implant and high-temperature annealing for desired doping type, profile, and concentration is realized on the bulk SOI/SGOI substrates followed by the "cold" process that includes room-temperature silicon-monoxide (SiO) deposition as gate dielectric layer to ensure the process compatibility with low-temperature, low-cost plastics. With these developments flexible Si-membrane n-type RF TFTs for analog applications and complementary TFTs for digital applications are demonstrated for the first time. RF TFTs with 1.5-mum channel length have demonstrated record-high f

  3. Growth of magnesium diboride thin films on boron buffered Si and silicon-on-insulator substrates by hybrid physical chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Withanage, Wenura K.; Penmatsa, Sashank V.; Acharya, Narendra; Melbourne, Thomas; Cunnane, D.; Karasik, B. S.; Xi, X. X.

    2018-07-01

    We report on the growth of high quality MgB2 thin films on silicon and silicon-on-insulator substrates by hybrid physical chemical vapor deposition. A boron buffer layer was deposited on all sides of the Si substrate to prevent the reaction of Mg vapor and Si. Ar ion milling at a low angle of 1° was used to reduce the roughness of the boron buffer layer before the MgB2 growth. An Ar ion milling at low angle of 1° was also applied to the MgB2 surface to reduce its roughness. The resultant MgB2 films showed excellent superconducting properties and a smooth surface. The process produces thin MgB2 films suitable for waveguide-based superconducting hot electron bolometers and other MgB2-based electronic devices.

  4. Thermally-isolated silicon-based integrated circuits and related methods

    DOEpatents

    Wojciechowski, Kenneth; Olsson, Roy H.; Clews, Peggy J.; Bauer, Todd

    2017-05-09

    Thermally isolated devices may be formed by performing a series of etches on a silicon-based substrate. As a result of the series of etches, silicon material may be removed from underneath a region of an integrated circuit (IC). The removal of the silicon material from underneath the IC forms a gap between remaining substrate and the integrated circuit, though the integrated circuit remains connected to the substrate via a support bar arrangement that suspends the integrated circuit over the substrate. The creation of this gap functions to release the device from the substrate and create a thermally-isolated integrated circuit.

  5. Structural studies of n-type nc-Si-QD thin films for nc-Si solar cells

    NASA Astrophysics Data System (ADS)

    Das, Debajyoti; Kar, Debjit

    2017-12-01

    A wide optical gap nanocrystalline silicon (nc-Si) dielectric material is a basic requirement at the n-type window layer of nc-Si solar cells in thin film n-i-p structure on glass substrates. Taking advantage of the high atomic-H density inherent to the planar inductively coupled low-pressure (SiH4 + CH4)-plasma, development of an analogous material in P-doped nc-Si-QD/a-SiC:H network has been tried. Incorporation of C in the Si-network extracted from the CH4 widens the optical band gap; however, at enhanced PH3-dilution of the plasma spontaneous miniaturization of the nc-Si-QDs below the dimension of Bohr radius (∼4.5 nm) further enhances the band gap by virtue of the quantum size effect. At increased flow rate of PH3, dopant induced continuous amorphization of the intrinsic crystalline network is counterbalanced by the further crystallization promoted by the supplementary atomic-H extracted from PH3 (1% in H2) in the plasma, eventually holding a moderately high degree of crystallinity. The n-type wide band gap (∼1.93 eV) window layer with nc-Si-QDs in adequate volume fraction (∼52%) could furthermore be instrumental as an effective seed layer for advancing sequential crystallization in the i-layer of nc-Si solar cells with n-i-p structure in superstrate configuration.

  6. Ultralow-frequency PiezoMEMS energy harvester using thin-film silicon and parylene substrates

    NASA Astrophysics Data System (ADS)

    Jackson, Nathan; Olszewski, Oskar Z.; O'Murchu, Cian; Mathewson, Alan

    2018-01-01

    Developing a self-sustained leadless pacemaker requires the development of an ultralow-frequency energy harvesting system that can fit within the required dimensions. This paper reports on the design and development of two types of PiezoMEMS energy harvesters that fit within the capsule dimensions and have a low resonant frequency between 20 to 30 Hz, which is required for the application. A bullet-shaped mass was designed to maximize the displacement and enhance power density of the devices. In addition, two types of devices were fabricated and compared (i) a silicon-based cantilever and (ii) a parylene-C-based cantilever with a thin aluminum nitride layer. The silicon device demonstrated higher peak power of 29.8 μW compared with the 6.4 μW for the parylene device. However, due to the low duty cycle of the heart rate and the damping factors of the two materials the average power was significantly higher for the parylene device (2.71 μW) compared with the silicon device (1.22 μW) per cantilever. The results demonstrate that a polymer-based energy harvester can increase the average power due to low damping for an impulse-based vibration application.

  7. Gauge Factor and Stretchability of Silicon-on-Polymer Strain Gauges

    PubMed Central

    Yang, Shixuan; Lu, Nanshu

    2013-01-01

    Strain gauges are widely applied to measure mechanical deformation of structures and specimens. While metallic foil gauges usually have a gauge factor slightly over 2, single crystalline silicon demonstrates intrinsic gauge factors as high as 200. Although silicon is an intrinsically stiff and brittle material, flexible and even stretchable strain gauges have been achieved by integrating thin silicon strips on soft and deformable polymer substrates. To achieve a fundamental understanding of the large variance in gauge factor and stretchability of reported flexible/stretchable silicon-on-polymer strain gauges, finite element and analytically models are established to reveal the effects of the length of the silicon strip, and the thickness and modulus of the polymer substrate. Analytical results for two limiting cases, i.e., infinitely thick substrate and infinitely long strip, have found good agreement with FEM results. We have discovered that strains in silicon resistor can vary by orders of magnitude with different substrate materials whereas strip length or substrate thickness only affects the strain level mildly. While the average strain in silicon reflects the gauge factor, the maximum strain in silicon governs the stretchability of the system. The tradeoff between gauge factor and stretchability of silicon-on-polymer strain gauges has been proposed and discussed. PMID:23881128

  8. Passivation coating for flexible substrate mirrors

    DOEpatents

    Tracy, C. Edwin; Benson, David K.

    1990-01-01

    A protective diffusion barrier for metalized mirror structures is provided by a layer or coating of silicon nitride which is a very dense, transparent, dielectric material that is impervious to water, alkali, and other impurities and corrosive substances that typically attack the metal layers of mirrors and cause degradation of the mirrors' reflectivity. The silicon nitride layer can be deposited on the substrate before metal deposition thereon to stabilize the metal/substrate interface, and it can be deposited over the metal to encapsulate it and protect the metal from corrosion or other degradation. Mirrors coated with silicon nitride according to this invention can also be used as front surface mirrors. Also, the silver or other reflective metal layer on mirrors comprising thin, lightweight, flexible substrates of metal or polymer sheets coated with glassy layers can be protected with silicon nitride according to this invention.

  9. Nitridation of silicon by nitrogen neutral beam

    NASA Astrophysics Data System (ADS)

    Hara, Yasuhiro; Shimizu, Tomohiro; Shingubara, Shoso

    2016-02-01

    Silicon nitridation was investigated at room temperature using a nitrogen neutral beam (NB) extracted at acceleration voltages of less than 100 V. X-ray photoelectron spectroscopy (XPS) analysis confirmed the formation of a Si3N4 layer on a Si (1 0 0) substrate when the acceleration voltage was higher than 20 V. The XPS depth profile indicated that nitrogen diffused to a depth of 36 nm for acceleration voltages of 60 V and higher. The thickness of the silicon nitrided layer increased with the acceleration voltages from 20 V to 60 V. Cross-sectional transmission electron microscopy (TEM) analysis indicated a Si3N4 layer thickness of 3.1 nm was obtained at an acceleration voltage of 100 V. Moreover, it was proved that the nitrided silicon layer formed by the nitrogen NB at room temperature was effective as the passivation film in the wet etching process.

  10. Mechanically flexible optically transparent silicon fabric with high thermal budget devices from bulk silicon (100)

    NASA Astrophysics Data System (ADS)

    Hussain, Muhammad M.; Rojas, Jhonathan P.; Torres Sevilla, Galo A.

    2013-05-01

    Today's information age is driven by silicon based electronics. For nearly four decades semiconductor industry has perfected the fabrication process of continuingly scaled transistor - heart of modern day electronics. In future, silicon industry will be more pervasive, whose application will range from ultra-mobile computation to bio-integrated medical electronics. Emergence of flexible electronics opens up interesting opportunities to expand the horizon of electronics industry. However, silicon - industry's darling material is rigid and brittle. Therefore, we report a generic batch fabrication process to convert nearly any silicon electronics into a flexible one without compromising its (i) performance; (ii) ultra-large-scale-integration complexity to integrate billions of transistors within small areas; (iii) state-of-the-art process compatibility, (iv) advanced materials used in modern semiconductor technology; (v) the most widely used and well-studied low-cost substrate mono-crystalline bulk silicon (100). In our process, we make trenches using anisotropic reactive ion etching (RIE) in the inactive areas (in between the devices) of a silicon substrate (after the devices have been fabricated following the regular CMOS process), followed by a dielectric based spacer formation to protect the sidewall of the trench and then performing an isotropic etch to create caves in silicon. When these caves meet with each other the top portion of the silicon with the devices is ready to be peeled off from the bottom silicon substrate. Release process does not need to use any external support. Released silicon fabric (25 μm thick) is mechanically flexible (5 mm bending radius) and the trenches make it semi-transparent (transparency of 7%).

  11. Manufacture of silicon-based devices having disordered sulfur-doped surface layers

    DOEpatents

    Carey, III; Edward, James [Newton, MA; Mazur, Eric [Concord, MA

    2008-04-08

    The present invention provides methods of fabricating a radiation-absorbing semiconductor wafer by irradiating at least one surface location of a silicon substrate, e.g., an n-doped crystalline silicon, by a plurality of temporally short laser pulses, e.g., femtosecond pulses, while exposing that location to a substance, e.g., SF.sub.6, having an electron-donating constituent so as to generate a substantially disordered surface layer (i.e., a microstructured layer) that incorporates a concentration of that electron-donating constituent, e.g., sulfur. The substrate is also annealed at an elevated temperature and for a duration selected to enhance the charge carrier density in the surface layer. For example, the substrate can be annealed at a temperature in a range of about 700 K to about 900 K.

  12. Optimized structural designs for stretchable silicon integrated circuits.

    PubMed

    Kim, Dae-Hyeong; Liu, Zhuangjian; Kim, Yun-Soung; Wu, Jian; Song, Jizhou; Kim, Hoon-Sik; Huang, Yonggang; Hwang, Keh-Chih; Zhang, Yongwei; Rogers, John A

    2009-12-01

    Materials and design strategies for stretchable silicon integrated circuits that use non-coplanar mesh layouts and elastomeric substrates are presented. Detailed experimental and theoretical studies reveal many of the key underlying aspects of these systems. The results shpw, as an example, optimized mechanics and materials for circuits that exhibit maximum principal strains less than 0.2% even for applied strains of up to approximately 90%. Simple circuits, including complementary metal-oxide-semiconductor inverters and n-type metal-oxide-semiconductor differential amplifiers, validate these designs. The results suggest practical routes to high-performance electronics with linear elastic responses to large strain deformations, suitable for diverse applications that are not readily addressed with conventional wafer-based technologies.

  13. Nanosized graphene sheets enhanced photoelectric behavior of carbon film on p-silicon substrate

    NASA Astrophysics Data System (ADS)

    Yang, Lei; Hu, Gaijuan; Zhang, Dongqing; Diao, Dongfeng

    2016-07-01

    We found that nanosized graphene sheets enhanced the photoelectric behavior of graphene sheets embedded carbon (GSEC) film on p-silicon substrate, which was deposited under low energy electron irradiation in electron cyclotron resonance plasma. The GSEC/p-Si photodiode exhibited good photoelectric performance with photoresponsivity of 206 mA/W, rise and fall time of 2.2, and 4.3 μs for near-infrared (850 nm) light. The origin of the strong photoelectric behavior of GSEC film was ascribed to the appearance of graphene nanosheets, which led to higher barrier height and photoexcited electron-collection efficiency. This finding indicates that GSEC film has the potential for photoelectric applications.

  14. Radiation Hardened Silicon-on-Insulator Structures with N+ Ion Modified Buried SiO2 Layer

    NASA Astrophysics Data System (ADS)

    Tyschenko, I. E.; Popov, V. P.

    2009-12-01

    Radiation-resistant silicon-on-insulator structures were produced by N+ ion implantation into thermally grown SiO2 film and subsequent hydrogen transfer of the Si layer to the nitrogen-implanted substrate under conditions of vacuum wafer bonding. Accumulation of the carriers in the buried SiO2 was investigated as a function of fluence of nitrogen ions in the range (1-6)×1015 cm2 and as a function of total radiation dose ranging from 104 to 107 rad (Si). It was found that the charge generated near the nitrided bonding interface was reduced by a factor of four compared to the thermal SiO2/Si interface.

  15. Method for enhancing the solubility of boron and indium in silicon

    DOEpatents

    Sadigh, Babak; Lenosky, Thomas J.; Diaz de la Rubia, Tomas; Giles, Martin; Caturla, Maria-Jose; Ozolins, Vidvuds; Asta, Mark; Theiss, Silva; Foad, Majeed; Quong, Andrew

    2002-01-01

    A method for enhancing the equilibrium solubility of boron and indium in silicon. The method involves first-principles quantum mechanical calculations to determine the temperature dependence of the equilibrium solubility of two important p-type dopants in silicon, namely boron and indium, under various strain conditions. The equilibrium thermodynamic solubility of size-mismatched impurities, such as boron and indium in silicon, can be raised significantly if the silicon substrate is strained appropriately. For example, for boron, a 1% compressive strain raises the equilibrium solubility by 100% at 1100.degree. C.; and for indium, a 1% tensile strain at 1100.degree. C., corresponds to an enhancement of the solubility by 200%.

  16. Antifuse with a single silicon-rich silicon nitride insulating layer

    DOEpatents

    Habermehl, Scott D.; Apodaca, Roger T.

    2013-01-22

    An antifuse is disclosed which has an electrically-insulating region sandwiched between two electrodes. The electrically-insulating region has a single layer of a non-hydrogenated silicon-rich (i.e. non-stoichiometric) silicon nitride SiN.sub.X with a nitrogen content X which is generally in the range of 0N.sub.X layer. The SiN.sub.X layer thickness can also be made sufficiently large so that Poole-Frenkel emission will be the primary electrical conduction mechanism in the antifuse. Different types of electrodes are disclosed including electrodes formed of titanium silicide, aluminum and silicon. Arrays of antifuses can also be formed.

  17. High-Quality Solution-Processed Silicon Oxide Gate Dielectric Applied on Indium Oxide Based Thin-Film Transistors.

    PubMed

    Jaehnike, Felix; Pham, Duy Vu; Anselmann, Ralf; Bock, Claudia; Kunze, Ulrich

    2015-07-01

    A silicon oxide gate dielectric was synthesized by a facile sol-gel reaction and applied to solution-processed indium oxide based thin-film transistors (TFTs). The SiOx sol-gel was spin-coated on highly doped silicon substrates and converted to a dense dielectric film with a smooth surface at a maximum processing temperature of T = 350 °C. The synthesis was systematically improved, so that the solution-processed silicon oxide finally achieved comparable break downfield strength (7 MV/cm) and leakage current densities (<10 nA/cm(2) at 1 MV/cm) to thermally grown silicon dioxide (SiO2). The good quality of the dielectric layer was successfully proven in bottom-gate, bottom-contact metal oxide TFTs and compared to reference TFTs with thermally grown SiO2. Both transistor types have field-effect mobility values as high as 28 cm(2)/(Vs) with an on/off current ratio of 10(8), subthreshold swings of 0.30 and 0.37 V/dec, respectively, and a threshold voltage close to zero. The good device performance could be attributed to the smooth dielectric/semiconductor interface and low interface trap density. Thus, the sol-gel-derived SiO2 is a promising candidate for a high-quality dielectric layer on many substrates and high-performance large-area applications.

  18. Substrate dependence of TM-polarized light emission characteristics of BAlGaN/AlN quantum wells

    NASA Astrophysics Data System (ADS)

    Park, Seoung-Hwan; Ahn, Doyeol

    2018-06-01

    To study the substrate dependence of light emission characteristics of transverse-magnetic (TM)-polarized light emitted from BAlGaN/AlN quantum wells (QWs) grown on GaN and AlN substrates were investigated theoretically. It is found that the topmost valence subband for QW structures grown on AlN substrate, is heavy hole state (HH1) while that for QW structures grown on GaN substrate is crystal-field split off light hole state (CL1), irrespective of the boron content. Since TM-polarized light emission is associated with the light hole state, the TM-polarized emission peak of BAlGaN/AlN QW structures grown on GaN substrate is expected to be much larger than that of the QW structure grown on AlN substrate. Also, both QW structures show that the spontaneous emission peak of BAlGaN/AlN QW structures would be improved with the inclusion of the boron. However, it rapidly begins to decrease when the boron content exceeds a critical value.

  19. Microelectromechanical pump utilizing porous silicon

    DOEpatents

    Lantz, Jeffrey W [Albuquerque, NM; Stalford, Harold L [Norman, OK

    2011-07-19

    A microelectromechanical (MEM) pump is disclosed which includes a porous silicon region sandwiched between an inlet chamber and an outlet chamber. The porous silicon region is formed in a silicon substrate and contains a number of pores extending between the inlet and outlet chambers, with each pore having a cross-section dimension about equal to or smaller than a mean free path of a gas being pumped. A thermal gradient is provided along the length of each pore by a heat source which can be an electrical resistance heater or an integrated circuit (IC). A channel can be formed through the silicon substrate so that inlet and outlet ports can be formed on the same side of the substrate, or so that multiple MEM pumps can be connected in series to form a multi-stage MEM pump. The MEM pump has applications for use in gas-phase MEM chemical analysis systems, and can also be used for passive cooling of ICs.

  20. Variable N-type negative resistance in an injection-gated double-injection diode

    NASA Technical Reports Server (NTRS)

    Kapoor, A. K.; Henderson, H. T.

    1981-01-01

    Double-injection (DI) switching devices consist of p+ and n+ contacts (for hole and electron injection, respectively), separated by a near intrinsic semiconductor region containing deep traps. Under proper conditions, these devices exhibit S-type differential negative resistance (DNR) similar to silicon-controlled rectifiers. With the added influence of a p+ gate appropriately placed between the anode (p+) and cathode (n+), the current-voltage characteristic of the device has been manipulated for the first time to exhibit a variable N-type DNR. The anode current and the anode-to-cathode voltage levels at which this N-type DNR is observed can be varied by changing the gate-to-cathode bias. In essence, the classical S-type DI diode can be electronically transformed into an N-type diode. A first-order phenomenological model is proposed for the N-type DNR.

  1. Functional and computational analysis of amino acid patterns predictive of type III secretion system substrates in Pseudomonas syringae

    USDA-ARS?s Scientific Manuscript database

    Bacterial type III secretion systems (T3SSs) deliver proteins called effectors into eukaryotic cells. Although N-terminal amino acid sequences are required for translocation, the mechanism of substrate recognition by the T3SS is unknown. Almost all actively deployed T3SS substrates in the plant path...

  2. Germanium growth on electron beam lithography patterned Si3N4/Si(001) substrate using molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Sarkar, Subhendu Sinha; Katiyar, Ajit K.; Sarkar, Arijit; Dhar, Achintya; Rudra, Arun; Khatri, Ravinder K.; Ray, Samit Kumar

    2018-04-01

    It is important to investigate the growth dynamics of Ge adatoms under different surface stress regimes of the patterned dielectric to control the selective growth of self-assembled Ge nanostructures on silicon. In the present work, we have studied the growth of Ge by molecular beam epitaxy on nanometer scale patterned Si3N4/Si(001) substrates generated using electron beam lithography. The pitch of the patterns has been varied to investigate its effect on the growth of Ge in comparison to un-patterned Si3N4. For the patterned Si3N4 film, Ge did not desorbed completely from the Si3N4 film and hence no site selective growth pattern is observed. Instead, depending upon the pitch, Ge growth has occurred in different growth modes around the openings in the Si3N4. For the un-patterned substrate, the morphology exhibits the occurrence of uniform 3D clustering of Ge adatoms on Si3N4 film. This variation in the growth modes of Ge is attributed to the variation of residual stress in the Si3N4 film for different pitch of holes, which has been confirmed theoretically through Comsol Multiphysics simulation. The variation in stress for different pitches resulted in modulation of surface energy of the Si3N4 film leading to the different growth modes of Ge.

  3. Polytype Stability and Microstructural Characterization of Silicon Carbide Epitaxial Films Grown on [ {11}overline{{2}} {0} ]- and [0001]-Oriented Silicon Carbide Substrates

    NASA Astrophysics Data System (ADS)

    Bishop, S. M.; Reynolds, C. L.; Liliental-Weber, Z.; Uprety, Y.; Zhu, J.; Wang, D.; Park, M.; Molstad, J. C.; Barnhardt, D. E.; Shrivastava, A.; Sudarshan, T. S.; Davis, R. F.

    2007-04-01

    The polytype and surface and defect microstructure of epitaxial layers grown on 4H( {11}overline{{2}} {0} ), 4H(0001) on-axis, 4H(0001) 8° off-axis, and 6H(0001) on-axis substrates have been investigated. High-resolution x-ray diffraction (XRD) revealed the epitaxial layers on 4H( {11}overline{{2}} {0} ) and 4H(0001) 8° off-axis to have the 4H-SiC (silicon carbide) polytype, while the 3C-SiC polytype was identified for epitaxial layers on 4H(0001) and 6H(0001) on-axis substrates. Cathodoluminescence (CL), Raman spectroscopy, and transmission electron microscopy (TEM) confirmed these results. The epitaxial surface of 4H( {11}overline{{2}} {0} ) films was specular with a roughness of 0.16-nm root-mean-square (RMS), in contrast to the surfaces of the other epitaxial layer-substrate orientations, which contained curvilinear boundaries, growth pits (˜3 × 104 cm-2), triangular defects >100 μm, and significant step bunching. Molten KOH etching revealed large defect densities within 4H( {11}overline{{2}} {0} ) films that decreased with film thickness to ˜106 cm-2 at 2.5 μm, while cross-sectional TEM studies showed areas free of defects and an indistinguishable film-substrate interface for 4H( {11}overline{{2}} {0} ) epitaxial layers.

  4. Processes for producing low cost, high efficiency silicon solar cells

    DOEpatents

    Rohatgi, Ajeet; Chen, Zhizhang; Doshi, Parag

    1996-01-01

    Processes which utilize rapid thermal processing (RTP) are provided for inexpensively producing high efficiency silicon solar cells. The RTP processes preserve minority carrier bulk lifetime .tau. and permit selective adjustment of the depth of the diffused regions, including emitter and back surface field (bsf), within the silicon substrate. Silicon solar cell efficiencies of 16.9% have been achieved. In a first RTP process, an RTP step is utilized to simultaneously diffuse phosphorus and aluminum into the front and back surfaces, respectively, of a silicon substrate. Moreover, an in situ controlled cooling procedure preserves the carrier bulk lifetime .tau. and permits selective adjustment of the depth of the diffused regions. In a second RTP process, both simultaneous diffusion of the phosphorus and aluminum as well as annealing of the front and back contacts are accomplished during the RTP step. In a third RTP process, the RTP step accomplishes simultaneous diffusion of the phosphorus and aluminum, annealing of the contacts, and annealing of a double-layer antireflection/passivation coating SiN/SiO.sub.x.

  5. On the transmission of terahertz radiation through silicon-based structures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Persano, Anna, E-mail: anna.persano@le.imm.cnr.it; Francioso, Luca; Cola, Adriano

    2014-07-28

    We report on the transmission of a terahertz (THz) radiation through prototype structures based on a p-type silicon substrate. In particular, the bare substrate and progressively more complicated multilayer structures were investigated, allowing to address the effect on the transmission of different factors, such as the orientation of interdigitated contacts with respect to the polarized beam, the temperature, and the current flowing through a conductive SnO{sub 2} nanorods layer. A suitable experimental set-up was developed for the direct spectral measurement of transmission in the range of 0.75–1.1 THz at room and low temperatures. A simple Drude-Lorentz model was formulated, findingmore » a quantitative agreement with the experimental transmission spectrum of the bare substrate at room temperature. For the multilayer structures, the spectra variations observed with temperature are well accounted by the corresponding change of the mobility of holes in the silicon p-type substrate. The influence of the contact orientation is consistent with that of a polarizing metallic grating. Finally, Joule heating effects are observed in the spectra performed as a function of the current flowing through the SnO{sub 2} nanorods layer. The experimental results shown here, together with their theoretical interpretation, provide insights for the development of devices fabricated on conductive substrates aimed to absorb/modulate radiation in the THz range.« less

  6. Protecting the properties of monolayer MoS 2 on silicon based substrates with an atomically thin buffer

    DOE PAGES

    Man, Michael K. L.; Deckoff-Jones, Skylar; Winchester, Andrew; ...

    2016-02-12

    Semiconducting 2D materials, like transition metal dichalcogenides (TMDs), have gained much attention for their potential in opto-electronic devices, valleytronic schemes, and semi-conducting to metallic phase engineering. However, like graphene and other atomically thin materials, they lose key properties when placed on a substrate like silicon, including quenching of photoluminescence, distorted crystalline structure, and rough surface morphology. The ability to protect these properties of monolayer TMDs, such as molybdenum disulfide (MoS 2), on standard Si-based substrates, will enable their use in opto-electronic devices and scientific investigations. Here we show that an atomically thin buffer layer of hexagonal-boron nitride (hBN) protects themore » range of key opto-electronic, structural, and morphological properties of monolayer MoS 2 on Si-based substrates. The hBN buffer restores sharp diffraction patterns, improves monolayer flatness by nearly two-orders of magnitude, and causes over an order of magnitude enhancement in photoluminescence, compared to bare Si and SiO 2 substrates. Lastly, our demonstration provides a way of integrating MoS 2 and other 2D monolayers onto standard Si-substrates, thus furthering their technological applications and scientific investigations.« less

  7. EPITAXIAL GROWTH OF SILICON

    DTIC Science & Technology

    Epitaxial growth of silicon on a silicon substrate by hydrogen reduction of SiCl4 was investigated. The chemical and physical processes involved in...silicon layers were produced at temperatures between 1100 and 1300 C. The effects of the concentration of SiCl4 in H2, the flow rate of the gas, the

  8. Silicon-slurry/aluminide coating. [protecting gas turbine engine vanes and blades

    NASA Technical Reports Server (NTRS)

    Deadmore, D. L.; Young, S. G. (Inventor)

    1983-01-01

    A low cost coating protects metallic base system substrates from high temperatures, high gas velocity ovidation, thermal fatigue and hot corrosion and is particularly useful fo protecting vanes and blades in aircraft and land based gas turbine engines. A lacquer slurry comprising cellulose nitrate containing high purity silicon powder is sprayed onto the superalloy substrates. The silicon layer is then aluminized to complete the coating. The Si-Al coating is less costly to produce than advanced aluminides and protects the substrates from oxidation and thermal fatigue for a much longer period of time than the conventional aluminide coatings. While more expensive Pt-Al coatings and physical vapor deposited MCrAlY coatings may last longer or provide equal protection on certain substrates, the Si-Al coating exceeded the performance of both types of coatings on certain superalloys in high gas velocity oxidation and thermal fatigue and increased the resistance of certain superalloys to hot corrosion.

  9. Unidirectional endotaxial cobalt di-silicide nanowires on Si(110) substrates.

    PubMed

    Mahato, J C; Das, Debolina; Banu, Nasrin; Satpati, Biswarup; Dev, B N

    2017-10-20

    Self-organized growth of well-ordered endotaxial silicide nanowires (NWs) on clean Si(110) surfaces has been investigated by in situ scanning tunneling microscopy (STM) and transmission electron microscopy (TEM). Co deposition on clean Si(110) reconstructed surfaces at ∼600 °C produces unidirectional CoSi 2 NWs by reaction of cobalt with the hot silicon substrate. STM investigations reveal four major types of distinct NWs, all growing along the [-110] in-plane direction except one type growing along the in-plane [-113] direction. There are also some nanodots. The cross-sectional TEM measurements show that the unidirectional NWs are of two types-flat-top and ridged. The NWs grow not only on the substrate but also into the substrate. CoSi 2 in flat top NWs are in the same crystallographic orientation as the substrate Si and the buried interfaces between CoSi 2 and Si are A-type. In the ridged NWs CoSi 2 and Si are in different crystallographic orientations and the interfaces are B-type. The ridged NWs are in general wider and grow deeper into the substrate.

  10. Ohmic contacts to n-GaN formed by ion-implanted Si into p-GaN

    NASA Astrophysics Data System (ADS)

    Bao, Xichang; Xu, Jintong; Zhang, Wenjing; Wang, Ling; Chu, Kaihui; Li, Chao; Li, Xiangyang

    2009-07-01

    In this paper, we report the ohmic contact to n-GaN fabricated by implanting silicon into Mg-doped GaN using an alloy of Ti/Al/Ti/Au metallization. The used materials were grown on (001) sapphire substrates by metal-organic chemical-vapor deposition (MOCVD). The layer structure was comprised of a GaN buffer layer and followed by a 2 μm thickness Mg-doped GaN (Na=5×1017cm-3) and then double silicon implantation was performed in order to convert p-type GaN into n-type GaN films. The as-implanted samples were then thermal annealed at 1150 °C for 5 min in N2 ambient. The carrier concentration and Hall mobility were 3.13×1018 cm3 and 112 cm2/ (VÂ.s) measured by Hall method. Multilayer electrode of Ti (50 nm)/Al (50 nm)/Ti (30 nm)/Au (30 nm) was deposited on n-GaN using an electron-beam evaporation and contacts were formed by a N2 annealing technique ranging from 600 to 900 °C. After annealing lower than 700 °C, the contacts exhibited a rectifying behavior and became ohmic contact only after high temperature processes (>=700 °C). Specific contact resistance was as low as 9.58×10-4 ΩÂ.cm2 after annealing at 800 °C for 60 seconds. While annealing temperature is higher than 800 °C, the specific contact resistance becomes worse. This phenomenon is caused by the surface morphology degradation.

  11. Screening method for selecting semiconductor substrates having defects below a predetermined level in an oxide layer

    DOEpatents

    Warren, W.L.; Vanheusden, K.J.R.; Schwank, J.R.; Fleetwood, D.M.; Shaneyfelt, M.R.; Winokur, P.S.; Devine, R.A.B.

    1998-07-28

    A method is disclosed for screening or qualifying semiconductor substrates for integrated circuit fabrication. The method comprises the steps of annealing at least one semiconductor substrate at a first temperature in a defect-activating ambient (e.g. hydrogen, forming gas, or ammonia) for sufficient time for activating any defects within on oxide layer of the substrate; measuring a defect-revealing electrical characteristic of at least a portion of the oxide layer for determining a quantity of activated defects therein; and selecting substrates for which the quantity of activated defects is below a predetermined level. The defect-revealing electrical characteristic may be a capacitance-versus voltage (C-V) characteristic or a current-versus-voltage (I-V) characteristic that is dependent on an electrical charge in the oxide layer generated by the activated defects. Embodiments of the present invention may be applied for screening any type of semiconductor substrate or wafer having an oxide layer formed thereon or therein. This includes silicon-on-insulator substrates formed by a separation by the implantation of oxygen (SIMOX) process or the bond and etch back silicon-on-insulator (BESOI) process, as well as silicon substrates having a thermal oxide layer or a deposited oxide layer. 5 figs.

  12. Durable crystalline Si photovoltaic modules based on silicone-sheet encapsulants

    NASA Astrophysics Data System (ADS)

    Hara, Kohjiro; Ohwada, Hiroto; Furihata, Tomoyoshi; Masuda, Atsushi

    2018-02-01

    Crystalline Si photovoltaic (PV) modules were fabricated with sheets of poly(dimethylsiloxane) (silicone) as an encapsulant. The long-term durability of the silicone-encapsulated PV modules was experimentally investigated. The silicone-based modules enhanced the long-term durability against potential-induced degradation (PID) and a damp-heat (DH) condition at 85 °C with 85% relative humidity (RH). In addition, we designed and fabricated substrate-type Si PV modules based on the silicone encapsulant and an Al-alloy plate as the substratum, which demonstrated high impact resistance and high incombustible performance. The high chemical stability, high volume resistivity, rubber-like elasticity, and incombustibility of the silicone encapsulant resulted in the high durability of the modules. Our results indicate that silicone is an attractive encapsulation material, as it improves the long-term durability of crystalline Si PV modules.

  13. Deposition of reactively ion beam sputtered silicon nitride coatings

    NASA Technical Reports Server (NTRS)

    Grill, A.

    1982-01-01

    An ion beam source was used to deposit silicon nitride films by reactively sputtering a silicon target with beams of Ar + N2 mixtures. The nitrogen fraction in the sputtering gas was 0.05 to 0.80 at a total pressure of 6 to 2 millionth torr. The ion beam current was 50 mA at 500 V. The composition of the deposited films was investigated by auger electron spectroscopy and the rate of deposition was determined by interferometry. A relatively low rate of deposition of about 2 nm. one-tenth min. was found. AES spectra of films obtained with nitrogen fractions higher than 0.50 were consistent with a silicon to nitrogen ratio corresponding to Si3N4. However the AES spectra also indicated that the sputtered silicon nitride films were contaminated with oxygen and carbon and contained significant amounts of iron, nickel, and chromium, most probably sputtered from the holder of the substrate and target.

  14. Efficiency improvement of GaN-on-silicon thin-film light-emitting diodes with optimized via-like n-electrodes

    NASA Astrophysics Data System (ADS)

    Feng, Bo; Deng, Biao; Fu, Yi; Liu, Le Gong; Li, Zeng Cheng; Feng, Mei Xin; Zhao, Han Min; Sun, Qian

    2017-07-01

    This work reports a significant improvement in efficiency by optimizing the via-like n-electrode architecture design of a GaN-based thin-film LED grown on a 6-inch silicon substrate. The external quantum efficiency of the as-fabricated 1.1 mm × 1.1 mm via-thin-film LED chip at 350 mA was increased by 11.3% compared to that of a vertical thin-film LED chip with a conventional finger-like n-electrode. Detailed analysis of encapsulation gain and false color emission patterns illustrated that the significantly improved LED performance was due to enhanced light extraction efficiency and more uniform current spreading, both of which can be attributed to the optimized via-thin-film chip structure. Minimizing the light loss at the periphery of the Ag mirror was demonstrated to be a critical factor for improving light extraction, rather than simply replacing the finger-like n-electrodes with via-like ones. After encapsulation, the median blue lamp power and the wall-plug efficiency of the via-thin-film LED at 350 mA reached 659 mW and 63.7%, respectively.

  15. Passivation of silicon surfaces by heat treatment in liquid water at 110 °C

    NASA Astrophysics Data System (ADS)

    Nakamura, Tomohiko; Sameshima, Toshiyuki; Hasumi, Masahiko; Mizuno, Tomohisa

    2015-10-01

    We report the effective passivation of silicon surfaces by heating single-crystalline silicon substrates in liquid water at 110 °C for 1 h. High photo-induced effective minority carrier lifetimes τeff were obtained ranging from 8.3 × 10-4 to 3.1 × 10-3 s and from 1.2 × 10-4 to 6.0 × 10-4 s for the n- and p-type samples, respectively, under 635 nm light illumination, while the τeff values of the initial bare samples were lower than 1.2 × 10-5 s. The heat treatment in liquid water at 110 °C for 1 h resulted in low surface recombination velocities ranging from 7 to 34 cm/s and from 49 to 250 cm/s for the n- and p-type samples, respectively. The photo-conductivity of the n-type sample was increased from 3.8 × 10-3 (initial) to 1.4 × 10-1 S/cm by the present heat treatment under air-mass (AM) 1.5 light illumination at 100 mW/cm2. The thickness of the passivation layer was estimated to be only approximately 0.7 nm. Metal-insulator-semiconductor-type solar cells were demonstrated with Al and Au metal formation on the passivated surface. Rectified current voltage and solar cell characteristics were observed. The open circuit voltages were obtained to be 0.52 and 0.49 V under AM 1.5 light illumination at 100 mW/cm2 for the n- and p-type samples, respectively.

  16. Silicon-germanium and platinum silicide nanostructures for silicon based photonics

    NASA Astrophysics Data System (ADS)

    Storozhevykh, M. S.; Dubkov, V. P.; Arapkina, L. V.; Chizh, K. V.; Mironov, S. A.; Chapnin, V. A.; Yuryev, V. A.

    2017-05-01

    This paper reports a study of two types of silicon based nanostructures prospective for applications in photonics. The first ones are Ge/Si(001) structures forming at room temperature and reconstructing after annealing at 600°C. Germanium, being deposited from a molecular beam at room temperature on the Si(001) surface, forms a thin granular film composed of Ge particles with sizes of a few nanometers. A characteristic feature of these films is that they demonstrate signs of the 2 x 1 structure in their RHEED patterns. After short-term annealing at 600°C under the closed system conditions, the granular films reconstruct to heterostructures consisting of a Ge wetting layer and oval clusters of Ge. A mixed type c(4x2) + p(2x2) reconstruction typical to the low-temperature MBE (Tgr < 600°C) forms on the wetting layer. Long-term annealing of granular films at the same conditions results in formation of c(4x2)-reconstructed wetting layer typical to high-temperature MBE (Tgr < 600°C) and huge clusters of Ge. The other type of the studied nanostructures is based on Pt silicides. This class of materials is one of the friendliest to silicon technology. But as silicide film thickness reaches a few nanometers, low resistivity becomes of primary importance. Pt3Si has the lowest sheet resistance among the Pt silicides. However, the development of a process of thin Pt3Si films formation is a challenging task. This paper describes formation of a thin Pt3Si/Pt2Si structures at room temperature on poly-Si films. Special attention is paid upon formation of poly-Si and amorphous Si films on Si3N4 substrates at low temperatures.

  17. Indium oxide/n-silicon heterojunction solar cells

    DOEpatents

    Feng, Tom; Ghosh, Amal K.

    1982-12-28

    A high photo-conversion efficiency indium oxide/n-silicon heterojunction solar cell is spray deposited from a solution containing indium trichloride. The solar cell exhibits an Air Mass One solar conversion efficiency in excess of about 10%.

  18. Method for rapid, controllable growth and thickness, of epitaxial silicon films

    DOEpatents

    Wang, Qi [Littleton, CO; Stradins, Paul [Golden, CO; Teplin, Charles [Boulder, CO; Branz, Howard M [Boulder, CO

    2009-10-13

    A method of producing epitaxial silicon films on a c-Si wafer substrate using hot wire chemical vapor deposition by controlling the rate of silicon deposition in a temperature range that spans the transition from a monohydride to a hydrogen free silicon surface in a vacuum, to obtain phase-pure epitaxial silicon film of increased thickness is disclosed. The method includes placing a c-Si substrate in a HWCVD reactor chamber. The method also includes supplying a gas containing silicon at a sufficient rate into the reaction chamber to interact with the substrate to deposit a layer containing silicon thereon at a predefined growth rate to obtain phase-pure epitaxial silicon film of increased thickness.

  19. Electron beam induced damage in PECVD Si3N4 and SiO2 films on InP

    NASA Technical Reports Server (NTRS)

    Pantic, Dragan M.; Kapoor, Vik J.; Young, Paul G.; Williams, Wallace D.; Dickman, John E.

    1990-01-01

    Phosphorus rich plasma enhanced chemical vapor deposition (PECVD) of silicon nitride and silicon dioxide films on n-type indium phosphide (InP) substrates were exposed to electron beam irradiation in the 5 to 40 keV range for the purpose of characterizing the damage induced in the dielectic. The electron beam exposure was on the range of 10(exp -7) to 10(exp -3) C/sq cm. The damage to the devices was characterized by capacitance-voltage (C-V) measurements of the metal insulator semiconductor (MIS) capacitors. These results were compared to results obtained for radiation damage of thermal silicon dioxide on silicon (Si) MOS capacitors with similar exposures. The radiation induced damage in the PECVD silicon nitride films on InP was successfully annealed out in an hydrogen/nitrogen (H2/N2) ambient at 400 C for 15 min. The PECVD silicon dioxide films on InP had the least radiation damage, while the thermal silicon dioxide films on Si had the most radiation damage.

  20. Aquaporins Mediate Silicon Transport in Humans

    PubMed Central

    Garneau, Alexandre P.; Carpentier, Gabriel A.; Marcoux, Andrée-Anne; Frenette-Cotton, Rachelle; Simard, Charles F.; Rémus-Borel, Wilfried; Caron, Luc; Jacob-Wagner, Mariève; Noël, Micheline; Powell, Jonathan J.; Bélanger, Richard; Côté, François; Isenring, Paul

    2015-01-01

    In animals, silicon is an abundant and differentially distributed trace element that is believed to play important biological functions. One would thus expect silicon concentrations in body fluids to be regulated by silicon transporters at the surface of many cell types. Curiously, however, and even though they exist in plants and algae, no such transporters have been identified to date in vertebrates. Here, we show for the first time that the human aquaglyceroporins, i.e., AQP3, AQP7, AQP9 and AQP10 can act as silicon transporters in both Xenopus laevis oocytes and HEK-293 cells. In particular, heterologously expressed AQP7, AQP9 and AQP10 are all able to induce robust, saturable, phloretin-sensitive silicon transport activity in the range that was observed for low silicon rice 1 (lsi1), a silicon transporter in plant. Furthermore, we show that the aquaglyceroporins appear as relevant silicon permeation pathways in both mice and humans based on 1) the kinetics of substrate transport, 2) their presence in tissues where silicon is presumed to play key roles and 3) their transcriptional responses to changes in dietary silicon. Taken together, our data provide new evidence that silicon is a potentially important biological element in animals and that its body distribution is regulated. They should open up original areas of investigations aimed at deciphering the true physiological role of silicon in vertebrates. PMID:26313002

  1. Process for Smoothing an Si Substrate after Etching of SiO2

    NASA Technical Reports Server (NTRS)

    Turner, Tasha; Wu, Chi

    2003-01-01

    A reactive-ion etching (RIE) process for smoothing a silicon substrate has been devised. The process is especially useful for smoothing those silicon areas that have been exposed by etching a pattern of holes in a layer of silicon dioxide that covers the substrate. Applications in which one could utilize smooth silicon surfaces like those produced by this process include fabrication of optical waveguides, epitaxial deposition of silicon on selected areas of silicon substrates, and preparation of silicon substrates for deposition of adherent metal layers. During etching away of a layer of SiO2 that covers an Si substrate, a polymer becomes deposited on the substrate, and the substrate surface becomes rough (roughness height approximately equal to 50 nm) as a result of over-etching or of deposition of the polymer. While it is possible to smooth a silicon substrate by wet chemical etching, the undesired consequences of wet chemical etching can include compromising the integrity of the SiO2 sidewalls and undercutting of the adjacent areas of the silicon dioxide that are meant to be left intact. The present RIE process results in anisotropic etching that removes the polymer and reduces height of roughness of the silicon substrate to less than 10 nm while leaving the SiO2 sidewalls intact and vertical. Control over substrate versus sidewall etching (in particular, preferential etching of the substrate) is achieved through selection of process parameters, including gas flow, power, and pressure. Such control is not uniformly and repeatably achievable in wet chemical etching. The recipe for the present RIE process is the following: Etch 1 - A mixture of CF4 and O2 gases flowing at rates of 25 to 75 and 75 to 125 standard cubic centimeters per minute (stdcm3/min), respectively; power between 44 and 55 W; and pressure between 45 and 55 mtorr (between 6.0 and 7.3 Pa). The etch rate lies between approximately equal to 3 and approximately equal to 6 nm/minute. Etch 2 - O2 gas

  2. Formation mechanisms of Si3N4 and Si2N2O in silicon powder nitridation

    NASA Astrophysics Data System (ADS)

    Yao, Guisheng; Li, Yong; Jiang, Peng; Jin, Xiuming; Long, Menglong; Qin, Haixia; Kumar, R. Vasant

    2017-04-01

    Commercial silicon powders are nitrided at constant temperatures (1453 K; 1513 K; 1633 K; 1693 K). The X-ray diffraction results show that small amounts of Si3N4 and Si2N2O are formed as the nitridation products in the samples. Fibroid and short columnar Si3N4 are detected in the samples. The formation mechanisms of Si3N4 and Si2N2O are analyzed. During the initial stage of silicon powder nitridation, Si on the outside of sample captures slight amount of O2 in N2 atmosphere, forming a thin film of SiO2 on the surface which seals the residual silicon inside. And the oxygen partial pressure between the SiO2 film and free silicon is decreasing gradually, so passive oxidation transforms to active oxidation and metastable SiO(g) is produced. When the SiO(g) partial pressure is high enough, the SiO2 film will crack, and N2 is infiltrated into the central section of the sample through cracks, generating Si2N2O and short columnar Si3N4 in situ. At the same time, metastable SiO(g) reacts with N2 and form fibroid Si3N4. In the regions where the oxygen partial pressure is high, Si3N4 is oxidized into Si2N2O.

  3. Structure assignment, electronic properties, and magnetism quenching of endohedrally doped neutral silicon clusters, Si(n)Co (n = 10-12).

    PubMed

    Li, Yejun; Tam, Nguyen Minh; Claes, Pieterjan; Woodham, Alex P; Lyon, Jonathan T; Ngan, Vu Thi; Nguyen, Minh Tho; Lievens, Peter; Fielicke, André; Janssens, Ewald

    2014-09-18

    The structures of neutral cobalt-doped silicon clusters have been assigned by a combined experimental and theoretical study. Size-selective infrared spectra of neutral Si(n)Co (n = 10-12) clusters are measured using a tunable IR-UV two-color ionization scheme. The experimental infrared spectra are compared with calculated spectra of low-energy structures predicted at the B3P86 level of theory. It is shown that the Si(n)Co (n = 10-12) clusters have endohedral caged structures, where the silicon frameworks prefer double-layered structures encapsulating the Co atom. Electronic structure analysis indicates that the clusters are stabilized by an ionic interaction between the Co dopant atom and the silicon cage due to the charge transfer from the silicon valence sp orbitals to the cobalt 3d orbitals. Strong hybridization between the Co dopant atom and the silicon host quenches the local magnetic moment on the encapsulated Co atom.

  4. Marking multi-channel silicon-substrate electrode recording sites using radiofrequency lesions.

    PubMed

    Brozoski, Thomas J; Caspary, Donald M; Bauer, Carol A

    2006-01-30

    Silicon-substrate multi-channel electrodes (multiprobes) have proven useful in a variety of electrophysiological tasks. When using multiprobes it is often useful to identify the site of each channel, e.g., when recording single-unit activity from a heterogeneous structure. Lesion marking of electrode sites has been used for many years. Electrolytic, or direct current (DC) lesions, have been used successfully to mark multiprobe sites in rat hippocampus [Townsend G, Peloquin P, Kloosterman F, Hetke JF, Leung LS. Recording and marking with silicon multichannel electrodes. Brain Res Brain Res Protoc 2002;9:122-9]. The present method used radio-frequency (rf) lesions to distinctly mark each of the 16 recording sites of 16-channel linear array multiprobes, in chinchilla inferior colliculus. A commercial radio-frequency lesioner was used as the current source, in conjunction with custom connectors adapted to the multiprobe configuration. In vitro bench testing was used to establish current-voltage-time parameters, as well as to check multiprobe integrity and radio-frequency performance. In in vivo application, visualization of individual-channel multiprobe recording sites was clear in 21 out of 33 sets of collicular serial-sections (i.e., probe tracks) obtained from acute experimental subjects, i.e., maximum post-lesion survival time of 2h. Advantages of the rf method include well-documented methods of in vitro calibration as well as low impact on probe integrity. The rf method of marking individual-channel sites should be useful in a variety of applications.

  5. Fabrication of a Silicon Nanowire on a Bulk Substrate by Use of a Plasma Etching and Total Ionizing Dose Effects on a Gate-All-Around Field-Effect Transistor

    NASA Technical Reports Server (NTRS)

    Moon, Dong-Il; Han, Jin-Woo; Meyyappan, Meyya

    2016-01-01

    The gate all around transistor is investigated through experiment. The suspended silicon nanowire for the next generation is fabricated on bulk substrate by plasma etching method. The scallop pattern generated by Bosch process is utilized to form a floating silicon nanowire. By combining anisotropic and istropic silicon etch process, the shape of nanowire is accurately controlled. From the suspended nanowire, the gate all around transistor is demonstrated. As the silicon nanowire is fully surrounded by the gate, the device shows excellent electrostatic characteristics.

  6. Process for Polycrystalline film silicon growth

    DOEpatents

    Wang, Tihu; Ciszek, Theodore F.

    2001-01-01

    A process for depositing polycrystalline silicon on substrates, including foreign substrates, occurs in a chamber at about atmospheric pressure, wherein a temperature gradient is formed, and both the atmospheric pressure and the temperature gradient are maintained throughout the process. Formation of a vapor barrier within the chamber that precludes exit of the constituent chemicals, which include silicon, iodine, silicon diiodide, and silicon tetraiodide. The deposition occurs beneath the vapor barrier. One embodiment of the process also includes the use of a blanketing gas that precludes the entrance of oxygen or other impurities. The process is capable of repetition without the need to reset the deposition zone conditions.

  7. Depth and type of substrate influence the ability of Nasonia vitripennis to locate a host

    PubMed Central

    Frederickx, Christine; Dekeirsschieter, Jessica; Verheggen, François J.; Haubruge, Eric

    2014-01-01

    Abstract The foraging behaviour of a parasitoid insect species includes the host’s habitat and subsequent location of the host. Habitats substrate, substrate moisture, and light levels can affect the host searching of different species of parasitoids. However, the depth at which parasitoids concentrate their search effort is another important ecological characteristic and plays an important role in locating a host. Here, we investigated the ability of a pupal parasitoid, Nasonia vitripennis Walker (Hymenoptera: Pteromalidae), to penetrate and kill fly pupae located at different depths of the substrate. Three different types of substrate were tested: loam soil, compost, and vermiculite substrate. In both loam soil and compost, all of the parasitism activity was restricted to pupae placed directly on the surface. Parasitism activity in vermiculite showed that the average number of pupae parasitized decreased with depth of substrate. These results suggest that fly pupae situated deeper in the substrate are less subjected to parasitism by N. vitripennis . PMID:25373205

  8. Electronic characterization of silicon intercalated chevron graphene nanoribbons on Au(111).

    PubMed

    Deniz, O; Sánchez-Sánchez, C; Jaafar, R; Kharche, N; Liang, L; Meunier, V; Feng, X; Müllen, K; Fasel, R; Ruffieux, P

    2018-02-08

    Electronic and thermal properties of chevron-type graphene nanoribbons can be widely tuned, making them interesting candidates for electronic and thermoelectric applications. Here, we use post-growth silicon intercalation to unambiguously access nanoribbons' energy position of their electronic frontier states. These are otherwise obscured by substrate effects when investigated directly on the growth substrate. In agreement with first-principles calculations we find a band gap of 2.4 eV.

  9. Monolayer Contact Doping of Silicon Surfaces and Nanowires Using Organophosphorus Compounds

    PubMed Central

    Hazut, Ori; Agarwala, Arunava; Subramani, Thangavel; Waichman, Sharon; Yerushalmi, Roie

    2013-01-01

    Monolayer Contact Doping (MLCD) is a simple method for doping of surfaces and nanostructures1. MLCD results in the formation of highly controlled, ultra shallow and sharp doping profiles at the nanometer scale. In MLCD process the dopant source is a monolayer containing dopant atoms. In this article a detailed procedure for surface doping of silicon substrate as well as silicon nanowires is demonstrated. Phosphorus dopant source was formed using tetraethyl methylenediphosphonate monolayer on a silicon substrate. This monolayer containing substrate was brought to contact with a pristine intrinsic silicon target substrate and annealed while in contact. Sheet resistance of the target substrate was measured using 4 point probe. Intrinsic silicon nanowires were synthesized by chemical vapor deposition (CVD) process using a vapor-liquid-solid (VLS) mechanism; gold nanoparticles were used as catalyst for nanowire growth. The nanowires were suspended in ethanol by mild sonication. This suspension was used to dropcast the nanowires on silicon substrate with a silicon nitride dielectric top layer. These nanowires were doped with phosphorus in similar manner as used for the intrinsic silicon wafer. Standard photolithography process was used to fabricate metal electrodes for the formation of nanowire based field effect transistor (NW-FET). The electrical properties of a representative nanowire device were measured by a semiconductor device analyzer and a probe station. PMID:24326774

  10. AIN-Coated Al(2)O(3) Substrates For Electronic Circuits

    NASA Technical Reports Server (NTRS)

    Kolawa, Elzbieta; Lowry, Lynn; Herman, Martin; Lee, Karen

    1996-01-01

    Type of improved ceramic substrate for high-frequency, high-power electronic circuits combines relatively high thermal conductivity of aluminum nitride with surface smoothness of alumina. Consists of 15-micrometer layer of AIN deposited on highly polished alumina. Used for packaging millimeter-wave gallium arsenide transmitter chips, power silicon chips, and like.

  11. Lateral power MOSFETs in silicon carbide

    NASA Astrophysics Data System (ADS)

    Spitz, Jan

    2001-07-01

    Because of its large bandgap, its high critical electric field, and its high quality native SiO2, silicon carbide is considered to be the material of choice for power switching electronics in the future. Until 1997 the maximum thickness of commercially available epilayers serving as the drift region for power devices has been limited to 10--15 mum, limiting the maximum blocking voltage to 1500 V for vertical power devices in silicon carbide. In this study, we present the first lateral power devices on a semi-insulating vanadium doped substrate of silicon carbide. The first generation of lateral DMOSFETs in 4H-SiC yielded a blocking voltage of 2.6 kV---more than twice what was previously reported for any SiC MOSFETs---but suffered from low MOS channel mobility caused by the high anneal temperatures (≥1600°C) required to activate the p-type ion-implant. Combining the high blocking-voltage of the vanadium-doped substrate with the higher MOS mobility previously achieved by an epitaxially-grown accumulation channel leads us to the LACCUFET device: No p-type implant is necessary. This device shows a blocking voltage of 2.7 kV unmatched by any SiC transistor until February 2000 combined with a much lower specific on-resistance of 3.6 O•cm2. The ability to combine long-channel test MOSFETs with high channel mobility of 27 cm2/(volt·sec) in 4H-SiC with power devices of 13 cm2/(volt·sec) on the same chip has been demonstrated. The Figure of Merit Vblock 2/Ron,sp for this new NON-RESURF LDMOSFET in 4H-SiC is close to the theoretical limit for vertical power devices made of silicon. The specific on-resistance can be reduced by factor 2.5 by forward-biasing the p-base to source junction by 2 to 3 volts. Basic operation in Static Induction Injection Accumulation FET (SIAFET) mode has been demonstrated. Lateral (Non-Punch-Through) Insulated Gate Bipolar Transistors (LIGBT) have been presented for the first time showing similar on-resistance and blocking voltages but

  12. Electrochemical Formation of a p-n Junction on Thin Film Silicon Deposited in Molten Salt.

    PubMed

    Zou, Xingli; Ji, Li; Yang, Xiao; Lim, Taeho; Yu, Edward T; Bard, Allen J

    2017-11-15

    Herein we report the demonstration of electrochemical deposition of silicon p-n junctions all in molten salt. The results show that a dense robust silicon thin film with embedded junction formation can be produced directly from inexpensive silicates/silicon oxide precursors by a two-step electrodeposition process. The fabricated silicon p-n junction exhibits clear diode rectification behavior and photovoltaic effects, indicating promise for application in low-cost silicon thin film solar cells.

  13. Transistors using crystalline silicon devices on glass

    DOEpatents

    McCarthy, Anthony M.

    1995-01-01

    A method for fabricating transistors using single-crystal silicon devices on glass. This method overcomes the potential damage that may be caused to the device during high voltage bonding and employs a metal layer which may be incorporated as part of the transistor. This is accomplished such that when the bonding of the silicon wafer or substrate to the glass substrate is performed, the voltage and current pass through areas where transistors will not be fabricated. After removal of the silicon substrate, further metal may be deposited to form electrical contact or add functionality to the devices. By this method both single and gate-all-around devices may be formed.

  14. Back-side hydrogenation technique for defect passivation in silicon solar cells

    DOEpatents

    Sopori, Bhushan L.

    1994-01-01

    A two-step back-side hydrogenation process includes the steps of first bombarding the back side of the silicon substrate with hydrogen ions with intensities and for a time sufficient to implant enough hydrogen atoms into the silicon substrate to potentially passivate substantially all of the defects and impurities in the silicon substrate, and then illuminating the silicon substrate with electromagnetic radiation to activate the implanted hydrogen, so that it can passivate the defects and impurities in the substrate. The illumination step also annihilates the hydrogen-induced defects. The illumination step is carried out according to a two-stage illumination schedule, the first or low-power stage of which subjects the substrate to electromagnetic radiation that has sufficient intensity to activate the implanted hydrogen, yet not drive the hydrogen from the substrate. The second or high-power illumination stage subjects the substrate to higher intensity electromagnetic radiation, which is sufficient to annihilate the hydrogen-induced defects and sinter/alloy the metal contacts.

  15. Back-side hydrogenation technique for defect passivation in silicon solar cells

    DOEpatents

    Sopori, B.L.

    1994-04-19

    A two-step back-side hydrogenation process includes the steps of first bombarding the back side of the silicon substrate with hydrogen ions with intensities and for a time sufficient to implant enough hydrogen atoms into the silicon substrate to potentially passivate substantially all of the defects and impurities in the silicon substrate, and then illuminating the silicon substrate with electromagnetic radiation to activate the implanted hydrogen, so that it can passivate the defects and impurities in the substrate. The illumination step also annihilates the hydrogen-induced defects. The illumination step is carried out according to a two-stage illumination schedule, the first or low-power stage of which subjects the substrate to electromagnetic radiation that has sufficient intensity to activate the implanted hydrogen, yet not drive the hydrogen from the substrate. The second or high-power illumination stage subjects the substrate to higher intensity electromagnetic radiation, which is sufficient to annihilate the hydrogen-induced defects and sinter/alloy the metal contacts. 3 figures.

  16. Porous silicon technology for integrated microsystems

    NASA Astrophysics Data System (ADS)

    Wallner, Jin Zheng

    With the development of micro systems, there is an increasing demand for integrable porous materials. In addition to those conventional applications, such as filtration, wicking, and insulating, many new micro devices, including micro reactors, sensors, actuators, and optical components, can benefit from porous materials. Conventional porous materials, such as ceramics and polymers, however, cannot meet the challenges posed by micro systems, due to their incompatibility with standard micro-fabrication processes. In an effort to produce porous materials that can be used in micro systems, porous silicon (PS) generated by anodization of single crystalline silicon has been investigated. In this work, the PS formation process has been extensively studied and characterized as a function of substrate type, crystal orientation, doping concentration, current density and surfactant concentration and type. Anodization conditions have been optimized for producing very thick porous silicon layers with uniform pore size, and for obtaining ideal pore morphologies. Three different types of porous silicon materials: meso porous silicon, macro porous silicon with straight pores, and macro porous silicon with tortuous pores, have been successfully produced. Regular pore arrays with controllable pore size in the range of 2mum to 6mum have been demonstrated as well. Localized PS formation has been achieved by using oxide/nitride/polysilicon stack as masking materials, which can withstand anodization in hydrofluoric acid up to twenty hours. A special etching cell with electrolytic liquid backside contact along with two process flows has been developed to enable the fabrication of thick macro porous silicon membranes with though wafer pores. For device assembly, Si-Au and In-Au bonding technologies have been developed. Very low bonding temperature (˜200°C) and thick/soft bonding layers (˜6mum) have been achieved by In-Au bonding technology, which is able to compensate the potentially

  17. Influence of nitrogen substrates and substrate C:N ratios on the nitrogen isotopic composition of amino acids from the marine bacterium Vibrio harveyi

    NASA Astrophysics Data System (ADS)

    Maki, K.; Ohkouchi, N.; Chikaraishi, Y.; Fukuda, H.; Miyajima, T.; Nagata, T.

    2014-09-01

    Nitrogen (N) isotopic compositions of individual hydrolysable amino acids (δ15NAAs) in N pools have been increasingly used for trophic position assessment and evaluation of sources and transformation processes of organic matter in marine environments. However, there are limited data about variability in δ15NAAs patterns and how this variability influences marine bacteria, an important mediator of trophic transfer and organic matter transformation. We explored whether marine bacterial δ15NAAs profiles change depending on the type and C:N ratio of the substrate. The δ15NAAs profile of a marine bacterium, Vibrio harveyi, was examined using medium containing either glutamate, alanine or ammonium as the N source [substrate C:N ratios (range, 3 to 20) were adjusted with glucose]. The data were interpreted as a reflection of isotope fractionations associated with de novo synthesis of amino acids by bacteria. Principal component analysis (PCA) using the δ15N offset values normalized to glutamate + glutamine δ15N revealed that δ15NAAs profiles differed depending on the N source and C:N ratio of the substrate. High variability in the δ15N offset of alanine and valine largely explained this bacterial δ15NAAs profile variability. PCA was also conducted using bacterial and phytoplankton (cyanobacteria and eukaryotic algae) δ15NAAs profile data reported previously. The results revealed that bacterial δ15NAAs patterns were distinct from those of phytoplankton. Therefore, the δ15NAAs profile is a useful indicator of biochemical responses of bacteria to changes in substrate conditions, serving as a potentially useful method for identifying organic matter sources in marine environments.

  18. Microdistribution of oxygen in silicon

    NASA Technical Reports Server (NTRS)

    Murgai, A.; Chi, J. Y.; Gatos, H. C.

    1980-01-01

    The microdistribution of oxygen in Czochralskii-grown, p-type silicon crystals was determined by using the SEM in the EBIC mode in conjunction with spreading resistance measurements. When the conductivity remained p-type, bands of contrast were observed in the EBIC image which corresponded to maxima in resistivity. When at the oxygen concentration maxima the oxygen donor concentration exceeded the p-type dopant concentration, an inversion of the conductivity occurred. It resulted in the formation of p-n junctions in a striated configuration and the local inversion of the EBIC image contrast. By heat-treating silicon at 1000 C prior to the activation of oxygen donors, some silicon-oxygen micro-precipitates were observed in the EBIC image within the striated oxygen concentration maxima.

  19. RF Sputtering for preparing substantially pure amorphous silicon monohydride

    DOEpatents

    Jeffrey, Frank R.; Shanks, Howard R.

    1982-10-12

    A process for controlling the dihydride and monohydride bond densities in hydrogenated amorphous silicon produced by reactive rf sputtering of an amorphous silicon target. There is provided a chamber with an amorphous silicon target and a substrate therein with the substrate and the target positioned such that when rf power is applied to the target the substrate is in contact with the sputtering plasma produced thereby. Hydrogen and argon are fed to the chamber and the pressure is reduced in the chamber to a value sufficient to maintain a sputtering plasma therein, and then rf power is applied to the silicon target to provide a power density in the range of from about 7 watts per square inch to about 22 watts per square inch to sputter an amorphous silicon hydride onto the substrate, the dihydride bond density decreasing with an increase in the rf power density. Substantially pure monohydride films may be produced.

  20. Self-organized nickel nanoparticles on nanostructured silicon substrate intermediated by a titanium oxynitride (TiNxOy) interface

    NASA Astrophysics Data System (ADS)

    Morales, M.; Droppa, R., Jr.; de Mello, S. R. S.; Figueroa, C. A.; Zanatta, A. R.; Alvarez, F.

    2018-01-01

    In this work we report an experimental approach by combining in situ sequential top-down and bottom-up processes to induce the organization of nanosized nickel particles. The top-down process consists in xenon ion bombardment of a crystalline silicon substrate to generate a pattern, followed by depositing a ˜15 nm titanium oxynitride thin film to act as a metallic diffusion barrier. Then, metallic nanoparticles are deposited by argon ion sputtering a pure nickel target, and the sample is annealed to promote the organization of the nickel nanoparticles (a bottom-up process). According to the experimental results, the surface pattern and the substrate biaxial surface strain are the driving forces behind the alignment and organization of the nickel nanoparticles. Moreover, the ratio between the F of metallic atoms arriving at the substrate relative to its surface diffusion mobility determines the nucleation regime of the nickel nanoparticles. These features are presented and discussed considering the existing technical literature on the subject.